From cdd9ae6de0ef5e455d74a82cd5ad38a33bf9c55b Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sun, 8 Nov 2020 11:40:58 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.fir | 9229 +++++++++-------- el2_lsu_bus_buffer.v | 3440 +++--- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 7 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../lsu/el2_lsu_bus_buffer$$anon$1.class | Bin 15385 -> 15755 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 501055 -> 506189 bytes 7 files changed, 6347 insertions(+), 6329 deletions(-) diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index adb4cc43..5d7e6eb0 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -291,180 +291,180 @@ circuit el2_lsu_bus_buffer : module el2_lsu_bus_buffer : input clock : Clock input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt, data_en : UInt} + output io : {flip scan_mode : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_pkt_r : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>, valid : UInt<1>}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, flip lsu_axi_awready : UInt<1>, flip lsu_axi_wready : UInt<1>, flip lsu_axi_bvalid : UInt<1>, flip lsu_axi_bresp : UInt<2>, flip lsu_axi_bid : UInt<3>, flip lsu_axi_arready : UInt<1>, flip lsu_axi_rvalid : UInt<1>, flip lsu_axi_rid : UInt<3>, flip lsu_axi_rdata : UInt<64>, flip lsu_axi_rresp : UInt<2>, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>, lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>, lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, lsu_axi_awvalid : UInt<1>, lsu_axi_awid : UInt<3>, lsu_axi_awaddr : UInt<32>, lsu_axi_awregion : UInt<4>, lsu_axi_awlen : UInt<8>, lsu_axi_awsize : UInt<3>, lsu_axi_awburst : UInt<2>, lsu_axi_awlock : UInt<1>, lsu_axi_awcache : UInt<4>, lsu_axi_awprot : UInt<3>, lsu_axi_awqos : UInt<4>, lsu_axi_wvalid : UInt<1>, lsu_axi_wdata : UInt<64>, lsu_axi_wstrb : UInt<8>, lsu_axi_wlast : UInt<1>, lsu_axi_bready : UInt<1>, lsu_axi_arvalid : UInt<1>, lsu_axi_arid : UInt<3>, lsu_axi_araddr : UInt<32>, lsu_axi_arregion : UInt<4>, lsu_axi_arlen : UInt<8>, lsu_axi_arsize : UInt<3>, lsu_axi_arburst : UInt<2>, lsu_axi_arlock : UInt<1>, lsu_axi_arcache : UInt<4>, lsu_axi_arprot : UInt<3>, lsu_axi_arqos : UInt<4>, lsu_axi_rready : UInt<1>, test : UInt, data_hi : UInt, data_lo : UInt, data_en : UInt, Cmdptr0 : UInt, Cmdptr1 : UInt, WrPtr1_r : UInt} - wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 123:22] - wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 124:23] + wire buf_addr : UInt<32>[4] @[el2_lsu_bus_buffer.scala 126:22] + wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 127:23] wire buf_write : UInt<4> buf_write <= UInt<1>("h00") - node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 128:46] - node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 129:46] - node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 131:74] - node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 131:109] - node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 131:98] - node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 131:74] - node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 131:109] - node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 131:98] - node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 131:74] - node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 131:109] - node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 131:98] - node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 131:66] - node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 131:89] - node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 131:74] - node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 131:109] - node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 131:98] - node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 131:129] - node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 131:113] - node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 131:141] - node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] - node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] - node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 132:74] - node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 132:109] - node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 132:98] - node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] - node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 132:113] - node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] - node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] - node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] - node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 132:74] - node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 132:109] - node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 132:98] - node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] - node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 132:113] - node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] - node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] - node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] - node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 132:74] - node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 132:109] - node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 132:98] - node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] - node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 132:113] - node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] - node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 132:66] - node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 132:89] - node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 132:74] - node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 132:109] - node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 132:98] - node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 132:129] - node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 132:113] - node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 132:141] - wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 133:33] + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 131:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 132:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] + node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 134:74] + node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 134:109] + node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 134:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] + node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 134:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] + node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 134:74] + node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 134:109] + node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 134:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] + node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 134:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] + node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 134:74] + node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 134:109] + node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 134:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] + node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 134:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 134:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 134:89] + node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 134:74] + node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 134:109] + node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 134:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 134:129] + node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 134:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 134:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] + node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 135:74] + node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 135:109] + node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 135:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] + node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 135:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] + node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 135:74] + node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 135:109] + node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 135:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] + node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 135:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] + node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 135:74] + node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 135:109] + node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 135:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] + node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 135:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 135:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 135:89] + node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 135:74] + node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 135:109] + node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 135:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 135:129] + node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 135:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 135:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 136:33] wire ld_byte_ibuf_hit_lo : UInt<4> ld_byte_ibuf_hit_lo <= UInt<1>("h00") - wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 135:33] + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 138:33] wire ld_byte_ibuf_hit_hi : UInt<4> ld_byte_ibuf_hit_hi <= UInt<1>("h00") - wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 137:24] - buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] - buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] - buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] - buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 138:14] - wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 139:26] - buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] - buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] - buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] - buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 140:16] - wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 141:23] - buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] - buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] - buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] - buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 142:13] - wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 143:25] - buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] - buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] - buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] - buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:15] - wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 145:30] - buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] - buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] - buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] - buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:20] - wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 147:26] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:16] - wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 149:26] - buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] - buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] - buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] - buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:16] - wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 151:25] - buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] - buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] - buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] - buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:15] - wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 153:29] - buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] - buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] - buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] - buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:19] - wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 155:26] - buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] - buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] - buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] - buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] + wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 140:24] + buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] + buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] + buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] + buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 141:14] + wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 142:26] + buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] + buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] + buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] + buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:16] + wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 144:23] + buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] + buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] + buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] + buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:13] + wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 146:25] + buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] + buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] + buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] + buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:15] + wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 148:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:20] + wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 150:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:16] + wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 152:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] + wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 154:25] + buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] + buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] + buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] + buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 156:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:19] + wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 158:26] + buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] + buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] + buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] + buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:16] wire bus_rsp_read_error : UInt<1> bus_rsp_read_error <= UInt<1>("h00") wire bus_rsp_rdata : UInt<64> bus_rsp_rdata <= UInt<1>("h00") wire bus_rsp_write_error : UInt<1> bus_rsp_write_error <= UInt<1>("h00") - wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 160:25] - buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] - buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] - buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] - buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:15] + wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 163:25] + buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] + buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] + buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] + buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:15] wire buf_ldfwd : UInt<4> buf_ldfwd <= UInt<1>("h00") - wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 163:35] - buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] - buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] - buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] - buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 164:25] + wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 166:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:25] wire any_done_wait_state : UInt<1> any_done_wait_state <= UInt<1>("h00") wire bus_rsp_write : UInt<1> bus_rsp_write <= UInt<1>("h00") wire bus_rsp_write_tag : UInt<3> bus_rsp_write_tag <= UInt<1>("h00") - wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 168:26] - buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] - buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] - buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] - buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:16] - wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 170:21] - buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] - buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] - buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] - buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 171:11] + wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 171:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 172:16] + wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 173:21] + buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] + buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] + buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] + buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:11] wire ibuf_drainvec_vld : UInt<4> ibuf_drainvec_vld <= UInt<1>("h00") - wire buf_byteen_in : UInt<3>[4] @[el2_lsu_bus_buffer.scala 173:27] - buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] - buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] - buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] - buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:17] - wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 175:25] - buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] - buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] - buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] - buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:15] + wire buf_byteen_in : UInt<3>[4] @[el2_lsu_bus_buffer.scala 176:27] + buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] + buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] + buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] + buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:17] + wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 178:25] + buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] + buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] + buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] + buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:15] wire buf_dual_in : UInt<4> buf_dual_in <= UInt<1>("h00") wire buf_samedw_in : UInt<4> @@ -473,763 +473,763 @@ circuit el2_lsu_bus_buffer : buf_nomerge_in <= UInt<1>("h00") wire buf_dualhi_in : UInt<4> buf_dualhi_in <= UInt<1>("h00") - wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 181:28] - buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] - buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] - buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] - buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:18] + wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 184:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 185:18] wire buf_sideeffect_in : UInt<4> buf_sideeffect_in <= UInt<1>("h00") wire buf_unsign_in : UInt<4> buf_unsign_in <= UInt<1>("h00") - wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 185:23] - buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] - buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] - buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] - buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 186:13] + wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 188:23] + buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] + buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] + buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] + buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 189:13] wire buf_write_in : UInt<4> buf_write_in <= UInt<1>("h00") wire buf_unsign : UInt<4> buf_unsign <= UInt<1>("h00") wire buf_error : UInt<4> buf_error <= UInt<1>("h00") - node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 191:98] - node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 191:77] - node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 191:98] - node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 191:77] - node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 191:98] - node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 191:77] - node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 191:73] - node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 191:98] - node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 191:77] + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 194:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 194:98] + node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 194:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 194:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 194:98] + node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 194:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 194:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 194:98] + node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 194:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 194:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 194:98] + node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 194:77] node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] - io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 191:25] - node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 192:73] - node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 192:98] - node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 192:77] - node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 192:73] - node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 192:98] - node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 192:77] - node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 192:73] - node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 192:98] - node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 192:77] - node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 192:73] - node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 192:98] - node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 192:77] + io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 194:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 195:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 195:98] + node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 195:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 195:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 195:98] + node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 195:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 195:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 195:98] + node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 195:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 195:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 195:98] + node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 195:77] node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] - io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 192:25] - node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 194:95] - node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 194:114] - node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 194:95] - node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 194:114] - node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 194:95] - node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 194:114] - node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 194:110] - node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 194:95] - node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 194:132] - node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 194:114] + io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 195:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 197:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] + node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 197:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 197:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] + node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 197:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 197:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] + node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 197:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 197:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 197:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 197:132] + node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 197:114] node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] - node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 194:95] - node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 194:114] - node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 194:95] - node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 194:114] - node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 194:95] - node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 194:114] - node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 194:110] - node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 194:95] - node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 194:132] - node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 194:114] + node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 197:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] + node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 197:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 197:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] + node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 197:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 197:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] + node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 197:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 197:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 197:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 197:132] + node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 197:114] node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] - node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 194:95] - node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 194:114] - node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 194:95] - node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 194:114] - node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 194:95] - node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 194:114] - node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 194:110] - node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 194:95] - node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 194:132] - node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 194:114] + node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 197:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] + node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 197:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 197:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] + node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 197:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 197:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] + node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 197:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 197:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 197:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 197:132] + node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 197:114] node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] - node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 194:95] - node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 194:114] - node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 194:95] - node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 194:114] - node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 194:95] - node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 194:114] - node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 194:110] - node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 194:95] - node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 194:132] - node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 194:114] + node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 197:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] + node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 197:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 197:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] + node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 197:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 197:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] + node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 197:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 197:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 197:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 197:132] + node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 197:114] node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] - node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] - node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 195:95] - node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] - node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 195:114] - node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] - node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 195:95] - node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] - node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 195:114] - node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] - node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 195:95] - node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] - node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 195:114] - node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 195:110] - node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 195:95] - node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 195:132] - node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 195:114] + node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 198:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] + node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 198:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 198:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] + node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 198:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 198:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] + node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 198:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 198:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 198:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 198:132] + node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 198:114] node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] - node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] - node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 195:95] - node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] - node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 195:114] - node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] - node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 195:95] - node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] - node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 195:114] - node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] - node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 195:95] - node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] - node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 195:114] - node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 195:110] - node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 195:95] - node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 195:132] - node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 195:114] + node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 198:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] + node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 198:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 198:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] + node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 198:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 198:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] + node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 198:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 198:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 198:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 198:132] + node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 198:114] node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] - node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] - node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 195:95] - node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] - node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 195:114] - node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] - node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 195:95] - node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] - node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 195:114] - node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] - node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 195:95] - node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] - node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 195:114] - node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 195:110] - node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 195:95] - node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 195:132] - node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 195:114] + node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 198:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] + node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 198:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 198:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] + node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 198:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 198:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] + node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 198:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 198:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 198:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 198:132] + node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 198:114] node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] - node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] - node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 195:95] - node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] - node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 195:114] - node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] - node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 195:95] - node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] - node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 195:114] - node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] - node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 195:95] - node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] - node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 195:114] - node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 195:110] - node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 195:95] - node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 195:132] - node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 195:114] + node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 198:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] + node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 198:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 198:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] + node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 198:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 198:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] + node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 198:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 198:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 198:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 198:132] + node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 198:114] node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] - wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 197:29] - buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] - buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] - buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] - buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 198:19] - node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 199:144] - node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 199:97] - node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 199:148] - node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 199:144] - node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 199:97] - node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 199:148] - node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 199:144] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 199:97] - node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 199:148] - node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 199:144] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 199:97] - node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 199:170] - node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 199:148] + wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 200:29] + buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] + buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] + buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] + buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 201:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 202:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 202:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 202:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 202:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 202:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 202:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 202:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 202:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 202:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 202:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 202:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 202:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 202:148] node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] - node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 199:144] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 199:97] - node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 199:148] - node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 199:144] - node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 199:97] - node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 199:148] - node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 199:144] - node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 199:97] - node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 199:148] - node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 199:144] - node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 199:97] - node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 199:170] - node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 199:148] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 202:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 202:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 202:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 202:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 202:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 202:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 202:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 202:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 202:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 202:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 202:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 202:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 202:148] node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] - node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 199:144] - node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 199:97] - node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 199:148] - node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 199:144] - node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 199:97] - node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 199:148] - node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 199:144] - node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 199:97] - node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 199:148] - node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 199:144] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 199:97] - node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 199:170] - node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 199:148] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 202:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 202:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 202:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 202:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 202:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 202:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 202:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 202:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 202:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 202:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 202:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 202:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 202:148] node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] - node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 199:93] - node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 199:144] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 199:97] - node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 199:148] - node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 199:93] - node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 199:144] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 199:97] - node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 199:148] - node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 199:93] - node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 199:144] - node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 199:97] - node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 199:148] - node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 199:93] - node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 199:122] - node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 199:144] - node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:99] - node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 199:97] - node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 199:170] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 199:150] - node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 199:148] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 202:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 202:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 202:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 202:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 202:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 202:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 202:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 202:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 202:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 202:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 202:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 202:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 202:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 202:122] + node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 202:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:99] + node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 202:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 202:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 202:150] + node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 202:148] node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] - ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 199:23] - ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 199:23] - ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 199:23] - ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 199:23] - node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] - node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 200:144] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 200:97] - node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] - node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 200:148] - node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] - node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 200:144] - node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 200:97] - node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] - node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 200:148] - node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] - node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 200:144] - node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 200:97] - node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 200:148] - node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] - node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 200:144] - node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 200:97] - node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 200:170] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 200:148] + ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 202:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 202:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 202:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 202:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 203:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 203:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 203:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 203:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 203:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 203:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 203:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 203:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 203:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 203:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 203:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 203:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 203:148] node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] - node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] - node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 200:144] - node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 200:97] - node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] - node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 200:148] - node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] - node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 200:144] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 200:97] - node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 200:148] - node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] - node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 200:144] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 200:97] - node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] - node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 200:148] - node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] - node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 200:144] - node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 200:97] - node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 200:170] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 200:148] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 203:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 203:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 203:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 203:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 203:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 203:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 203:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 203:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 203:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 203:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 203:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 203:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 203:148] node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] - node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] - node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 200:144] - node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 200:97] - node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 200:148] - node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] - node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 200:144] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 200:97] - node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] - node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 200:148] - node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] - node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 200:144] - node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 200:97] - node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] - node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 200:148] - node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] - node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 200:144] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 200:97] - node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 200:170] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 200:148] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 203:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 203:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 203:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 203:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 203:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 203:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 203:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 203:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 203:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 203:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 203:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 203:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 203:148] node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] - node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 200:93] - node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 200:144] - node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 200:97] - node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 200:148] - node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 200:93] - node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 200:144] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 200:97] - node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 200:148] - node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 200:93] - node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 200:144] - node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 200:97] - node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 200:148] - node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 200:93] - node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 200:122] - node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 200:144] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:99] - node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 200:97] - node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 200:170] - node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 200:150] - node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 200:148] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 203:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 203:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 203:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 203:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 203:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 203:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 203:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 203:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 203:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 203:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 203:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 203:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 203:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 203:122] + node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 203:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:99] + node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 203:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 203:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 203:150] + node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 203:148] node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] - ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 200:23] - ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 200:23] - ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 200:23] - ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 200:23] + ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 203:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 203:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 203:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 203:23] wire ibuf_addr : UInt<32> ibuf_addr <= UInt<1>("h00") wire ibuf_write : UInt<1> ibuf_write <= UInt<1>("h00") wire ibuf_valid : UInt<1> ibuf_valid <= UInt<1>("h00") - node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 205:43] - node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 205:64] - node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 205:51] - node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 205:73] - node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 205:86] - node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 205:99] - node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 206:43] - node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 206:64] - node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 206:51] - node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 206:73] - node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 206:86] - node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 206:99] + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 208:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 208:64] + node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 208:51] + node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 208:73] + node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 208:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 208:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 209:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 209:64] + node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 209:51] + node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 209:73] + node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 209:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 209:99] wire ibuf_byteen : UInt<4> ibuf_byteen <= UInt<1>("h00") - node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 210:61] - node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 210:48] - node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 210:83] - node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 210:25] - node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 211:61] - node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 211:48] - node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 211:83] - node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 211:65] - ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 211:25] - node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 210:61] - node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 210:48] - node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 210:83] - node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 210:25] - node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 211:61] - node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 211:48] - node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 211:83] - node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 211:65] - ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 211:25] - node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 210:61] - node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 210:48] - node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 210:83] - node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 210:25] - node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 211:61] - node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 211:48] - node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 211:83] - node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 211:65] - ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 211:25] - node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 210:61] - node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 210:48] - node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 210:83] - node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 210:65] - ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 210:25] - node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 211:61] - node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 211:48] - node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 211:83] - node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 211:65] - ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 211:25] - wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 213:22] - buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] - buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] - buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] - buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 214:12] + node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 213:61] + node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 213:48] + node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 213:83] + node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 213:65] + ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 213:25] + node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 214:61] + node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 214:48] + node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 214:83] + node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 214:65] + ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 214:25] + node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 213:61] + node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 213:48] + node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 213:83] + node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 213:65] + ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 213:25] + node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 214:61] + node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 214:48] + node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 214:83] + node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 214:65] + ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 214:25] + node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 213:61] + node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 213:48] + node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 213:83] + node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 213:65] + ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 213:25] + node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 214:61] + node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 214:48] + node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 214:83] + node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 214:65] + ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 214:25] + node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 213:61] + node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 213:48] + node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 213:83] + node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 213:65] + ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 213:25] + node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 214:61] + node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 214:48] + node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 214:83] + node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 214:65] + ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 214:25] + wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 216:22] + buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] + buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] + buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] + buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 217:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 217:86] + node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 220:86] node _T_553 = bits(_T_552, 0, 0) @[Bitwise.scala 72:15] node _T_554 = mux(_T_553, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] - node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 217:91] - node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 217:86] + node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] + node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 220:91] + node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 220:86] node _T_558 = bits(_T_557, 0, 0) @[Bitwise.scala 72:15] node _T_559 = mux(_T_558, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] - node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 217:91] - node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 217:86] + node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] + node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 220:91] + node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 220:86] node _T_563 = bits(_T_562, 0, 0) @[Bitwise.scala 72:15] node _T_564 = mux(_T_563, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] - node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 217:91] - node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 217:86] + node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] + node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 220:91] + node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 220:86] node _T_568 = bits(_T_567, 0, 0) @[Bitwise.scala 72:15] node _T_569 = mux(_T_568, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 217:104] - node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 217:91] - node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 217:123] - node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 217:123] - node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 217:123] - node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 218:60] + node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 220:104] + node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 220:91] + node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 220:123] + node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 220:123] + node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 220:123] + node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 221:60] node _T_576 = bits(_T_575, 0, 0) @[Bitwise.scala 72:15] node _T_577 = mux(_T_576, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] - node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 218:65] - node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 218:60] + node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] + node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 221:65] + node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 221:60] node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] node _T_582 = mux(_T_581, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] - node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 218:65] - node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 218:60] + node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] + node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 221:65] + node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 221:60] node _T_586 = bits(_T_585, 0, 0) @[Bitwise.scala 72:15] node _T_587 = mux(_T_586, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] - node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 218:65] - node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 218:60] + node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] + node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 221:65] + node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 221:60] node _T_591 = bits(_T_590, 0, 0) @[Bitwise.scala 72:15] node _T_592 = mux(_T_591, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 218:78] - node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 218:65] - node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 218:97] - node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 218:97] - node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 218:97] - node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 219:60] + node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 221:78] + node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 221:65] + node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 221:97] + node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 221:97] + node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 221:97] + node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 222:60] node _T_599 = bits(_T_598, 0, 0) @[Bitwise.scala 72:15] node _T_600 = mux(_T_599, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] - node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 219:65] - node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 219:60] + node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] + node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 222:65] + node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 222:60] node _T_604 = bits(_T_603, 0, 0) @[Bitwise.scala 72:15] node _T_605 = mux(_T_604, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] - node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 219:65] - node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 219:60] + node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] + node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 222:65] + node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 222:60] node _T_609 = bits(_T_608, 0, 0) @[Bitwise.scala 72:15] node _T_610 = mux(_T_609, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] - node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 219:65] - node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 219:60] + node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] + node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 222:65] + node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 222:60] node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 219:78] - node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 219:65] - node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 219:96] - node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 219:96] - node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 219:96] - node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 220:60] + node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 222:78] + node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 222:65] + node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 222:96] + node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 222:96] + node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 222:96] + node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] node _T_622 = bits(_T_621, 0, 0) @[Bitwise.scala 72:15] node _T_623 = mux(_T_622, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] - node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 220:65] - node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 220:60] + node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] + node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 223:65] + node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] node _T_627 = bits(_T_626, 0, 0) @[Bitwise.scala 72:15] node _T_628 = mux(_T_627, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] - node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 220:65] - node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 220:60] + node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] + node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 223:65] + node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] - node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 220:65] - node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 220:60] + node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] + node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 223:65] + node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] node _T_637 = bits(_T_636, 0, 0) @[Bitwise.scala 72:15] node _T_638 = mux(_T_637, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 220:78] - node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 220:65] - node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 220:95] - node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 220:95] - node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 220:95] + node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 223:78] + node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 223:65] + node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 223:95] + node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 223:95] + node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 223:95] node _T_644 = cat(_T_620, _T_643) @[Cat.scala 29:58] node _T_645 = cat(_T_574, _T_597) @[Cat.scala 29:58] node _T_646 = cat(_T_645, _T_644) @[Cat.scala 29:58] - io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 217:24] - node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 222:86] + io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 220:24] + node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 225:86] node _T_648 = bits(_T_647, 0, 0) @[Bitwise.scala 72:15] node _T_649 = mux(_T_648, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 222:91] - node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 222:86] + node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 225:91] + node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 225:86] node _T_653 = bits(_T_652, 0, 0) @[Bitwise.scala 72:15] node _T_654 = mux(_T_653, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 222:91] - node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 222:86] + node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 225:91] + node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 225:86] node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] node _T_659 = mux(_T_658, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 222:91] - node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 222:86] + node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 225:91] + node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 225:86] node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] node _T_664 = mux(_T_663, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 222:91] - node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 222:123] - node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 222:123] - node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 222:123] - node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] + node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 225:104] + node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 225:91] + node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 225:123] + node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 225:123] + node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 225:123] + node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 226:60] node _T_671 = bits(_T_670, 0, 0) @[Bitwise.scala 72:15] node _T_672 = mux(_T_671, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 223:65] - node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] + node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 226:65] + node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 226:60] node _T_676 = bits(_T_675, 0, 0) @[Bitwise.scala 72:15] node _T_677 = mux(_T_676, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 223:65] - node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] + node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 226:65] + node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 226:60] node _T_681 = bits(_T_680, 0, 0) @[Bitwise.scala 72:15] node _T_682 = mux(_T_681, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 223:65] - node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] + node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 226:65] + node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 226:60] node _T_686 = bits(_T_685, 0, 0) @[Bitwise.scala 72:15] node _T_687 = mux(_T_686, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 223:65] - node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 223:97] - node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 223:97] - node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 223:97] - node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 224:60] + node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 226:78] + node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 226:65] + node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 226:97] + node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 226:97] + node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 226:97] + node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 227:60] node _T_694 = bits(_T_693, 0, 0) @[Bitwise.scala 72:15] node _T_695 = mux(_T_694, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 224:65] - node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 224:60] + node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 227:65] + node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 227:60] node _T_699 = bits(_T_698, 0, 0) @[Bitwise.scala 72:15] node _T_700 = mux(_T_699, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 224:65] - node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 224:60] + node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 227:65] + node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 227:60] node _T_704 = bits(_T_703, 0, 0) @[Bitwise.scala 72:15] node _T_705 = mux(_T_704, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 224:65] - node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 224:60] + node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 227:65] + node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 227:60] node _T_709 = bits(_T_708, 0, 0) @[Bitwise.scala 72:15] node _T_710 = mux(_T_709, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 224:65] - node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 224:96] - node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 224:96] - node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 224:96] - node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 225:60] + node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 227:78] + node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 227:65] + node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 227:96] + node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 227:96] + node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 227:96] + node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 228:60] node _T_717 = bits(_T_716, 0, 0) @[Bitwise.scala 72:15] node _T_718 = mux(_T_717, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 225:65] - node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 225:60] + node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 228:65] + node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 228:60] node _T_722 = bits(_T_721, 0, 0) @[Bitwise.scala 72:15] node _T_723 = mux(_T_722, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 225:65] - node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 225:60] + node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 228:65] + node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 228:60] node _T_727 = bits(_T_726, 0, 0) @[Bitwise.scala 72:15] node _T_728 = mux(_T_727, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 225:65] - node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 225:60] + node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 228:65] + node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 228:60] node _T_732 = bits(_T_731, 0, 0) @[Bitwise.scala 72:15] node _T_733 = mux(_T_732, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 225:65] - node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 225:95] - node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 225:95] - node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 225:95] + node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 228:78] + node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 228:65] + node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 228:95] + node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 228:95] + node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 228:95] node _T_739 = cat(_T_715, _T_738) @[Cat.scala 29:58] node _T_740 = cat(_T_669, _T_692) @[Cat.scala 29:58] node _T_741 = cat(_T_740, _T_739) @[Cat.scala 29:58] - io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 222:24] - node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 227:65] + io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 225:24] + node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 230:65] node _T_742 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_743 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_744 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1238,23 +1238,23 @@ circuit el2_lsu_bus_buffer : wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_746 @[Mux.scala 27:72] node _T_747 = cat(UInt<4>("h00"), ldst_byteen_r) @[Cat.scala 29:58] - node _T_748 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 231:61] - node byteen = dshl(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 231:45] - node ldst_byteen_hi_r = bits(byteen, 7, 4) @[el2_lsu_bus_buffer.scala 232:32] - node ldst_byteen_lo_r = bits(byteen, 3, 0) @[el2_lsu_bus_buffer.scala 233:32] + node _T_748 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 234:61] + node byteen = dshl(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 234:45] + node ldst_byteen_hi_r = bits(byteen, 7, 4) @[el2_lsu_bus_buffer.scala 235:32] + node ldst_byteen_lo_r = bits(byteen, 3, 0) @[el2_lsu_bus_buffer.scala 236:32] node _T_749 = cat(UInt<32>("h00"), io.store_data_r) @[Cat.scala 29:58] - node _T_750 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 234:73] - node _T_751 = mul(UInt<4>("h08"), _T_750) @[el2_lsu_bus_buffer.scala 234:58] - node store_data = dshl(_T_749, _T_751) @[el2_lsu_bus_buffer.scala 234:52] - node store_data_hi_r = bits(store_data, 63, 32) @[el2_lsu_bus_buffer.scala 235:35] - node store_data_lo_r = bits(store_data, 31, 0) @[el2_lsu_bus_buffer.scala 236:35] - node _T_752 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 237:36] - node _T_753 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 237:57] - node ldst_samedw_r = eq(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 237:40] - node _T_754 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 238:67] - node _T_755 = eq(_T_754, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 238:74] - node _T_756 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 239:40] - node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 239:26] + node _T_750 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:73] + node _T_751 = mul(UInt<4>("h08"), _T_750) @[el2_lsu_bus_buffer.scala 237:58] + node store_data = dshl(_T_749, _T_751) @[el2_lsu_bus_buffer.scala 237:52] + node store_data_hi_r = bits(store_data, 63, 32) @[el2_lsu_bus_buffer.scala 238:35] + node store_data_lo_r = bits(store_data, 31, 0) @[el2_lsu_bus_buffer.scala 239:35] + node _T_752 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 240:36] + node _T_753 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 240:57] + node ldst_samedw_r = eq(_T_752, _T_753) @[el2_lsu_bus_buffer.scala 240:40] + node _T_754 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:67] + node _T_755 = eq(_T_754, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:74] + node _T_756 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 242:40] + node _T_757 = eq(_T_756, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 242:26] node _T_758 = mux(io.lsu_pkt_r.word, _T_755, UInt<1>("h00")) @[Mux.scala 27:72] node _T_759 = mux(io.lsu_pkt_r.half, _T_757, UInt<1>("h00")) @[Mux.scala 27:72] node _T_760 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1262,26 +1262,26 @@ circuit el2_lsu_bus_buffer : node _T_762 = or(_T_761, _T_760) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_762 @[Mux.scala 27:72] - node _T_763 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 241:55] - node _T_764 = and(io.lsu_busreq_r, _T_763) @[el2_lsu_bus_buffer.scala 241:34] - node _T_765 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:79] - node ibuf_byp = and(_T_764, _T_765) @[el2_lsu_bus_buffer.scala 241:77] - node _T_766 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 242:36] - node _T_767 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 242:56] - node ibuf_wr_en = and(_T_766, _T_767) @[el2_lsu_bus_buffer.scala 242:54] + node _T_763 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 244:55] + node _T_764 = and(io.lsu_busreq_r, _T_763) @[el2_lsu_bus_buffer.scala 244:34] + node _T_765 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:79] + node ibuf_byp = and(_T_764, _T_765) @[el2_lsu_bus_buffer.scala 244:77] + node _T_766 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 245:36] + node _T_767 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 245:56] + node ibuf_wr_en = and(_T_766, _T_767) @[el2_lsu_bus_buffer.scala 245:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_768 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 244:36] - node _T_769 = and(ibuf_drain_vld, _T_768) @[el2_lsu_bus_buffer.scala 244:34] - node ibuf_rst = or(_T_769, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 244:49] - node _T_770 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 245:44] - node _T_771 = and(io.lsu_busreq_m, _T_770) @[el2_lsu_bus_buffer.scala 245:42] - node _T_772 = and(_T_771, ibuf_valid) @[el2_lsu_bus_buffer.scala 245:61] - node _T_773 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 245:107] - node _T_774 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 245:132] - node _T_775 = neq(_T_773, _T_774) @[el2_lsu_bus_buffer.scala 245:115] - node _T_776 = or(io.lsu_pkt_m.load, _T_775) @[el2_lsu_bus_buffer.scala 245:95] - node ibuf_force_drain = and(_T_772, _T_776) @[el2_lsu_bus_buffer.scala 245:74] + node _T_768 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 247:36] + node _T_769 = and(ibuf_drain_vld, _T_768) @[el2_lsu_bus_buffer.scala 247:34] + node ibuf_rst = or(_T_769, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 247:49] + node _T_770 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 248:44] + node _T_771 = and(io.lsu_busreq_m, _T_770) @[el2_lsu_bus_buffer.scala 248:42] + node _T_772 = and(_T_771, ibuf_valid) @[el2_lsu_bus_buffer.scala 248:61] + node _T_773 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 248:107] + node _T_774 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 248:132] + node _T_775 = neq(_T_773, _T_774) @[el2_lsu_bus_buffer.scala 248:115] + node _T_776 = or(io.lsu_pkt_m.load, _T_775) @[el2_lsu_bus_buffer.scala 248:95] + node ibuf_force_drain = and(_T_772, _T_776) @[el2_lsu_bus_buffer.scala 248:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1290,211 +1290,212 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_777 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 250:62] - node _T_778 = or(ibuf_wr_en, _T_777) @[el2_lsu_bus_buffer.scala 250:48] - node _T_779 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 250:98] - node _T_780 = eq(_T_779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 250:82] - node _T_781 = and(_T_778, _T_780) @[el2_lsu_bus_buffer.scala 250:80] - node _T_782 = or(_T_781, ibuf_byp) @[el2_lsu_bus_buffer.scala 251:5] - node _T_783 = or(_T_782, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 251:16] - node _T_784 = or(_T_783, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 251:35] - node _T_785 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 251:55] - node _T_786 = or(_T_784, _T_785) @[el2_lsu_bus_buffer.scala 251:53] - node _T_787 = or(_T_786, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 251:67] - node _T_788 = and(ibuf_valid, _T_787) @[el2_lsu_bus_buffer.scala 250:32] - ibuf_drain_vld <= _T_788 @[el2_lsu_bus_buffer.scala 250:18] + node _T_777 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 253:62] + node _T_778 = or(ibuf_wr_en, _T_777) @[el2_lsu_bus_buffer.scala 253:48] + node _T_779 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 253:98] + node _T_780 = eq(_T_779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 253:82] + node _T_781 = and(_T_778, _T_780) @[el2_lsu_bus_buffer.scala 253:80] + node _T_782 = or(_T_781, ibuf_byp) @[el2_lsu_bus_buffer.scala 254:5] + node _T_783 = or(_T_782, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 254:16] + node _T_784 = or(_T_783, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 254:35] + node _T_785 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 254:55] + node _T_786 = or(_T_784, _T_785) @[el2_lsu_bus_buffer.scala 254:53] + node _T_787 = or(_T_786, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 254:67] + node _T_788 = and(ibuf_valid, _T_787) @[el2_lsu_bus_buffer.scala 253:32] + ibuf_drain_vld <= _T_788 @[el2_lsu_bus_buffer.scala 253:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - node _T_789 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 256:39] - node _T_790 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 256:69] - node ibuf_tag_in = mux(_T_789, ibuf_tag, _T_790) @[el2_lsu_bus_buffer.scala 256:24] + io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 258:15] + node _T_789 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 259:39] + node _T_790 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 259:69] + node ibuf_tag_in = mux(_T_789, ibuf_tag, _T_790) @[el2_lsu_bus_buffer.scala 259:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 259:25] - node _T_791 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 260:42] - node _T_792 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 260:70] - node _T_793 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 260:95] - node _T_794 = or(_T_792, _T_793) @[el2_lsu_bus_buffer.scala 260:77] - node _T_795 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 261:41] - node _T_796 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 261:65] - node _T_797 = mux(io.ldst_dual_r, _T_795, _T_796) @[el2_lsu_bus_buffer.scala 261:8] - node ibuf_byteen_in = mux(_T_791, _T_794, _T_797) @[el2_lsu_bus_buffer.scala 260:27] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 262:25] + node _T_791 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 263:42] + node _T_792 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 263:70] + node _T_793 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 263:95] + node _T_794 = or(_T_792, _T_793) @[el2_lsu_bus_buffer.scala 263:77] + node _T_795 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 264:41] + node _T_796 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 264:65] + node _T_797 = mux(io.ldst_dual_r, _T_795, _T_796) @[el2_lsu_bus_buffer.scala 264:8] + node ibuf_byteen_in = mux(_T_791, _T_794, _T_797) @[el2_lsu_bus_buffer.scala 263:27] wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_798 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] - node _T_799 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 265:25] - node _T_800 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 265:45] - node _T_801 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 265:76] - node _T_802 = mux(_T_799, _T_800, _T_801) @[el2_lsu_bus_buffer.scala 265:8] - node _T_803 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 265:108] - node _T_804 = mux(_T_798, _T_802, _T_803) @[el2_lsu_bus_buffer.scala 264:46] - node _T_805 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] - node _T_806 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 265:25] - node _T_807 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 265:45] - node _T_808 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 265:76] - node _T_809 = mux(_T_806, _T_807, _T_808) @[el2_lsu_bus_buffer.scala 265:8] - node _T_810 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 265:108] - node _T_811 = mux(_T_805, _T_809, _T_810) @[el2_lsu_bus_buffer.scala 264:46] - node _T_812 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] - node _T_813 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 265:25] - node _T_814 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 265:45] - node _T_815 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 265:76] - node _T_816 = mux(_T_813, _T_814, _T_815) @[el2_lsu_bus_buffer.scala 265:8] - node _T_817 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 265:108] - node _T_818 = mux(_T_812, _T_816, _T_817) @[el2_lsu_bus_buffer.scala 264:46] - node _T_819 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:61] - node _T_820 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 265:25] - node _T_821 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 265:45] - node _T_822 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 265:76] - node _T_823 = mux(_T_820, _T_821, _T_822) @[el2_lsu_bus_buffer.scala 265:8] - node _T_824 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 265:108] - node _T_825 = mux(_T_819, _T_823, _T_824) @[el2_lsu_bus_buffer.scala 264:46] + node _T_798 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] + node _T_799 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 268:25] + node _T_800 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 268:45] + node _T_801 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 268:76] + node _T_802 = mux(_T_799, _T_800, _T_801) @[el2_lsu_bus_buffer.scala 268:8] + node _T_803 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 268:108] + node _T_804 = mux(_T_798, _T_802, _T_803) @[el2_lsu_bus_buffer.scala 267:46] + node _T_805 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] + node _T_806 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 268:25] + node _T_807 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 268:45] + node _T_808 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 268:76] + node _T_809 = mux(_T_806, _T_807, _T_808) @[el2_lsu_bus_buffer.scala 268:8] + node _T_810 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 268:108] + node _T_811 = mux(_T_805, _T_809, _T_810) @[el2_lsu_bus_buffer.scala 267:46] + node _T_812 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] + node _T_813 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 268:25] + node _T_814 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 268:45] + node _T_815 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 268:76] + node _T_816 = mux(_T_813, _T_814, _T_815) @[el2_lsu_bus_buffer.scala 268:8] + node _T_817 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 268:108] + node _T_818 = mux(_T_812, _T_816, _T_817) @[el2_lsu_bus_buffer.scala 267:46] + node _T_819 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 267:61] + node _T_820 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 268:25] + node _T_821 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 268:45] + node _T_822 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 268:76] + node _T_823 = mux(_T_820, _T_821, _T_822) @[el2_lsu_bus_buffer.scala 268:8] + node _T_824 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 268:108] + node _T_825 = mux(_T_819, _T_823, _T_824) @[el2_lsu_bus_buffer.scala 267:46] node _T_826 = cat(_T_825, _T_818) @[Cat.scala 29:58] node _T_827 = cat(_T_826, _T_811) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_827, _T_804) @[Cat.scala 29:58] - node _T_828 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 266:59] - node _T_829 = bits(_T_828, 0, 0) @[el2_lsu_bus_buffer.scala 266:79] - node _T_830 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 266:93] - node _T_831 = tail(_T_830, 1) @[el2_lsu_bus_buffer.scala 266:93] - node _T_832 = mux(_T_829, _T_831, ibuf_timer) @[el2_lsu_bus_buffer.scala 266:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_832) @[el2_lsu_bus_buffer.scala 266:26] - node _T_833 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 268:36] - node _T_834 = and(_T_833, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 268:54] - node _T_835 = and(_T_834, ibuf_valid) @[el2_lsu_bus_buffer.scala 268:75] - node _T_836 = and(_T_835, ibuf_write) @[el2_lsu_bus_buffer.scala 268:88] - node _T_837 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 268:117] - node _T_838 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 268:137] - node _T_839 = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 268:124] - node _T_840 = and(_T_836, _T_839) @[el2_lsu_bus_buffer.scala 268:101] - node _T_841 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:147] - node _T_842 = and(_T_840, _T_841) @[el2_lsu_bus_buffer.scala 268:145] - node _T_843 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 268:170] - node _T_844 = and(_T_842, _T_843) @[el2_lsu_bus_buffer.scala 268:168] - ibuf_merge_en <= _T_844 @[el2_lsu_bus_buffer.scala 268:17] - node _T_845 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 269:20] - ibuf_merge_in <= _T_845 @[el2_lsu_bus_buffer.scala 269:17] - node _T_846 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] - node _T_847 = and(ibuf_merge_en, _T_846) @[el2_lsu_bus_buffer.scala 270:63] - node _T_848 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 270:92] - node _T_849 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 270:114] - node _T_850 = or(_T_848, _T_849) @[el2_lsu_bus_buffer.scala 270:96] - node _T_851 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 270:130] - node _T_852 = mux(_T_847, _T_850, _T_851) @[el2_lsu_bus_buffer.scala 270:48] - node _T_853 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] - node _T_854 = and(ibuf_merge_en, _T_853) @[el2_lsu_bus_buffer.scala 270:63] - node _T_855 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 270:92] - node _T_856 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 270:114] - node _T_857 = or(_T_855, _T_856) @[el2_lsu_bus_buffer.scala 270:96] - node _T_858 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 270:130] - node _T_859 = mux(_T_854, _T_857, _T_858) @[el2_lsu_bus_buffer.scala 270:48] - node _T_860 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] - node _T_861 = and(ibuf_merge_en, _T_860) @[el2_lsu_bus_buffer.scala 270:63] - node _T_862 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 270:92] - node _T_863 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 270:114] - node _T_864 = or(_T_862, _T_863) @[el2_lsu_bus_buffer.scala 270:96] - node _T_865 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 270:130] - node _T_866 = mux(_T_861, _T_864, _T_865) @[el2_lsu_bus_buffer.scala 270:48] - node _T_867 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 270:65] - node _T_868 = and(ibuf_merge_en, _T_867) @[el2_lsu_bus_buffer.scala 270:63] - node _T_869 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 270:92] - node _T_870 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 270:114] - node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 270:96] - node _T_872 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 270:130] - node _T_873 = mux(_T_868, _T_871, _T_872) @[el2_lsu_bus_buffer.scala 270:48] + node _T_828 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 269:59] + node _T_829 = bits(_T_828, 0, 0) @[el2_lsu_bus_buffer.scala 269:79] + node _T_830 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 269:93] + node _T_831 = tail(_T_830, 1) @[el2_lsu_bus_buffer.scala 269:93] + node _T_832 = mux(_T_829, _T_831, ibuf_timer) @[el2_lsu_bus_buffer.scala 269:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_832) @[el2_lsu_bus_buffer.scala 269:26] + node _T_833 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 271:36] + node _T_834 = and(_T_833, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 271:54] + node _T_835 = and(_T_834, ibuf_valid) @[el2_lsu_bus_buffer.scala 271:75] + node _T_836 = and(_T_835, ibuf_write) @[el2_lsu_bus_buffer.scala 271:88] + node _T_837 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 271:117] + node _T_838 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 271:137] + node _T_839 = eq(_T_837, _T_838) @[el2_lsu_bus_buffer.scala 271:124] + node _T_840 = and(_T_836, _T_839) @[el2_lsu_bus_buffer.scala 271:101] + node _T_841 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:147] + node _T_842 = and(_T_840, _T_841) @[el2_lsu_bus_buffer.scala 271:145] + node _T_843 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:170] + node _T_844 = and(_T_842, _T_843) @[el2_lsu_bus_buffer.scala 271:168] + ibuf_merge_en <= _T_844 @[el2_lsu_bus_buffer.scala 271:17] + node _T_845 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 272:20] + ibuf_merge_in <= _T_845 @[el2_lsu_bus_buffer.scala 272:17] + node _T_846 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] + node _T_847 = and(ibuf_merge_en, _T_846) @[el2_lsu_bus_buffer.scala 273:63] + node _T_848 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 273:92] + node _T_849 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 273:114] + node _T_850 = or(_T_848, _T_849) @[el2_lsu_bus_buffer.scala 273:96] + node _T_851 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 273:130] + node _T_852 = mux(_T_847, _T_850, _T_851) @[el2_lsu_bus_buffer.scala 273:48] + node _T_853 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] + node _T_854 = and(ibuf_merge_en, _T_853) @[el2_lsu_bus_buffer.scala 273:63] + node _T_855 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 273:92] + node _T_856 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 273:114] + node _T_857 = or(_T_855, _T_856) @[el2_lsu_bus_buffer.scala 273:96] + node _T_858 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 273:130] + node _T_859 = mux(_T_854, _T_857, _T_858) @[el2_lsu_bus_buffer.scala 273:48] + node _T_860 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] + node _T_861 = and(ibuf_merge_en, _T_860) @[el2_lsu_bus_buffer.scala 273:63] + node _T_862 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 273:92] + node _T_863 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 273:114] + node _T_864 = or(_T_862, _T_863) @[el2_lsu_bus_buffer.scala 273:96] + node _T_865 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 273:130] + node _T_866 = mux(_T_861, _T_864, _T_865) @[el2_lsu_bus_buffer.scala 273:48] + node _T_867 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:65] + node _T_868 = and(ibuf_merge_en, _T_867) @[el2_lsu_bus_buffer.scala 273:63] + node _T_869 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 273:92] + node _T_870 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 273:114] + node _T_871 = or(_T_869, _T_870) @[el2_lsu_bus_buffer.scala 273:96] + node _T_872 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 273:130] + node _T_873 = mux(_T_868, _T_871, _T_872) @[el2_lsu_bus_buffer.scala 273:48] node _T_874 = cat(_T_873, _T_866) @[Cat.scala 29:58] node _T_875 = cat(_T_874, _T_859) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_875, _T_852) @[Cat.scala 29:58] - node _T_876 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] - node _T_877 = and(ibuf_merge_en, _T_876) @[el2_lsu_bus_buffer.scala 271:60] - node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 271:98] - node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 271:118] - node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 271:143] - node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 271:81] - node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 271:169] - node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 271:45] - node _T_884 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] - node _T_885 = and(ibuf_merge_en, _T_884) @[el2_lsu_bus_buffer.scala 271:60] - node _T_886 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 271:98] - node _T_887 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 271:118] - node _T_888 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 271:143] - node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 271:81] - node _T_890 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 271:169] - node _T_891 = mux(_T_885, _T_889, _T_890) @[el2_lsu_bus_buffer.scala 271:45] - node _T_892 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] - node _T_893 = and(ibuf_merge_en, _T_892) @[el2_lsu_bus_buffer.scala 271:60] - node _T_894 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 271:98] - node _T_895 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 271:118] - node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 271:143] - node _T_897 = mux(_T_894, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 271:81] - node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 271:169] - node _T_899 = mux(_T_893, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 271:45] - node _T_900 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:62] - node _T_901 = and(ibuf_merge_en, _T_900) @[el2_lsu_bus_buffer.scala 271:60] - node _T_902 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 271:98] - node _T_903 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 271:118] - node _T_904 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 271:143] - node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 271:81] - node _T_906 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 271:169] - node _T_907 = mux(_T_901, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 271:45] + node _T_876 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] + node _T_877 = and(ibuf_merge_en, _T_876) @[el2_lsu_bus_buffer.scala 274:60] + node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 274:98] + node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 274:118] + node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 274:143] + node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 274:81] + node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 274:169] + node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 274:45] + node _T_884 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] + node _T_885 = and(ibuf_merge_en, _T_884) @[el2_lsu_bus_buffer.scala 274:60] + node _T_886 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 274:98] + node _T_887 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 274:118] + node _T_888 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 274:143] + node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 274:81] + node _T_890 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 274:169] + node _T_891 = mux(_T_885, _T_889, _T_890) @[el2_lsu_bus_buffer.scala 274:45] + node _T_892 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] + node _T_893 = and(ibuf_merge_en, _T_892) @[el2_lsu_bus_buffer.scala 274:60] + node _T_894 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 274:98] + node _T_895 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 274:118] + node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 274:143] + node _T_897 = mux(_T_894, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 274:81] + node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 274:169] + node _T_899 = mux(_T_893, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 274:45] + node _T_900 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 274:62] + node _T_901 = and(ibuf_merge_en, _T_900) @[el2_lsu_bus_buffer.scala 274:60] + node _T_902 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 274:98] + node _T_903 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 274:118] + node _T_904 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 274:143] + node _T_905 = mux(_T_902, _T_903, _T_904) @[el2_lsu_bus_buffer.scala 274:81] + node _T_906 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 274:169] + node _T_907 = mux(_T_901, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 274:45] node _T_908 = cat(_T_907, _T_899) @[Cat.scala 29:58] node _T_909 = cat(_T_908, _T_891) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_909, _T_883) @[Cat.scala 29:58] - node _T_910 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 273:28] - node _T_911 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:63] - node _T_912 = and(_T_910, _T_911) @[el2_lsu_bus_buffer.scala 273:61] - reg _T_913 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 273:24] - _T_913 <= _T_912 @[el2_lsu_bus_buffer.scala 273:24] - ibuf_valid <= _T_913 @[el2_lsu_bus_buffer.scala 273:14] - node _T_914 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 274:120] - node _T_915 = bits(_T_914, 0, 0) @[el2_lsu_bus_buffer.scala 274:120] - node _T_916 = and(ibuf_wr_en, _T_915) @[el2_lsu_bus_buffer.scala 274:89] + node _T_910 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 276:28] + node _T_911 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 276:63] + node _T_912 = and(_T_910, _T_911) @[el2_lsu_bus_buffer.scala 276:61] + reg _T_913 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 276:24] + _T_913 <= _T_912 @[el2_lsu_bus_buffer.scala 276:24] + ibuf_valid <= _T_913 @[el2_lsu_bus_buffer.scala 276:14] + node _T_914 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 277:120] + node _T_915 = bits(_T_914, 0, 0) @[el2_lsu_bus_buffer.scala 277:120] + node _T_916 = and(ibuf_wr_en, _T_915) @[el2_lsu_bus_buffer.scala 277:89] reg _T_917 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_916 : @[Reg.scala 28:19] _T_917 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_917 @[el2_lsu_bus_buffer.scala 274:12] - node _T_918 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 275:131] - node _T_919 = bits(_T_918, 0, 0) @[el2_lsu_bus_buffer.scala 275:131] - node _T_920 = and(ibuf_wr_en, _T_919) @[el2_lsu_bus_buffer.scala 275:100] + ibuf_tag <= _T_917 @[el2_lsu_bus_buffer.scala 277:12] + node _T_918 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 278:131] + node _T_919 = bits(_T_918, 0, 0) @[el2_lsu_bus_buffer.scala 278:131] + node _T_920 = and(ibuf_wr_en, _T_919) @[el2_lsu_bus_buffer.scala 278:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_920 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_921 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 276:127] - node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 276:127] - node _T_923 = and(ibuf_wr_en, _T_922) @[el2_lsu_bus_buffer.scala 276:96] + node _T_921 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 279:127] + node _T_922 = bits(_T_921, 0, 0) @[el2_lsu_bus_buffer.scala 279:127] + node _T_923 = and(ibuf_wr_en, _T_922) @[el2_lsu_bus_buffer.scala 279:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_923 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_924 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 277:128] - node _T_925 = bits(_T_924, 0, 0) @[el2_lsu_bus_buffer.scala 277:128] - node _T_926 = and(ibuf_wr_en, _T_925) @[el2_lsu_bus_buffer.scala 277:97] + node _T_924 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 280:128] + node _T_925 = bits(_T_924, 0, 0) @[el2_lsu_bus_buffer.scala 280:128] + node _T_926 = and(ibuf_wr_en, _T_925) @[el2_lsu_bus_buffer.scala 280:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_926 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_927 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 278:135] - node _T_928 = bits(_T_927, 0, 0) @[el2_lsu_bus_buffer.scala 278:135] - node _T_929 = and(ibuf_wr_en, _T_928) @[el2_lsu_bus_buffer.scala 278:104] + node _T_927 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 281:135] + node _T_928 = bits(_T_927, 0, 0) @[el2_lsu_bus_buffer.scala 281:135] + node _T_929 = and(ibuf_wr_en, _T_928) @[el2_lsu_bus_buffer.scala 281:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_929 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_930 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 279:135] - node _T_931 = bits(_T_930, 0, 0) @[el2_lsu_bus_buffer.scala 279:135] - node _T_932 = and(ibuf_wr_en, _T_931) @[el2_lsu_bus_buffer.scala 279:104] + node _T_930 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 282:135] + node _T_931 = bits(_T_930, 0, 0) @[el2_lsu_bus_buffer.scala 282:135] + node _T_932 = and(ibuf_wr_en, _T_931) @[el2_lsu_bus_buffer.scala 282:104] reg _T_933 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_932 : @[Reg.scala 28:19] _T_933 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_933 @[el2_lsu_bus_buffer.scala 279:19] - node _T_934 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 280:134] - node _T_935 = bits(_T_934, 0, 0) @[el2_lsu_bus_buffer.scala 280:134] - node _T_936 = and(ibuf_wr_en, _T_935) @[el2_lsu_bus_buffer.scala 280:103] + ibuf_sideeffect <= _T_933 @[el2_lsu_bus_buffer.scala 282:19] + node _T_934 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 283:134] + node _T_935 = bits(_T_934, 0, 0) @[el2_lsu_bus_buffer.scala 283:134] + node _T_936 = and(ibuf_wr_en, _T_935) @[el2_lsu_bus_buffer.scala 283:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_936 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] @@ -1503,7 +1504,7 @@ circuit el2_lsu_bus_buffer : when ibuf_wr_en : @[Reg.scala 28:19] _T_937 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_937 @[el2_lsu_bus_buffer.scala 281:14] + ibuf_write <= _T_937 @[el2_lsu_bus_buffer.scala 284:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1516,12 +1517,12 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_938 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_938 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_938 @[el2_lsu_bus_buffer.scala 283:13] + ibuf_addr <= _T_938 @[el2_lsu_bus_buffer.scala 286:13] reg _T_939 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_939 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_939 @[el2_lsu_bus_buffer.scala 284:15] + ibuf_byteen <= _T_939 @[el2_lsu_bus_buffer.scala 287:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -1530,40 +1531,41 @@ circuit el2_lsu_bus_buffer : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_940 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_940 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_940 @[el2_lsu_bus_buffer.scala 285:13] - reg _T_941 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 286:59] - _T_941 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 286:59] - ibuf_timer <= _T_941 @[el2_lsu_bus_buffer.scala 286:14] + ibuf_data <= _T_940 @[el2_lsu_bus_buffer.scala 288:13] + reg _T_941 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 289:59] + _T_941 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 289:59] + ibuf_timer <= _T_941 @[el2_lsu_bus_buffer.scala 289:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 290:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 291:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 293:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 294:15] wire Cmdptr0 : UInt<2> Cmdptr0 <= UInt<1>("h00") + io.Cmdptr0 <= Cmdptr0 @[el2_lsu_bus_buffer.scala 296:14] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_942 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 296:43] - node _T_943 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 296:72] - node _T_944 = and(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 296:51] - node _T_945 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 296:97] - node _T_946 = and(_T_944, _T_945) @[el2_lsu_bus_buffer.scala 296:80] - node _T_947 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:5] - node _T_948 = and(_T_946, _T_947) @[el2_lsu_bus_buffer.scala 296:114] - node _T_949 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:114] - node _T_950 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 297:114] - node _T_951 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 297:114] - node _T_952 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 297:114] + node _T_942 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:43] + node _T_943 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:72] + node _T_944 = and(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 300:51] + node _T_945 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 300:97] + node _T_946 = and(_T_944, _T_945) @[el2_lsu_bus_buffer.scala 300:80] + node _T_947 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:5] + node _T_948 = and(_T_946, _T_947) @[el2_lsu_bus_buffer.scala 300:114] + node _T_949 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:114] + node _T_950 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 301:114] + node _T_951 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 301:114] + node _T_952 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 301:114] node _T_953 = mux(_T_949, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_954 = mux(_T_950, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_955 = mux(_T_951, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1573,16 +1575,16 @@ circuit el2_lsu_bus_buffer : node _T_959 = or(_T_958, _T_956) @[Mux.scala 27:72] wire _T_960 : UInt<1> @[Mux.scala 27:72] _T_960 <= _T_959 @[Mux.scala 27:72] - node _T_961 = eq(_T_960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 297:31] - node _T_962 = and(_T_948, _T_961) @[el2_lsu_bus_buffer.scala 297:29] - node _T_963 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:88] - node _T_964 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 298:111] - node _T_965 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 298:88] - node _T_966 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 298:111] - node _T_967 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 298:88] - node _T_968 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 298:111] - node _T_969 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 298:88] - node _T_970 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 298:111] + node _T_961 = eq(_T_960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 301:31] + node _T_962 = and(_T_948, _T_961) @[el2_lsu_bus_buffer.scala 301:29] + node _T_963 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:88] + node _T_964 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 302:111] + node _T_965 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 302:88] + node _T_966 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 302:111] + node _T_967 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 302:88] + node _T_968 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 302:111] + node _T_969 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 302:88] + node _T_970 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 302:111] node _T_971 = mux(_T_963, _T_964, UInt<1>("h00")) @[Mux.scala 27:72] node _T_972 = mux(_T_965, _T_966, UInt<1>("h00")) @[Mux.scala 27:72] node _T_973 = mux(_T_967, _T_968, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1592,32 +1594,32 @@ circuit el2_lsu_bus_buffer : node _T_977 = or(_T_976, _T_974) @[Mux.scala 27:72] wire _T_978 : UInt<1> @[Mux.scala 27:72] _T_978 <= _T_977 @[Mux.scala 27:72] - node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:5] - node _T_980 = and(_T_962, _T_979) @[el2_lsu_bus_buffer.scala 297:140] - node _T_981 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 298:119] - node obuf_wr_wait = and(_T_980, _T_981) @[el2_lsu_bus_buffer.scala 298:117] - node _T_982 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 299:75] - node _T_983 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 299:95] - node _T_984 = and(_T_982, _T_983) @[el2_lsu_bus_buffer.scala 299:79] - node _T_985 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 299:121] - node _T_986 = tail(_T_985, 1) @[el2_lsu_bus_buffer.scala 299:121] - node _T_987 = mux(_T_984, _T_986, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 299:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_987) @[el2_lsu_bus_buffer.scala 299:29] - node _T_988 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 300:41] - node _T_989 = and(io.lsu_busreq_m, _T_988) @[el2_lsu_bus_buffer.scala 300:39] - node _T_990 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 300:60] - node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 300:58] - node _T_992 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:93] - node _T_993 = and(_T_991, _T_992) @[el2_lsu_bus_buffer.scala 300:72] - node _T_994 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 300:117] - node _T_995 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 300:208] - node _T_996 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] - node _T_997 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 300:208] - node _T_998 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] - node _T_999 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 300:208] - node _T_1000 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] - node _T_1001 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 300:208] - node _T_1002 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 300:228] + node _T_979 = eq(_T_978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:5] + node _T_980 = and(_T_962, _T_979) @[el2_lsu_bus_buffer.scala 301:140] + node _T_981 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:119] + node obuf_wr_wait = and(_T_980, _T_981) @[el2_lsu_bus_buffer.scala 302:117] + node _T_982 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 303:75] + node _T_983 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 303:95] + node _T_984 = and(_T_982, _T_983) @[el2_lsu_bus_buffer.scala 303:79] + node _T_985 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 303:121] + node _T_986 = tail(_T_985, 1) @[el2_lsu_bus_buffer.scala 303:121] + node _T_987 = mux(_T_984, _T_986, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 303:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_987) @[el2_lsu_bus_buffer.scala 303:29] + node _T_988 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:41] + node _T_989 = and(io.lsu_busreq_m, _T_988) @[el2_lsu_bus_buffer.scala 304:39] + node _T_990 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:60] + node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 304:58] + node _T_992 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 304:93] + node _T_993 = and(_T_991, _T_992) @[el2_lsu_bus_buffer.scala 304:72] + node _T_994 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 304:117] + node _T_995 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 304:208] + node _T_996 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] + node _T_997 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 304:208] + node _T_998 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] + node _T_999 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 304:208] + node _T_1000 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] + node _T_1001 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 304:208] + node _T_1002 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 304:228] node _T_1003 = mux(_T_995, _T_996, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1004 = mux(_T_997, _T_998, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1005 = mux(_T_999, _T_1000, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1627,35 +1629,35 @@ circuit el2_lsu_bus_buffer : node _T_1009 = or(_T_1008, _T_1006) @[Mux.scala 27:72] wire _T_1010 : UInt<30> @[Mux.scala 27:72] _T_1010 <= _T_1009 @[Mux.scala 27:72] - node _T_1011 = neq(_T_994, _T_1010) @[el2_lsu_bus_buffer.scala 300:123] - node _T_1012 = and(_T_993, _T_1011) @[el2_lsu_bus_buffer.scala 300:101] - obuf_force_wr_en <= _T_1012 @[el2_lsu_bus_buffer.scala 300:20] + node _T_1011 = neq(_T_994, _T_1010) @[el2_lsu_bus_buffer.scala 304:123] + node _T_1012 = and(_T_993, _T_1011) @[el2_lsu_bus_buffer.scala 304:101] + obuf_force_wr_en <= _T_1012 @[el2_lsu_bus_buffer.scala 304:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1013 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:53] - node _T_1014 = and(ibuf_byp, _T_1013) @[el2_lsu_bus_buffer.scala 302:31] - node _T_1015 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 302:64] - node _T_1016 = or(_T_1015, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 302:84] - node ibuf_buf_byp = and(_T_1014, _T_1016) @[el2_lsu_bus_buffer.scala 302:61] + node _T_1013 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:53] + node _T_1014 = and(ibuf_byp, _T_1013) @[el2_lsu_bus_buffer.scala 306:31] + node _T_1015 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 306:64] + node _T_1016 = or(_T_1015, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 306:84] + node ibuf_buf_byp = and(_T_1014, _T_1016) @[el2_lsu_bus_buffer.scala 306:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 305:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 307:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 309:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 309:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 310:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 311:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 313:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1668,14 +1670,14 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1017 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 317:32] - node _T_1018 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 317:74] - node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:52] - node _T_1020 = and(_T_1017, _T_1019) @[el2_lsu_bus_buffer.scala 317:50] - node _T_1021 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1022 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1023 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1024 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1017 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 321:32] + node _T_1018 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 321:74] + node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:52] + node _T_1020 = and(_T_1017, _T_1019) @[el2_lsu_bus_buffer.scala 321:50] + node _T_1021 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1022 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1023 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1024 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1025 = mux(_T_1021, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1026 = mux(_T_1022, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1027 = mux(_T_1023, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1685,19 +1687,19 @@ circuit el2_lsu_bus_buffer : node _T_1031 = or(_T_1030, _T_1028) @[Mux.scala 27:72] wire _T_1032 : UInt<3> @[Mux.scala 27:72] _T_1032 <= _T_1031 @[Mux.scala 27:72] - node _T_1033 = eq(_T_1032, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 318:36] - node _T_1034 = and(_T_1033, found_cmdptr0) @[el2_lsu_bus_buffer.scala 318:47] + node _T_1033 = eq(_T_1032, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 322:36] + node _T_1034 = and(_T_1033, found_cmdptr0) @[el2_lsu_bus_buffer.scala 322:47] node _T_1035 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1036 = cat(_T_1035, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1037 = cat(_T_1036, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1038 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1039 = bits(_T_1037, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1040 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1041 = bits(_T_1037, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1042 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1043 = bits(_T_1037, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1044 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1045 = bits(_T_1037, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1038 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1039 = bits(_T_1037, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1040 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1041 = bits(_T_1037, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1042 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1043 = bits(_T_1037, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1044 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1045 = bits(_T_1037, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1046 = mux(_T_1038, _T_1039, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1047 = mux(_T_1040, _T_1041, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1048 = mux(_T_1042, _T_1043, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1707,16 +1709,16 @@ circuit el2_lsu_bus_buffer : node _T_1052 = or(_T_1051, _T_1049) @[Mux.scala 27:72] wire _T_1053 : UInt<1> @[Mux.scala 27:72] _T_1053 <= _T_1052 @[Mux.scala 27:72] - node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:23] - node _T_1055 = and(_T_1034, _T_1054) @[el2_lsu_bus_buffer.scala 319:21] - node _T_1056 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1058 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1060 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1062 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1054 = eq(_T_1053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:23] + node _T_1055 = and(_T_1034, _T_1054) @[el2_lsu_bus_buffer.scala 323:21] + node _T_1056 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1057 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1058 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1059 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1060 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1061 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1062 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1063 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1064 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1065 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1066 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1726,20 +1728,20 @@ circuit el2_lsu_bus_buffer : node _T_1070 = or(_T_1069, _T_1067) @[Mux.scala 27:72] wire _T_1071 : UInt<1> @[Mux.scala 27:72] _T_1071 <= _T_1070 @[Mux.scala 27:72] - node _T_1072 = and(_T_1071, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 319:141] - node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:105] - node _T_1074 = and(_T_1055, _T_1073) @[el2_lsu_bus_buffer.scala 319:103] + node _T_1072 = and(_T_1071, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 323:141] + node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:105] + node _T_1074 = and(_T_1055, _T_1073) @[el2_lsu_bus_buffer.scala 323:103] node _T_1075 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1076 = cat(_T_1075, buf_dual[1]) @[Cat.scala 29:58] node _T_1077 = cat(_T_1076, buf_dual[0]) @[Cat.scala 29:58] - node _T_1078 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1079 = bits(_T_1077, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1080 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1081 = bits(_T_1077, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1082 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1083 = bits(_T_1077, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1084 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1085 = bits(_T_1077, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1078 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1079 = bits(_T_1077, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1080 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1081 = bits(_T_1077, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1082 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1083 = bits(_T_1077, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1084 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1085 = bits(_T_1077, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1086 = mux(_T_1078, _T_1079, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1087 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1088 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1752,14 +1754,14 @@ circuit el2_lsu_bus_buffer : node _T_1094 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1095 = cat(_T_1094, buf_samedw[1]) @[Cat.scala 29:58] node _T_1096 = cat(_T_1095, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1097 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1098 = bits(_T_1096, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1099 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1100 = bits(_T_1096, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1101 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1102 = bits(_T_1096, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1104 = bits(_T_1096, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1097 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1098 = bits(_T_1096, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1099 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1100 = bits(_T_1096, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1101 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1102 = bits(_T_1096, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1104 = bits(_T_1096, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1105 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1106 = mux(_T_1099, _T_1100, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1107 = mux(_T_1101, _T_1102, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1769,15 +1771,15 @@ circuit el2_lsu_bus_buffer : node _T_1111 = or(_T_1110, _T_1108) @[Mux.scala 27:72] wire _T_1112 : UInt<1> @[Mux.scala 27:72] _T_1112 <= _T_1111 @[Mux.scala 27:72] - node _T_1113 = and(_T_1093, _T_1112) @[el2_lsu_bus_buffer.scala 320:77] - node _T_1114 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1115 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1116 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1117 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1118 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1119 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1120 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1121 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1113 = and(_T_1093, _T_1112) @[el2_lsu_bus_buffer.scala 324:77] + node _T_1114 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1115 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1116 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1117 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1118 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1119 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1120 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1121 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1122 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1123 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1124 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1787,21 +1789,21 @@ circuit el2_lsu_bus_buffer : node _T_1128 = or(_T_1127, _T_1125) @[Mux.scala 27:72] wire _T_1129 : UInt<1> @[Mux.scala 27:72] _T_1129 <= _T_1128 @[Mux.scala 27:72] - node _T_1130 = eq(_T_1129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:150] - node _T_1131 = and(_T_1113, _T_1130) @[el2_lsu_bus_buffer.scala 320:148] - node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:8] - node _T_1133 = or(_T_1132, found_cmdptr1) @[el2_lsu_bus_buffer.scala 320:181] + node _T_1130 = eq(_T_1129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:150] + node _T_1131 = and(_T_1113, _T_1130) @[el2_lsu_bus_buffer.scala 324:148] + node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:8] + node _T_1133 = or(_T_1132, found_cmdptr1) @[el2_lsu_bus_buffer.scala 324:181] node _T_1134 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1135 = cat(_T_1134, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1136 = cat(_T_1135, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1137 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1138 = bits(_T_1136, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1139 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1140 = bits(_T_1136, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1141 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1142 = bits(_T_1136, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1143 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1144 = bits(_T_1136, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1137 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1138 = bits(_T_1136, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1139 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1140 = bits(_T_1136, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1141 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1142 = bits(_T_1136, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1143 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1144 = bits(_T_1136, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1145 = mux(_T_1137, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1146 = mux(_T_1139, _T_1140, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1147 = mux(_T_1141, _T_1142, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1811,38 +1813,38 @@ circuit el2_lsu_bus_buffer : node _T_1151 = or(_T_1150, _T_1148) @[Mux.scala 27:72] wire _T_1152 : UInt<1> @[Mux.scala 27:72] _T_1152 <= _T_1151 @[Mux.scala 27:72] - node _T_1153 = or(_T_1133, _T_1152) @[el2_lsu_bus_buffer.scala 320:197] - node _T_1154 = or(_T_1153, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 320:269] - node _T_1155 = and(_T_1074, _T_1154) @[el2_lsu_bus_buffer.scala 319:164] - node _T_1156 = or(_T_1020, _T_1155) @[el2_lsu_bus_buffer.scala 317:98] - node _T_1157 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:48] - node _T_1158 = or(bus_cmd_ready, _T_1157) @[el2_lsu_bus_buffer.scala 321:46] - node _T_1159 = or(_T_1158, obuf_nosend) @[el2_lsu_bus_buffer.scala 321:60] - node _T_1160 = and(_T_1156, _T_1159) @[el2_lsu_bus_buffer.scala 321:29] - node _T_1161 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:77] - node _T_1162 = and(_T_1160, _T_1161) @[el2_lsu_bus_buffer.scala 321:75] - node _T_1163 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:93] - node _T_1164 = and(_T_1162, _T_1163) @[el2_lsu_bus_buffer.scala 321:91] - node _T_1165 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:118] - node _T_1166 = and(_T_1164, _T_1165) @[el2_lsu_bus_buffer.scala 321:116] - node _T_1167 = and(_T_1166, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 321:142] - obuf_wr_en <= _T_1167 @[el2_lsu_bus_buffer.scala 317:14] + node _T_1153 = or(_T_1133, _T_1152) @[el2_lsu_bus_buffer.scala 324:197] + node _T_1154 = or(_T_1153, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 324:269] + node _T_1155 = and(_T_1074, _T_1154) @[el2_lsu_bus_buffer.scala 323:164] + node _T_1156 = or(_T_1020, _T_1155) @[el2_lsu_bus_buffer.scala 321:98] + node _T_1157 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:48] + node _T_1158 = or(bus_cmd_ready, _T_1157) @[el2_lsu_bus_buffer.scala 325:46] + node _T_1159 = or(_T_1158, obuf_nosend) @[el2_lsu_bus_buffer.scala 325:60] + node _T_1160 = and(_T_1156, _T_1159) @[el2_lsu_bus_buffer.scala 325:29] + node _T_1161 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:77] + node _T_1162 = and(_T_1160, _T_1161) @[el2_lsu_bus_buffer.scala 325:75] + node _T_1163 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:93] + node _T_1164 = and(_T_1162, _T_1163) @[el2_lsu_bus_buffer.scala 325:91] + node _T_1165 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:118] + node _T_1166 = and(_T_1164, _T_1165) @[el2_lsu_bus_buffer.scala 325:116] + node _T_1167 = and(_T_1166, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 325:142] + obuf_wr_en <= _T_1167 @[el2_lsu_bus_buffer.scala 321:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1168 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 323:47] - node _T_1169 = or(bus_cmd_sent, _T_1168) @[el2_lsu_bus_buffer.scala 323:33] - node _T_1170 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:65] - node _T_1171 = and(_T_1169, _T_1170) @[el2_lsu_bus_buffer.scala 323:63] - node _T_1172 = and(_T_1171, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 323:77] - node obuf_rst = or(_T_1172, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 323:98] - node _T_1173 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1174 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1175 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1176 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1177 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1178 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1179 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1180 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1168 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 327:47] + node _T_1169 = or(bus_cmd_sent, _T_1168) @[el2_lsu_bus_buffer.scala 327:33] + node _T_1170 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 327:65] + node _T_1171 = and(_T_1169, _T_1170) @[el2_lsu_bus_buffer.scala 327:63] + node _T_1172 = and(_T_1171, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 327:77] + node obuf_rst = or(_T_1172, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 327:98] + node _T_1173 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1174 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1175 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1176 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1177 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1178 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1179 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1180 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1181 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1182 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1183 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1852,15 +1854,15 @@ circuit el2_lsu_bus_buffer : node _T_1187 = or(_T_1186, _T_1184) @[Mux.scala 27:72] wire _T_1188 : UInt<1> @[Mux.scala 27:72] _T_1188 <= _T_1187 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1188) @[el2_lsu_bus_buffer.scala 324:26] - node _T_1189 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1190 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1191 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1192 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1193 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1194 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1195 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1196 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1188) @[el2_lsu_bus_buffer.scala 328:26] + node _T_1189 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1190 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1191 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1192 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1193 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1194 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1195 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1196 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1197 = mux(_T_1189, _T_1190, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1198 = mux(_T_1191, _T_1192, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1199 = mux(_T_1193, _T_1194, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1870,11 +1872,11 @@ circuit el2_lsu_bus_buffer : node _T_1203 = or(_T_1202, _T_1200) @[Mux.scala 27:72] wire _T_1204 : UInt<1> @[Mux.scala 27:72] _T_1204 <= _T_1203 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1204) @[el2_lsu_bus_buffer.scala 325:31] - node _T_1205 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1206 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1207 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1208 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1204) @[el2_lsu_bus_buffer.scala 329:31] + node _T_1205 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1206 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1207 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1208 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1209 = mux(_T_1205, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1210 = mux(_T_1206, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1211 = mux(_T_1207, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1884,17 +1886,17 @@ circuit el2_lsu_bus_buffer : node _T_1215 = or(_T_1214, _T_1212) @[Mux.scala 27:72] wire _T_1216 : UInt<32> @[Mux.scala 27:72] _T_1216 <= _T_1215 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1216) @[el2_lsu_bus_buffer.scala 326:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 327:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1216) @[el2_lsu_bus_buffer.scala 330:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 331:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:10] node _T_1217 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1218 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1219 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1221 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1218 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1219 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1221 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1222 = mux(_T_1218, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1223 = mux(_T_1219, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1224 = mux(_T_1220, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1904,43 +1906,44 @@ circuit el2_lsu_bus_buffer : node _T_1228 = or(_T_1227, _T_1225) @[Mux.scala 27:72] wire _T_1229 : UInt<2> @[Mux.scala 27:72] _T_1229 <= _T_1228 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1217, _T_1229) @[el2_lsu_bus_buffer.scala 329:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1217, _T_1229) @[el2_lsu_bus_buffer.scala 333:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 332:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 336:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 334:25] + io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 338:14] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 339:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1230 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 337:39] - node _T_1231 = eq(_T_1230, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 337:26] - node _T_1232 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 337:68] - node obuf_cmd_done_in = and(_T_1231, _T_1232) @[el2_lsu_bus_buffer.scala 337:51] + node _T_1230 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 342:39] + node _T_1231 = eq(_T_1230, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:26] + node _T_1232 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 342:68] + node obuf_cmd_done_in = and(_T_1231, _T_1232) @[el2_lsu_bus_buffer.scala 342:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1233 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 340:40] - node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:27] - node _T_1235 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 340:70] - node obuf_data_done_in = and(_T_1234, _T_1235) @[el2_lsu_bus_buffer.scala 340:52] - node _T_1236 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 341:67] - node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:72] - node _T_1238 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 341:92] - node _T_1239 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 341:111] - node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:98] - node _T_1241 = and(_T_1238, _T_1240) @[el2_lsu_bus_buffer.scala 341:96] - node _T_1242 = or(_T_1237, _T_1241) @[el2_lsu_bus_buffer.scala 341:79] - node _T_1243 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 341:129] - node _T_1244 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 341:147] - node _T_1245 = orr(_T_1244) @[el2_lsu_bus_buffer.scala 341:153] - node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:134] - node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 341:132] - node _T_1248 = or(_T_1242, _T_1247) @[el2_lsu_bus_buffer.scala 341:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1248) @[el2_lsu_bus_buffer.scala 341:28] + node _T_1233 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 345:40] + node _T_1234 = eq(_T_1233, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:27] + node _T_1235 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 345:70] + node obuf_data_done_in = and(_T_1234, _T_1235) @[el2_lsu_bus_buffer.scala 345:52] + node _T_1236 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 346:67] + node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:72] + node _T_1238 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 346:92] + node _T_1239 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 346:111] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:98] + node _T_1241 = and(_T_1238, _T_1240) @[el2_lsu_bus_buffer.scala 346:96] + node _T_1242 = or(_T_1237, _T_1241) @[el2_lsu_bus_buffer.scala 346:79] + node _T_1243 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 346:129] + node _T_1244 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 346:147] + node _T_1245 = orr(_T_1244) @[el2_lsu_bus_buffer.scala 346:153] + node _T_1246 = eq(_T_1245, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:134] + node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 346:132] + node _T_1248 = or(_T_1242, _T_1247) @[el2_lsu_bus_buffer.scala 346:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1248) @[el2_lsu_bus_buffer.scala 346:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -1953,57 +1956,57 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1249 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:44] - node _T_1250 = and(obuf_wr_en, _T_1249) @[el2_lsu_bus_buffer.scala 349:42] - node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:29] - node _T_1252 = and(_T_1251, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 349:61] - node _T_1253 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 349:116] - node _T_1254 = and(bus_rsp_read, _T_1253) @[el2_lsu_bus_buffer.scala 349:96] - node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 349:81] - node _T_1256 = and(_T_1252, _T_1255) @[el2_lsu_bus_buffer.scala 349:79] - node _T_1257 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 350:22] - node _T_1258 = and(bus_cmd_sent, _T_1257) @[el2_lsu_bus_buffer.scala 350:20] - node _T_1259 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 350:37] - node _T_1260 = and(_T_1258, _T_1259) @[el2_lsu_bus_buffer.scala 350:35] - node obuf_rdrsp_pend_in = or(_T_1256, _T_1260) @[el2_lsu_bus_buffer.scala 349:138] + node _T_1249 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:44] + node _T_1250 = and(obuf_wr_en, _T_1249) @[el2_lsu_bus_buffer.scala 354:42] + node _T_1251 = eq(_T_1250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:29] + node _T_1252 = and(_T_1251, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 354:61] + node _T_1253 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 354:116] + node _T_1254 = and(bus_rsp_read, _T_1253) @[el2_lsu_bus_buffer.scala 354:96] + node _T_1255 = eq(_T_1254, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 354:81] + node _T_1256 = and(_T_1252, _T_1255) @[el2_lsu_bus_buffer.scala 354:79] + node _T_1257 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:22] + node _T_1258 = and(bus_cmd_sent, _T_1257) @[el2_lsu_bus_buffer.scala 355:20] + node _T_1259 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:37] + node _T_1260 = and(_T_1258, _T_1259) @[el2_lsu_bus_buffer.scala 355:35] + node obuf_rdrsp_pend_in = or(_T_1256, _T_1260) @[el2_lsu_bus_buffer.scala 354:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1261 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 352:46] - node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 352:44] - node obuf_rdrsp_tag_in = mux(_T_1262, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 352:30] + node _T_1261 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:46] + node _T_1262 = or(bus_cmd_sent, _T_1261) @[el2_lsu_bus_buffer.scala 357:44] + node obuf_rdrsp_tag_in = mux(_T_1262, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 357:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1263 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 355:34] - node _T_1264 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 355:52] - node _T_1265 = eq(_T_1263, _T_1264) @[el2_lsu_bus_buffer.scala 355:40] - node _T_1266 = and(_T_1265, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 355:60] - node _T_1267 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:80] - node _T_1268 = and(_T_1266, _T_1267) @[el2_lsu_bus_buffer.scala 355:78] - node _T_1269 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:99] - node _T_1270 = and(_T_1268, _T_1269) @[el2_lsu_bus_buffer.scala 355:97] - node _T_1271 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:113] - node _T_1272 = and(_T_1270, _T_1271) @[el2_lsu_bus_buffer.scala 355:111] - node _T_1273 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:130] - node _T_1274 = and(_T_1272, _T_1273) @[el2_lsu_bus_buffer.scala 355:128] - node _T_1275 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:20] - node _T_1276 = and(obuf_valid, _T_1275) @[el2_lsu_bus_buffer.scala 356:18] - node _T_1277 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 356:90] - node _T_1278 = and(bus_rsp_read, _T_1277) @[el2_lsu_bus_buffer.scala 356:70] - node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:55] - node _T_1280 = and(obuf_rdrsp_pend, _T_1279) @[el2_lsu_bus_buffer.scala 356:53] - node _T_1281 = or(_T_1276, _T_1280) @[el2_lsu_bus_buffer.scala 356:34] - node _T_1282 = and(_T_1274, _T_1281) @[el2_lsu_bus_buffer.scala 355:165] - obuf_nosend_in <= _T_1282 @[el2_lsu_bus_buffer.scala 355:18] - node _T_1283 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 357:60] + node _T_1263 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 360:34] + node _T_1264 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 360:52] + node _T_1265 = eq(_T_1263, _T_1264) @[el2_lsu_bus_buffer.scala 360:40] + node _T_1266 = and(_T_1265, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 360:60] + node _T_1267 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:80] + node _T_1268 = and(_T_1266, _T_1267) @[el2_lsu_bus_buffer.scala 360:78] + node _T_1269 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:99] + node _T_1270 = and(_T_1268, _T_1269) @[el2_lsu_bus_buffer.scala 360:97] + node _T_1271 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:113] + node _T_1272 = and(_T_1270, _T_1271) @[el2_lsu_bus_buffer.scala 360:111] + node _T_1273 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:130] + node _T_1274 = and(_T_1272, _T_1273) @[el2_lsu_bus_buffer.scala 360:128] + node _T_1275 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 361:20] + node _T_1276 = and(obuf_valid, _T_1275) @[el2_lsu_bus_buffer.scala 361:18] + node _T_1277 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 361:90] + node _T_1278 = and(bus_rsp_read, _T_1277) @[el2_lsu_bus_buffer.scala 361:70] + node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 361:55] + node _T_1280 = and(obuf_rdrsp_pend, _T_1279) @[el2_lsu_bus_buffer.scala 361:53] + node _T_1281 = or(_T_1276, _T_1280) @[el2_lsu_bus_buffer.scala 361:34] + node _T_1282 = and(_T_1274, _T_1281) @[el2_lsu_bus_buffer.scala 360:165] + obuf_nosend_in <= _T_1282 @[el2_lsu_bus_buffer.scala 360:18] + node _T_1283 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 362:60] node _T_1284 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1285 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1286 = mux(_T_1283, _T_1284, _T_1285) @[el2_lsu_bus_buffer.scala 357:46] - node _T_1287 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1288 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1289 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1290 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1286 = mux(_T_1283, _T_1284, _T_1285) @[el2_lsu_bus_buffer.scala 362:46] + node _T_1287 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1288 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1289 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1290 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1291 = mux(_T_1287, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1292 = mux(_T_1288, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1293 = mux(_T_1289, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2013,12 +2016,12 @@ circuit el2_lsu_bus_buffer : node _T_1297 = or(_T_1296, _T_1294) @[Mux.scala 27:72] wire _T_1298 : UInt<32> @[Mux.scala 27:72] _T_1298 <= _T_1297 @[Mux.scala 27:72] - node _T_1299 = bits(_T_1298, 2, 2) @[el2_lsu_bus_buffer.scala 358:36] - node _T_1300 = bits(_T_1299, 0, 0) @[el2_lsu_bus_buffer.scala 358:46] - node _T_1301 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1302 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1303 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1304 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1299 = bits(_T_1298, 2, 2) @[el2_lsu_bus_buffer.scala 363:36] + node _T_1300 = bits(_T_1299, 0, 0) @[el2_lsu_bus_buffer.scala 363:46] + node _T_1301 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1302 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1303 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1304 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1305 = mux(_T_1301, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1306 = mux(_T_1302, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1307 = mux(_T_1303, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2029,10 +2032,10 @@ circuit el2_lsu_bus_buffer : wire _T_1312 : UInt<4> @[Mux.scala 27:72] _T_1312 <= _T_1311 @[Mux.scala 27:72] node _T_1313 = cat(_T_1312, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1314 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1315 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1316 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1317 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1314 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1315 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1316 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1317 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1318 = mux(_T_1314, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1319 = mux(_T_1315, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1320 = mux(_T_1316, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2043,16 +2046,16 @@ circuit el2_lsu_bus_buffer : wire _T_1325 : UInt<4> @[Mux.scala 27:72] _T_1325 <= _T_1324 @[Mux.scala 27:72] node _T_1326 = cat(UInt<4>("h00"), _T_1325) @[Cat.scala 29:58] - node _T_1327 = mux(_T_1300, _T_1313, _T_1326) @[el2_lsu_bus_buffer.scala 358:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1286, _T_1327) @[el2_lsu_bus_buffer.scala 357:28] - node _T_1328 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 359:60] + node _T_1327 = mux(_T_1300, _T_1313, _T_1326) @[el2_lsu_bus_buffer.scala 363:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1286, _T_1327) @[el2_lsu_bus_buffer.scala 362:28] + node _T_1328 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 364:60] node _T_1329 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1330 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1331 = mux(_T_1328, _T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 359:46] - node _T_1332 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1333 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1334 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1335 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1331 = mux(_T_1328, _T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 364:46] + node _T_1332 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1333 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1334 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1335 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1336 = mux(_T_1332, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1337 = mux(_T_1333, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1338 = mux(_T_1334, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2062,12 +2065,12 @@ circuit el2_lsu_bus_buffer : node _T_1342 = or(_T_1341, _T_1339) @[Mux.scala 27:72] wire _T_1343 : UInt<32> @[Mux.scala 27:72] _T_1343 <= _T_1342 @[Mux.scala 27:72] - node _T_1344 = bits(_T_1343, 2, 2) @[el2_lsu_bus_buffer.scala 360:36] - node _T_1345 = bits(_T_1344, 0, 0) @[el2_lsu_bus_buffer.scala 360:46] - node _T_1346 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1347 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1348 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1349 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1344 = bits(_T_1343, 2, 2) @[el2_lsu_bus_buffer.scala 365:36] + node _T_1345 = bits(_T_1344, 0, 0) @[el2_lsu_bus_buffer.scala 365:46] + node _T_1346 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1347 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1348 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1349 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1350 = mux(_T_1346, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1351 = mux(_T_1347, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1352 = mux(_T_1348, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2078,10 +2081,10 @@ circuit el2_lsu_bus_buffer : wire _T_1357 : UInt<4> @[Mux.scala 27:72] _T_1357 <= _T_1356 @[Mux.scala 27:72] node _T_1358 = cat(_T_1357, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1359 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1360 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1361 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1362 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1359 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1360 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1361 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1362 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1363 = mux(_T_1359, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1364 = mux(_T_1360, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1365 = mux(_T_1361, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2092,16 +2095,16 @@ circuit el2_lsu_bus_buffer : wire _T_1370 : UInt<4> @[Mux.scala 27:72] _T_1370 <= _T_1369 @[Mux.scala 27:72] node _T_1371 = cat(UInt<4>("h00"), _T_1370) @[Cat.scala 29:58] - node _T_1372 = mux(_T_1345, _T_1358, _T_1371) @[el2_lsu_bus_buffer.scala 360:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1331, _T_1372) @[el2_lsu_bus_buffer.scala 359:28] - node _T_1373 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 362:58] + node _T_1372 = mux(_T_1345, _T_1358, _T_1371) @[el2_lsu_bus_buffer.scala 365:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1331, _T_1372) @[el2_lsu_bus_buffer.scala 364:28] + node _T_1373 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 367:58] node _T_1374 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1375 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1376 = mux(_T_1373, _T_1374, _T_1375) @[el2_lsu_bus_buffer.scala 362:44] - node _T_1377 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1378 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1379 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1380 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1376 = mux(_T_1373, _T_1374, _T_1375) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1377 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1378 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1379 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1380 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1381 = mux(_T_1377, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1382 = mux(_T_1378, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1383 = mux(_T_1379, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2111,12 +2114,12 @@ circuit el2_lsu_bus_buffer : node _T_1387 = or(_T_1386, _T_1384) @[Mux.scala 27:72] wire _T_1388 : UInt<32> @[Mux.scala 27:72] _T_1388 <= _T_1387 @[Mux.scala 27:72] - node _T_1389 = bits(_T_1388, 2, 2) @[el2_lsu_bus_buffer.scala 363:36] - node _T_1390 = bits(_T_1389, 0, 0) @[el2_lsu_bus_buffer.scala 363:46] - node _T_1391 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1392 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1393 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1394 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1389 = bits(_T_1388, 2, 2) @[el2_lsu_bus_buffer.scala 368:36] + node _T_1390 = bits(_T_1389, 0, 0) @[el2_lsu_bus_buffer.scala 368:46] + node _T_1391 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1392 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1393 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1394 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1395 = mux(_T_1391, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1396 = mux(_T_1392, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1397 = mux(_T_1393, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2127,10 +2130,10 @@ circuit el2_lsu_bus_buffer : wire _T_1402 : UInt<32> @[Mux.scala 27:72] _T_1402 <= _T_1401 @[Mux.scala 27:72] node _T_1403 = cat(_T_1402, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1404 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1405 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1406 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1407 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1404 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1405 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1406 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1407 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1408 = mux(_T_1404, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1409 = mux(_T_1405, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1410 = mux(_T_1406, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2141,16 +2144,16 @@ circuit el2_lsu_bus_buffer : wire _T_1415 : UInt<32> @[Mux.scala 27:72] _T_1415 <= _T_1414 @[Mux.scala 27:72] node _T_1416 = cat(UInt<32>("h00"), _T_1415) @[Cat.scala 29:58] - node _T_1417 = mux(_T_1390, _T_1403, _T_1416) @[el2_lsu_bus_buffer.scala 363:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1376, _T_1417) @[el2_lsu_bus_buffer.scala 362:26] - node _T_1418 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 364:58] + node _T_1417 = mux(_T_1390, _T_1403, _T_1416) @[el2_lsu_bus_buffer.scala 368:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1376, _T_1417) @[el2_lsu_bus_buffer.scala 367:26] + node _T_1418 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 369:58] node _T_1419 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1420 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1421 = mux(_T_1418, _T_1419, _T_1420) @[el2_lsu_bus_buffer.scala 364:44] - node _T_1422 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1423 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1424 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1425 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1421 = mux(_T_1418, _T_1419, _T_1420) @[el2_lsu_bus_buffer.scala 369:44] + node _T_1422 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1423 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1424 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1425 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1426 = mux(_T_1422, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1427 = mux(_T_1423, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1428 = mux(_T_1424, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2160,12 +2163,12 @@ circuit el2_lsu_bus_buffer : node _T_1432 = or(_T_1431, _T_1429) @[Mux.scala 27:72] wire _T_1433 : UInt<32> @[Mux.scala 27:72] _T_1433 <= _T_1432 @[Mux.scala 27:72] - node _T_1434 = bits(_T_1433, 2, 2) @[el2_lsu_bus_buffer.scala 365:36] - node _T_1435 = bits(_T_1434, 0, 0) @[el2_lsu_bus_buffer.scala 365:46] - node _T_1436 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1437 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1438 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1439 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1434 = bits(_T_1433, 2, 2) @[el2_lsu_bus_buffer.scala 370:36] + node _T_1435 = bits(_T_1434, 0, 0) @[el2_lsu_bus_buffer.scala 370:46] + node _T_1436 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1437 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1438 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1439 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1440 = mux(_T_1436, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1441 = mux(_T_1437, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1442 = mux(_T_1438, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2176,10 +2179,10 @@ circuit el2_lsu_bus_buffer : wire _T_1447 : UInt<32> @[Mux.scala 27:72] _T_1447 <= _T_1446 @[Mux.scala 27:72] node _T_1448 = cat(_T_1447, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1449 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1450 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1451 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1452 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1453 = mux(_T_1449, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1454 = mux(_T_1450, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1455 = mux(_T_1451, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2190,40 +2193,40 @@ circuit el2_lsu_bus_buffer : wire _T_1460 : UInt<32> @[Mux.scala 27:72] _T_1460 <= _T_1459 @[Mux.scala 27:72] node _T_1461 = cat(UInt<32>("h00"), _T_1460) @[Cat.scala 29:58] - node _T_1462 = mux(_T_1435, _T_1448, _T_1461) @[el2_lsu_bus_buffer.scala 365:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1421, _T_1462) @[el2_lsu_bus_buffer.scala 364:26] - node _T_1463 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1464 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1465 = and(obuf_merge_en, _T_1464) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1466 = or(_T_1463, _T_1465) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1467 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1468 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1469 = and(obuf_merge_en, _T_1468) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1470 = or(_T_1467, _T_1469) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1471 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1472 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1473 = and(obuf_merge_en, _T_1472) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1474 = or(_T_1471, _T_1473) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1475 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1476 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1477 = and(obuf_merge_en, _T_1476) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1478 = or(_T_1475, _T_1477) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1479 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1480 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1481 = and(obuf_merge_en, _T_1480) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1482 = or(_T_1479, _T_1481) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1483 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1484 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1485 = and(obuf_merge_en, _T_1484) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1486 = or(_T_1483, _T_1485) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1487 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1488 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1489 = and(obuf_merge_en, _T_1488) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1490 = or(_T_1487, _T_1489) @[el2_lsu_bus_buffer.scala 366:63] - node _T_1491 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 366:59] - node _T_1492 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 366:97] - node _T_1493 = and(obuf_merge_en, _T_1492) @[el2_lsu_bus_buffer.scala 366:80] - node _T_1494 = or(_T_1491, _T_1493) @[el2_lsu_bus_buffer.scala 366:63] + node _T_1462 = mux(_T_1435, _T_1448, _T_1461) @[el2_lsu_bus_buffer.scala 370:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1421, _T_1462) @[el2_lsu_bus_buffer.scala 369:26] + node _T_1463 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1464 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1465 = and(obuf_merge_en, _T_1464) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1466 = or(_T_1463, _T_1465) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1467 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1468 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1469 = and(obuf_merge_en, _T_1468) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1470 = or(_T_1467, _T_1469) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1471 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1472 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1473 = and(obuf_merge_en, _T_1472) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1474 = or(_T_1471, _T_1473) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1475 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1476 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1477 = and(obuf_merge_en, _T_1476) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1478 = or(_T_1475, _T_1477) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1479 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1480 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1481 = and(obuf_merge_en, _T_1480) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1482 = or(_T_1479, _T_1481) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1483 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1484 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1485 = and(obuf_merge_en, _T_1484) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1486 = or(_T_1483, _T_1485) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1487 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1488 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1489 = and(obuf_merge_en, _T_1488) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1490 = or(_T_1487, _T_1489) @[el2_lsu_bus_buffer.scala 371:63] + node _T_1491 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 371:59] + node _T_1492 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 371:97] + node _T_1493 = and(obuf_merge_en, _T_1492) @[el2_lsu_bus_buffer.scala 371:80] + node _T_1494 = or(_T_1491, _T_1493) @[el2_lsu_bus_buffer.scala 371:63] node _T_1495 = cat(_T_1494, _T_1490) @[Cat.scala 29:58] node _T_1496 = cat(_T_1495, _T_1486) @[Cat.scala 29:58] node _T_1497 = cat(_T_1496, _T_1482) @[Cat.scala 29:58] @@ -2231,46 +2234,46 @@ circuit el2_lsu_bus_buffer : node _T_1499 = cat(_T_1498, _T_1474) @[Cat.scala 29:58] node _T_1500 = cat(_T_1499, _T_1470) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1500, _T_1466) @[Cat.scala 29:58] - node _T_1501 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1502 = and(obuf_merge_en, _T_1501) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1503 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1504 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1505 = mux(_T_1502, _T_1503, _T_1504) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1506 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1507 = and(obuf_merge_en, _T_1506) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1508 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1509 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1510 = mux(_T_1507, _T_1508, _T_1509) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1511 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1512 = and(obuf_merge_en, _T_1511) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1513 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1514 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1515 = mux(_T_1512, _T_1513, _T_1514) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1516 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1517 = and(obuf_merge_en, _T_1516) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1518 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1519 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1520 = mux(_T_1517, _T_1518, _T_1519) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1521 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1522 = and(obuf_merge_en, _T_1521) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1523 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1524 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1525 = mux(_T_1522, _T_1523, _T_1524) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1526 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1527 = and(obuf_merge_en, _T_1526) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1528 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1529 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1530 = mux(_T_1527, _T_1528, _T_1529) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1531 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1532 = and(obuf_merge_en, _T_1531) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1533 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1534 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1535 = mux(_T_1532, _T_1533, _T_1534) @[el2_lsu_bus_buffer.scala 367:44] - node _T_1536 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 367:76] - node _T_1537 = and(obuf_merge_en, _T_1536) @[el2_lsu_bus_buffer.scala 367:59] - node _T_1538 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 367:94] - node _T_1539 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 367:123] - node _T_1540 = mux(_T_1537, _T_1538, _T_1539) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1501 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1502 = and(obuf_merge_en, _T_1501) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1503 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1504 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1505 = mux(_T_1502, _T_1503, _T_1504) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1506 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1507 = and(obuf_merge_en, _T_1506) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1508 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1509 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1510 = mux(_T_1507, _T_1508, _T_1509) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1511 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1512 = and(obuf_merge_en, _T_1511) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1513 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1514 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1515 = mux(_T_1512, _T_1513, _T_1514) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1516 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1517 = and(obuf_merge_en, _T_1516) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1518 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1519 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1520 = mux(_T_1517, _T_1518, _T_1519) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1521 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1522 = and(obuf_merge_en, _T_1521) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1523 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1524 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1525 = mux(_T_1522, _T_1523, _T_1524) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1526 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1527 = and(obuf_merge_en, _T_1526) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1528 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1529 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1530 = mux(_T_1527, _T_1528, _T_1529) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1531 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1532 = and(obuf_merge_en, _T_1531) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1533 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1534 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1535 = mux(_T_1532, _T_1533, _T_1534) @[el2_lsu_bus_buffer.scala 372:44] + node _T_1536 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 372:76] + node _T_1537 = and(obuf_merge_en, _T_1536) @[el2_lsu_bus_buffer.scala 372:59] + node _T_1538 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 372:94] + node _T_1539 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 372:123] + node _T_1540 = mux(_T_1537, _T_1538, _T_1539) @[el2_lsu_bus_buffer.scala 372:44] node _T_1541 = cat(_T_1540, _T_1535) @[Cat.scala 29:58] node _T_1542 = cat(_T_1541, _T_1530) @[Cat.scala 29:58] node _T_1543 = cat(_T_1542, _T_1525) @[Cat.scala 29:58] @@ -2278,18 +2281,18 @@ circuit el2_lsu_bus_buffer : node _T_1545 = cat(_T_1544, _T_1515) @[Cat.scala 29:58] node _T_1546 = cat(_T_1545, _T_1510) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1546, _T_1505) @[Cat.scala 29:58] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 368:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 369:14] - node _T_1547 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 370:30] - node _T_1548 = and(_T_1547, found_cmdptr0) @[el2_lsu_bus_buffer.scala 370:43] - node _T_1549 = and(_T_1548, found_cmdptr1) @[el2_lsu_bus_buffer.scala 370:59] - node _T_1550 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1551 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1552 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1553 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 373:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 374:14] + node _T_1547 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 375:30] + node _T_1548 = and(_T_1547, found_cmdptr0) @[el2_lsu_bus_buffer.scala 375:43] + node _T_1549 = and(_T_1548, found_cmdptr1) @[el2_lsu_bus_buffer.scala 375:59] + node _T_1550 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1551 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1552 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1553 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1554 = mux(_T_1550, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1555 = mux(_T_1551, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1556 = mux(_T_1552, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2299,12 +2302,12 @@ circuit el2_lsu_bus_buffer : node _T_1560 = or(_T_1559, _T_1557) @[Mux.scala 27:72] wire _T_1561 : UInt<3> @[Mux.scala 27:72] _T_1561 <= _T_1560 @[Mux.scala 27:72] - node _T_1562 = eq(_T_1561, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 370:107] - node _T_1563 = and(_T_1549, _T_1562) @[el2_lsu_bus_buffer.scala 370:75] - node _T_1564 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1565 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1566 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1567 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1562 = eq(_T_1561, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 375:107] + node _T_1563 = and(_T_1549, _T_1562) @[el2_lsu_bus_buffer.scala 375:75] + node _T_1564 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1565 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1566 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1567 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1568 = mux(_T_1564, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1569 = mux(_T_1565, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1570 = mux(_T_1566, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2314,19 +2317,19 @@ circuit el2_lsu_bus_buffer : node _T_1574 = or(_T_1573, _T_1571) @[Mux.scala 27:72] wire _T_1575 : UInt<3> @[Mux.scala 27:72] _T_1575 <= _T_1574 @[Mux.scala 27:72] - node _T_1576 = eq(_T_1575, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 370:150] - node _T_1577 = and(_T_1563, _T_1576) @[el2_lsu_bus_buffer.scala 370:118] + node _T_1576 = eq(_T_1575, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 375:150] + node _T_1577 = and(_T_1563, _T_1576) @[el2_lsu_bus_buffer.scala 375:118] node _T_1578 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1579 = cat(_T_1578, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1580 = cat(_T_1579, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1581 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1582 = bits(_T_1580, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1583 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1584 = bits(_T_1580, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1585 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1586 = bits(_T_1580, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1587 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1588 = bits(_T_1580, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1581 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1582 = bits(_T_1580, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1583 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1584 = bits(_T_1580, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1585 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1586 = bits(_T_1580, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1587 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1588 = bits(_T_1580, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1589 = mux(_T_1581, _T_1582, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1590 = mux(_T_1583, _T_1584, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1591 = mux(_T_1585, _T_1586, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2336,16 +2339,16 @@ circuit el2_lsu_bus_buffer : node _T_1595 = or(_T_1594, _T_1592) @[Mux.scala 27:72] wire _T_1596 : UInt<1> @[Mux.scala 27:72] _T_1596 <= _T_1595 @[Mux.scala 27:72] - node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:3] - node _T_1598 = and(_T_1577, _T_1597) @[el2_lsu_bus_buffer.scala 370:161] - node _T_1599 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1600 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1601 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1602 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1603 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1604 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1605 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1606 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:3] + node _T_1598 = and(_T_1577, _T_1597) @[el2_lsu_bus_buffer.scala 375:161] + node _T_1599 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1600 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1601 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1602 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1603 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1604 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1605 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1606 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1607 = mux(_T_1599, _T_1600, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1608 = mux(_T_1601, _T_1602, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1609 = mux(_T_1603, _T_1604, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2355,16 +2358,16 @@ circuit el2_lsu_bus_buffer : node _T_1613 = or(_T_1612, _T_1610) @[Mux.scala 27:72] wire _T_1614 : UInt<1> @[Mux.scala 27:72] _T_1614 <= _T_1613 @[Mux.scala 27:72] - node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:85] - node _T_1616 = and(_T_1598, _T_1615) @[el2_lsu_bus_buffer.scala 371:83] - node _T_1617 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1618 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1619 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1620 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1621 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1622 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1623 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1624 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1615 = eq(_T_1614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:85] + node _T_1616 = and(_T_1598, _T_1615) @[el2_lsu_bus_buffer.scala 376:83] + node _T_1617 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1618 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1619 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1620 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1621 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1622 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1623 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1624 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1625 = mux(_T_1617, _T_1618, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1626 = mux(_T_1619, _T_1620, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1627 = mux(_T_1621, _T_1622, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2374,14 +2377,14 @@ circuit el2_lsu_bus_buffer : node _T_1631 = or(_T_1630, _T_1628) @[Mux.scala 27:72] wire _T_1632 : UInt<1> @[Mux.scala 27:72] _T_1632 <= _T_1631 @[Mux.scala 27:72] - node _T_1633 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1634 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1635 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1636 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1637 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1639 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1640 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1633 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1634 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1635 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1636 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1637 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1638 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1639 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1640 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1641 = mux(_T_1633, _T_1634, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1642 = mux(_T_1635, _T_1636, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1643 = mux(_T_1637, _T_1638, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2391,11 +2394,11 @@ circuit el2_lsu_bus_buffer : node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] wire _T_1648 : UInt<1> @[Mux.scala 27:72] _T_1648 <= _T_1647 @[Mux.scala 27:72] - node _T_1649 = and(_T_1632, _T_1648) @[el2_lsu_bus_buffer.scala 372:36] - node _T_1650 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1651 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1652 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1653 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1649 = and(_T_1632, _T_1648) @[el2_lsu_bus_buffer.scala 377:36] + node _T_1650 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1651 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1652 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1653 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1654 = mux(_T_1650, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1655 = mux(_T_1651, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1656 = mux(_T_1652, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2405,11 +2408,11 @@ circuit el2_lsu_bus_buffer : node _T_1660 = or(_T_1659, _T_1657) @[Mux.scala 27:72] wire _T_1661 : UInt<32> @[Mux.scala 27:72] _T_1661 <= _T_1660 @[Mux.scala 27:72] - node _T_1662 = bits(_T_1661, 31, 3) @[el2_lsu_bus_buffer.scala 373:33] - node _T_1663 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1664 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1665 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_1666 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_1662 = bits(_T_1661, 31, 3) @[el2_lsu_bus_buffer.scala 378:33] + node _T_1663 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1664 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1665 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_1666 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_1667 = mux(_T_1663, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1668 = mux(_T_1664, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1669 = mux(_T_1665, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2419,21 +2422,21 @@ circuit el2_lsu_bus_buffer : node _T_1673 = or(_T_1672, _T_1670) @[Mux.scala 27:72] wire _T_1674 : UInt<32> @[Mux.scala 27:72] _T_1674 <= _T_1673 @[Mux.scala 27:72] - node _T_1675 = bits(_T_1674, 31, 3) @[el2_lsu_bus_buffer.scala 373:69] - node _T_1676 = eq(_T_1662, _T_1675) @[el2_lsu_bus_buffer.scala 373:39] - node _T_1677 = and(_T_1649, _T_1676) @[el2_lsu_bus_buffer.scala 372:67] - node _T_1678 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:79] - node _T_1679 = and(_T_1677, _T_1678) @[el2_lsu_bus_buffer.scala 373:77] - node _T_1680 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:105] - node _T_1681 = and(_T_1679, _T_1680) @[el2_lsu_bus_buffer.scala 373:103] - node _T_1682 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1683 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1684 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1686 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1687 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1688 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1675 = bits(_T_1674, 31, 3) @[el2_lsu_bus_buffer.scala 378:69] + node _T_1676 = eq(_T_1662, _T_1675) @[el2_lsu_bus_buffer.scala 378:39] + node _T_1677 = and(_T_1649, _T_1676) @[el2_lsu_bus_buffer.scala 377:67] + node _T_1678 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:79] + node _T_1679 = and(_T_1677, _T_1678) @[el2_lsu_bus_buffer.scala 378:77] + node _T_1680 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:105] + node _T_1681 = and(_T_1679, _T_1680) @[el2_lsu_bus_buffer.scala 378:103] + node _T_1682 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1683 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1684 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1686 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1687 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1688 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1689 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1690 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1691 = mux(_T_1684, _T_1685, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1692 = mux(_T_1686, _T_1687, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2443,18 +2446,18 @@ circuit el2_lsu_bus_buffer : node _T_1696 = or(_T_1695, _T_1693) @[Mux.scala 27:72] wire _T_1697 : UInt<1> @[Mux.scala 27:72] _T_1697 <= _T_1696 @[Mux.scala 27:72] - node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:6] + node _T_1698 = eq(_T_1697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:6] node _T_1699 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1700 = cat(_T_1699, buf_dual[1]) @[Cat.scala 29:58] node _T_1701 = cat(_T_1700, buf_dual[0]) @[Cat.scala 29:58] - node _T_1702 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1703 = bits(_T_1701, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1704 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1705 = bits(_T_1701, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1706 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1707 = bits(_T_1701, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1708 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1709 = bits(_T_1701, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1702 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1703 = bits(_T_1701, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1704 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1705 = bits(_T_1701, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1706 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1707 = bits(_T_1701, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1708 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1709 = bits(_T_1701, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1710 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1711 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1712 = mux(_T_1706, _T_1707, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2464,18 +2467,18 @@ circuit el2_lsu_bus_buffer : node _T_1716 = or(_T_1715, _T_1713) @[Mux.scala 27:72] wire _T_1717 : UInt<1> @[Mux.scala 27:72] _T_1717 <= _T_1716 @[Mux.scala 27:72] - node _T_1718 = and(_T_1698, _T_1717) @[el2_lsu_bus_buffer.scala 374:36] + node _T_1718 = and(_T_1698, _T_1717) @[el2_lsu_bus_buffer.scala 379:36] node _T_1719 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1720 = cat(_T_1719, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1721 = cat(_T_1720, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1722 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1723 = bits(_T_1721, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1724 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1725 = bits(_T_1721, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1726 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1727 = bits(_T_1721, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1728 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1729 = bits(_T_1721, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1722 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1723 = bits(_T_1721, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1724 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1725 = bits(_T_1721, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1726 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1727 = bits(_T_1721, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1728 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1729 = bits(_T_1721, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1730 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1731 = mux(_T_1724, _T_1725, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1732 = mux(_T_1726, _T_1727, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2485,19 +2488,19 @@ circuit el2_lsu_bus_buffer : node _T_1736 = or(_T_1735, _T_1733) @[Mux.scala 27:72] wire _T_1737 : UInt<1> @[Mux.scala 27:72] _T_1737 <= _T_1736 @[Mux.scala 27:72] - node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:107] - node _T_1739 = and(_T_1718, _T_1738) @[el2_lsu_bus_buffer.scala 374:105] + node _T_1738 = eq(_T_1737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:107] + node _T_1739 = and(_T_1718, _T_1738) @[el2_lsu_bus_buffer.scala 379:105] node _T_1740 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1741 = cat(_T_1740, buf_samedw[1]) @[Cat.scala 29:58] node _T_1742 = cat(_T_1741, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1743 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1744 = bits(_T_1742, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1745 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1746 = bits(_T_1742, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1747 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1748 = bits(_T_1742, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_1749 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_1750 = bits(_T_1742, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_1743 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1744 = bits(_T_1742, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1745 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1746 = bits(_T_1742, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1747 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1748 = bits(_T_1742, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_1749 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_1750 = bits(_T_1742, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_1751 = mux(_T_1743, _T_1744, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1752 = mux(_T_1745, _T_1746, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1753 = mux(_T_1747, _T_1748, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2507,43 +2510,43 @@ circuit el2_lsu_bus_buffer : node _T_1757 = or(_T_1756, _T_1754) @[Mux.scala 27:72] wire _T_1758 : UInt<1> @[Mux.scala 27:72] _T_1758 <= _T_1757 @[Mux.scala 27:72] - node _T_1759 = and(_T_1739, _T_1758) @[el2_lsu_bus_buffer.scala 374:177] - node _T_1760 = or(_T_1681, _T_1759) @[el2_lsu_bus_buffer.scala 373:126] - node _T_1761 = and(_T_1616, _T_1760) @[el2_lsu_bus_buffer.scala 371:120] - node _T_1762 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 375:19] - node _T_1763 = and(_T_1762, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 375:35] - node _T_1764 = or(_T_1761, _T_1763) @[el2_lsu_bus_buffer.scala 374:251] - obuf_merge_en <= _T_1764 @[el2_lsu_bus_buffer.scala 370:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 377:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 377:55] - node _T_1765 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 378:58] - node _T_1766 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:93] - node _T_1767 = and(_T_1765, _T_1766) @[el2_lsu_bus_buffer.scala 378:91] - reg _T_1768 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 378:54] - _T_1768 <= _T_1767 @[el2_lsu_bus_buffer.scala 378:54] - obuf_valid <= _T_1768 @[el2_lsu_bus_buffer.scala 378:14] + node _T_1759 = and(_T_1739, _T_1758) @[el2_lsu_bus_buffer.scala 379:177] + node _T_1760 = or(_T_1681, _T_1759) @[el2_lsu_bus_buffer.scala 378:126] + node _T_1761 = and(_T_1616, _T_1760) @[el2_lsu_bus_buffer.scala 376:120] + node _T_1762 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 380:19] + node _T_1763 = and(_T_1762, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 380:35] + node _T_1764 = or(_T_1761, _T_1763) @[el2_lsu_bus_buffer.scala 379:251] + obuf_merge_en <= _T_1764 @[el2_lsu_bus_buffer.scala 375:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 382:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 382:55] + node _T_1765 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 383:58] + node _T_1766 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 383:93] + node _T_1767 = and(_T_1765, _T_1766) @[el2_lsu_bus_buffer.scala 383:91] + reg _T_1768 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 383:54] + _T_1768 <= _T_1767 @[el2_lsu_bus_buffer.scala 383:54] + obuf_valid <= _T_1768 @[el2_lsu_bus_buffer.scala 383:14] reg _T_1769 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1769 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1769 @[el2_lsu_bus_buffer.scala 379:15] - reg _T_1770 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 380:54] - _T_1770 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 380:54] - obuf_cmd_done <= _T_1770 @[el2_lsu_bus_buffer.scala 380:17] - reg _T_1771 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 381:55] - _T_1771 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 381:55] - obuf_data_done <= _T_1771 @[el2_lsu_bus_buffer.scala 381:18] - reg _T_1772 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 382:56] - _T_1772 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 382:56] - obuf_rdrsp_pend <= _T_1772 @[el2_lsu_bus_buffer.scala 382:19] - reg _T_1773 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 383:55] - _T_1773 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 383:55] - obuf_rdrsp_tag <= _T_1773 @[el2_lsu_bus_buffer.scala 383:18] + obuf_nosend <= _T_1769 @[el2_lsu_bus_buffer.scala 384:15] + reg _T_1770 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 385:54] + _T_1770 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 385:54] + obuf_cmd_done <= _T_1770 @[el2_lsu_bus_buffer.scala 385:17] + reg _T_1771 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 386:55] + _T_1771 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 386:55] + obuf_data_done <= _T_1771 @[el2_lsu_bus_buffer.scala 386:18] + reg _T_1772 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 387:56] + _T_1772 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 387:56] + obuf_rdrsp_pend <= _T_1772 @[el2_lsu_bus_buffer.scala 387:19] + reg _T_1773 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 388:55] + _T_1773 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 388:55] + obuf_rdrsp_tag <= _T_1773 @[el2_lsu_bus_buffer.scala 388:18] reg _T_1774 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1774 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1774 @[el2_lsu_bus_buffer.scala 384:13] + obuf_tag0 <= _T_1774 @[el2_lsu_bus_buffer.scala 389:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2556,12 +2559,12 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] _T_1775 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1775 @[el2_lsu_bus_buffer.scala 387:14] + obuf_write <= _T_1775 @[el2_lsu_bus_buffer.scala 392:14] reg _T_1776 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1776 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1776 @[el2_lsu_bus_buffer.scala 388:19] + obuf_sideeffect <= _T_1776 @[el2_lsu_bus_buffer.scala 393:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2574,7 +2577,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1777 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1777 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1777 @[el2_lsu_bus_buffer.scala 390:13] + obuf_addr <= _T_1777 @[el2_lsu_bus_buffer.scala 395:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2587,227 +2590,227 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 393:54] - _T_1778 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 393:54] - obuf_wr_timer <= _T_1778 @[el2_lsu_bus_buffer.scala 393:17] + reg _T_1778 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 398:54] + _T_1778 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 398:54] + obuf_wr_timer <= _T_1778 @[el2_lsu_bus_buffer.scala 398:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1779 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1781 = and(ibuf_valid, _T_1780) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1782 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1783 = and(io.lsu_busreq_r, _T_1782) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1784 = or(_T_1781, _T_1783) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1785 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:72] - node _T_1786 = and(io.ldst_dual_r, _T_1785) @[el2_lsu_bus_buffer.scala 396:60] - node _T_1787 = or(_T_1784, _T_1786) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1788 = eq(_T_1787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1789 = and(_T_1779, _T_1788) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1791 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1792 = and(ibuf_valid, _T_1791) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1793 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1794 = and(io.lsu_busreq_r, _T_1793) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1795 = or(_T_1792, _T_1794) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1796 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:72] - node _T_1797 = and(io.ldst_dual_r, _T_1796) @[el2_lsu_bus_buffer.scala 396:60] - node _T_1798 = or(_T_1795, _T_1797) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1800 = and(_T_1790, _T_1799) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1801 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1802 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1803 = and(ibuf_valid, _T_1802) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1804 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1805 = and(io.lsu_busreq_r, _T_1804) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1806 = or(_T_1803, _T_1805) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1807 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:72] - node _T_1808 = and(io.ldst_dual_r, _T_1807) @[el2_lsu_bus_buffer.scala 396:60] - node _T_1809 = or(_T_1806, _T_1808) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1810 = eq(_T_1809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1811 = and(_T_1801, _T_1810) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1812 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1813 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1814 = and(ibuf_valid, _T_1813) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1815 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1816 = and(io.lsu_busreq_r, _T_1815) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1817 = or(_T_1814, _T_1816) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1818 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:72] - node _T_1819 = and(io.ldst_dual_r, _T_1818) @[el2_lsu_bus_buffer.scala 396:60] - node _T_1820 = or(_T_1817, _T_1819) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1822 = and(_T_1812, _T_1821) @[el2_lsu_bus_buffer.scala 395:70] + node _T_1779 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] + node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:97] + node _T_1781 = and(ibuf_valid, _T_1780) @[el2_lsu_bus_buffer.scala 400:86] + node _T_1782 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:33] + node _T_1783 = and(io.lsu_busreq_r, _T_1782) @[el2_lsu_bus_buffer.scala 401:22] + node _T_1784 = or(_T_1781, _T_1783) @[el2_lsu_bus_buffer.scala 400:106] + node _T_1785 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:72] + node _T_1786 = and(io.ldst_dual_r, _T_1785) @[el2_lsu_bus_buffer.scala 401:60] + node _T_1787 = or(_T_1784, _T_1786) @[el2_lsu_bus_buffer.scala 401:42] + node _T_1788 = eq(_T_1787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] + node _T_1789 = and(_T_1779, _T_1788) @[el2_lsu_bus_buffer.scala 400:70] + node _T_1790 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] + node _T_1791 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 400:97] + node _T_1792 = and(ibuf_valid, _T_1791) @[el2_lsu_bus_buffer.scala 400:86] + node _T_1793 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 401:33] + node _T_1794 = and(io.lsu_busreq_r, _T_1793) @[el2_lsu_bus_buffer.scala 401:22] + node _T_1795 = or(_T_1792, _T_1794) @[el2_lsu_bus_buffer.scala 400:106] + node _T_1796 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 401:72] + node _T_1797 = and(io.ldst_dual_r, _T_1796) @[el2_lsu_bus_buffer.scala 401:60] + node _T_1798 = or(_T_1795, _T_1797) @[el2_lsu_bus_buffer.scala 401:42] + node _T_1799 = eq(_T_1798, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] + node _T_1800 = and(_T_1790, _T_1799) @[el2_lsu_bus_buffer.scala 400:70] + node _T_1801 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] + node _T_1802 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 400:97] + node _T_1803 = and(ibuf_valid, _T_1802) @[el2_lsu_bus_buffer.scala 400:86] + node _T_1804 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 401:33] + node _T_1805 = and(io.lsu_busreq_r, _T_1804) @[el2_lsu_bus_buffer.scala 401:22] + node _T_1806 = or(_T_1803, _T_1805) @[el2_lsu_bus_buffer.scala 400:106] + node _T_1807 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 401:72] + node _T_1808 = and(io.ldst_dual_r, _T_1807) @[el2_lsu_bus_buffer.scala 401:60] + node _T_1809 = or(_T_1806, _T_1808) @[el2_lsu_bus_buffer.scala 401:42] + node _T_1810 = eq(_T_1809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] + node _T_1811 = and(_T_1801, _T_1810) @[el2_lsu_bus_buffer.scala 400:70] + node _T_1812 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 400:59] + node _T_1813 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 400:97] + node _T_1814 = and(ibuf_valid, _T_1813) @[el2_lsu_bus_buffer.scala 400:86] + node _T_1815 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 401:33] + node _T_1816 = and(io.lsu_busreq_r, _T_1815) @[el2_lsu_bus_buffer.scala 401:22] + node _T_1817 = or(_T_1814, _T_1816) @[el2_lsu_bus_buffer.scala 400:106] + node _T_1818 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 401:72] + node _T_1819 = and(io.ldst_dual_r, _T_1818) @[el2_lsu_bus_buffer.scala 401:60] + node _T_1820 = or(_T_1817, _T_1819) @[el2_lsu_bus_buffer.scala 401:42] + node _T_1821 = eq(_T_1820, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 400:72] + node _T_1822 = and(_T_1812, _T_1821) @[el2_lsu_bus_buffer.scala 400:70] node _T_1823 = mux(_T_1822, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1824 = mux(_T_1811, UInt<2>("h02"), _T_1823) @[Mux.scala 98:16] node _T_1825 = mux(_T_1800, UInt<1>("h01"), _T_1824) @[Mux.scala 98:16] node _T_1826 = mux(_T_1789, UInt<1>("h00"), _T_1825) @[Mux.scala 98:16] - WrPtr0_m <= _T_1826 @[el2_lsu_bus_buffer.scala 397:12] - node _T_1827 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] - node _T_1828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:97] - node _T_1829 = and(ibuf_valid, _T_1828) @[el2_lsu_bus_buffer.scala 398:86] - node _T_1830 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:33] - node _T_1831 = and(io.lsu_busreq_m, _T_1830) @[el2_lsu_bus_buffer.scala 399:22] - node _T_1832 = or(_T_1829, _T_1831) @[el2_lsu_bus_buffer.scala 398:106] - node _T_1833 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:73] - node _T_1834 = and(io.lsu_busreq_r, _T_1833) @[el2_lsu_bus_buffer.scala 399:61] - node _T_1835 = or(_T_1832, _T_1834) @[el2_lsu_bus_buffer.scala 399:42] - node _T_1836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:112] - node _T_1837 = and(io.ldst_dual_r, _T_1836) @[el2_lsu_bus_buffer.scala 399:101] - node _T_1838 = or(_T_1835, _T_1837) @[el2_lsu_bus_buffer.scala 399:83] - node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] - node _T_1840 = and(_T_1827, _T_1839) @[el2_lsu_bus_buffer.scala 398:70] - node _T_1841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] - node _T_1842 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 398:97] - node _T_1843 = and(ibuf_valid, _T_1842) @[el2_lsu_bus_buffer.scala 398:86] - node _T_1844 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 399:33] - node _T_1845 = and(io.lsu_busreq_m, _T_1844) @[el2_lsu_bus_buffer.scala 399:22] - node _T_1846 = or(_T_1843, _T_1845) @[el2_lsu_bus_buffer.scala 398:106] - node _T_1847 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 399:73] - node _T_1848 = and(io.lsu_busreq_r, _T_1847) @[el2_lsu_bus_buffer.scala 399:61] - node _T_1849 = or(_T_1846, _T_1848) @[el2_lsu_bus_buffer.scala 399:42] - node _T_1850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 399:112] - node _T_1851 = and(io.ldst_dual_r, _T_1850) @[el2_lsu_bus_buffer.scala 399:101] - node _T_1852 = or(_T_1849, _T_1851) @[el2_lsu_bus_buffer.scala 399:83] - node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] - node _T_1854 = and(_T_1841, _T_1853) @[el2_lsu_bus_buffer.scala 398:70] - node _T_1855 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] - node _T_1856 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 398:97] - node _T_1857 = and(ibuf_valid, _T_1856) @[el2_lsu_bus_buffer.scala 398:86] - node _T_1858 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 399:33] - node _T_1859 = and(io.lsu_busreq_m, _T_1858) @[el2_lsu_bus_buffer.scala 399:22] - node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 398:106] - node _T_1861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 399:73] - node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 399:61] - node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 399:42] - node _T_1864 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 399:112] - node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 399:101] - node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 399:83] - node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] - node _T_1868 = and(_T_1855, _T_1867) @[el2_lsu_bus_buffer.scala 398:70] - node _T_1869 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 398:59] - node _T_1870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 398:97] - node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 398:86] - node _T_1872 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 399:33] - node _T_1873 = and(io.lsu_busreq_m, _T_1872) @[el2_lsu_bus_buffer.scala 399:22] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 398:106] - node _T_1875 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 399:73] - node _T_1876 = and(io.lsu_busreq_r, _T_1875) @[el2_lsu_bus_buffer.scala 399:61] - node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 399:42] - node _T_1878 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 399:112] - node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 399:101] - node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 399:83] - node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:72] - node _T_1882 = and(_T_1869, _T_1881) @[el2_lsu_bus_buffer.scala 398:70] + WrPtr0_m <= _T_1826 @[el2_lsu_bus_buffer.scala 402:12] + node _T_1827 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1829 = and(ibuf_valid, _T_1828) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1830 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1831 = and(io.lsu_busreq_m, _T_1830) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1832 = or(_T_1829, _T_1831) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1833 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1834 = and(io.lsu_busreq_r, _T_1833) @[el2_lsu_bus_buffer.scala 404:61] + node _T_1835 = or(_T_1832, _T_1834) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1836 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 404:112] + node _T_1837 = and(io.ldst_dual_r, _T_1836) @[el2_lsu_bus_buffer.scala 404:101] + node _T_1838 = or(_T_1835, _T_1837) @[el2_lsu_bus_buffer.scala 404:83] + node _T_1839 = eq(_T_1838, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1840 = and(_T_1827, _T_1839) @[el2_lsu_bus_buffer.scala 403:70] + node _T_1841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1842 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1843 = and(ibuf_valid, _T_1842) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1844 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1845 = and(io.lsu_busreq_m, _T_1844) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1846 = or(_T_1843, _T_1845) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1847 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1848 = and(io.lsu_busreq_r, _T_1847) @[el2_lsu_bus_buffer.scala 404:61] + node _T_1849 = or(_T_1846, _T_1848) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1850 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 404:112] + node _T_1851 = and(io.ldst_dual_r, _T_1850) @[el2_lsu_bus_buffer.scala 404:101] + node _T_1852 = or(_T_1849, _T_1851) @[el2_lsu_bus_buffer.scala 404:83] + node _T_1853 = eq(_T_1852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1854 = and(_T_1841, _T_1853) @[el2_lsu_bus_buffer.scala 403:70] + node _T_1855 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1856 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1857 = and(ibuf_valid, _T_1856) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1858 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1859 = and(io.lsu_busreq_m, _T_1858) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 404:61] + node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1864 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 404:112] + node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 404:101] + node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 404:83] + node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1868 = and(_T_1855, _T_1867) @[el2_lsu_bus_buffer.scala 403:70] + node _T_1869 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 403:59] + node _T_1870 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 403:97] + node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 403:86] + node _T_1872 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:33] + node _T_1873 = and(io.lsu_busreq_m, _T_1872) @[el2_lsu_bus_buffer.scala 404:22] + node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 403:106] + node _T_1875 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:73] + node _T_1876 = and(io.lsu_busreq_r, _T_1875) @[el2_lsu_bus_buffer.scala 404:61] + node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 404:42] + node _T_1878 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 404:112] + node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 404:101] + node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 404:83] + node _T_1881 = eq(_T_1880, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:72] + node _T_1882 = and(_T_1869, _T_1881) @[el2_lsu_bus_buffer.scala 403:70] node _T_1883 = mux(_T_1882, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1884 = mux(_T_1868, UInt<2>("h02"), _T_1883) @[Mux.scala 98:16] node _T_1885 = mux(_T_1854, UInt<1>("h01"), _T_1884) @[Mux.scala 98:16] node WrPtr1_m = mux(_T_1840, UInt<1>("h00"), _T_1885) @[Mux.scala 98:16] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 402:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 403:11] - node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 405:58] - node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] - node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 405:63] - node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] - node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 405:88] - node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 405:58] - node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] - node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 405:63] - node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] - node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 405:88] - node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 405:58] - node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] - node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 405:63] - node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] - node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 405:88] - node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 405:58] - node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:45] - node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 405:78] - node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 405:63] - node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:90] - node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 405:88] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 407:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:11] + node _T_1886 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 410:58] + node _T_1887 = eq(_T_1886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] + node _T_1888 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] + node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 410:63] + node _T_1890 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] + node _T_1891 = and(_T_1889, _T_1890) @[el2_lsu_bus_buffer.scala 410:88] + node _T_1892 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 410:58] + node _T_1893 = eq(_T_1892, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] + node _T_1894 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] + node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 410:63] + node _T_1896 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] + node _T_1897 = and(_T_1895, _T_1896) @[el2_lsu_bus_buffer.scala 410:88] + node _T_1898 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 410:58] + node _T_1899 = eq(_T_1898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] + node _T_1900 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] + node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 410:63] + node _T_1902 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] + node _T_1903 = and(_T_1901, _T_1902) @[el2_lsu_bus_buffer.scala 410:88] + node _T_1904 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 410:58] + node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:45] + node _T_1906 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 410:78] + node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 410:63] + node _T_1908 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 410:90] + node _T_1909 = and(_T_1907, _T_1908) @[el2_lsu_bus_buffer.scala 410:88] node _T_1910 = cat(_T_1909, _T_1903) @[Cat.scala 29:58] node _T_1911 = cat(_T_1910, _T_1897) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_1911, _T_1891) @[Cat.scala 29:58] - node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] - node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 406:76] - node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] - node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 406:94] - node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] - node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 406:81] - node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] - node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 406:98] - node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] - node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 406:123] - node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] - node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 406:76] - node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] - node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 406:94] - node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] - node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 406:81] - node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] - node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 406:98] - node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] - node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 406:123] - node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] - node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 406:76] - node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] - node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 406:94] - node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] - node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 406:81] - node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] - node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 406:98] - node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] - node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 406:123] - node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:62] - node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 406:59] - node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 406:76] - node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:45] - node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 406:94] - node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:83] - node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 406:81] - node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 406:113] - node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 406:98] - node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 406:125] - node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 406:123] + node _T_1912 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] + node _T_1913 = and(buf_age[0], _T_1912) @[el2_lsu_bus_buffer.scala 411:59] + node _T_1914 = orr(_T_1913) @[el2_lsu_bus_buffer.scala 411:76] + node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] + node _T_1916 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 411:94] + node _T_1917 = eq(_T_1916, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] + node _T_1918 = and(_T_1915, _T_1917) @[el2_lsu_bus_buffer.scala 411:81] + node _T_1919 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] + node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 411:98] + node _T_1921 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] + node _T_1922 = and(_T_1920, _T_1921) @[el2_lsu_bus_buffer.scala 411:123] + node _T_1923 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] + node _T_1924 = and(buf_age[1], _T_1923) @[el2_lsu_bus_buffer.scala 411:59] + node _T_1925 = orr(_T_1924) @[el2_lsu_bus_buffer.scala 411:76] + node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] + node _T_1927 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 411:94] + node _T_1928 = eq(_T_1927, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] + node _T_1929 = and(_T_1926, _T_1928) @[el2_lsu_bus_buffer.scala 411:81] + node _T_1930 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] + node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 411:98] + node _T_1932 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] + node _T_1933 = and(_T_1931, _T_1932) @[el2_lsu_bus_buffer.scala 411:123] + node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] + node _T_1935 = and(buf_age[2], _T_1934) @[el2_lsu_bus_buffer.scala 411:59] + node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 411:76] + node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] + node _T_1938 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 411:94] + node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] + node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 411:81] + node _T_1941 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] + node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 411:98] + node _T_1943 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] + node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 411:123] + node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 411:62] + node _T_1946 = and(buf_age[3], _T_1945) @[el2_lsu_bus_buffer.scala 411:59] + node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 411:76] + node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:45] + node _T_1949 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 411:94] + node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:83] + node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 411:81] + node _T_1952 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 411:113] + node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 411:98] + node _T_1954 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 411:125] + node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 411:123] node _T_1956 = cat(_T_1955, _T_1944) @[Cat.scala 29:58] node _T_1957 = cat(_T_1956, _T_1933) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_1957, _T_1922) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 407:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 408:19] - node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 409:65] - node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] - node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] - node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 409:70] - node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 409:65] - node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] - node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] - node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 409:70] - node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 409:65] - node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] - node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] - node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 409:70] - node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 409:65] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 409:44] - node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 409:85] - node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 409:70] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 412:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:19] + node _T_1958 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 414:65] + node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] + node _T_1960 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] + node _T_1961 = and(_T_1959, _T_1960) @[el2_lsu_bus_buffer.scala 414:70] + node _T_1962 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 414:65] + node _T_1963 = eq(_T_1962, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] + node _T_1964 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] + node _T_1965 = and(_T_1963, _T_1964) @[el2_lsu_bus_buffer.scala 414:70] + node _T_1966 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 414:65] + node _T_1967 = eq(_T_1966, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] + node _T_1968 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] + node _T_1969 = and(_T_1967, _T_1968) @[el2_lsu_bus_buffer.scala 414:70] + node _T_1970 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 414:65] + node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:44] + node _T_1972 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 414:85] + node _T_1973 = and(_T_1971, _T_1972) @[el2_lsu_bus_buffer.scala 414:70] node _T_1974 = cat(_T_1973, _T_1969) @[Cat.scala 29:58] node _T_1975 = cat(_T_1974, _T_1965) @[Cat.scala 29:58] node RspPtrDec = cat(_T_1975, _T_1961) @[Cat.scala 29:58] - node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 410:31] - found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 410:17] - node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 411:31] - found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 411:17] + node _T_1976 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 415:31] + found_cmdptr0 <= _T_1976 @[el2_lsu_bus_buffer.scala 415:17] + node _T_1977 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 416:31] + found_cmdptr1 <= _T_1977 @[el2_lsu_bus_buffer.scala 416:17] wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") wire CmdPtr1 : UInt<2> @@ -2816,1665 +2819,1665 @@ circuit el2_lsu_bus_buffer : RspPtr <= UInt<1>("h00") node _T_1978 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_1979 = cat(_T_1978, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 413:39] - node _T_1981 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 413:45] - node _T_1982 = or(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 413:42] - node _T_1983 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 413:51] - node _T_1984 = or(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 413:48] - node _T_1985 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 413:57] - node _T_1986 = or(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 413:54] - node _T_1987 = bits(_T_1979, 2, 2) @[el2_lsu_bus_buffer.scala 413:64] - node _T_1988 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 413:70] - node _T_1989 = or(_T_1987, _T_1988) @[el2_lsu_bus_buffer.scala 413:67] - node _T_1990 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 413:76] - node _T_1991 = or(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 413:73] - node _T_1992 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 413:82] - node _T_1993 = or(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 413:79] - node _T_1994 = bits(_T_1979, 1, 1) @[el2_lsu_bus_buffer.scala 413:89] - node _T_1995 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 413:95] - node _T_1996 = or(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 413:92] - node _T_1997 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 413:101] - node _T_1998 = or(_T_1996, _T_1997) @[el2_lsu_bus_buffer.scala 413:98] - node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 413:107] - node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 413:104] + node _T_1980 = bits(_T_1979, 4, 4) @[el2_lsu_bus_buffer.scala 418:39] + node _T_1981 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 418:45] + node _T_1982 = or(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 418:42] + node _T_1983 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 418:51] + node _T_1984 = or(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 418:48] + node _T_1985 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 418:57] + node _T_1986 = or(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 418:54] + node _T_1987 = bits(_T_1979, 2, 2) @[el2_lsu_bus_buffer.scala 418:64] + node _T_1988 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 418:70] + node _T_1989 = or(_T_1987, _T_1988) @[el2_lsu_bus_buffer.scala 418:67] + node _T_1990 = bits(_T_1979, 6, 6) @[el2_lsu_bus_buffer.scala 418:76] + node _T_1991 = or(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 418:73] + node _T_1992 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 418:82] + node _T_1993 = or(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 418:79] + node _T_1994 = bits(_T_1979, 1, 1) @[el2_lsu_bus_buffer.scala 418:89] + node _T_1995 = bits(_T_1979, 3, 3) @[el2_lsu_bus_buffer.scala 418:95] + node _T_1996 = or(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 418:92] + node _T_1997 = bits(_T_1979, 5, 5) @[el2_lsu_bus_buffer.scala 418:101] + node _T_1998 = or(_T_1996, _T_1997) @[el2_lsu_bus_buffer.scala 418:98] + node _T_1999 = bits(_T_1979, 7, 7) @[el2_lsu_bus_buffer.scala 418:107] + node _T_2000 = or(_T_1998, _T_1999) @[el2_lsu_bus_buffer.scala 418:104] node _T_2001 = cat(_T_1986, _T_1993) @[Cat.scala 29:58] node _T_2002 = cat(_T_2001, _T_2000) @[Cat.scala 29:58] - CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 418:11] + CmdPtr0 <= _T_2002 @[el2_lsu_bus_buffer.scala 423:11] node _T_2003 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2004 = cat(_T_2003, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 413:39] - node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 413:45] - node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 413:42] - node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 413:51] - node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 413:48] - node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 413:57] - node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 413:54] - node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 413:64] - node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 413:70] - node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 413:67] - node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 413:76] - node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 413:73] - node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 413:82] - node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 413:79] - node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 413:89] - node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 413:95] - node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 413:92] - node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 413:101] - node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 413:98] - node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 413:107] - node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 413:104] + node _T_2005 = bits(_T_2004, 4, 4) @[el2_lsu_bus_buffer.scala 418:39] + node _T_2006 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 418:45] + node _T_2007 = or(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 418:42] + node _T_2008 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 418:51] + node _T_2009 = or(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 418:48] + node _T_2010 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 418:57] + node _T_2011 = or(_T_2009, _T_2010) @[el2_lsu_bus_buffer.scala 418:54] + node _T_2012 = bits(_T_2004, 2, 2) @[el2_lsu_bus_buffer.scala 418:64] + node _T_2013 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 418:70] + node _T_2014 = or(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 418:67] + node _T_2015 = bits(_T_2004, 6, 6) @[el2_lsu_bus_buffer.scala 418:76] + node _T_2016 = or(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 418:73] + node _T_2017 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 418:82] + node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 418:79] + node _T_2019 = bits(_T_2004, 1, 1) @[el2_lsu_bus_buffer.scala 418:89] + node _T_2020 = bits(_T_2004, 3, 3) @[el2_lsu_bus_buffer.scala 418:95] + node _T_2021 = or(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 418:92] + node _T_2022 = bits(_T_2004, 5, 5) @[el2_lsu_bus_buffer.scala 418:101] + node _T_2023 = or(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 418:98] + node _T_2024 = bits(_T_2004, 7, 7) @[el2_lsu_bus_buffer.scala 418:107] + node _T_2025 = or(_T_2023, _T_2024) @[el2_lsu_bus_buffer.scala 418:104] node _T_2026 = cat(_T_2011, _T_2018) @[Cat.scala 29:58] node _T_2027 = cat(_T_2026, _T_2025) @[Cat.scala 29:58] - CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 420:11] + CmdPtr1 <= _T_2027 @[el2_lsu_bus_buffer.scala 425:11] node _T_2028 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2029 = cat(_T_2028, RspPtrDec) @[Cat.scala 29:58] - node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 413:39] - node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 413:45] - node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 413:42] - node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 413:51] - node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 413:48] - node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 413:57] - node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 413:54] - node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 413:64] - node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 413:70] - node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 413:67] - node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 413:76] - node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 413:73] - node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 413:82] - node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 413:79] - node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 413:89] - node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 413:95] - node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 413:92] - node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 413:101] - node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 413:98] - node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 413:107] - node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 413:104] + node _T_2030 = bits(_T_2029, 4, 4) @[el2_lsu_bus_buffer.scala 418:39] + node _T_2031 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 418:45] + node _T_2032 = or(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 418:42] + node _T_2033 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 418:51] + node _T_2034 = or(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 418:48] + node _T_2035 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 418:57] + node _T_2036 = or(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 418:54] + node _T_2037 = bits(_T_2029, 2, 2) @[el2_lsu_bus_buffer.scala 418:64] + node _T_2038 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 418:70] + node _T_2039 = or(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 418:67] + node _T_2040 = bits(_T_2029, 6, 6) @[el2_lsu_bus_buffer.scala 418:76] + node _T_2041 = or(_T_2039, _T_2040) @[el2_lsu_bus_buffer.scala 418:73] + node _T_2042 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 418:82] + node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 418:79] + node _T_2044 = bits(_T_2029, 1, 1) @[el2_lsu_bus_buffer.scala 418:89] + node _T_2045 = bits(_T_2029, 3, 3) @[el2_lsu_bus_buffer.scala 418:95] + node _T_2046 = or(_T_2044, _T_2045) @[el2_lsu_bus_buffer.scala 418:92] + node _T_2047 = bits(_T_2029, 5, 5) @[el2_lsu_bus_buffer.scala 418:101] + node _T_2048 = or(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 418:98] + node _T_2049 = bits(_T_2029, 7, 7) @[el2_lsu_bus_buffer.scala 418:107] + node _T_2050 = or(_T_2048, _T_2049) @[el2_lsu_bus_buffer.scala 418:104] node _T_2051 = cat(_T_2036, _T_2043) @[Cat.scala 29:58] node _T_2052 = cat(_T_2051, _T_2050) @[Cat.scala 29:58] - RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 421:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 422:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 423:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 424:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 426:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 428:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 429:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 430:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 431:14] - node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 436:97] + RspPtr <= _T_2052 @[el2_lsu_bus_buffer.scala 426:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 427:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 429:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 431:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 433:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 435:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:14] + node _T_2053 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2054 = and(_T_2053, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2055 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2056 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2057 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2058 = and(_T_2056, _T_2057) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2059 = or(_T_2055, _T_2058) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2060 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2061 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2063 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2065 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2066 = and(_T_2064, _T_2065) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2067 = or(_T_2059, _T_2066) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2068 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2069 = and(_T_2068, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2070 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2071 = and(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2072 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2074 = or(_T_2067, _T_2073) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2075 = and(_T_2054, _T_2074) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2076 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2078 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2079 = and(_T_2078, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2080 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2081 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2082 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2083 = and(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2084 = or(_T_2080, _T_2083) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2088 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2091 = and(_T_2089, _T_2090) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2092 = or(_T_2084, _T_2091) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2094 = and(_T_2093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2095 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2096 = and(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2099 = or(_T_2092, _T_2098) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2100 = and(_T_2079, _T_2099) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2101 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2103 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2104 = and(_T_2103, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2105 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2106 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2107 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2108 = and(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2109 = or(_T_2105, _T_2108) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2110 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2111 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2112 = and(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2113 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2115 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2117 = or(_T_2109, _T_2116) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2118 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2119 = and(_T_2118, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2120 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2121 = and(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2122 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2123 = and(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2124 = or(_T_2117, _T_2123) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2125 = and(_T_2104, _T_2124) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2126 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2130 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2131 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2132 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2134 = or(_T_2130, _T_2133) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2140 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2142 = or(_T_2134, _T_2141) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2146 = and(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2147 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2149 = or(_T_2142, _T_2148) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2150 = and(_T_2129, _T_2149) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2151 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2152 = or(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 441:97] node _T_2153 = cat(_T_2152, _T_2127) @[Cat.scala 29:58] node _T_2154 = cat(_T_2153, _T_2102) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2154, _T_2077) @[Cat.scala 29:58] - node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2155 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2156 = and(_T_2155, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2157 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2158 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2159 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2161 = or(_T_2157, _T_2160) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2165 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2167 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2169 = or(_T_2161, _T_2168) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2171 = and(_T_2170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2172 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2174 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2176 = or(_T_2169, _T_2175) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2177 = and(_T_2156, _T_2176) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2178 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2179 = or(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2180 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2181 = and(_T_2180, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2182 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2183 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2184 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2186 = or(_T_2182, _T_2185) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2187 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2188 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2190 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2192 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2194 = or(_T_2186, _T_2193) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2195 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2196 = and(_T_2195, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2197 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2199 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2201 = or(_T_2194, _T_2200) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2202 = and(_T_2181, _T_2201) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2203 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2204 = or(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2205 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2206 = and(_T_2205, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2207 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2208 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2209 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2211 = or(_T_2207, _T_2210) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2212 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2213 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2214 = and(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2215 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2217 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2219 = or(_T_2211, _T_2218) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2220 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2221 = and(_T_2220, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2222 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2224 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2226 = or(_T_2219, _T_2225) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2227 = and(_T_2206, _T_2226) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2228 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2229 = or(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2232 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2233 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2234 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2236 = or(_T_2232, _T_2235) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2242 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2244 = or(_T_2236, _T_2243) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2248 = and(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2249 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2251 = or(_T_2244, _T_2250) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2252 = and(_T_2231, _T_2251) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2253 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2254 = or(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 441:97] node _T_2255 = cat(_T_2254, _T_2229) @[Cat.scala 29:58] node _T_2256 = cat(_T_2255, _T_2204) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2256, _T_2179) @[Cat.scala 29:58] - node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2258 = and(_T_2257, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2259 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2260 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2261 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2263 = or(_T_2259, _T_2262) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2264 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2265 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2269 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2271 = or(_T_2263, _T_2270) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2272 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2273 = and(_T_2272, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2274 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2276 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2278 = or(_T_2271, _T_2277) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2279 = and(_T_2258, _T_2278) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2280 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2281 = or(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2283 = and(_T_2282, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2284 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2285 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2286 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2288 = or(_T_2284, _T_2287) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2289 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2290 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2292 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2294 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2296 = or(_T_2288, _T_2295) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2297 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2298 = and(_T_2297, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2299 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2301 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2303 = or(_T_2296, _T_2302) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2304 = and(_T_2283, _T_2303) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2305 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2306 = or(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2307 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2308 = and(_T_2307, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2309 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2310 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2311 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2313 = or(_T_2309, _T_2312) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2314 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2315 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2316 = and(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2317 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2319 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2321 = or(_T_2313, _T_2320) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2322 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2323 = and(_T_2322, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2324 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2326 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2328 = or(_T_2321, _T_2327) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2329 = and(_T_2308, _T_2328) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2330 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2331 = or(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2334 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2335 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2336 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2338 = or(_T_2334, _T_2337) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2344 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2346 = or(_T_2338, _T_2345) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2350 = and(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2351 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2353 = or(_T_2346, _T_2352) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2354 = and(_T_2333, _T_2353) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2355 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2356 = or(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 441:97] node _T_2357 = cat(_T_2356, _T_2331) @[Cat.scala 29:58] node _T_2358 = cat(_T_2357, _T_2306) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2358, _T_2281) @[Cat.scala 29:58] - node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 436:97] - node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:83] - node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:94] - node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 434:20] - node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 434:47] - node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:59] - node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 434:57] - node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 434:31] - node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:23] - node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:53] - node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 435:41] - node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:83] - node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 435:71] - node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:104] - node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 434:86] - node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:17] - node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:35] - node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:64] - node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 436:52] - node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:85] - node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 435:114] - node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 433:113] - node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 436:109] - node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 436:97] + node _T_2359 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2360 = and(_T_2359, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2361 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2362 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2363 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2365 = or(_T_2361, _T_2364) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2366 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2367 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2369 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2371 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2373 = or(_T_2365, _T_2372) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2374 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2375 = and(_T_2374, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2376 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2378 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2380 = or(_T_2373, _T_2379) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2381 = and(_T_2360, _T_2380) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2382 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2383 = or(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2384 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2385 = and(_T_2384, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2386 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2387 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2388 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2390 = or(_T_2386, _T_2389) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2391 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2392 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2396 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2398 = or(_T_2390, _T_2397) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2399 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2400 = and(_T_2399, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2401 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2403 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2405 = or(_T_2398, _T_2404) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2406 = and(_T_2385, _T_2405) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2407 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2408 = or(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2409 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2410 = and(_T_2409, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2411 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2412 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2413 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2415 = or(_T_2411, _T_2414) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2416 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2417 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2418 = and(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2419 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2421 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2423 = or(_T_2415, _T_2422) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2424 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2425 = and(_T_2424, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2426 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2428 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2430 = or(_T_2423, _T_2429) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2431 = and(_T_2410, _T_2430) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2432 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2433 = or(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 441:97] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 438:94] + node _T_2436 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 439:20] + node _T_2437 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:47] + node _T_2438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:59] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 439:57] + node _T_2440 = or(_T_2436, _T_2439) @[el2_lsu_bus_buffer.scala 439:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 440:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 440:53] + node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 440:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:83] + node _T_2445 = and(_T_2443, _T_2444) @[el2_lsu_bus_buffer.scala 440:71] + node _T_2446 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:104] + node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 440:92] + node _T_2448 = or(_T_2440, _T_2447) @[el2_lsu_bus_buffer.scala 439:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 441:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 441:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:64] + node _T_2452 = and(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 441:52] + node _T_2453 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 441:85] + node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 441:73] + node _T_2455 = or(_T_2448, _T_2454) @[el2_lsu_bus_buffer.scala 440:114] + node _T_2456 = and(_T_2435, _T_2455) @[el2_lsu_bus_buffer.scala 438:113] + node _T_2457 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 441:109] + node _T_2458 = or(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 441:97] node _T_2459 = cat(_T_2458, _T_2433) @[Cat.scala 29:58] node _T_2460 = cat(_T_2459, _T_2408) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2460, _T_2383) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 437:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 438:12] - node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 439:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 442:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 443:12] + node _T_2461 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2463 = and(_T_2462, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2464 = and(_T_2461, _T_2463) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2465 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2467 = and(_T_2466, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2468 = and(_T_2465, _T_2467) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2469 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2470 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2471 = and(_T_2470, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2472 = and(_T_2469, _T_2471) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2473 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2475 = and(_T_2474, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2476 = and(_T_2473, _T_2475) @[el2_lsu_bus_buffer.scala 444:78] node _T_2477 = cat(_T_2476, _T_2472) @[Cat.scala 29:58] node _T_2478 = cat(_T_2477, _T_2468) @[Cat.scala 29:58] node _T_2479 = cat(_T_2478, _T_2464) @[Cat.scala 29:58] - node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2480 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2481 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2482 = and(_T_2481, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2483 = and(_T_2480, _T_2482) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2484 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2485 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2486 = and(_T_2485, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2487 = and(_T_2484, _T_2486) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2488 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2489 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2490 = and(_T_2489, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2491 = and(_T_2488, _T_2490) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2492 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2494 = and(_T_2493, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2495 = and(_T_2492, _T_2494) @[el2_lsu_bus_buffer.scala 444:78] node _T_2496 = cat(_T_2495, _T_2491) @[Cat.scala 29:58] node _T_2497 = cat(_T_2496, _T_2487) @[Cat.scala 29:58] node _T_2498 = cat(_T_2497, _T_2483) @[Cat.scala 29:58] - node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2499 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2500 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2501 = and(_T_2500, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2502 = and(_T_2499, _T_2501) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2503 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2504 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2505 = and(_T_2504, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2506 = and(_T_2503, _T_2505) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2507 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2509 = and(_T_2508, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2510 = and(_T_2507, _T_2509) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2511 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2513 = and(_T_2512, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2514 = and(_T_2511, _T_2513) @[el2_lsu_bus_buffer.scala 444:78] node _T_2515 = cat(_T_2514, _T_2510) @[Cat.scala 29:58] node _T_2516 = cat(_T_2515, _T_2506) @[Cat.scala 29:58] node _T_2517 = cat(_T_2516, _T_2502) @[Cat.scala 29:58] - node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 439:78] - node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 439:74] - node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 439:104] - node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 439:78] + node _T_2518 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2519 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2520 = and(_T_2519, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2521 = and(_T_2518, _T_2520) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2522 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2523 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2524 = and(_T_2523, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2525 = and(_T_2522, _T_2524) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2526 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2527 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2528 = and(_T_2527, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2529 = and(_T_2526, _T_2528) @[el2_lsu_bus_buffer.scala 444:78] + node _T_2530 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 444:74] + node _T_2531 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 444:94] + node _T_2532 = and(_T_2531, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 444:104] + node _T_2533 = and(_T_2530, _T_2532) @[el2_lsu_bus_buffer.scala 444:78] node _T_2534 = cat(_T_2533, _T_2529) @[Cat.scala 29:58] node _T_2535 = cat(_T_2534, _T_2525) @[Cat.scala 29:58] node _T_2536 = cat(_T_2535, _T_2521) @[Cat.scala 29:58] - buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 439:13] - buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 439:13] - buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 439:13] - buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 439:13] - node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 440:74] + buf_age[0] <= _T_2479 @[el2_lsu_bus_buffer.scala 444:13] + buf_age[1] <= _T_2498 @[el2_lsu_bus_buffer.scala 444:13] + buf_age[2] <= _T_2517 @[el2_lsu_bus_buffer.scala 444:13] + buf_age[3] <= _T_2536 @[el2_lsu_bus_buffer.scala 444:13] + node _T_2537 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2538 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2540 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2542 = mux(_T_2537, UInt<1>("h00"), _T_2541) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2543 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2544 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2545 = eq(_T_2544, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2546 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2547 = and(_T_2545, _T_2546) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2548 = mux(_T_2543, UInt<1>("h00"), _T_2547) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2549 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2550 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2552 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2553 = and(_T_2551, _T_2552) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2554 = mux(_T_2549, UInt<1>("h00"), _T_2553) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2555 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2556 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2557 = eq(_T_2556, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2558 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2559 = and(_T_2557, _T_2558) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2560 = mux(_T_2555, UInt<1>("h00"), _T_2559) @[el2_lsu_bus_buffer.scala 445:74] node _T_2561 = cat(_T_2560, _T_2554) @[Cat.scala 29:58] node _T_2562 = cat(_T_2561, _T_2548) @[Cat.scala 29:58] node _T_2563 = cat(_T_2562, _T_2542) @[Cat.scala 29:58] - node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2564 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2565 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2567 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2568 = and(_T_2566, _T_2567) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2569 = mux(_T_2564, UInt<1>("h00"), _T_2568) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2570 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2571 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2573 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2574 = and(_T_2572, _T_2573) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2575 = mux(_T_2570, UInt<1>("h00"), _T_2574) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2576 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2577 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2578 = eq(_T_2577, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2579 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2580 = and(_T_2578, _T_2579) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2581 = mux(_T_2576, UInt<1>("h00"), _T_2580) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2582 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2583 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2585 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2586 = and(_T_2584, _T_2585) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2587 = mux(_T_2582, UInt<1>("h00"), _T_2586) @[el2_lsu_bus_buffer.scala 445:74] node _T_2588 = cat(_T_2587, _T_2581) @[Cat.scala 29:58] node _T_2589 = cat(_T_2588, _T_2575) @[Cat.scala 29:58] node _T_2590 = cat(_T_2589, _T_2569) @[Cat.scala 29:58] - node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2591 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2592 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2594 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2595 = and(_T_2593, _T_2594) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2596 = mux(_T_2591, UInt<1>("h00"), _T_2595) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2597 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2598 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2599 = eq(_T_2598, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2600 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2601 = and(_T_2599, _T_2600) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2602 = mux(_T_2597, UInt<1>("h00"), _T_2601) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2603 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2604 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2606 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2607 = and(_T_2605, _T_2606) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2608 = mux(_T_2603, UInt<1>("h00"), _T_2607) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2609 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2610 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2612 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2613 = and(_T_2611, _T_2612) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2614 = mux(_T_2609, UInt<1>("h00"), _T_2613) @[el2_lsu_bus_buffer.scala 445:74] node _T_2615 = cat(_T_2614, _T_2608) @[Cat.scala 29:58] node _T_2616 = cat(_T_2615, _T_2602) @[Cat.scala 29:58] node _T_2617 = cat(_T_2616, _T_2596) @[Cat.scala 29:58] - node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 440:74] - node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 440:78] - node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 440:102] - node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:91] - node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 440:121] - node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 440:106] - node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 440:74] + node _T_2618 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2619 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2621 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2622 = and(_T_2620, _T_2621) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2623 = mux(_T_2618, UInt<1>("h00"), _T_2622) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2624 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2625 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2627 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2630 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2631 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2633 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 445:74] + node _T_2636 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:78] + node _T_2637 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 445:102] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:91] + node _T_2639 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 445:106] + node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 445:74] node _T_2642 = cat(_T_2641, _T_2635) @[Cat.scala 29:58] node _T_2643 = cat(_T_2642, _T_2629) @[Cat.scala 29:58] node _T_2644 = cat(_T_2643, _T_2623) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 440:21] - buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 440:21] - buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 440:21] - buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 440:21] - node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 441:89] + buf_age_younger[0] <= _T_2563 @[el2_lsu_bus_buffer.scala 445:21] + buf_age_younger[1] <= _T_2590 @[el2_lsu_bus_buffer.scala 445:21] + buf_age_younger[2] <= _T_2617 @[el2_lsu_bus_buffer.scala 445:21] + buf_age_younger[3] <= _T_2644 @[el2_lsu_bus_buffer.scala 445:21] + node _T_2645 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2646 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2648 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2649 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2651 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2652 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2654 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2655 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 446:89] node _T_2657 = cat(_T_2656, _T_2653) @[Cat.scala 29:58] node _T_2658 = cat(_T_2657, _T_2650) @[Cat.scala 29:58] node _T_2659 = cat(_T_2658, _T_2647) @[Cat.scala 29:58] - node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2660 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2661 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2663 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2664 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2666 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2667 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2668 = and(_T_2666, _T_2667) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2669 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2670 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 446:89] node _T_2672 = cat(_T_2671, _T_2668) @[Cat.scala 29:58] node _T_2673 = cat(_T_2672, _T_2665) @[Cat.scala 29:58] node _T_2674 = cat(_T_2673, _T_2662) @[Cat.scala 29:58] - node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2675 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2676 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2678 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2679 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2681 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2682 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2684 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2685 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 446:89] node _T_2687 = cat(_T_2686, _T_2683) @[Cat.scala 29:58] node _T_2688 = cat(_T_2687, _T_2680) @[Cat.scala 29:58] node _T_2689 = cat(_T_2688, _T_2677) @[Cat.scala 29:58] - node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 441:89] - node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 441:85] - node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 441:104] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 441:89] + node _T_2690 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2691 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2693 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2694 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2695 = and(_T_2693, _T_2694) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2696 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2697 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 446:89] + node _T_2699 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 446:85] + node _T_2700 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 446:89] node _T_2702 = cat(_T_2701, _T_2698) @[Cat.scala 29:58] node _T_2703 = cat(_T_2702, _T_2695) @[Cat.scala 29:58] node _T_2704 = cat(_T_2703, _T_2692) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 441:21] - buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 441:21] - buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 441:21] - buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 441:21] - node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 443:114] + buf_rsp_pickage[0] <= _T_2659 @[el2_lsu_bus_buffer.scala 446:21] + buf_rsp_pickage[1] <= _T_2674 @[el2_lsu_bus_buffer.scala 446:21] + buf_rsp_pickage[2] <= _T_2689 @[el2_lsu_bus_buffer.scala 446:21] + buf_rsp_pickage[3] <= _T_2704 @[el2_lsu_bus_buffer.scala 446:21] + node _T_2705 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2706 = and(_T_2705, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2707 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2708 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2709 = or(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2710 = eq(_T_2709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2711 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2712 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2714 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2716 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2717 = and(_T_2715, _T_2716) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2718 = or(_T_2710, _T_2717) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2719 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2720 = and(_T_2719, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2721 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2725 = or(_T_2718, _T_2724) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2726 = and(_T_2706, _T_2725) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2727 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2728 = and(_T_2727, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2729 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2730 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2731 = or(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2733 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2734 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2736 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2738 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2739 = and(_T_2737, _T_2738) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2740 = or(_T_2732, _T_2739) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2741 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2742 = and(_T_2741, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2743 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2745 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2747 = or(_T_2740, _T_2746) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2748 = and(_T_2728, _T_2747) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2749 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2750 = and(_T_2749, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2751 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2752 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2753 = or(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2754 = eq(_T_2753, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2755 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2756 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2757 = and(_T_2755, _T_2756) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2758 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2760 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2761 = and(_T_2759, _T_2760) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2762 = or(_T_2754, _T_2761) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2763 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2764 = and(_T_2763, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2765 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2766 = and(_T_2764, _T_2765) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2767 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2769 = or(_T_2762, _T_2768) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2770 = and(_T_2750, _T_2769) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2771 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2772 = and(_T_2771, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2773 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2774 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2775 = or(_T_2773, _T_2774) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2776 = eq(_T_2775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2777 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2778 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2781 = and(_T_2779, _T_2780) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2782 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2784 = or(_T_2776, _T_2783) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2785 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2786 = and(_T_2785, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2787 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2789 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2790 = and(_T_2788, _T_2789) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2791 = or(_T_2784, _T_2790) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2792 = and(_T_2772, _T_2791) @[el2_lsu_bus_buffer.scala 448:114] node _T_2793 = cat(_T_2792, _T_2770) @[Cat.scala 29:58] node _T_2794 = cat(_T_2793, _T_2748) @[Cat.scala 29:58] node _T_2795 = cat(_T_2794, _T_2726) @[Cat.scala 29:58] - node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2796 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2797 = and(_T_2796, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2800 = or(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2806 = and(_T_2804, _T_2805) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2808 = and(_T_2806, _T_2807) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2809 = or(_T_2801, _T_2808) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2816 = or(_T_2809, _T_2815) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2817 = and(_T_2797, _T_2816) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2818 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2819 = and(_T_2818, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2822 = or(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2831 = or(_T_2823, _T_2830) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2838 = or(_T_2831, _T_2837) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2839 = and(_T_2819, _T_2838) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2840 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2841 = and(_T_2840, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2844 = or(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2853 = or(_T_2845, _T_2852) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2857 = and(_T_2855, _T_2856) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2859 = and(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2860 = or(_T_2853, _T_2859) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2861 = and(_T_2841, _T_2860) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2862 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2863 = and(_T_2862, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2866 = or(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2875 = or(_T_2867, _T_2874) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2879 = and(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2881 = and(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2882 = or(_T_2875, _T_2881) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2883 = and(_T_2863, _T_2882) @[el2_lsu_bus_buffer.scala 448:114] node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] - node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 443:114] - node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2887 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2888 = and(_T_2887, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2891 = or(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2896 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2897 = and(_T_2895, _T_2896) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2899 = and(_T_2897, _T_2898) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2900 = or(_T_2892, _T_2899) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2903 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2907 = or(_T_2900, _T_2906) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2908 = and(_T_2888, _T_2907) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2909 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2910 = and(_T_2909, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2913 = or(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2918 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2922 = or(_T_2914, _T_2921) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2925 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2929 = or(_T_2922, _T_2928) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2930 = and(_T_2910, _T_2929) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2931 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2932 = and(_T_2931, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2935 = or(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2944 = or(_T_2936, _T_2943) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2947 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2948 = and(_T_2946, _T_2947) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2950 = and(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2951 = or(_T_2944, _T_2950) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2952 = and(_T_2932, _T_2951) @[el2_lsu_bus_buffer.scala 448:114] + node _T_2953 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2954 = and(_T_2953, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2957 = or(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2962 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2966 = or(_T_2958, _T_2965) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2969 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2970 = and(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2972 = and(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2973 = or(_T_2966, _T_2972) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2974 = and(_T_2954, _T_2973) @[el2_lsu_bus_buffer.scala 448:114] node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] - node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 444:34] - node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 445:43] - node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 445:73] - node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 445:92] - node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 444:61] - node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 445:112] - node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 443:114] - node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 444:34] - node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 445:43] - node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 445:73] - node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 445:92] - node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 444:61] - node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 446:54] - node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 446:73] - node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 443:114] - node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 444:34] - node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 445:43] - node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 445:73] - node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 445:92] - node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 444:61] - node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 446:54] - node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 446:73] - node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 443:114] - node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 443:84] - node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 443:95] - node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 444:23] - node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 444:49] - node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 444:34] - node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:8] - node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 445:25] - node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:55] - node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 445:43] - node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:84] - node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 445:73] - node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:103] - node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 445:92] - node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 444:61] - node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 446:19] - node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:37] - node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 446:54] - node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 446:84] - node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 446:73] - node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 445:112] - node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 443:114] + node _T_2978 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_2979 = and(_T_2978, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_2982 = or(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 449:34] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 450:43] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_2988 = and(_T_2986, _T_2987) @[el2_lsu_bus_buffer.scala 450:73] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_2990 = and(_T_2988, _T_2989) @[el2_lsu_bus_buffer.scala 450:92] + node _T_2991 = or(_T_2983, _T_2990) @[el2_lsu_bus_buffer.scala 449:61] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 451:54] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 451:73] + node _T_2998 = or(_T_2991, _T_2997) @[el2_lsu_bus_buffer.scala 450:112] + node _T_2999 = and(_T_2979, _T_2998) @[el2_lsu_bus_buffer.scala 448:114] + node _T_3000 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3001 = and(_T_3000, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3004 = or(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 449:34] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 450:43] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 450:73] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3013 = or(_T_3005, _T_3012) @[el2_lsu_bus_buffer.scala 449:61] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 451:54] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 451:73] + node _T_3020 = or(_T_3013, _T_3019) @[el2_lsu_bus_buffer.scala 450:112] + node _T_3021 = and(_T_3001, _T_3020) @[el2_lsu_bus_buffer.scala 448:114] + node _T_3022 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3023 = and(_T_3022, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3026 = or(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 449:34] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 450:43] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 450:73] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3035 = or(_T_3027, _T_3034) @[el2_lsu_bus_buffer.scala 449:61] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_3039 = and(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 451:54] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_3041 = and(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 451:73] + node _T_3042 = or(_T_3035, _T_3041) @[el2_lsu_bus_buffer.scala 450:112] + node _T_3043 = and(_T_3023, _T_3042) @[el2_lsu_bus_buffer.scala 448:114] + node _T_3044 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3045 = and(_T_3044, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 448:95] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 449:23] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 449:34] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:8] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 450:25] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:55] + node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 450:43] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:84] + node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 450:73] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:103] + node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 450:92] + node _T_3057 = or(_T_3049, _T_3056) @[el2_lsu_bus_buffer.scala 449:61] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 451:19] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 451:37] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:65] + node _T_3061 = and(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 451:54] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 451:84] + node _T_3063 = and(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 451:73] + node _T_3064 = or(_T_3057, _T_3063) @[el2_lsu_bus_buffer.scala 450:112] + node _T_3065 = and(_T_3045, _T_3064) @[el2_lsu_bus_buffer.scala 448:114] node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 443:20] - buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 443:20] - buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 443:20] - buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 443:20] - node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 447:90] + buf_rspage_set[0] <= _T_2795 @[el2_lsu_bus_buffer.scala 448:20] + buf_rspage_set[1] <= _T_2886 @[el2_lsu_bus_buffer.scala 448:20] + buf_rspage_set[2] <= _T_2977 @[el2_lsu_bus_buffer.scala 448:20] + buf_rspage_set[3] <= _T_3068 @[el2_lsu_bus_buffer.scala 448:20] + node _T_3069 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3070 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3071 = or(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3072 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3073 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3074 = or(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3075 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3076 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3077 = or(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3078 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3079 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3080 = or(_T_3078, _T_3079) @[el2_lsu_bus_buffer.scala 452:90] node _T_3081 = cat(_T_3080, _T_3077) @[Cat.scala 29:58] node _T_3082 = cat(_T_3081, _T_3074) @[Cat.scala 29:58] node _T_3083 = cat(_T_3082, _T_3071) @[Cat.scala 29:58] - node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3084 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3085 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3086 = or(_T_3084, _T_3085) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3087 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3088 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3089 = or(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3090 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3091 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3092 = or(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3093 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3094 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3095 = or(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 452:90] node _T_3096 = cat(_T_3095, _T_3092) @[Cat.scala 29:58] node _T_3097 = cat(_T_3096, _T_3089) @[Cat.scala 29:58] node _T_3098 = cat(_T_3097, _T_3086) @[Cat.scala 29:58] - node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3099 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3100 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3101 = or(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3102 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3103 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3104 = or(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3105 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3106 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3108 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3109 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 452:90] node _T_3111 = cat(_T_3110, _T_3107) @[Cat.scala 29:58] node _T_3112 = cat(_T_3111, _T_3104) @[Cat.scala 29:58] node _T_3113 = cat(_T_3112, _T_3101) @[Cat.scala 29:58] - node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 447:90] - node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 447:86] - node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 447:105] - node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 447:90] + node _T_3114 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3115 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3117 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3118 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3119 = or(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3120 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3121 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3122 = or(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 452:90] + node _T_3123 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 452:86] + node _T_3124 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 452:105] + node _T_3125 = or(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 452:90] node _T_3126 = cat(_T_3125, _T_3122) @[Cat.scala 29:58] node _T_3127 = cat(_T_3126, _T_3119) @[Cat.scala 29:58] node _T_3128 = cat(_T_3127, _T_3116) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 447:19] - buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 447:19] - buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 447:19] - buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 447:19] - node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 448:84] + buf_rspage_in[0] <= _T_3083 @[el2_lsu_bus_buffer.scala 452:19] + buf_rspage_in[1] <= _T_3098 @[el2_lsu_bus_buffer.scala 452:19] + buf_rspage_in[2] <= _T_3113 @[el2_lsu_bus_buffer.scala 452:19] + buf_rspage_in[3] <= _T_3128 @[el2_lsu_bus_buffer.scala 452:19] + node _T_3129 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3130 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3131 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3134 = and(_T_3129, _T_3133) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3135 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3136 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3137 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3140 = and(_T_3135, _T_3139) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3141 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3142 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3143 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3144 = or(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3145 = eq(_T_3144, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3146 = and(_T_3141, _T_3145) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3147 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3148 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3151 = eq(_T_3150, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3152 = and(_T_3147, _T_3151) @[el2_lsu_bus_buffer.scala 453:84] node _T_3153 = cat(_T_3152, _T_3146) @[Cat.scala 29:58] node _T_3154 = cat(_T_3153, _T_3140) @[Cat.scala 29:58] node _T_3155 = cat(_T_3154, _T_3134) @[Cat.scala 29:58] - node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3156 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3157 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3158 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3160 = eq(_T_3159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3161 = and(_T_3156, _T_3160) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3162 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3163 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3164 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3166 = eq(_T_3165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3167 = and(_T_3162, _T_3166) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3168 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3169 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3170 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3172 = eq(_T_3171, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3173 = and(_T_3168, _T_3172) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3174 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3175 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3176 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3178 = eq(_T_3177, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3179 = and(_T_3174, _T_3178) @[el2_lsu_bus_buffer.scala 453:84] node _T_3180 = cat(_T_3179, _T_3173) @[Cat.scala 29:58] node _T_3181 = cat(_T_3180, _T_3167) @[Cat.scala 29:58] node _T_3182 = cat(_T_3181, _T_3161) @[Cat.scala 29:58] - node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3183 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3184 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3185 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3187 = eq(_T_3186, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3188 = and(_T_3183, _T_3187) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3189 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3190 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3191 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3193 = eq(_T_3192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3194 = and(_T_3189, _T_3193) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3195 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3196 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3197 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3199 = eq(_T_3198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3200 = and(_T_3195, _T_3199) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3201 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3202 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3203 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3205 = eq(_T_3204, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3206 = and(_T_3201, _T_3205) @[el2_lsu_bus_buffer.scala 453:84] node _T_3207 = cat(_T_3206, _T_3200) @[Cat.scala 29:58] node _T_3208 = cat(_T_3207, _T_3194) @[Cat.scala 29:58] node _T_3209 = cat(_T_3208, _T_3188) @[Cat.scala 29:58] - node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 448:84] - node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 448:80] - node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 448:101] - node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 448:127] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 448:112] - node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 448:84] + node _T_3210 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3211 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3212 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3214 = eq(_T_3213, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3215 = and(_T_3210, _T_3214) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3216 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3217 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3218 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3222 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3223 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3224 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 453:84] + node _T_3228 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 453:80] + node _T_3229 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 453:101] + node _T_3230 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 453:127] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 453:112] + node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:86] + node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 453:84] node _T_3234 = cat(_T_3233, _T_3227) @[Cat.scala 29:58] node _T_3235 = cat(_T_3234, _T_3221) @[Cat.scala 29:58] node _T_3236 = cat(_T_3235, _T_3215) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 448:16] - buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 448:16] - buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 448:16] - buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 448:16] - node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 453:77] - node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 453:65] - node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 453:77] - node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 453:65] - node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 453:77] - node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 453:65] - node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 453:77] - node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 453:65] + buf_rspage[0] <= _T_3155 @[el2_lsu_bus_buffer.scala 453:16] + buf_rspage[1] <= _T_3182 @[el2_lsu_bus_buffer.scala 453:16] + buf_rspage[2] <= _T_3209 @[el2_lsu_bus_buffer.scala 453:16] + buf_rspage[3] <= _T_3236 @[el2_lsu_bus_buffer.scala 453:16] + node _T_3237 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:77] + node _T_3238 = and(ibuf_drain_vld, _T_3237) @[el2_lsu_bus_buffer.scala 458:65] + node _T_3239 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:77] + node _T_3240 = and(ibuf_drain_vld, _T_3239) @[el2_lsu_bus_buffer.scala 458:65] + node _T_3241 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:77] + node _T_3242 = and(ibuf_drain_vld, _T_3241) @[el2_lsu_bus_buffer.scala 458:65] + node _T_3243 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:77] + node _T_3244 = and(ibuf_drain_vld, _T_3243) @[el2_lsu_bus_buffer.scala 458:65] node _T_3245 = cat(_T_3244, _T_3242) @[Cat.scala 29:58] node _T_3246 = cat(_T_3245, _T_3240) @[Cat.scala 29:58] node _T_3247 = cat(_T_3246, _T_3238) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 453:23] - node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] - node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] - node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 454:134] - node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 454:123] - node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] - node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] - node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 454:96] - node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] - node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] - node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 454:134] - node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 454:123] - node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] - node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] - node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 454:96] - node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] - node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] - node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 454:134] - node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 454:123] - node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] - node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] - node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 454:96] - node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 454:86] - node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 454:106] - node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 454:134] - node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 454:123] - node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:159] - node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 454:182] - node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 454:96] - node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 454:48] - buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 454:19] - buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 454:19] - buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 454:19] - buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 454:19] - node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 455:64] - node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] - node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 455:121] - node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 455:110] - node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] - node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 455:46] - node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 455:64] - node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] - node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 455:121] - node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 455:110] - node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] - node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 455:46] - node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 455:64] - node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] - node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 455:121] - node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 455:110] - node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] - node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 455:46] - node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 455:64] - node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 455:93] - node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 455:121] - node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 455:110] - node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 455:83] - node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 455:46] - buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 455:17] - buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 455:17] - buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 455:17] - buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 455:17] - node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 456:65] - node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] - node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 456:65] - node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] - node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 456:65] - node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] - node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 456:65] - node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 456:47] + ibuf_drainvec_vld <= _T_3247 @[el2_lsu_bus_buffer.scala 458:23] + node _T_3248 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:66] + node _T_3249 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] + node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] + node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:134] + node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 459:123] + node _T_3253 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] + node _T_3254 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] + node _T_3255 = mux(_T_3252, _T_3253, _T_3254) @[el2_lsu_bus_buffer.scala 459:96] + node _T_3256 = mux(_T_3248, _T_3249, _T_3255) @[el2_lsu_bus_buffer.scala 459:48] + node _T_3257 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:66] + node _T_3258 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] + node _T_3259 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] + node _T_3260 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:134] + node _T_3261 = and(_T_3259, _T_3260) @[el2_lsu_bus_buffer.scala 459:123] + node _T_3262 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] + node _T_3263 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] + node _T_3264 = mux(_T_3261, _T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 459:96] + node _T_3265 = mux(_T_3257, _T_3258, _T_3264) @[el2_lsu_bus_buffer.scala 459:48] + node _T_3266 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:66] + node _T_3267 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] + node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] + node _T_3269 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:134] + node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 459:123] + node _T_3271 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] + node _T_3272 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] + node _T_3273 = mux(_T_3270, _T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 459:96] + node _T_3274 = mux(_T_3266, _T_3267, _T_3273) @[el2_lsu_bus_buffer.scala 459:48] + node _T_3275 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:66] + node _T_3276 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 459:86] + node _T_3277 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:106] + node _T_3278 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:134] + node _T_3279 = and(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 459:123] + node _T_3280 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:159] + node _T_3281 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 459:182] + node _T_3282 = mux(_T_3279, _T_3280, _T_3281) @[el2_lsu_bus_buffer.scala 459:96] + node _T_3283 = mux(_T_3275, _T_3276, _T_3282) @[el2_lsu_bus_buffer.scala 459:48] + buf_byteen_in[0] <= _T_3256 @[el2_lsu_bus_buffer.scala 459:19] + buf_byteen_in[1] <= _T_3265 @[el2_lsu_bus_buffer.scala 459:19] + buf_byteen_in[2] <= _T_3274 @[el2_lsu_bus_buffer.scala 459:19] + buf_byteen_in[3] <= _T_3283 @[el2_lsu_bus_buffer.scala 459:19] + node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:64] + node _T_3285 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] + node _T_3286 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:121] + node _T_3287 = and(_T_3285, _T_3286) @[el2_lsu_bus_buffer.scala 460:110] + node _T_3288 = mux(_T_3287, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] + node _T_3289 = mux(_T_3284, ibuf_addr, _T_3288) @[el2_lsu_bus_buffer.scala 460:46] + node _T_3290 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:64] + node _T_3291 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] + node _T_3292 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:121] + node _T_3293 = and(_T_3291, _T_3292) @[el2_lsu_bus_buffer.scala 460:110] + node _T_3294 = mux(_T_3293, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] + node _T_3295 = mux(_T_3290, ibuf_addr, _T_3294) @[el2_lsu_bus_buffer.scala 460:46] + node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:64] + node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] + node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:121] + node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 460:110] + node _T_3300 = mux(_T_3299, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] + node _T_3301 = mux(_T_3296, ibuf_addr, _T_3300) @[el2_lsu_bus_buffer.scala 460:46] + node _T_3302 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:64] + node _T_3303 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:93] + node _T_3304 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:121] + node _T_3305 = and(_T_3303, _T_3304) @[el2_lsu_bus_buffer.scala 460:110] + node _T_3306 = mux(_T_3305, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 460:83] + node _T_3307 = mux(_T_3302, ibuf_addr, _T_3306) @[el2_lsu_bus_buffer.scala 460:46] + buf_addr_in[0] <= _T_3289 @[el2_lsu_bus_buffer.scala 460:17] + buf_addr_in[1] <= _T_3295 @[el2_lsu_bus_buffer.scala 460:17] + buf_addr_in[2] <= _T_3301 @[el2_lsu_bus_buffer.scala 460:17] + buf_addr_in[3] <= _T_3307 @[el2_lsu_bus_buffer.scala 460:17] + node _T_3308 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 461:65] + node _T_3309 = mux(_T_3308, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] + node _T_3310 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 461:65] + node _T_3311 = mux(_T_3310, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] + node _T_3312 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 461:65] + node _T_3313 = mux(_T_3312, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] + node _T_3314 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 461:65] + node _T_3315 = mux(_T_3314, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:47] node _T_3316 = cat(_T_3315, _T_3313) @[Cat.scala 29:58] node _T_3317 = cat(_T_3316, _T_3311) @[Cat.scala 29:58] node _T_3318 = cat(_T_3317, _T_3309) @[Cat.scala 29:58] - buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 456:17] - node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] - node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] - node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] - node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 457:67] - node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 457:49] + buf_dual_in <= _T_3318 @[el2_lsu_bus_buffer.scala 461:17] + node _T_3319 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3320 = mux(_T_3319, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] + node _T_3321 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3322 = mux(_T_3321, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] + node _T_3323 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3324 = mux(_T_3323, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] + node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 462:67] + node _T_3326 = mux(_T_3325, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 462:49] node _T_3327 = cat(_T_3326, _T_3324) @[Cat.scala 29:58] node _T_3328 = cat(_T_3327, _T_3322) @[Cat.scala 29:58] node _T_3329 = cat(_T_3328, _T_3320) @[Cat.scala 29:58] - buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 457:19] - node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 458:68] - node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] - node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] - node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 458:68] - node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] - node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] - node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 458:68] - node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] - node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] - node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 458:68] - node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 458:86] - node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 458:50] + buf_samedw_in <= _T_3329 @[el2_lsu_bus_buffer.scala 462:19] + node _T_3330 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 463:68] + node _T_3331 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] + node _T_3332 = mux(_T_3330, _T_3331, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] + node _T_3333 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 463:68] + node _T_3334 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] + node _T_3335 = mux(_T_3333, _T_3334, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] + node _T_3336 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 463:68] + node _T_3337 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] + node _T_3338 = mux(_T_3336, _T_3337, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] + node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 463:68] + node _T_3340 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 463:86] + node _T_3341 = mux(_T_3339, _T_3340, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 463:50] node _T_3342 = cat(_T_3341, _T_3338) @[Cat.scala 29:58] node _T_3343 = cat(_T_3342, _T_3335) @[Cat.scala 29:58] node _T_3344 = cat(_T_3343, _T_3332) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 458:20] - node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] - node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:120] - node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 459:109] - node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] - node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:120] - node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 459:109] - node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] - node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:120] - node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 459:109] - node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 459:49] - node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 459:67] - node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:92] - node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:120] - node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 459:109] - node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 459:49] + buf_nomerge_in <= _T_3344 @[el2_lsu_bus_buffer.scala 463:20] + node _T_3345 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 464:67] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:120] + node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 464:109] + node _T_3349 = mux(_T_3345, ibuf_dual, _T_3348) @[el2_lsu_bus_buffer.scala 464:49] + node _T_3350 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 464:67] + node _T_3351 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] + node _T_3352 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:120] + node _T_3353 = and(_T_3351, _T_3352) @[el2_lsu_bus_buffer.scala 464:109] + node _T_3354 = mux(_T_3350, ibuf_dual, _T_3353) @[el2_lsu_bus_buffer.scala 464:49] + node _T_3355 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 464:67] + node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] + node _T_3357 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:120] + node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 464:109] + node _T_3359 = mux(_T_3355, ibuf_dual, _T_3358) @[el2_lsu_bus_buffer.scala 464:49] + node _T_3360 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 464:67] + node _T_3361 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 464:92] + node _T_3362 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:120] + node _T_3363 = and(_T_3361, _T_3362) @[el2_lsu_bus_buffer.scala 464:109] + node _T_3364 = mux(_T_3360, ibuf_dual, _T_3363) @[el2_lsu_bus_buffer.scala 464:49] node _T_3365 = cat(_T_3364, _T_3359) @[Cat.scala 29:58] node _T_3366 = cat(_T_3365, _T_3354) @[Cat.scala 29:58] node _T_3367 = cat(_T_3366, _T_3349) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 459:19] - node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 460:67] - node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] - node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:127] - node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 460:116] - node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] - node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 460:49] - node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 460:67] - node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] - node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:127] - node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 460:116] - node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] - node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 460:49] - node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 460:67] - node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] - node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:127] - node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 460:116] - node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] - node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 460:49] - node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 460:67] - node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:99] - node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:127] - node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 460:116] - node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:89] - node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 460:49] - buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 460:20] - buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 460:20] - buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 460:20] - buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 460:20] - node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 461:71] - node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 461:71] - node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 461:71] - node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] - node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 461:71] - node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 461:53] + buf_dualhi_in <= _T_3367 @[el2_lsu_bus_buffer.scala 464:19] + node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3369 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] + node _T_3370 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:127] + node _T_3371 = and(_T_3369, _T_3370) @[el2_lsu_bus_buffer.scala 465:116] + node _T_3372 = mux(_T_3371, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] + node _T_3373 = mux(_T_3368, ibuf_dualtag, _T_3372) @[el2_lsu_bus_buffer.scala 465:49] + node _T_3374 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3375 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] + node _T_3376 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:127] + node _T_3377 = and(_T_3375, _T_3376) @[el2_lsu_bus_buffer.scala 465:116] + node _T_3378 = mux(_T_3377, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] + node _T_3379 = mux(_T_3374, ibuf_dualtag, _T_3378) @[el2_lsu_bus_buffer.scala 465:49] + node _T_3380 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3381 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] + node _T_3382 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:127] + node _T_3383 = and(_T_3381, _T_3382) @[el2_lsu_bus_buffer.scala 465:116] + node _T_3384 = mux(_T_3383, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] + node _T_3385 = mux(_T_3380, ibuf_dualtag, _T_3384) @[el2_lsu_bus_buffer.scala 465:49] + node _T_3386 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 465:67] + node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 465:99] + node _T_3388 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:127] + node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 465:116] + node _T_3390 = mux(_T_3389, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 465:89] + node _T_3391 = mux(_T_3386, ibuf_dualtag, _T_3390) @[el2_lsu_bus_buffer.scala 465:49] + buf_dualtag_in[0] <= _T_3373 @[el2_lsu_bus_buffer.scala 465:20] + buf_dualtag_in[1] <= _T_3379 @[el2_lsu_bus_buffer.scala 465:20] + buf_dualtag_in[2] <= _T_3385 @[el2_lsu_bus_buffer.scala 465:20] + buf_dualtag_in[3] <= _T_3391 @[el2_lsu_bus_buffer.scala 465:20] + node _T_3392 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 466:71] + node _T_3393 = mux(_T_3392, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] + node _T_3394 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 466:71] + node _T_3395 = mux(_T_3394, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] + node _T_3396 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 466:71] + node _T_3397 = mux(_T_3396, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] + node _T_3398 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 466:71] + node _T_3399 = mux(_T_3398, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 466:53] node _T_3400 = cat(_T_3399, _T_3397) @[Cat.scala 29:58] node _T_3401 = cat(_T_3400, _T_3395) @[Cat.scala 29:58] node _T_3402 = cat(_T_3401, _T_3393) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 461:23] - node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] - node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] - node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] - node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 462:67] - node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 462:49] + buf_sideeffect_in <= _T_3402 @[el2_lsu_bus_buffer.scala 466:23] + node _T_3403 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3404 = mux(_T_3403, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] + node _T_3405 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3406 = mux(_T_3405, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] + node _T_3407 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3408 = mux(_T_3407, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] + node _T_3409 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 467:67] + node _T_3410 = mux(_T_3409, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 467:49] node _T_3411 = cat(_T_3410, _T_3408) @[Cat.scala 29:58] node _T_3412 = cat(_T_3411, _T_3406) @[Cat.scala 29:58] node _T_3413 = cat(_T_3412, _T_3404) @[Cat.scala 29:58] - buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 462:19] - node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 463:62] + buf_unsign_in <= _T_3413 @[el2_lsu_bus_buffer.scala 467:19] + node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 468:62] node _T_3415 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 463:44] - node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 463:62] + node _T_3416 = mux(_T_3414, ibuf_sz, _T_3415) @[el2_lsu_bus_buffer.scala 468:44] + node _T_3417 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 468:62] node _T_3418 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 463:44] - node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 463:62] + node _T_3419 = mux(_T_3417, ibuf_sz, _T_3418) @[el2_lsu_bus_buffer.scala 468:44] + node _T_3420 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 468:62] node _T_3421 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 463:44] - node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 463:62] + node _T_3422 = mux(_T_3420, ibuf_sz, _T_3421) @[el2_lsu_bus_buffer.scala 468:44] + node _T_3423 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 468:62] node _T_3424 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 463:44] - buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 463:15] - buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 463:15] - buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 463:15] - buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 463:15] - node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 464:66] - node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] - node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 464:66] - node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] - node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 464:66] - node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] - node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 464:66] - node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 464:48] + node _T_3425 = mux(_T_3423, ibuf_sz, _T_3424) @[el2_lsu_bus_buffer.scala 468:44] + buf_sz_in[0] <= _T_3416 @[el2_lsu_bus_buffer.scala 468:15] + buf_sz_in[1] <= _T_3419 @[el2_lsu_bus_buffer.scala 468:15] + buf_sz_in[2] <= _T_3422 @[el2_lsu_bus_buffer.scala 468:15] + buf_sz_in[3] <= _T_3425 @[el2_lsu_bus_buffer.scala 468:15] + node _T_3426 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 469:66] + node _T_3427 = mux(_T_3426, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] + node _T_3428 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 469:66] + node _T_3429 = mux(_T_3428, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] + node _T_3430 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 469:66] + node _T_3431 = mux(_T_3430, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] + node _T_3432 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 469:66] + node _T_3433 = mux(_T_3432, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 469:48] node _T_3434 = cat(_T_3433, _T_3431) @[Cat.scala 29:58] node _T_3435 = cat(_T_3434, _T_3429) @[Cat.scala 29:58] node _T_3436 = cat(_T_3435, _T_3427) @[Cat.scala 29:58] - buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 464:18] + buf_write_in <= _T_3436 @[el2_lsu_bus_buffer.scala 469:18] node _T_3437 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3437 : @[Conditional.scala 40:58] - node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] - node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 469:25] - node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] - node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] - node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] - node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 470:95] - node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] - node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] - node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] - node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 470:161] - node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 470:132] - node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 470:63] - node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] - node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 470:201] - node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 470:183] - buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 470:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 471:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 472:24] - node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] - node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 473:47] - node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] - node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] - node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 473:30] - buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 473:24] + node _T_3438 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] + node _T_3439 = mux(_T_3438, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] + buf_nxtstate[0] <= _T_3439 @[el2_lsu_bus_buffer.scala 474:25] + node _T_3440 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] + node _T_3441 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] + node _T_3442 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] + node _T_3443 = and(_T_3441, _T_3442) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3444 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] + node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 475:112] + node _T_3446 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] + node _T_3447 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] + node _T_3448 = and(_T_3446, _T_3447) @[el2_lsu_bus_buffer.scala 475:161] + node _T_3449 = or(_T_3445, _T_3448) @[el2_lsu_bus_buffer.scala 475:132] + node _T_3450 = and(_T_3440, _T_3449) @[el2_lsu_bus_buffer.scala 475:63] + node _T_3451 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] + node _T_3452 = and(ibuf_drain_vld, _T_3451) @[el2_lsu_bus_buffer.scala 475:201] + node _T_3453 = or(_T_3450, _T_3452) @[el2_lsu_bus_buffer.scala 475:183] + buf_state_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 475:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 476:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 477:24] + node _T_3454 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] + node _T_3455 = and(ibuf_drain_vld, _T_3454) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3456 = bits(_T_3455, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3457 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] + node _T_3458 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] + node _T_3459 = mux(_T_3456, _T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 478:30] + buf_data_in[0] <= _T_3459 @[el2_lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3460 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3460 : @[Conditional.scala 39:67] - node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] - buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] - buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3461 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3462 = mux(_T_3461, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] + buf_nxtstate[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3463 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] + buf_state_en[0] <= _T_3463 @[el2_lsu_bus_buffer.scala 482:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3464 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3464 : @[Conditional.scala 39:67] - node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] - node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] - node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] - node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 480:104] - node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] - node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 480:31] - buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 480:25] - node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 481:104] - node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 481:91] - node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 481:77] - node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] - node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] - buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 481:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 482:29] - node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] - buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 483:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] - node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 485:56] - node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 485:44] - node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] - node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] - node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 485:74] - buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] - buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 486:28] - node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] - buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 487:24] - node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] - buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 488:25] - node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] - node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] - node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] - node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] - node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 489:30] - buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 489:24] + node _T_3465 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] + node _T_3466 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3467 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] + node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 485:104] + node _T_3469 = mux(_T_3468, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] + node _T_3470 = mux(_T_3465, UInt<3>("h00"), _T_3469) @[el2_lsu_bus_buffer.scala 485:31] + buf_nxtstate[0] <= _T_3470 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3471 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3472 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:104] + node _T_3473 = and(obuf_merge, _T_3472) @[el2_lsu_bus_buffer.scala 486:91] + node _T_3474 = or(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3475 = and(_T_3474, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] + node _T_3476 = and(_T_3475, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] + buf_cmd_state_bus_en[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 486:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 487:29] + node _T_3477 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3478 = or(_T_3477, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] + buf_state_en[0] <= _T_3478 @[el2_lsu_bus_buffer.scala 488:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] + node _T_3479 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 490:56] + node _T_3480 = eq(_T_3479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] + node _T_3481 = and(buf_state_en[0], _T_3480) @[el2_lsu_bus_buffer.scala 490:44] + node _T_3482 = and(_T_3481, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] + node _T_3483 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] + node _T_3484 = and(_T_3482, _T_3483) @[el2_lsu_bus_buffer.scala 490:74] + buf_ldfwd_en[0] <= _T_3484 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3485 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] + buf_ldfwdtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 491:28] + node _T_3486 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3487 = and(_T_3486, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3488 = and(_T_3487, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] + buf_data_en[0] <= _T_3488 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3489 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3490 = and(_T_3489, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3491 = and(_T_3490, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] + buf_error_en[0] <= _T_3491 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3492 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] + node _T_3493 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] + node _T_3494 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] + node _T_3495 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] + node _T_3496 = mux(_T_3493, _T_3494, _T_3495) @[el2_lsu_bus_buffer.scala 494:73] + node _T_3497 = mux(buf_error_en[0], _T_3492, _T_3496) @[el2_lsu_bus_buffer.scala 494:30] + buf_data_in[0] <= _T_3497 @[el2_lsu_bus_buffer.scala 494:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3498 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3498 : @[Conditional.scala 39:67] - node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 492:71] - node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 492:55] - node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] - node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] - node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 493:28] - node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 493:57] - node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] - node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 493:45] - node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] - node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 493:61] - node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 494:27] - node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] - node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 494:68] - node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] - node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 494:85] - node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3499 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 497:67] + node _T_3500 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] + node _T_3501 = eq(_T_3500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3502 = and(_T_3499, _T_3501) @[el2_lsu_bus_buffer.scala 497:71] + node _T_3503 = or(io.dec_tlu_force_halt, _T_3502) @[el2_lsu_bus_buffer.scala 497:55] + node _T_3504 = bits(_T_3503, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] + node _T_3505 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] + node _T_3506 = and(buf_dual[0], _T_3505) @[el2_lsu_bus_buffer.scala 498:28] + node _T_3507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 498:57] + node _T_3508 = eq(_T_3507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3509 = and(_T_3506, _T_3508) @[el2_lsu_bus_buffer.scala 498:45] + node _T_3510 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 498:61] + node _T_3512 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 499:27] + node _T_3513 = or(_T_3512, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3514 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] + node _T_3515 = and(buf_dual[0], _T_3514) @[el2_lsu_bus_buffer.scala 499:68] + node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 499:97] + node _T_3517 = eq(_T_3516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] + node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 499:85] + node _T_3519 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3520 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3521 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3522 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3523 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3524 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3525 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3526 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_3527 = mux(_T_3519, _T_3520, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3528 = mux(_T_3521, _T_3522, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3529 = mux(_T_3523, _T_3524, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4484,273 +4487,273 @@ circuit el2_lsu_bus_buffer : node _T_3533 = or(_T_3532, _T_3530) @[Mux.scala 27:72] wire _T_3534 : UInt<1> @[Mux.scala 27:72] _T_3534 <= _T_3533 @[Mux.scala 27:72] - node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 494:101] - node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] - node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 494:138] - node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] - node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] - node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 493:14] - node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 495:73] - node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 495:52] - node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 496:46] - node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 497:23] - node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 497:27] - node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 498:26] - node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 498:54] - node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 498:42] - node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 498:58] - node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 498:94] - node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 496:25] - node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 495:105] - buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 495:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 499:29] - node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] - node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] - buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 501:24] - node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] - node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 502:111] - node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 502:91] - node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 503:42] - node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 503:31] - node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 503:46] - node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 502:143] - node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] - node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 504:74] - node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 504:53] - node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 503:88] - node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 502:68] - buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] - node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] - node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] - node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] - node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 505:72] - node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] - node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 505:30] - buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 505:24] + node _T_3535 = and(_T_3518, _T_3534) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3536 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] + node _T_3537 = and(_T_3535, _T_3536) @[el2_lsu_bus_buffer.scala 499:138] + node _T_3538 = and(_T_3537, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] + node _T_3539 = or(_T_3513, _T_3538) @[el2_lsu_bus_buffer.scala 499:53] + node _T_3540 = mux(_T_3539, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] + node _T_3541 = mux(_T_3511, UInt<3>("h04"), _T_3540) @[el2_lsu_bus_buffer.scala 498:14] + node _T_3542 = mux(_T_3504, UInt<3>("h00"), _T_3541) @[el2_lsu_bus_buffer.scala 497:31] + buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3543 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3544 = and(bus_rsp_write, _T_3543) @[el2_lsu_bus_buffer.scala 500:52] + node _T_3545 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 501:46] + node _T_3546 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 502:23] + node _T_3547 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 502:47] + node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 502:27] + node _T_3549 = or(_T_3545, _T_3548) @[el2_lsu_bus_buffer.scala 501:77] + node _T_3550 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 503:26] + node _T_3551 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 503:54] + node _T_3552 = not(_T_3551) @[el2_lsu_bus_buffer.scala 503:44] + node _T_3553 = and(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 503:42] + node _T_3554 = and(_T_3553, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 503:58] + node _T_3555 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 503:94] + node _T_3556 = and(_T_3554, _T_3555) @[el2_lsu_bus_buffer.scala 503:74] + node _T_3557 = or(_T_3549, _T_3556) @[el2_lsu_bus_buffer.scala 502:71] + node _T_3558 = and(bus_rsp_read, _T_3557) @[el2_lsu_bus_buffer.scala 501:25] + node _T_3559 = or(_T_3544, _T_3558) @[el2_lsu_bus_buffer.scala 500:105] + buf_resp_state_bus_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 500:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 504:29] + node _T_3560 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] + node _T_3561 = or(_T_3560, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] + buf_state_en[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3562 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3563 = and(_T_3562, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] + buf_data_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 506:24] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3565 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 507:111] + node _T_3566 = and(bus_rsp_read_error, _T_3565) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3567 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 508:42] + node _T_3568 = and(bus_rsp_read_error, _T_3567) @[el2_lsu_bus_buffer.scala 508:31] + node _T_3569 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_3570 = and(_T_3568, _T_3569) @[el2_lsu_bus_buffer.scala 508:46] + node _T_3571 = or(_T_3566, _T_3570) @[el2_lsu_bus_buffer.scala 507:143] + node _T_3572 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] + node _T_3573 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 509:74] + node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 509:53] + node _T_3575 = or(_T_3571, _T_3574) @[el2_lsu_bus_buffer.scala 508:88] + node _T_3576 = and(_T_3564, _T_3575) @[el2_lsu_bus_buffer.scala 507:68] + buf_error_en[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3577 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] + node _T_3578 = and(buf_state_en[0], _T_3577) @[el2_lsu_bus_buffer.scala 510:48] + node _T_3579 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] + node _T_3580 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] + node _T_3581 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] + node _T_3582 = mux(_T_3579, _T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 510:72] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] + node _T_3584 = mux(_T_3578, _T_3582, _T_3583) @[el2_lsu_bus_buffer.scala 510:30] + buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 510:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3585 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3585 : @[Conditional.scala 39:67] - node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 508:86] - node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] - node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] - node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 509:66] - node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 510:58] - node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 510:38] - node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 509:95] - node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 509:45] - buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 509:29] - node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] - node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] - buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3586 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3587 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 513:86] + node _T_3588 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3589 = bits(_T_3588, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3590 = or(_T_3587, _T_3589) @[el2_lsu_bus_buffer.scala 513:90] + node _T_3591 = or(_T_3590, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] + node _T_3592 = mux(_T_3591, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3593 = mux(_T_3586, UInt<3>("h00"), _T_3592) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[0] <= _T_3593 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3594 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 514:66] + node _T_3595 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3596 = bits(_T_3595, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3597 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 515:58] + node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 515:38] + node _T_3599 = or(_T_3594, _T_3598) @[el2_lsu_bus_buffer.scala 514:95] + node _T_3600 = and(bus_rsp_read, _T_3599) @[el2_lsu_bus_buffer.scala 514:45] + buf_state_bus_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 514:29] + node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3602 = or(_T_3601, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[0] <= _T_3602 @[el2_lsu_bus_buffer.scala 516:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3603 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3603 : @[Conditional.scala 39:67] - node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 515:37] - node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] - node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 515:80] - node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 515:65] - node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] - buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3604 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] + node _T_3605 = mux(_T_3604, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[0] <= _T_3605 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3606 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 520:37] + node _T_3607 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] + node _T_3608 = and(buf_dual[0], _T_3607) @[el2_lsu_bus_buffer.scala 520:80] + node _T_3609 = or(_T_3606, _T_3608) @[el2_lsu_bus_buffer.scala 520:65] + node _T_3610 = or(_T_3609, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] + buf_state_en[0] <= _T_3610 @[el2_lsu_bus_buffer.scala 520:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3611 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3611 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 522:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 527:25] skip @[Conditional.scala 39:67] - node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] + node _T_3612 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] reg _T_3613 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3612 : @[Reg.scala 28:19] _T_3613 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 525:18] - reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] - _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 526:60] - buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 526:17] - reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] - _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 527:63] - buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 527:20] - node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] + buf_state[0] <= _T_3613 @[el2_lsu_bus_buffer.scala 530:18] + reg _T_3614 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] + _T_3614 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 531:60] + buf_ageQ[0] <= _T_3614 @[el2_lsu_bus_buffer.scala 531:17] + reg _T_3615 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] + _T_3615 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 532:63] + buf_rspageQ[0] <= _T_3615 @[el2_lsu_bus_buffer.scala 532:20] + node _T_3616 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] reg _T_3617 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3616 : @[Reg.scala 28:19] _T_3617 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 528:20] - node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:74] - node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] + buf_dualtag[0] <= _T_3617 @[el2_lsu_bus_buffer.scala 533:20] + node _T_3618 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 534:74] + node _T_3619 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] reg _T_3620 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3619 : @[Reg.scala 28:19] _T_3620 <= _T_3618 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 529:17] - node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 530:78] - node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] + buf_dual[0] <= _T_3620 @[el2_lsu_bus_buffer.scala 534:17] + node _T_3621 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 535:78] + node _T_3622 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] reg _T_3623 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3622 : @[Reg.scala 28:19] _T_3623 <= _T_3621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 530:19] - node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 531:80] - node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] + buf_samedw[0] <= _T_3623 @[el2_lsu_bus_buffer.scala 535:19] + node _T_3624 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 536:80] + node _T_3625 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] reg _T_3626 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3625 : @[Reg.scala 28:19] _T_3626 <= _T_3624 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 531:20] - node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 532:78] - node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] + buf_nomerge[0] <= _T_3626 @[el2_lsu_bus_buffer.scala 536:20] + node _T_3627 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 537:78] + node _T_3628 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] reg _T_3629 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3628 : @[Reg.scala 28:19] _T_3629 <= _T_3627 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 532:19] + buf_dualhi[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 537:19] node _T_3630 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3630 : @[Conditional.scala 40:58] - node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] - node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 469:25] - node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] - node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] - node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] - node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 470:95] - node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] - node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] - node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] - node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 470:161] - node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 470:132] - node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 470:63] - node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] - node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 470:201] - node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 470:183] - buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 470:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 471:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 472:24] - node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] - node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 473:47] - node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] - node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] - node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 473:30] - buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 473:24] + node _T_3631 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] + node _T_3632 = mux(_T_3631, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] + buf_nxtstate[1] <= _T_3632 @[el2_lsu_bus_buffer.scala 474:25] + node _T_3633 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] + node _T_3634 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] + node _T_3635 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] + node _T_3636 = and(_T_3634, _T_3635) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3637 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] + node _T_3638 = and(_T_3636, _T_3637) @[el2_lsu_bus_buffer.scala 475:112] + node _T_3639 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] + node _T_3640 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] + node _T_3641 = and(_T_3639, _T_3640) @[el2_lsu_bus_buffer.scala 475:161] + node _T_3642 = or(_T_3638, _T_3641) @[el2_lsu_bus_buffer.scala 475:132] + node _T_3643 = and(_T_3633, _T_3642) @[el2_lsu_bus_buffer.scala 475:63] + node _T_3644 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] + node _T_3645 = and(ibuf_drain_vld, _T_3644) @[el2_lsu_bus_buffer.scala 475:201] + node _T_3646 = or(_T_3643, _T_3645) @[el2_lsu_bus_buffer.scala 475:183] + buf_state_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 475:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 476:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 477:24] + node _T_3647 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] + node _T_3648 = and(ibuf_drain_vld, _T_3647) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3649 = bits(_T_3648, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3650 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] + node _T_3651 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] + node _T_3652 = mux(_T_3649, _T_3650, _T_3651) @[el2_lsu_bus_buffer.scala 478:30] + buf_data_in[1] <= _T_3652 @[el2_lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3653 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3653 : @[Conditional.scala 39:67] - node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] - buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] - buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3654 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3655 = mux(_T_3654, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] + buf_nxtstate[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3656 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] + buf_state_en[1] <= _T_3656 @[el2_lsu_bus_buffer.scala 482:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3657 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3657 : @[Conditional.scala 39:67] - node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] - node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] - node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] - node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 480:104] - node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] - node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 480:31] - buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 480:25] - node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 481:104] - node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 481:91] - node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 481:77] - node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] - node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] - buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 481:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 482:29] - node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] - buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 483:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] - node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 485:56] - node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 485:44] - node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] - node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] - node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 485:74] - buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] - buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 486:28] - node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] - buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 487:24] - node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] - buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 488:25] - node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] - node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] - node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] - node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] - node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 489:30] - buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 489:24] + node _T_3658 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] + node _T_3659 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3660 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] + node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 485:104] + node _T_3662 = mux(_T_3661, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] + node _T_3663 = mux(_T_3658, UInt<3>("h00"), _T_3662) @[el2_lsu_bus_buffer.scala 485:31] + buf_nxtstate[1] <= _T_3663 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3664 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3665 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:104] + node _T_3666 = and(obuf_merge, _T_3665) @[el2_lsu_bus_buffer.scala 486:91] + node _T_3667 = or(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3668 = and(_T_3667, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] + node _T_3669 = and(_T_3668, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] + buf_cmd_state_bus_en[1] <= _T_3669 @[el2_lsu_bus_buffer.scala 486:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 487:29] + node _T_3670 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3671 = or(_T_3670, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] + buf_state_en[1] <= _T_3671 @[el2_lsu_bus_buffer.scala 488:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] + node _T_3672 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 490:56] + node _T_3673 = eq(_T_3672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] + node _T_3674 = and(buf_state_en[1], _T_3673) @[el2_lsu_bus_buffer.scala 490:44] + node _T_3675 = and(_T_3674, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] + node _T_3676 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] + node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 490:74] + buf_ldfwd_en[1] <= _T_3677 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3678 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] + buf_ldfwdtag_in[1] <= _T_3678 @[el2_lsu_bus_buffer.scala 491:28] + node _T_3679 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3680 = and(_T_3679, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3681 = and(_T_3680, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] + buf_data_en[1] <= _T_3681 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3682 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3683 = and(_T_3682, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3684 = and(_T_3683, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] + buf_error_en[1] <= _T_3684 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3685 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] + node _T_3686 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] + node _T_3687 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] + node _T_3688 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] + node _T_3689 = mux(_T_3686, _T_3687, _T_3688) @[el2_lsu_bus_buffer.scala 494:73] + node _T_3690 = mux(buf_error_en[1], _T_3685, _T_3689) @[el2_lsu_bus_buffer.scala 494:30] + buf_data_in[1] <= _T_3690 @[el2_lsu_bus_buffer.scala 494:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3691 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3691 : @[Conditional.scala 39:67] - node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 492:71] - node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 492:55] - node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] - node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] - node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 493:28] - node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 493:57] - node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] - node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 493:45] - node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] - node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 493:61] - node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 494:27] - node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] - node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 494:68] - node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] - node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 494:85] - node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3692 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 497:67] + node _T_3693 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] + node _T_3694 = eq(_T_3693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3695 = and(_T_3692, _T_3694) @[el2_lsu_bus_buffer.scala 497:71] + node _T_3696 = or(io.dec_tlu_force_halt, _T_3695) @[el2_lsu_bus_buffer.scala 497:55] + node _T_3697 = bits(_T_3696, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] + node _T_3698 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] + node _T_3699 = and(buf_dual[1], _T_3698) @[el2_lsu_bus_buffer.scala 498:28] + node _T_3700 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 498:57] + node _T_3701 = eq(_T_3700, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3702 = and(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 498:45] + node _T_3703 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 498:61] + node _T_3705 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 499:27] + node _T_3706 = or(_T_3705, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3707 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] + node _T_3708 = and(buf_dual[1], _T_3707) @[el2_lsu_bus_buffer.scala 499:68] + node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 499:97] + node _T_3710 = eq(_T_3709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] + node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 499:85] + node _T_3712 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3713 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3714 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3715 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3716 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3717 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3718 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3719 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_3720 = mux(_T_3712, _T_3713, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3721 = mux(_T_3714, _T_3715, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3722 = mux(_T_3716, _T_3717, UInt<1>("h00")) @[Mux.scala 27:72] @@ -4760,273 +4763,273 @@ circuit el2_lsu_bus_buffer : node _T_3726 = or(_T_3725, _T_3723) @[Mux.scala 27:72] wire _T_3727 : UInt<1> @[Mux.scala 27:72] _T_3727 <= _T_3726 @[Mux.scala 27:72] - node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 494:101] - node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] - node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 494:138] - node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] - node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] - node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 493:14] - node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:73] - node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 495:52] - node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:46] - node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 497:23] - node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 497:27] - node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 498:26] - node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 498:54] - node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 498:42] - node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 498:58] - node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 498:94] - node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 496:25] - node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 495:105] - buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 495:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 499:29] - node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] - node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] - buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 501:24] - node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] - node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:111] - node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 502:91] - node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 503:42] - node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 503:31] - node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 503:46] - node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 502:143] - node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] - node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:74] - node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 504:53] - node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 503:88] - node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 502:68] - buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] - node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] - node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] - node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] - node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 505:72] - node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] - node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 505:30] - buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 505:24] + node _T_3728 = and(_T_3711, _T_3727) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3729 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] + node _T_3730 = and(_T_3728, _T_3729) @[el2_lsu_bus_buffer.scala 499:138] + node _T_3731 = and(_T_3730, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] + node _T_3732 = or(_T_3706, _T_3731) @[el2_lsu_bus_buffer.scala 499:53] + node _T_3733 = mux(_T_3732, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] + node _T_3734 = mux(_T_3704, UInt<3>("h04"), _T_3733) @[el2_lsu_bus_buffer.scala 498:14] + node _T_3735 = mux(_T_3697, UInt<3>("h00"), _T_3734) @[el2_lsu_bus_buffer.scala 497:31] + buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3736 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3737 = and(bus_rsp_write, _T_3736) @[el2_lsu_bus_buffer.scala 500:52] + node _T_3738 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 501:46] + node _T_3739 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 502:23] + node _T_3740 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 502:47] + node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 502:27] + node _T_3742 = or(_T_3738, _T_3741) @[el2_lsu_bus_buffer.scala 501:77] + node _T_3743 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 503:26] + node _T_3744 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 503:54] + node _T_3745 = not(_T_3744) @[el2_lsu_bus_buffer.scala 503:44] + node _T_3746 = and(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 503:42] + node _T_3747 = and(_T_3746, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 503:58] + node _T_3748 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 503:94] + node _T_3749 = and(_T_3747, _T_3748) @[el2_lsu_bus_buffer.scala 503:74] + node _T_3750 = or(_T_3742, _T_3749) @[el2_lsu_bus_buffer.scala 502:71] + node _T_3751 = and(bus_rsp_read, _T_3750) @[el2_lsu_bus_buffer.scala 501:25] + node _T_3752 = or(_T_3737, _T_3751) @[el2_lsu_bus_buffer.scala 500:105] + buf_resp_state_bus_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 500:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 504:29] + node _T_3753 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] + node _T_3754 = or(_T_3753, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] + buf_state_en[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3755 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3756 = and(_T_3755, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] + buf_data_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 506:24] + node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3758 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 507:111] + node _T_3759 = and(bus_rsp_read_error, _T_3758) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3760 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 508:42] + node _T_3761 = and(bus_rsp_read_error, _T_3760) @[el2_lsu_bus_buffer.scala 508:31] + node _T_3762 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_3763 = and(_T_3761, _T_3762) @[el2_lsu_bus_buffer.scala 508:46] + node _T_3764 = or(_T_3759, _T_3763) @[el2_lsu_bus_buffer.scala 507:143] + node _T_3765 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] + node _T_3766 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 509:74] + node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 509:53] + node _T_3768 = or(_T_3764, _T_3767) @[el2_lsu_bus_buffer.scala 508:88] + node _T_3769 = and(_T_3757, _T_3768) @[el2_lsu_bus_buffer.scala 507:68] + buf_error_en[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3770 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] + node _T_3771 = and(buf_state_en[1], _T_3770) @[el2_lsu_bus_buffer.scala 510:48] + node _T_3772 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] + node _T_3773 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] + node _T_3774 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] + node _T_3775 = mux(_T_3772, _T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 510:72] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] + node _T_3777 = mux(_T_3771, _T_3775, _T_3776) @[el2_lsu_bus_buffer.scala 510:30] + buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 510:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3778 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3778 : @[Conditional.scala 39:67] - node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 508:86] - node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] - node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] - node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 509:66] - node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 510:58] - node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 510:38] - node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 509:95] - node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 509:45] - buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 509:29] - node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] - node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] - buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3779 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3780 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 513:86] + node _T_3781 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3782 = bits(_T_3781, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3783 = or(_T_3780, _T_3782) @[el2_lsu_bus_buffer.scala 513:90] + node _T_3784 = or(_T_3783, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] + node _T_3785 = mux(_T_3784, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3786 = mux(_T_3779, UInt<3>("h00"), _T_3785) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[1] <= _T_3786 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3787 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 514:66] + node _T_3788 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3789 = bits(_T_3788, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3790 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 515:58] + node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 515:38] + node _T_3792 = or(_T_3787, _T_3791) @[el2_lsu_bus_buffer.scala 514:95] + node _T_3793 = and(bus_rsp_read, _T_3792) @[el2_lsu_bus_buffer.scala 514:45] + buf_state_bus_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 514:29] + node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3795 = or(_T_3794, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[1] <= _T_3795 @[el2_lsu_bus_buffer.scala 516:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3796 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3796 : @[Conditional.scala 39:67] - node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 515:37] - node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] - node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 515:80] - node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 515:65] - node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] - buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3797 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] + node _T_3798 = mux(_T_3797, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[1] <= _T_3798 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3799 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 520:37] + node _T_3800 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] + node _T_3801 = and(buf_dual[1], _T_3800) @[el2_lsu_bus_buffer.scala 520:80] + node _T_3802 = or(_T_3799, _T_3801) @[el2_lsu_bus_buffer.scala 520:65] + node _T_3803 = or(_T_3802, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] + buf_state_en[1] <= _T_3803 @[el2_lsu_bus_buffer.scala 520:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3804 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3804 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 522:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 527:25] skip @[Conditional.scala 39:67] - node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] + node _T_3805 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] reg _T_3806 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3805 : @[Reg.scala 28:19] _T_3806 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 525:18] - reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] - _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 526:60] - buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 526:17] - reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] - _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 527:63] - buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 527:20] - node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] + buf_state[1] <= _T_3806 @[el2_lsu_bus_buffer.scala 530:18] + reg _T_3807 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] + _T_3807 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 531:60] + buf_ageQ[1] <= _T_3807 @[el2_lsu_bus_buffer.scala 531:17] + reg _T_3808 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] + _T_3808 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 532:63] + buf_rspageQ[1] <= _T_3808 @[el2_lsu_bus_buffer.scala 532:20] + node _T_3809 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] reg _T_3810 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3809 : @[Reg.scala 28:19] _T_3810 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 528:20] - node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:74] - node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] + buf_dualtag[1] <= _T_3810 @[el2_lsu_bus_buffer.scala 533:20] + node _T_3811 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 534:74] + node _T_3812 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] reg _T_3813 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3812 : @[Reg.scala 28:19] _T_3813 <= _T_3811 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 529:17] - node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 530:78] - node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] + buf_dual[1] <= _T_3813 @[el2_lsu_bus_buffer.scala 534:17] + node _T_3814 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 535:78] + node _T_3815 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] reg _T_3816 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3815 : @[Reg.scala 28:19] _T_3816 <= _T_3814 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 530:19] - node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 531:80] - node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] + buf_samedw[1] <= _T_3816 @[el2_lsu_bus_buffer.scala 535:19] + node _T_3817 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 536:80] + node _T_3818 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] reg _T_3819 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3818 : @[Reg.scala 28:19] _T_3819 <= _T_3817 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 531:20] - node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 532:78] - node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] + buf_nomerge[1] <= _T_3819 @[el2_lsu_bus_buffer.scala 536:20] + node _T_3820 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 537:78] + node _T_3821 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] reg _T_3822 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3821 : @[Reg.scala 28:19] _T_3822 <= _T_3820 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 532:19] + buf_dualhi[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 537:19] node _T_3823 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3823 : @[Conditional.scala 40:58] - node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] - node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 469:25] - node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] - node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] - node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] - node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 470:95] - node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] - node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] - node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] - node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 470:161] - node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 470:132] - node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 470:63] - node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] - node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 470:201] - node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 470:183] - buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 470:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 471:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 472:24] - node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] - node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 473:47] - node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] - node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] - node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] - node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 473:30] - buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 473:24] + node _T_3824 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] + node _T_3825 = mux(_T_3824, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] + buf_nxtstate[2] <= _T_3825 @[el2_lsu_bus_buffer.scala 474:25] + node _T_3826 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] + node _T_3827 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] + node _T_3828 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] + node _T_3829 = and(_T_3827, _T_3828) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3830 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] + node _T_3831 = and(_T_3829, _T_3830) @[el2_lsu_bus_buffer.scala 475:112] + node _T_3832 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] + node _T_3833 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] + node _T_3834 = and(_T_3832, _T_3833) @[el2_lsu_bus_buffer.scala 475:161] + node _T_3835 = or(_T_3831, _T_3834) @[el2_lsu_bus_buffer.scala 475:132] + node _T_3836 = and(_T_3826, _T_3835) @[el2_lsu_bus_buffer.scala 475:63] + node _T_3837 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] + node _T_3838 = and(ibuf_drain_vld, _T_3837) @[el2_lsu_bus_buffer.scala 475:201] + node _T_3839 = or(_T_3836, _T_3838) @[el2_lsu_bus_buffer.scala 475:183] + buf_state_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 475:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 476:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 477:24] + node _T_3840 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] + node _T_3841 = and(ibuf_drain_vld, _T_3840) @[el2_lsu_bus_buffer.scala 478:47] + node _T_3842 = bits(_T_3841, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3843 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] + node _T_3844 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] + node _T_3845 = mux(_T_3842, _T_3843, _T_3844) @[el2_lsu_bus_buffer.scala 478:30] + buf_data_in[2] <= _T_3845 @[el2_lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3846 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3846 : @[Conditional.scala 39:67] - node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] - node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] - buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 476:25] - node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] - buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 477:25] + node _T_3847 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] + node _T_3848 = mux(_T_3847, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] + buf_nxtstate[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 481:25] + node _T_3849 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] + buf_state_en[2] <= _T_3849 @[el2_lsu_bus_buffer.scala 482:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3850 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3850 : @[Conditional.scala 39:67] - node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] - node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] - node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] - node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 480:104] - node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] - node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 480:31] - buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 480:25] - node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:104] - node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 481:91] - node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 481:77] - node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] - node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] - buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 481:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 482:29] - node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] - buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 483:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] - node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 485:56] - node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 485:44] - node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] - node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] - node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 485:74] - buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 485:25] - node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] - buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 486:28] - node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] - node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] - buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 487:24] - node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] - node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] - buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 488:25] - node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] - node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] - node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] - node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] - node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 489:30] - buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 489:24] + node _T_3851 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] + node _T_3852 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3853 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] + node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 485:104] + node _T_3855 = mux(_T_3854, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] + node _T_3856 = mux(_T_3851, UInt<3>("h00"), _T_3855) @[el2_lsu_bus_buffer.scala 485:31] + buf_nxtstate[2] <= _T_3856 @[el2_lsu_bus_buffer.scala 485:25] + node _T_3857 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3858 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:104] + node _T_3859 = and(obuf_merge, _T_3858) @[el2_lsu_bus_buffer.scala 486:91] + node _T_3860 = or(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3861 = and(_T_3860, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] + node _T_3862 = and(_T_3861, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] + buf_cmd_state_bus_en[2] <= _T_3862 @[el2_lsu_bus_buffer.scala 486:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 487:29] + node _T_3863 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] + node _T_3864 = or(_T_3863, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] + buf_state_en[2] <= _T_3864 @[el2_lsu_bus_buffer.scala 488:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] + node _T_3865 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 490:56] + node _T_3866 = eq(_T_3865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] + node _T_3867 = and(buf_state_en[2], _T_3866) @[el2_lsu_bus_buffer.scala 490:44] + node _T_3868 = and(_T_3867, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] + node _T_3869 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] + node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 490:74] + buf_ldfwd_en[2] <= _T_3870 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3871 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] + buf_ldfwdtag_in[2] <= _T_3871 @[el2_lsu_bus_buffer.scala 491:28] + node _T_3872 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] + node _T_3873 = and(_T_3872, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3874 = and(_T_3873, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] + buf_data_en[2] <= _T_3874 @[el2_lsu_bus_buffer.scala 492:24] + node _T_3875 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_3876 = and(_T_3875, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] + node _T_3877 = and(_T_3876, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] + buf_error_en[2] <= _T_3877 @[el2_lsu_bus_buffer.scala 493:25] + node _T_3878 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] + node _T_3879 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] + node _T_3880 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] + node _T_3881 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] + node _T_3882 = mux(_T_3879, _T_3880, _T_3881) @[el2_lsu_bus_buffer.scala 494:73] + node _T_3883 = mux(buf_error_en[2], _T_3878, _T_3882) @[el2_lsu_bus_buffer.scala 494:30] + buf_data_in[2] <= _T_3883 @[el2_lsu_bus_buffer.scala 494:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3884 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3884 : @[Conditional.scala 39:67] - node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] - node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 492:71] - node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 492:55] - node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] - node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] - node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 493:28] - node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 493:57] - node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] - node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 493:45] - node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] - node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 493:61] - node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 494:27] - node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] - node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] - node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 494:68] - node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] - node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 494:85] - node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_3885 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 497:67] + node _T_3886 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] + node _T_3887 = eq(_T_3886, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] + node _T_3888 = and(_T_3885, _T_3887) @[el2_lsu_bus_buffer.scala 497:71] + node _T_3889 = or(io.dec_tlu_force_halt, _T_3888) @[el2_lsu_bus_buffer.scala 497:55] + node _T_3890 = bits(_T_3889, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] + node _T_3891 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] + node _T_3892 = and(buf_dual[2], _T_3891) @[el2_lsu_bus_buffer.scala 498:28] + node _T_3893 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 498:57] + node _T_3894 = eq(_T_3893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3895 = and(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 498:45] + node _T_3896 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 498:61] + node _T_3898 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 499:27] + node _T_3899 = or(_T_3898, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] + node _T_3900 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] + node _T_3901 = and(buf_dual[2], _T_3900) @[el2_lsu_bus_buffer.scala 499:68] + node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 499:97] + node _T_3903 = eq(_T_3902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] + node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 499:85] + node _T_3905 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3906 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3907 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3908 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3909 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3910 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_3911 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_3912 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_3913 = mux(_T_3905, _T_3906, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3914 = mux(_T_3907, _T_3908, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3915 = mux(_T_3909, _T_3910, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5036,273 +5039,273 @@ circuit el2_lsu_bus_buffer : node _T_3919 = or(_T_3918, _T_3916) @[Mux.scala 27:72] wire _T_3920 : UInt<1> @[Mux.scala 27:72] _T_3920 <= _T_3919 @[Mux.scala 27:72] - node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 494:101] - node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] - node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 494:138] - node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] - node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] - node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 493:14] - node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 495:73] - node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 495:52] - node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 496:46] - node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 497:23] - node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 497:27] - node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 498:26] - node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 498:54] - node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 498:42] - node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 498:58] - node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 498:94] - node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 498:74] - node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 497:71] - node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 496:25] - node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 495:105] - buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 495:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 499:29] - node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] - node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] - buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 501:24] - node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] - node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:111] - node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 502:91] - node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 503:42] - node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 503:31] - node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 503:46] - node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 502:143] - node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] - node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 504:74] - node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 504:53] - node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 503:88] - node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 502:68] - buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] - node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] - node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] - node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] - node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 505:72] - node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] - node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 505:30] - buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 505:24] + node _T_3921 = and(_T_3904, _T_3920) @[el2_lsu_bus_buffer.scala 499:101] + node _T_3922 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] + node _T_3923 = and(_T_3921, _T_3922) @[el2_lsu_bus_buffer.scala 499:138] + node _T_3924 = and(_T_3923, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] + node _T_3925 = or(_T_3899, _T_3924) @[el2_lsu_bus_buffer.scala 499:53] + node _T_3926 = mux(_T_3925, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] + node _T_3927 = mux(_T_3897, UInt<3>("h04"), _T_3926) @[el2_lsu_bus_buffer.scala 498:14] + node _T_3928 = mux(_T_3890, UInt<3>("h00"), _T_3927) @[el2_lsu_bus_buffer.scala 497:31] + buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 497:25] + node _T_3929 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3930 = and(bus_rsp_write, _T_3929) @[el2_lsu_bus_buffer.scala 500:52] + node _T_3931 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:46] + node _T_3932 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 502:23] + node _T_3933 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 502:47] + node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 502:27] + node _T_3935 = or(_T_3931, _T_3934) @[el2_lsu_bus_buffer.scala 501:77] + node _T_3936 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 503:26] + node _T_3937 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 503:54] + node _T_3938 = not(_T_3937) @[el2_lsu_bus_buffer.scala 503:44] + node _T_3939 = and(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 503:42] + node _T_3940 = and(_T_3939, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 503:58] + node _T_3941 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 503:94] + node _T_3942 = and(_T_3940, _T_3941) @[el2_lsu_bus_buffer.scala 503:74] + node _T_3943 = or(_T_3935, _T_3942) @[el2_lsu_bus_buffer.scala 502:71] + node _T_3944 = and(bus_rsp_read, _T_3943) @[el2_lsu_bus_buffer.scala 501:25] + node _T_3945 = or(_T_3930, _T_3944) @[el2_lsu_bus_buffer.scala 500:105] + buf_resp_state_bus_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 500:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 504:29] + node _T_3946 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] + node _T_3947 = or(_T_3946, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] + buf_state_en[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3948 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3949 = and(_T_3948, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] + buf_data_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 506:24] + node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] + node _T_3951 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 507:111] + node _T_3952 = and(bus_rsp_read_error, _T_3951) @[el2_lsu_bus_buffer.scala 507:91] + node _T_3953 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 508:42] + node _T_3954 = and(bus_rsp_read_error, _T_3953) @[el2_lsu_bus_buffer.scala 508:31] + node _T_3955 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_3956 = and(_T_3954, _T_3955) @[el2_lsu_bus_buffer.scala 508:46] + node _T_3957 = or(_T_3952, _T_3956) @[el2_lsu_bus_buffer.scala 507:143] + node _T_3958 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] + node _T_3959 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:74] + node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 509:53] + node _T_3961 = or(_T_3957, _T_3960) @[el2_lsu_bus_buffer.scala 508:88] + node _T_3962 = and(_T_3950, _T_3961) @[el2_lsu_bus_buffer.scala 507:68] + buf_error_en[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3963 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] + node _T_3964 = and(buf_state_en[2], _T_3963) @[el2_lsu_bus_buffer.scala 510:48] + node _T_3965 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] + node _T_3966 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] + node _T_3967 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] + node _T_3968 = mux(_T_3965, _T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 510:72] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] + node _T_3970 = mux(_T_3964, _T_3968, _T_3969) @[el2_lsu_bus_buffer.scala 510:30] + buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 510:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3971 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_3971 : @[Conditional.scala 39:67] - node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 508:86] - node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] - node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] - node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] - node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 509:66] - node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] - node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 510:58] - node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 510:38] - node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 509:95] - node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 509:45] - buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 509:29] - node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] - node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] - buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 511:25] + node _T_3972 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3973 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 513:86] + node _T_3974 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3975 = bits(_T_3974, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] + node _T_3976 = or(_T_3973, _T_3975) @[el2_lsu_bus_buffer.scala 513:90] + node _T_3977 = or(_T_3976, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] + node _T_3978 = mux(_T_3977, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3979 = mux(_T_3972, UInt<3>("h00"), _T_3978) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[2] <= _T_3979 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3980 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 514:66] + node _T_3981 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3982 = bits(_T_3981, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] + node _T_3983 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 515:58] + node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 515:38] + node _T_3985 = or(_T_3980, _T_3984) @[el2_lsu_bus_buffer.scala 514:95] + node _T_3986 = and(bus_rsp_read, _T_3985) @[el2_lsu_bus_buffer.scala 514:45] + buf_state_bus_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 514:29] + node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3988 = or(_T_3987, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[2] <= _T_3988 @[el2_lsu_bus_buffer.scala 516:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3989 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_3989 : @[Conditional.scala 39:67] - node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 515:37] - node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] - node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 515:80] - node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 515:65] - node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] - buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3990 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] + node _T_3991 = mux(_T_3990, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[2] <= _T_3991 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3992 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 520:37] + node _T_3993 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] + node _T_3994 = and(buf_dual[2], _T_3993) @[el2_lsu_bus_buffer.scala 520:80] + node _T_3995 = or(_T_3992, _T_3994) @[el2_lsu_bus_buffer.scala 520:65] + node _T_3996 = or(_T_3995, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] + buf_state_en[2] <= _T_3996 @[el2_lsu_bus_buffer.scala 520:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3997 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_3997 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 522:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 527:25] skip @[Conditional.scala 39:67] - node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] + node _T_3998 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] reg _T_3999 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3998 : @[Reg.scala 28:19] _T_3999 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 525:18] - reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] - _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 526:60] - buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 526:17] - reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] - _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 527:63] - buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 527:20] - node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] + buf_state[2] <= _T_3999 @[el2_lsu_bus_buffer.scala 530:18] + reg _T_4000 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] + _T_4000 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 531:60] + buf_ageQ[2] <= _T_4000 @[el2_lsu_bus_buffer.scala 531:17] + reg _T_4001 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] + _T_4001 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 532:63] + buf_rspageQ[2] <= _T_4001 @[el2_lsu_bus_buffer.scala 532:20] + node _T_4002 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] reg _T_4003 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4002 : @[Reg.scala 28:19] _T_4003 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 528:20] - node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:74] - node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] + buf_dualtag[2] <= _T_4003 @[el2_lsu_bus_buffer.scala 533:20] + node _T_4004 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 534:74] + node _T_4005 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] reg _T_4006 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4005 : @[Reg.scala 28:19] _T_4006 <= _T_4004 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 529:17] - node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 530:78] - node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] + buf_dual[2] <= _T_4006 @[el2_lsu_bus_buffer.scala 534:17] + node _T_4007 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 535:78] + node _T_4008 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] reg _T_4009 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4008 : @[Reg.scala 28:19] _T_4009 <= _T_4007 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 530:19] - node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 531:80] - node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] + buf_samedw[2] <= _T_4009 @[el2_lsu_bus_buffer.scala 535:19] + node _T_4010 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 536:80] + node _T_4011 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] reg _T_4012 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4011 : @[Reg.scala 28:19] _T_4012 <= _T_4010 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 531:20] - node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 532:78] - node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] + buf_nomerge[2] <= _T_4012 @[el2_lsu_bus_buffer.scala 536:20] + node _T_4013 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 537:78] + node _T_4014 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] reg _T_4015 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4014 : @[Reg.scala 28:19] _T_4015 <= _T_4013 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 532:19] + buf_dualhi[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 537:19] node _T_4016 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4016 : @[Conditional.scala 40:58] - node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 469:56] - node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 469:31] - buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 469:25] - node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 470:45] - node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:77] - node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:97] - node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 470:95] - node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 470:117] - node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 470:112] - node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:144] - node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 470:166] - node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 470:161] - node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 470:132] - node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 470:63] - node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 470:206] - node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 470:201] - node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 470:183] - buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 470:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 471:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 472:24] - node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 473:52] - node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 473:47] - node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 473:73] - node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 473:90] - node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 473:114] - node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 473:30] - buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 473:24] + node _T_4017 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 474:56] + node _T_4018 = mux(_T_4017, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 474:31] + buf_nxtstate[3] <= _T_4018 @[el2_lsu_bus_buffer.scala 474:25] + node _T_4019 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 475:45] + node _T_4020 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:77] + node _T_4021 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:97] + node _T_4022 = and(_T_4020, _T_4021) @[el2_lsu_bus_buffer.scala 475:95] + node _T_4023 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 475:117] + node _T_4024 = and(_T_4022, _T_4023) @[el2_lsu_bus_buffer.scala 475:112] + node _T_4025 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 475:144] + node _T_4026 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 475:166] + node _T_4027 = and(_T_4025, _T_4026) @[el2_lsu_bus_buffer.scala 475:161] + node _T_4028 = or(_T_4024, _T_4027) @[el2_lsu_bus_buffer.scala 475:132] + node _T_4029 = and(_T_4019, _T_4028) @[el2_lsu_bus_buffer.scala 475:63] + node _T_4030 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 475:206] + node _T_4031 = and(ibuf_drain_vld, _T_4030) @[el2_lsu_bus_buffer.scala 475:201] + node _T_4032 = or(_T_4029, _T_4031) @[el2_lsu_bus_buffer.scala 475:183] + buf_state_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 475:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 476:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 477:24] + node _T_4033 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 478:52] + node _T_4034 = and(ibuf_drain_vld, _T_4033) @[el2_lsu_bus_buffer.scala 478:47] + node _T_4035 = bits(_T_4034, 0, 0) @[el2_lsu_bus_buffer.scala 478:73] + node _T_4036 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 478:90] + node _T_4037 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 478:114] + node _T_4038 = mux(_T_4035, _T_4036, _T_4037) @[el2_lsu_bus_buffer.scala 478:30] + buf_data_in[3] <= _T_4038 @[el2_lsu_bus_buffer.scala 478:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4039 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4039 : @[Conditional.scala 39:67] - node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 476:60] - node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 476:31] - buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 476:25] - node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 477:46] - buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 477:25] + node _T_4040 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 481:60] + node _T_4041 = mux(_T_4040, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 481:31] + buf_nxtstate[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 481:25] + node _T_4042 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 482:46] + buf_state_en[3] <= _T_4042 @[el2_lsu_bus_buffer.scala 482:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4043 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4043 : @[Conditional.scala 39:67] - node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 480:60] - node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 480:89] - node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 480:124] - node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 480:104] - node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 480:75] - node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 480:31] - buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 480:25] - node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 481:48] - node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 481:104] - node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 481:91] - node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 481:77] - node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 481:135] - node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 481:148] - buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 481:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 482:29] - node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 483:49] - node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 483:70] - buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 483:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 484:25] - node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 485:56] - node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:46] - node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 485:44] - node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 485:60] - node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:76] - node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 485:74] - buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 485:25] - node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 486:46] - buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 486:28] - node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 487:47] - node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 487:67] - node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 487:81] - buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 487:24] - node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:48] - node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 488:68] - node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 488:82] - buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 488:25] - node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:61] - node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 489:85] - node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 489:103] - node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 489:126] - node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 489:73] - node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 489:30] - buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 489:24] + node _T_4044 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 485:60] + node _T_4045 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 485:89] + node _T_4046 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 485:124] + node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 485:104] + node _T_4048 = mux(_T_4047, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:75] + node _T_4049 = mux(_T_4044, UInt<3>("h00"), _T_4048) @[el2_lsu_bus_buffer.scala 485:31] + buf_nxtstate[3] <= _T_4049 @[el2_lsu_bus_buffer.scala 485:25] + node _T_4050 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:48] + node _T_4051 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:104] + node _T_4052 = and(obuf_merge, _T_4051) @[el2_lsu_bus_buffer.scala 486:91] + node _T_4053 = or(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 486:77] + node _T_4054 = and(_T_4053, obuf_valid) @[el2_lsu_bus_buffer.scala 486:135] + node _T_4055 = and(_T_4054, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 486:148] + buf_cmd_state_bus_en[3] <= _T_4055 @[el2_lsu_bus_buffer.scala 486:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 487:29] + node _T_4056 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 488:49] + node _T_4057 = or(_T_4056, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 488:70] + buf_state_en[3] <= _T_4057 @[el2_lsu_bus_buffer.scala 488:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 489:25] + node _T_4058 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 490:56] + node _T_4059 = eq(_T_4058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:46] + node _T_4060 = and(buf_state_en[3], _T_4059) @[el2_lsu_bus_buffer.scala 490:44] + node _T_4061 = and(_T_4060, obuf_nosend) @[el2_lsu_bus_buffer.scala 490:60] + node _T_4062 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:76] + node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 490:74] + buf_ldfwd_en[3] <= _T_4063 @[el2_lsu_bus_buffer.scala 490:25] + node _T_4064 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 491:46] + buf_ldfwdtag_in[3] <= _T_4064 @[el2_lsu_bus_buffer.scala 491:28] + node _T_4065 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:47] + node _T_4066 = and(_T_4065, obuf_nosend) @[el2_lsu_bus_buffer.scala 492:67] + node _T_4067 = and(_T_4066, bus_rsp_read) @[el2_lsu_bus_buffer.scala 492:81] + buf_data_en[3] <= _T_4067 @[el2_lsu_bus_buffer.scala 492:24] + node _T_4068 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 493:48] + node _T_4069 = and(_T_4068, obuf_nosend) @[el2_lsu_bus_buffer.scala 493:68] + node _T_4070 = and(_T_4069, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 493:82] + buf_error_en[3] <= _T_4070 @[el2_lsu_bus_buffer.scala 493:25] + node _T_4071 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:61] + node _T_4072 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 494:85] + node _T_4073 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 494:103] + node _T_4074 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 494:126] + node _T_4075 = mux(_T_4072, _T_4073, _T_4074) @[el2_lsu_bus_buffer.scala 494:73] + node _T_4076 = mux(buf_error_en[3], _T_4071, _T_4075) @[el2_lsu_bus_buffer.scala 494:30] + buf_data_in[3] <= _T_4076 @[el2_lsu_bus_buffer.scala 494:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 492:67] - node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 492:94] - node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:73] - node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 492:71] - node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 492:55] - node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 492:125] - node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:30] - node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 493:28] - node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 493:57] - node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:47] - node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 493:45] - node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 493:90] - node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 493:61] - node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 494:27] - node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:31] - node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:70] - node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 494:68] - node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 494:97] - node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:87] - node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 494:85] - node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4078 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 497:67] + node _T_4079 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 497:94] + node _T_4080 = eq(_T_4079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:73] + node _T_4081 = and(_T_4078, _T_4080) @[el2_lsu_bus_buffer.scala 497:71] + node _T_4082 = or(io.dec_tlu_force_halt, _T_4081) @[el2_lsu_bus_buffer.scala 497:55] + node _T_4083 = bits(_T_4082, 0, 0) @[el2_lsu_bus_buffer.scala 497:125] + node _T_4084 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:30] + node _T_4085 = and(buf_dual[3], _T_4084) @[el2_lsu_bus_buffer.scala 498:28] + node _T_4086 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 498:57] + node _T_4087 = eq(_T_4086, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 498:47] + node _T_4088 = and(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 498:45] + node _T_4089 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 498:90] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 498:61] + node _T_4091 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 499:27] + node _T_4092 = or(_T_4091, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:31] + node _T_4093 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:70] + node _T_4094 = and(buf_dual[3], _T_4093) @[el2_lsu_bus_buffer.scala 499:68] + node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 499:97] + node _T_4096 = eq(_T_4095, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 499:87] + node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 499:85] + node _T_4098 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4099 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4100 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4101 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4102 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4103 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4104 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4105 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_4106 = mux(_T_4098, _T_4099, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4107 = mux(_T_4100, _T_4101, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4108 = mux(_T_4102, _T_4103, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5312,172 +5315,172 @@ circuit el2_lsu_bus_buffer : node _T_4112 = or(_T_4111, _T_4109) @[Mux.scala 27:72] wire _T_4113 : UInt<1> @[Mux.scala 27:72] _T_4113 <= _T_4112 @[Mux.scala 27:72] - node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 494:101] - node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 494:167] - node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 494:138] - node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 494:187] - node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 494:53] - node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 494:16] - node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 493:14] - node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 492:25] - node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 495:73] - node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 495:52] - node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 496:46] - node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 497:23] - node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 497:47] - node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 497:27] - node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 496:77] - node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 498:26] - node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 498:54] - node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 498:44] - node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 498:42] - node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 498:58] - node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 498:94] - node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 498:74] - node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 497:71] - node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 496:25] - node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 495:105] - buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 495:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 499:29] - node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 500:49] - node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:70] - buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 500:25] - node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 501:47] - node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:62] - buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 501:24] - node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 502:48] - node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 502:111] - node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 502:91] - node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 503:42] - node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 503:31] - node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 503:66] - node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 503:46] - node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 502:143] - node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 504:32] - node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:74] - node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 504:53] - node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 503:88] - node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 502:68] - buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 502:25] - node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:50] - node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 505:48] - node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 505:84] - node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 505:102] - node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:125] - node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 505:72] - node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 505:148] - node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 505:30] - buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 505:24] + node _T_4114 = and(_T_4097, _T_4113) @[el2_lsu_bus_buffer.scala 499:101] + node _T_4115 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 499:167] + node _T_4116 = and(_T_4114, _T_4115) @[el2_lsu_bus_buffer.scala 499:138] + node _T_4117 = and(_T_4116, any_done_wait_state) @[el2_lsu_bus_buffer.scala 499:187] + node _T_4118 = or(_T_4092, _T_4117) @[el2_lsu_bus_buffer.scala 499:53] + node _T_4119 = mux(_T_4118, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 499:16] + node _T_4120 = mux(_T_4090, UInt<3>("h04"), _T_4119) @[el2_lsu_bus_buffer.scala 498:14] + node _T_4121 = mux(_T_4083, UInt<3>("h00"), _T_4120) @[el2_lsu_bus_buffer.scala 497:31] + buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 497:25] + node _T_4122 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 500:73] + node _T_4123 = and(bus_rsp_write, _T_4122) @[el2_lsu_bus_buffer.scala 500:52] + node _T_4124 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 501:46] + node _T_4125 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 502:23] + node _T_4126 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 502:47] + node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 502:27] + node _T_4128 = or(_T_4124, _T_4127) @[el2_lsu_bus_buffer.scala 501:77] + node _T_4129 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 503:26] + node _T_4130 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 503:54] + node _T_4131 = not(_T_4130) @[el2_lsu_bus_buffer.scala 503:44] + node _T_4132 = and(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 503:42] + node _T_4133 = and(_T_4132, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 503:58] + node _T_4134 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 503:94] + node _T_4135 = and(_T_4133, _T_4134) @[el2_lsu_bus_buffer.scala 503:74] + node _T_4136 = or(_T_4128, _T_4135) @[el2_lsu_bus_buffer.scala 502:71] + node _T_4137 = and(bus_rsp_read, _T_4136) @[el2_lsu_bus_buffer.scala 501:25] + node _T_4138 = or(_T_4123, _T_4137) @[el2_lsu_bus_buffer.scala 500:105] + buf_resp_state_bus_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 500:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 504:29] + node _T_4139 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 505:49] + node _T_4140 = or(_T_4139, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:70] + buf_state_en[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4141 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:47] + node _T_4142 = and(_T_4141, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:62] + buf_data_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 506:24] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:48] + node _T_4144 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:111] + node _T_4145 = and(bus_rsp_read_error, _T_4144) @[el2_lsu_bus_buffer.scala 507:91] + node _T_4146 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 508:42] + node _T_4147 = and(bus_rsp_read_error, _T_4146) @[el2_lsu_bus_buffer.scala 508:31] + node _T_4148 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 508:66] + node _T_4149 = and(_T_4147, _T_4148) @[el2_lsu_bus_buffer.scala 508:46] + node _T_4150 = or(_T_4145, _T_4149) @[el2_lsu_bus_buffer.scala 507:143] + node _T_4151 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 509:32] + node _T_4152 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 509:74] + node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 509:53] + node _T_4154 = or(_T_4150, _T_4153) @[el2_lsu_bus_buffer.scala 508:88] + node _T_4155 = and(_T_4143, _T_4154) @[el2_lsu_bus_buffer.scala 507:68] + buf_error_en[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 507:25] + node _T_4156 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:50] + node _T_4157 = and(buf_state_en[3], _T_4156) @[el2_lsu_bus_buffer.scala 510:48] + node _T_4158 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 510:84] + node _T_4159 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 510:102] + node _T_4160 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:125] + node _T_4161 = mux(_T_4158, _T_4159, _T_4160) @[el2_lsu_bus_buffer.scala 510:72] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 510:148] + node _T_4163 = mux(_T_4157, _T_4161, _T_4162) @[el2_lsu_bus_buffer.scala 510:30] + buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 510:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4164 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4164 : @[Conditional.scala 39:67] - node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 508:60] - node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 508:86] - node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 508:101] - node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 508:101] - node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 508:90] - node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 508:118] - node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 508:75] - node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 508:31] - buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 508:25] - node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 509:66] - node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 510:21] - node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 510:21] - node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 510:58] - node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 510:38] - node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 509:95] - node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 509:45] - buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 509:29] - node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:49] - node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 511:70] - buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 511:25] + node _T_4165 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_4166 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 513:86] + node _T_4167 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 513:101] + node _T_4168 = bits(_T_4167, 0, 0) @[el2_lsu_bus_buffer.scala 513:101] + node _T_4169 = or(_T_4166, _T_4168) @[el2_lsu_bus_buffer.scala 513:90] + node _T_4170 = or(_T_4169, any_done_wait_state) @[el2_lsu_bus_buffer.scala 513:118] + node _T_4171 = mux(_T_4170, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_4172 = mux(_T_4165, UInt<3>("h00"), _T_4171) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[3] <= _T_4172 @[el2_lsu_bus_buffer.scala 513:25] + node _T_4173 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 514:66] + node _T_4174 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 515:21] + node _T_4175 = bits(_T_4174, 0, 0) @[el2_lsu_bus_buffer.scala 515:21] + node _T_4176 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 515:58] + node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 515:38] + node _T_4178 = or(_T_4173, _T_4177) @[el2_lsu_bus_buffer.scala 514:95] + node _T_4179 = and(bus_rsp_read, _T_4178) @[el2_lsu_bus_buffer.scala 514:45] + buf_state_bus_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 514:29] + node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_4181 = or(_T_4180, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[3] <= _T_4181 @[el2_lsu_bus_buffer.scala 516:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4182 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4182 : @[Conditional.scala 39:67] - node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 514:60] - node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 514:31] - buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 514:25] - node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 515:37] - node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 515:98] - node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 515:80] - node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 515:65] - node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 515:112] - buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 515:25] + node _T_4183 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 519:60] + node _T_4184 = mux(_T_4183, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[3] <= _T_4184 @[el2_lsu_bus_buffer.scala 519:25] + node _T_4185 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 520:37] + node _T_4186 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 520:98] + node _T_4187 = and(buf_dual[3], _T_4186) @[el2_lsu_bus_buffer.scala 520:80] + node _T_4188 = or(_T_4185, _T_4187) @[el2_lsu_bus_buffer.scala 520:65] + node _T_4189 = or(_T_4188, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 520:112] + buf_state_en[3] <= _T_4189 @[el2_lsu_bus_buffer.scala 520:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4190 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4190 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 518:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 520:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 521:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 522:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 523:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 524:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 525:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 526:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 527:25] skip @[Conditional.scala 39:67] - node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:108] + node _T_4191 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:108] reg _T_4192 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4191 : @[Reg.scala 28:19] _T_4192 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 525:18] - reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 526:60] - _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 526:60] - buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 526:17] - reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 527:63] - _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 527:63] - buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 527:20] - node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:109] + buf_state[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 530:18] + reg _T_4193 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 531:60] + _T_4193 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 531:60] + buf_ageQ[3] <= _T_4193 @[el2_lsu_bus_buffer.scala 531:17] + reg _T_4194 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 532:63] + _T_4194 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 532:63] + buf_rspageQ[3] <= _T_4194 @[el2_lsu_bus_buffer.scala 532:20] + node _T_4195 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 533:109] reg _T_4196 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4195 : @[Reg.scala 28:19] _T_4196 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 528:20] - node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:74] - node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:107] + buf_dualtag[3] <= _T_4196 @[el2_lsu_bus_buffer.scala 533:20] + node _T_4197 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 534:74] + node _T_4198 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 534:107] reg _T_4199 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4198 : @[Reg.scala 28:19] _T_4199 <= _T_4197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 529:17] - node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 530:78] - node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:111] + buf_dual[3] <= _T_4199 @[el2_lsu_bus_buffer.scala 534:17] + node _T_4200 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 535:78] + node _T_4201 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:111] reg _T_4202 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4201 : @[Reg.scala 28:19] _T_4202 <= _T_4200 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 530:19] - node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 531:80] - node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:113] + buf_samedw[3] <= _T_4202 @[el2_lsu_bus_buffer.scala 535:19] + node _T_4203 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 536:80] + node _T_4204 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:113] reg _T_4205 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4204 : @[Reg.scala 28:19] _T_4205 <= _T_4203 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 531:20] - node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 532:78] - node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:111] + buf_nomerge[3] <= _T_4205 @[el2_lsu_bus_buffer.scala 536:20] + node _T_4206 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 537:78] + node _T_4207 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:111] reg _T_4208 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4207 : @[Reg.scala 28:19] _T_4208 <= _T_4206 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 532:19] - node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] + buf_dualhi[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 537:19] + node _T_4209 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4209 : @[Reg.scala 28:19] _T_4210 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] + node _T_4211 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] reg _T_4212 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4211 : @[Reg.scala 28:19] _T_4212 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] + node _T_4213 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] reg _T_4214 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4213 : @[Reg.scala 28:19] _T_4214 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 535:133] + node _T_4215 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 540:133] reg _T_4216 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4215 : @[Reg.scala 28:19] _T_4216 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5485,51 +5488,51 @@ circuit el2_lsu_bus_buffer : node _T_4217 = cat(_T_4216, _T_4214) @[Cat.scala 29:58] node _T_4218 = cat(_T_4217, _T_4212) @[Cat.scala 29:58] node _T_4219 = cat(_T_4218, _T_4210) @[Cat.scala 29:58] - buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 535:15] - node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] + buf_ldfwd <= _T_4219 @[el2_lsu_bus_buffer.scala 540:15] + node _T_4220 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4220 : @[Reg.scala 28:19] _T_4221 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] + node _T_4222 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] reg _T_4223 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4222 : @[Reg.scala 28:19] _T_4223 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] + node _T_4224 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] reg _T_4225 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4224 : @[Reg.scala 28:19] _T_4225 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 536:134] + node _T_4226 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 541:134] reg _T_4227 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4226 : @[Reg.scala 28:19] _T_4227 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 536:18] - buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 536:18] - buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 536:18] - buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 536:18] - node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 537:107] - node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] + buf_ldfwdtag[0] <= _T_4221 @[el2_lsu_bus_buffer.scala 541:18] + buf_ldfwdtag[1] <= _T_4223 @[el2_lsu_bus_buffer.scala 541:18] + buf_ldfwdtag[2] <= _T_4225 @[el2_lsu_bus_buffer.scala 541:18] + buf_ldfwdtag[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 541:18] + node _T_4228 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 542:107] + node _T_4229 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] reg _T_4230 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4229 : @[Reg.scala 28:19] _T_4230 <= _T_4228 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 537:107] - node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] + node _T_4231 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 542:107] + node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] reg _T_4233 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4232 : @[Reg.scala 28:19] _T_4233 <= _T_4231 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 537:107] - node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] + node _T_4234 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 542:107] + node _T_4235 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] reg _T_4236 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4235 : @[Reg.scala 28:19] _T_4236 <= _T_4234 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 537:107] - node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 537:140] + node _T_4237 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 542:107] + node _T_4238 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 542:140] reg _T_4239 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4238 : @[Reg.scala 28:19] _T_4239 <= _T_4237 @[Reg.scala 28:23] @@ -5537,27 +5540,27 @@ circuit el2_lsu_bus_buffer : node _T_4240 = cat(_T_4239, _T_4236) @[Cat.scala 29:58] node _T_4241 = cat(_T_4240, _T_4233) @[Cat.scala 29:58] node _T_4242 = cat(_T_4241, _T_4230) @[Cat.scala 29:58] - buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 537:20] - node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 538:99] - node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] + buf_sideeffect <= _T_4242 @[el2_lsu_bus_buffer.scala 542:20] + node _T_4243 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 543:99] + node _T_4244 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4244 : @[Reg.scala 28:19] _T_4245 <= _T_4243 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 538:99] - node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] + node _T_4246 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 543:99] + node _T_4247 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] reg _T_4248 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4247 : @[Reg.scala 28:19] _T_4248 <= _T_4246 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 538:99] - node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] + node _T_4249 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 543:99] + node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] reg _T_4251 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4250 : @[Reg.scala 28:19] _T_4251 <= _T_4249 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 538:99] - node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 538:132] + node _T_4252 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 543:99] + node _T_4253 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 543:132] reg _T_4254 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4253 : @[Reg.scala 28:19] _T_4254 <= _T_4252 @[Reg.scala 28:23] @@ -5565,27 +5568,27 @@ circuit el2_lsu_bus_buffer : node _T_4255 = cat(_T_4254, _T_4251) @[Cat.scala 29:58] node _T_4256 = cat(_T_4255, _T_4248) @[Cat.scala 29:58] node _T_4257 = cat(_T_4256, _T_4245) @[Cat.scala 29:58] - buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 538:16] - node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 539:97] - node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] + buf_unsign <= _T_4257 @[el2_lsu_bus_buffer.scala 543:16] + node _T_4258 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 544:97] + node _T_4259 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] reg _T_4260 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4259 : @[Reg.scala 28:19] _T_4260 <= _T_4258 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 539:97] - node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] + node _T_4261 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 544:97] + node _T_4262 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] reg _T_4263 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4262 : @[Reg.scala 28:19] _T_4263 <= _T_4261 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 539:97] - node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] + node _T_4264 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 544:97] + node _T_4265 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] reg _T_4266 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4265 : @[Reg.scala 28:19] _T_4266 <= _T_4264 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 539:97] - node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 539:130] + node _T_4267 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 544:97] + node _T_4268 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 544:130] reg _T_4269 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4268 : @[Reg.scala 28:19] _T_4269 <= _T_4267 @[Reg.scala 28:23] @@ -5593,32 +5596,32 @@ circuit el2_lsu_bus_buffer : node _T_4270 = cat(_T_4269, _T_4266) @[Cat.scala 29:58] node _T_4271 = cat(_T_4270, _T_4263) @[Cat.scala 29:58] node _T_4272 = cat(_T_4271, _T_4260) @[Cat.scala 29:58] - buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 539:15] - node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] + buf_write <= _T_4272 @[el2_lsu_bus_buffer.scala 544:15] + node _T_4273 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] reg _T_4274 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4273 : @[Reg.scala 28:19] _T_4274 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] + node _T_4275 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] reg _T_4276 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4275 : @[Reg.scala 28:19] _T_4276 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] + node _T_4277 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] reg _T_4278 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 540:119] + node _T_4279 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 545:119] reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4279 : @[Reg.scala 28:19] _T_4280 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 540:12] - buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 540:12] - buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 540:12] - buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 540:12] - node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] + buf_sz[0] <= _T_4274 @[el2_lsu_bus_buffer.scala 545:12] + buf_sz[1] <= _T_4276 @[el2_lsu_bus_buffer.scala 545:12] + buf_sz[2] <= _T_4278 @[el2_lsu_bus_buffer.scala 545:12] + buf_sz[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 545:12] + node _T_4281 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5627,7 +5630,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4282 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4282 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] + node _T_4283 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5636,7 +5639,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4284 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4284 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] + node _T_4285 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5645,7 +5648,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4286 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4286 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 541:82] + node _T_4287 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 546:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5654,34 +5657,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4288 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4288 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 541:14] - buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 541:14] - buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 541:14] - buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 541:14] - node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] + buf_addr[0] <= _T_4282 @[el2_lsu_bus_buffer.scala 546:14] + buf_addr[1] <= _T_4284 @[el2_lsu_bus_buffer.scala 546:14] + buf_addr[2] <= _T_4286 @[el2_lsu_bus_buffer.scala 546:14] + buf_addr[3] <= _T_4288 @[el2_lsu_bus_buffer.scala 546:14] + node _T_4289 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] reg _T_4290 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4289 : @[Reg.scala 28:19] _T_4290 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] + node _T_4291 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] reg _T_4292 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4291 : @[Reg.scala 28:19] _T_4292 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] + node _T_4293 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] reg _T_4294 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 542:127] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 547:127] reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4295 : @[Reg.scala 28:19] _T_4296 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 542:16] - buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 542:16] - buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 542:16] - buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 542:16] + buf_byteen[0] <= _T_4290 @[el2_lsu_bus_buffer.scala 547:16] + buf_byteen[1] <= _T_4292 @[el2_lsu_bus_buffer.scala 547:16] + buf_byteen[2] <= _T_4294 @[el2_lsu_bus_buffer.scala 547:16] + buf_byteen[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 547:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5714,175 +5717,175 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4300 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4300 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 543:14] - buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 543:14] - buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 543:14] - buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 543:14] - node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 544:121] - node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] - node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 544:126] - reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] - _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 544:82] - node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 544:121] - node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] - node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 544:126] - reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] - _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 544:82] - node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 544:121] - node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] - node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 544:126] - reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] - _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 544:82] - node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 544:121] - node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 544:86] - node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 544:128] - node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 544:126] - reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 544:82] - _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 544:82] + buf_data[0] <= _T_4297 @[el2_lsu_bus_buffer.scala 548:14] + buf_data[1] <= _T_4298 @[el2_lsu_bus_buffer.scala 548:14] + buf_data[2] <= _T_4299 @[el2_lsu_bus_buffer.scala 548:14] + buf_data[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 548:14] + node _T_4301 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 549:121] + node _T_4302 = mux(buf_error_en[0], UInt<1>("h01"), _T_4301) @[el2_lsu_bus_buffer.scala 549:86] + node _T_4303 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] + node _T_4304 = and(_T_4302, _T_4303) @[el2_lsu_bus_buffer.scala 549:126] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] + _T_4305 <= _T_4304 @[el2_lsu_bus_buffer.scala 549:82] + node _T_4306 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 549:121] + node _T_4307 = mux(buf_error_en[1], UInt<1>("h01"), _T_4306) @[el2_lsu_bus_buffer.scala 549:86] + node _T_4308 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] + node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 549:126] + reg _T_4310 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] + _T_4310 <= _T_4309 @[el2_lsu_bus_buffer.scala 549:82] + node _T_4311 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 549:121] + node _T_4312 = mux(buf_error_en[2], UInt<1>("h01"), _T_4311) @[el2_lsu_bus_buffer.scala 549:86] + node _T_4313 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] + node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 549:126] + reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] + _T_4315 <= _T_4314 @[el2_lsu_bus_buffer.scala 549:82] + node _T_4316 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 549:121] + node _T_4317 = mux(buf_error_en[3], UInt<1>("h01"), _T_4316) @[el2_lsu_bus_buffer.scala 549:86] + node _T_4318 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:128] + node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 549:126] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:82] + _T_4320 <= _T_4319 @[el2_lsu_bus_buffer.scala 549:82] node _T_4321 = cat(_T_4320, _T_4315) @[Cat.scala 29:58] node _T_4322 = cat(_T_4321, _T_4310) @[Cat.scala 29:58] node _T_4323 = cat(_T_4322, _T_4305) @[Cat.scala 29:58] - buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 544:15] + buf_error <= _T_4323 @[el2_lsu_bus_buffer.scala 549:15] node _T_4324 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] node _T_4325 = cat(_T_4324, buf_data_en[1]) @[Cat.scala 29:58] node _T_4326 = cat(_T_4325, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4326 @[el2_lsu_bus_buffer.scala 545:14] + io.data_en <= _T_4326 @[el2_lsu_bus_buffer.scala 550:14] node _T_4327 = cat(buf_data_in[3], buf_data_in[2]) @[Cat.scala 29:58] node _T_4328 = cat(_T_4327, buf_data_in[1]) @[Cat.scala 29:58] node _T_4329 = cat(_T_4328, buf_data_in[0]) @[Cat.scala 29:58] - io.test <= _T_4329 @[el2_lsu_bus_buffer.scala 546:11] - node _T_4330 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4331 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4332 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4333 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 547:60] - node _T_4334 = add(_T_4333, _T_4332) @[el2_lsu_bus_buffer.scala 547:96] - node _T_4335 = add(_T_4334, _T_4331) @[el2_lsu_bus_buffer.scala 547:96] - node buf_numvld_any = add(_T_4335, _T_4330) @[el2_lsu_bus_buffer.scala 547:96] - node _T_4336 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 548:60] - node _T_4337 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] - node _T_4338 = and(_T_4336, _T_4337) @[el2_lsu_bus_buffer.scala 548:64] - node _T_4339 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] - node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 548:89] - node _T_4341 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 548:60] - node _T_4342 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] - node _T_4343 = and(_T_4341, _T_4342) @[el2_lsu_bus_buffer.scala 548:64] - node _T_4344 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] - node _T_4345 = and(_T_4343, _T_4344) @[el2_lsu_bus_buffer.scala 548:89] - node _T_4346 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 548:60] - node _T_4347 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] - node _T_4348 = and(_T_4346, _T_4347) @[el2_lsu_bus_buffer.scala 548:64] - node _T_4349 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] - node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 548:89] - node _T_4351 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 548:60] - node _T_4352 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 548:79] - node _T_4353 = and(_T_4351, _T_4352) @[el2_lsu_bus_buffer.scala 548:64] - node _T_4354 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:91] - node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 548:89] - node _T_4356 = add(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 548:142] - node _T_4357 = add(_T_4356, _T_4345) @[el2_lsu_bus_buffer.scala 548:142] - node _T_4358 = add(_T_4357, _T_4340) @[el2_lsu_bus_buffer.scala 548:142] - buf_numvld_wrcmd_any <= _T_4358 @[el2_lsu_bus_buffer.scala 548:24] - node _T_4359 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4360 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] - node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 549:73] - node _T_4362 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4363 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] - node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 549:73] - node _T_4365 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4366 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] - node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 549:73] - node _T_4368 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 549:63] - node _T_4369 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 549:75] - node _T_4370 = and(_T_4368, _T_4369) @[el2_lsu_bus_buffer.scala 549:73] - node _T_4371 = add(_T_4370, _T_4367) @[el2_lsu_bus_buffer.scala 549:126] - node _T_4372 = add(_T_4371, _T_4364) @[el2_lsu_bus_buffer.scala 549:126] - node _T_4373 = add(_T_4372, _T_4361) @[el2_lsu_bus_buffer.scala 549:126] - buf_numvld_cmd_any <= _T_4373 @[el2_lsu_bus_buffer.scala 549:22] - node _T_4374 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] - node _T_4375 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] - node _T_4376 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] - node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 550:100] - node _T_4378 = or(_T_4374, _T_4377) @[el2_lsu_bus_buffer.scala 550:74] - node _T_4379 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] - node _T_4380 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] - node _T_4381 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] - node _T_4382 = and(_T_4380, _T_4381) @[el2_lsu_bus_buffer.scala 550:100] - node _T_4383 = or(_T_4379, _T_4382) @[el2_lsu_bus_buffer.scala 550:74] - node _T_4384 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] - node _T_4385 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] - node _T_4386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] - node _T_4387 = and(_T_4385, _T_4386) @[el2_lsu_bus_buffer.scala 550:100] - node _T_4388 = or(_T_4384, _T_4387) @[el2_lsu_bus_buffer.scala 550:74] - node _T_4389 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 550:63] - node _T_4390 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 550:90] - node _T_4391 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:102] - node _T_4392 = and(_T_4390, _T_4391) @[el2_lsu_bus_buffer.scala 550:100] - node _T_4393 = or(_T_4389, _T_4392) @[el2_lsu_bus_buffer.scala 550:74] - node _T_4394 = add(_T_4393, _T_4388) @[el2_lsu_bus_buffer.scala 550:154] - node _T_4395 = add(_T_4394, _T_4383) @[el2_lsu_bus_buffer.scala 550:154] - node _T_4396 = add(_T_4395, _T_4378) @[el2_lsu_bus_buffer.scala 550:154] - buf_numvld_pend_any <= _T_4396 @[el2_lsu_bus_buffer.scala 550:23] - node _T_4397 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] - node _T_4398 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] - node _T_4399 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] - node _T_4400 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 551:61] - node _T_4401 = or(_T_4400, _T_4399) @[el2_lsu_bus_buffer.scala 551:93] - node _T_4402 = or(_T_4401, _T_4398) @[el2_lsu_bus_buffer.scala 551:93] - node _T_4403 = or(_T_4402, _T_4397) @[el2_lsu_bus_buffer.scala 551:93] - any_done_wait_state <= _T_4403 @[el2_lsu_bus_buffer.scala 551:23] - node _T_4404 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 552:53] - io.lsu_bus_buffer_pend_any <= _T_4404 @[el2_lsu_bus_buffer.scala 552:30] - node _T_4405 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 553:52] - node _T_4406 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 553:92] - node _T_4407 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 553:119] - node _T_4408 = mux(_T_4405, _T_4406, _T_4407) @[el2_lsu_bus_buffer.scala 553:36] - io.lsu_bus_buffer_full_any <= _T_4408 @[el2_lsu_bus_buffer.scala 553:30] - node _T_4409 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 554:52] - node _T_4410 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 554:52] - node _T_4411 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 554:52] - node _T_4412 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 554:52] - node _T_4413 = or(_T_4409, _T_4410) @[el2_lsu_bus_buffer.scala 554:65] - node _T_4414 = or(_T_4413, _T_4411) @[el2_lsu_bus_buffer.scala 554:65] - node _T_4415 = or(_T_4414, _T_4412) @[el2_lsu_bus_buffer.scala 554:65] - node _T_4416 = eq(_T_4415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:34] - node _T_4417 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:72] - node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 554:70] - node _T_4419 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:86] - node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 554:84] - io.lsu_bus_buffer_empty_any <= _T_4420 @[el2_lsu_bus_buffer.scala 554:31] - node _T_4421 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 556:51] - node _T_4422 = and(_T_4421, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 556:72] - node _T_4423 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:94] - node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 556:92] - node _T_4425 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 556:111] - node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 556:109] - io.lsu_nonblock_load_valid_m <= _T_4426 @[el2_lsu_bus_buffer.scala 556:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 557:30] + io.test <= _T_4329 @[el2_lsu_bus_buffer.scala 551:11] + node _T_4330 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] + node _T_4331 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] + node _T_4332 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] + node _T_4333 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 552:60] + node _T_4334 = add(_T_4333, _T_4332) @[el2_lsu_bus_buffer.scala 552:96] + node _T_4335 = add(_T_4334, _T_4331) @[el2_lsu_bus_buffer.scala 552:96] + node buf_numvld_any = add(_T_4335, _T_4330) @[el2_lsu_bus_buffer.scala 552:96] + node _T_4336 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:60] + node _T_4337 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4338 = and(_T_4336, _T_4337) @[el2_lsu_bus_buffer.scala 553:64] + node _T_4339 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4341 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:60] + node _T_4342 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4343 = and(_T_4341, _T_4342) @[el2_lsu_bus_buffer.scala 553:64] + node _T_4344 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4345 = and(_T_4343, _T_4344) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4346 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:60] + node _T_4347 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4348 = and(_T_4346, _T_4347) @[el2_lsu_bus_buffer.scala 553:64] + node _T_4349 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4351 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:60] + node _T_4352 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 553:79] + node _T_4353 = and(_T_4351, _T_4352) @[el2_lsu_bus_buffer.scala 553:64] + node _T_4354 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4355 = and(_T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4356 = add(_T_4355, _T_4350) @[el2_lsu_bus_buffer.scala 553:142] + node _T_4357 = add(_T_4356, _T_4345) @[el2_lsu_bus_buffer.scala 553:142] + node _T_4358 = add(_T_4357, _T_4340) @[el2_lsu_bus_buffer.scala 553:142] + buf_numvld_wrcmd_any <= _T_4358 @[el2_lsu_bus_buffer.scala 553:24] + node _T_4359 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] + node _T_4360 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] + node _T_4361 = and(_T_4359, _T_4360) @[el2_lsu_bus_buffer.scala 554:73] + node _T_4362 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] + node _T_4363 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] + node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 554:73] + node _T_4365 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] + node _T_4366 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] + node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 554:73] + node _T_4368 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 554:63] + node _T_4369 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:75] + node _T_4370 = and(_T_4368, _T_4369) @[el2_lsu_bus_buffer.scala 554:73] + node _T_4371 = add(_T_4370, _T_4367) @[el2_lsu_bus_buffer.scala 554:126] + node _T_4372 = add(_T_4371, _T_4364) @[el2_lsu_bus_buffer.scala 554:126] + node _T_4373 = add(_T_4372, _T_4361) @[el2_lsu_bus_buffer.scala 554:126] + buf_numvld_cmd_any <= _T_4373 @[el2_lsu_bus_buffer.scala 554:22] + node _T_4374 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] + node _T_4375 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] + node _T_4376 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] + node _T_4377 = and(_T_4375, _T_4376) @[el2_lsu_bus_buffer.scala 555:100] + node _T_4378 = or(_T_4374, _T_4377) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4379 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] + node _T_4380 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] + node _T_4381 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] + node _T_4382 = and(_T_4380, _T_4381) @[el2_lsu_bus_buffer.scala 555:100] + node _T_4383 = or(_T_4379, _T_4382) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4384 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] + node _T_4385 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] + node _T_4386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] + node _T_4387 = and(_T_4385, _T_4386) @[el2_lsu_bus_buffer.scala 555:100] + node _T_4388 = or(_T_4384, _T_4387) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4389 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 555:63] + node _T_4390 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 555:90] + node _T_4391 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 555:102] + node _T_4392 = and(_T_4390, _T_4391) @[el2_lsu_bus_buffer.scala 555:100] + node _T_4393 = or(_T_4389, _T_4392) @[el2_lsu_bus_buffer.scala 555:74] + node _T_4394 = add(_T_4393, _T_4388) @[el2_lsu_bus_buffer.scala 555:154] + node _T_4395 = add(_T_4394, _T_4383) @[el2_lsu_bus_buffer.scala 555:154] + node _T_4396 = add(_T_4395, _T_4378) @[el2_lsu_bus_buffer.scala 555:154] + buf_numvld_pend_any <= _T_4396 @[el2_lsu_bus_buffer.scala 555:23] + node _T_4397 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] + node _T_4398 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] + node _T_4399 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] + node _T_4400 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 556:61] + node _T_4401 = or(_T_4400, _T_4399) @[el2_lsu_bus_buffer.scala 556:93] + node _T_4402 = or(_T_4401, _T_4398) @[el2_lsu_bus_buffer.scala 556:93] + node _T_4403 = or(_T_4402, _T_4397) @[el2_lsu_bus_buffer.scala 556:93] + any_done_wait_state <= _T_4403 @[el2_lsu_bus_buffer.scala 556:23] + node _T_4404 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 557:53] + io.lsu_bus_buffer_pend_any <= _T_4404 @[el2_lsu_bus_buffer.scala 557:30] + node _T_4405 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 558:52] + node _T_4406 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 558:92] + node _T_4407 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 558:119] + node _T_4408 = mux(_T_4405, _T_4406, _T_4407) @[el2_lsu_bus_buffer.scala 558:36] + io.lsu_bus_buffer_full_any <= _T_4408 @[el2_lsu_bus_buffer.scala 558:30] + node _T_4409 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 559:52] + node _T_4410 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 559:52] + node _T_4411 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 559:52] + node _T_4412 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 559:52] + node _T_4413 = or(_T_4409, _T_4410) @[el2_lsu_bus_buffer.scala 559:65] + node _T_4414 = or(_T_4413, _T_4411) @[el2_lsu_bus_buffer.scala 559:65] + node _T_4415 = or(_T_4414, _T_4412) @[el2_lsu_bus_buffer.scala 559:65] + node _T_4416 = eq(_T_4415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:34] + node _T_4417 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:72] + node _T_4418 = and(_T_4416, _T_4417) @[el2_lsu_bus_buffer.scala 559:70] + node _T_4419 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:86] + node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 559:84] + io.lsu_bus_buffer_empty_any <= _T_4420 @[el2_lsu_bus_buffer.scala 559:31] + node _T_4421 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 561:51] + node _T_4422 = and(_T_4421, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 561:72] + node _T_4423 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:94] + node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 561:92] + node _T_4425 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:111] + node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 561:109] + io.lsu_nonblock_load_valid_m <= _T_4426 @[el2_lsu_bus_buffer.scala 561:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 562:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4427 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 559:61] - node _T_4428 = and(lsu_nonblock_load_valid_r, _T_4427) @[el2_lsu_bus_buffer.scala 559:59] - io.lsu_nonblock_load_inv_r <= _T_4428 @[el2_lsu_bus_buffer.scala 559:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 560:34] - node _T_4429 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4430 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 561:127] - node _T_4431 = and(UInt<1>("h01"), _T_4430) @[el2_lsu_bus_buffer.scala 561:116] - node _T_4432 = eq(_T_4431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] - node _T_4433 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4434 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 561:127] - node _T_4435 = and(UInt<1>("h01"), _T_4434) @[el2_lsu_bus_buffer.scala 561:116] - node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] - node _T_4437 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4438 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 561:127] - node _T_4439 = and(UInt<1>("h01"), _T_4438) @[el2_lsu_bus_buffer.scala 561:116] - node _T_4440 = eq(_T_4439, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] - node _T_4441 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 561:80] - node _T_4442 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 561:127] - node _T_4443 = and(UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 561:116] - node _T_4444 = eq(_T_4443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:95] + node _T_4427 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:61] + node _T_4428 = and(lsu_nonblock_load_valid_r, _T_4427) @[el2_lsu_bus_buffer.scala 564:59] + io.lsu_nonblock_load_inv_r <= _T_4428 @[el2_lsu_bus_buffer.scala 564:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 565:34] + node _T_4429 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] + node _T_4430 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 566:127] + node _T_4431 = and(UInt<1>("h01"), _T_4430) @[el2_lsu_bus_buffer.scala 566:116] + node _T_4432 = eq(_T_4431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4433 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] + node _T_4434 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 566:127] + node _T_4435 = and(UInt<1>("h01"), _T_4434) @[el2_lsu_bus_buffer.scala 566:116] + node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4437 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] + node _T_4438 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 566:127] + node _T_4439 = and(UInt<1>("h01"), _T_4438) @[el2_lsu_bus_buffer.scala 566:116] + node _T_4440 = eq(_T_4439, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4441 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 566:80] + node _T_4442 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 566:127] + node _T_4443 = and(UInt<1>("h01"), _T_4442) @[el2_lsu_bus_buffer.scala 566:116] + node _T_4444 = eq(_T_4443, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 566:95] node _T_4445 = mux(_T_4429, _T_4432, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4446 = mux(_T_4433, _T_4436, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4447 = mux(_T_4437, _T_4440, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5892,26 +5895,26 @@ circuit el2_lsu_bus_buffer : node _T_4451 = or(_T_4450, _T_4448) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4451 @[Mux.scala 27:72] - node _T_4452 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] - node _T_4453 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 562:104] - node _T_4454 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 562:120] - node _T_4455 = eq(_T_4454, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] - node _T_4456 = and(_T_4453, _T_4455) @[el2_lsu_bus_buffer.scala 562:108] - node _T_4457 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] - node _T_4458 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 562:104] - node _T_4459 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 562:120] - node _T_4460 = eq(_T_4459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] - node _T_4461 = and(_T_4458, _T_4460) @[el2_lsu_bus_buffer.scala 562:108] - node _T_4462 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] - node _T_4463 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 562:104] - node _T_4464 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 562:120] - node _T_4465 = eq(_T_4464, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] - node _T_4466 = and(_T_4463, _T_4465) @[el2_lsu_bus_buffer.scala 562:108] - node _T_4467 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 562:80] - node _T_4468 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 562:104] - node _T_4469 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 562:120] - node _T_4470 = eq(_T_4469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 562:110] - node _T_4471 = and(_T_4468, _T_4470) @[el2_lsu_bus_buffer.scala 562:108] + node _T_4452 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] + node _T_4453 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 567:104] + node _T_4454 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 567:120] + node _T_4455 = eq(_T_4454, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] + node _T_4456 = and(_T_4453, _T_4455) @[el2_lsu_bus_buffer.scala 567:108] + node _T_4457 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] + node _T_4458 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 567:104] + node _T_4459 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 567:120] + node _T_4460 = eq(_T_4459, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] + node _T_4461 = and(_T_4458, _T_4460) @[el2_lsu_bus_buffer.scala 567:108] + node _T_4462 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] + node _T_4463 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 567:104] + node _T_4464 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 567:120] + node _T_4465 = eq(_T_4464, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] + node _T_4466 = and(_T_4463, _T_4465) @[el2_lsu_bus_buffer.scala 567:108] + node _T_4467 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 567:80] + node _T_4468 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 567:104] + node _T_4469 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 567:120] + node _T_4470 = eq(_T_4469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:110] + node _T_4471 = and(_T_4468, _T_4470) @[el2_lsu_bus_buffer.scala 567:108] node _T_4472 = mux(_T_4452, _T_4456, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4473 = mux(_T_4457, _T_4461, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4474 = mux(_T_4462, _T_4466, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5921,39 +5924,39 @@ circuit el2_lsu_bus_buffer : node _T_4478 = or(_T_4477, _T_4475) @[Mux.scala 27:72] wire _T_4479 : UInt<1> @[Mux.scala 27:72] _T_4479 <= _T_4478 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4479 @[el2_lsu_bus_buffer.scala 562:35] - node _T_4480 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] - node _T_4481 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 563:102] - node _T_4482 = eq(_T_4481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] - node _T_4483 = and(_T_4480, _T_4482) @[el2_lsu_bus_buffer.scala 563:90] - node _T_4484 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] - node _T_4485 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] - node _T_4486 = or(_T_4484, _T_4485) @[el2_lsu_bus_buffer.scala 563:122] - node _T_4487 = and(_T_4483, _T_4486) @[el2_lsu_bus_buffer.scala 563:106] - node _T_4488 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] - node _T_4489 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 563:102] - node _T_4490 = eq(_T_4489, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] - node _T_4491 = and(_T_4488, _T_4490) @[el2_lsu_bus_buffer.scala 563:90] - node _T_4492 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] - node _T_4493 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] - node _T_4494 = or(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 563:122] - node _T_4495 = and(_T_4491, _T_4494) @[el2_lsu_bus_buffer.scala 563:106] - node _T_4496 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] - node _T_4497 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 563:102] - node _T_4498 = eq(_T_4497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] - node _T_4499 = and(_T_4496, _T_4498) @[el2_lsu_bus_buffer.scala 563:90] - node _T_4500 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] - node _T_4501 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] - node _T_4502 = or(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 563:122] - node _T_4503 = and(_T_4499, _T_4502) @[el2_lsu_bus_buffer.scala 563:106] - node _T_4504 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 563:79] - node _T_4505 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 563:102] - node _T_4506 = eq(_T_4505, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:92] - node _T_4507 = and(_T_4504, _T_4506) @[el2_lsu_bus_buffer.scala 563:90] - node _T_4508 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:109] - node _T_4509 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:124] - node _T_4510 = or(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 563:122] - node _T_4511 = and(_T_4507, _T_4510) @[el2_lsu_bus_buffer.scala 563:106] + io.lsu_nonblock_load_data_error <= _T_4479 @[el2_lsu_bus_buffer.scala 567:35] + node _T_4480 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] + node _T_4481 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 568:102] + node _T_4482 = eq(_T_4481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4483 = and(_T_4480, _T_4482) @[el2_lsu_bus_buffer.scala 568:90] + node _T_4484 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] + node _T_4485 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] + node _T_4486 = or(_T_4484, _T_4485) @[el2_lsu_bus_buffer.scala 568:122] + node _T_4487 = and(_T_4483, _T_4486) @[el2_lsu_bus_buffer.scala 568:106] + node _T_4488 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] + node _T_4489 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 568:102] + node _T_4490 = eq(_T_4489, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4491 = and(_T_4488, _T_4490) @[el2_lsu_bus_buffer.scala 568:90] + node _T_4492 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] + node _T_4493 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] + node _T_4494 = or(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 568:122] + node _T_4495 = and(_T_4491, _T_4494) @[el2_lsu_bus_buffer.scala 568:106] + node _T_4496 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] + node _T_4497 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 568:102] + node _T_4498 = eq(_T_4497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4499 = and(_T_4496, _T_4498) @[el2_lsu_bus_buffer.scala 568:90] + node _T_4500 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] + node _T_4501 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] + node _T_4502 = or(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 568:122] + node _T_4503 = and(_T_4499, _T_4502) @[el2_lsu_bus_buffer.scala 568:106] + node _T_4504 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 568:79] + node _T_4505 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 568:102] + node _T_4506 = eq(_T_4505, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4507 = and(_T_4504, _T_4506) @[el2_lsu_bus_buffer.scala 568:90] + node _T_4508 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:109] + node _T_4509 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:124] + node _T_4510 = or(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 568:122] + node _T_4511 = and(_T_4507, _T_4510) @[el2_lsu_bus_buffer.scala 568:106] node _T_4512 = mux(_T_4487, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4513 = mux(_T_4495, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4514 = mux(_T_4503, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -5963,39 +5966,39 @@ circuit el2_lsu_bus_buffer : node _T_4518 = or(_T_4517, _T_4515) @[Mux.scala 27:72] wire _T_4519 : UInt<2> @[Mux.scala 27:72] _T_4519 <= _T_4518 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4519 @[el2_lsu_bus_buffer.scala 563:33] - node _T_4520 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4521 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4523 = and(_T_4520, _T_4522) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4524 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] - node _T_4525 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] - node _T_4526 = or(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 564:121] - node _T_4527 = and(_T_4523, _T_4526) @[el2_lsu_bus_buffer.scala 564:105] - node _T_4528 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4529 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4531 = and(_T_4528, _T_4530) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4532 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] - node _T_4533 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] - node _T_4534 = or(_T_4532, _T_4533) @[el2_lsu_bus_buffer.scala 564:121] - node _T_4535 = and(_T_4531, _T_4534) @[el2_lsu_bus_buffer.scala 564:105] - node _T_4536 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4537 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4539 = and(_T_4536, _T_4538) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4540 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] - node _T_4541 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] - node _T_4542 = or(_T_4540, _T_4541) @[el2_lsu_bus_buffer.scala 564:121] - node _T_4543 = and(_T_4539, _T_4542) @[el2_lsu_bus_buffer.scala 564:105] - node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 564:78] - node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 564:101] - node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:91] - node _T_4547 = and(_T_4544, _T_4546) @[el2_lsu_bus_buffer.scala 564:89] - node _T_4548 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:108] - node _T_4549 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:123] - node _T_4550 = or(_T_4548, _T_4549) @[el2_lsu_bus_buffer.scala 564:121] - node _T_4551 = and(_T_4547, _T_4550) @[el2_lsu_bus_buffer.scala 564:105] + io.lsu_nonblock_load_data_tag <= _T_4519 @[el2_lsu_bus_buffer.scala 568:33] + node _T_4520 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4521 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 569:101] + node _T_4522 = eq(_T_4521, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] + node _T_4523 = and(_T_4520, _T_4522) @[el2_lsu_bus_buffer.scala 569:89] + node _T_4524 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] + node _T_4525 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] + node _T_4526 = or(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4527 = and(_T_4523, _T_4526) @[el2_lsu_bus_buffer.scala 569:105] + node _T_4528 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4529 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 569:101] + node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] + node _T_4531 = and(_T_4528, _T_4530) @[el2_lsu_bus_buffer.scala 569:89] + node _T_4532 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] + node _T_4533 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] + node _T_4534 = or(_T_4532, _T_4533) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4535 = and(_T_4531, _T_4534) @[el2_lsu_bus_buffer.scala 569:105] + node _T_4536 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4537 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 569:101] + node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] + node _T_4539 = and(_T_4536, _T_4538) @[el2_lsu_bus_buffer.scala 569:89] + node _T_4540 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] + node _T_4541 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] + node _T_4542 = or(_T_4540, _T_4541) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4543 = and(_T_4539, _T_4542) @[el2_lsu_bus_buffer.scala 569:105] + node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 569:78] + node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 569:101] + node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:91] + node _T_4547 = and(_T_4544, _T_4546) @[el2_lsu_bus_buffer.scala 569:89] + node _T_4548 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:108] + node _T_4549 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:123] + node _T_4550 = or(_T_4548, _T_4549) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4551 = and(_T_4547, _T_4550) @[el2_lsu_bus_buffer.scala 569:105] node _T_4552 = mux(_T_4527, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4553 = mux(_T_4535, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4554 = mux(_T_4543, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6005,30 +6008,30 @@ circuit el2_lsu_bus_buffer : node _T_4558 = or(_T_4557, _T_4555) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4558 @[Mux.scala 27:72] - node _T_4559 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4560 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 565:101] - node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] - node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 565:89] - node _T_4563 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 565:120] - node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 565:105] - node _T_4565 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4566 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 565:101] - node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] - node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 565:89] - node _T_4569 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 565:120] - node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 565:105] - node _T_4571 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4572 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 565:101] - node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] - node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 565:89] - node _T_4575 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 565:120] - node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 565:105] - node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4578 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 565:101] - node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 565:91] - node _T_4580 = and(_T_4577, _T_4579) @[el2_lsu_bus_buffer.scala 565:89] - node _T_4581 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 565:120] - node _T_4582 = and(_T_4580, _T_4581) @[el2_lsu_bus_buffer.scala 565:105] + node _T_4559 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] + node _T_4560 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 570:101] + node _T_4561 = eq(_T_4560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] + node _T_4562 = and(_T_4559, _T_4561) @[el2_lsu_bus_buffer.scala 570:89] + node _T_4563 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4564 = and(_T_4562, _T_4563) @[el2_lsu_bus_buffer.scala 570:105] + node _T_4565 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] + node _T_4566 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 570:101] + node _T_4567 = eq(_T_4566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] + node _T_4568 = and(_T_4565, _T_4567) @[el2_lsu_bus_buffer.scala 570:89] + node _T_4569 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4570 = and(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 570:105] + node _T_4571 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] + node _T_4572 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 570:101] + node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] + node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 570:89] + node _T_4575 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4576 = and(_T_4574, _T_4575) @[el2_lsu_bus_buffer.scala 570:105] + node _T_4577 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 570:78] + node _T_4578 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 570:101] + node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:91] + node _T_4580 = and(_T_4577, _T_4579) @[el2_lsu_bus_buffer.scala 570:89] + node _T_4581 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 570:120] + node _T_4582 = and(_T_4580, _T_4581) @[el2_lsu_bus_buffer.scala 570:105] node _T_4583 = mux(_T_4564, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4584 = mux(_T_4570, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4585 = mux(_T_4576, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6038,10 +6041,10 @@ circuit el2_lsu_bus_buffer : node _T_4589 = or(_T_4588, _T_4586) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_hi <= _T_4589 @[Mux.scala 27:72] - node _T_4590 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4591 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4592 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4593 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4590 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4591 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4592 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4593 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_4594 = mux(_T_4590, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4595 = mux(_T_4591, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4596 = mux(_T_4592, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6051,11 +6054,11 @@ circuit el2_lsu_bus_buffer : node _T_4600 = or(_T_4599, _T_4597) @[Mux.scala 27:72] wire _T_4601 : UInt<32> @[Mux.scala 27:72] _T_4601 <= _T_4600 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4601, 1, 0) @[el2_lsu_bus_buffer.scala 566:83] - node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4604 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4605 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node lsu_nonblock_addr_offset = bits(_T_4601, 1, 0) @[el2_lsu_bus_buffer.scala 571:83] + node _T_4602 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4604 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4605 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_4606 = mux(_T_4602, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4607 = mux(_T_4603, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4608 = mux(_T_4604, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6065,14 +6068,14 @@ circuit el2_lsu_bus_buffer : node _T_4612 = or(_T_4611, _T_4609) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] lsu_nonblock_sz <= _T_4612 @[Mux.scala 27:72] - node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4614 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4615 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4616 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4617 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4618 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4619 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4620 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4613 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4614 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4615 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4616 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4617 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4618 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4619 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4620 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_4621 = mux(_T_4613, _T_4614, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4622 = mux(_T_4615, _T_4616, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4623 = mux(_T_4617, _T_4618, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6085,14 +6088,14 @@ circuit el2_lsu_bus_buffer : node _T_4628 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_4629 = cat(_T_4628, buf_dual[1]) @[Cat.scala 29:58] node _T_4630 = cat(_T_4629, buf_dual[0]) @[Cat.scala 29:58] - node _T_4631 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4632 = bits(_T_4630, 0, 0) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4633 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4634 = bits(_T_4630, 1, 1) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4635 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4636 = bits(_T_4630, 2, 2) @[el2_lsu_bus_buffer.scala 113:129] - node _T_4637 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 113:118] - node _T_4638 = bits(_T_4630, 3, 3) @[el2_lsu_bus_buffer.scala 113:129] + node _T_4631 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4632 = bits(_T_4630, 0, 0) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4633 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4634 = bits(_T_4630, 1, 1) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4635 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4636 = bits(_T_4630, 2, 2) @[el2_lsu_bus_buffer.scala 116:129] + node _T_4637 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 116:118] + node _T_4638 = bits(_T_4630, 3, 3) @[el2_lsu_bus_buffer.scala 116:129] node _T_4639 = mux(_T_4631, _T_4632, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4640 = mux(_T_4633, _T_4634, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4641 = mux(_T_4635, _T_4636, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6103,38 +6106,38 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4645 @[Mux.scala 27:72] node _T_4646 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4647 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 570:121] - node lsu_nonblock_data_unalgn = dshr(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 570:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 571:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 572:14] - node _T_4648 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:69] - node _T_4649 = and(lsu_nonblock_load_data_ready, _T_4648) @[el2_lsu_bus_buffer.scala 573:67] - io.lsu_nonblock_load_data_valid <= _T_4649 @[el2_lsu_bus_buffer.scala 573:35] - node _T_4650 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:81] - node _T_4651 = and(lsu_nonblock_unsign, _T_4650) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4652 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 574:131] + node _T_4647 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 575:121] + node lsu_nonblock_data_unalgn = dshr(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 575:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 576:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 577:14] + node _T_4648 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:69] + node _T_4649 = and(lsu_nonblock_load_data_ready, _T_4648) @[el2_lsu_bus_buffer.scala 578:67] + io.lsu_nonblock_load_data_valid <= _T_4649 @[el2_lsu_bus_buffer.scala 578:35] + node _T_4650 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:81] + node _T_4651 = and(lsu_nonblock_unsign, _T_4650) @[el2_lsu_bus_buffer.scala 579:63] + node _T_4652 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 579:131] node _T_4653 = cat(UInt<24>("h00"), _T_4652) @[Cat.scala 29:58] - node _T_4654 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 575:45] - node _T_4655 = and(lsu_nonblock_unsign, _T_4654) @[el2_lsu_bus_buffer.scala 575:26] - node _T_4656 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 575:95] + node _T_4654 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 580:45] + node _T_4655 = and(lsu_nonblock_unsign, _T_4654) @[el2_lsu_bus_buffer.scala 580:26] + node _T_4656 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 580:95] node _T_4657 = cat(UInt<16>("h00"), _T_4656) @[Cat.scala 29:58] - node _T_4658 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:6] - node _T_4659 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:45] - node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 576:27] - node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 576:93] + node _T_4658 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:6] + node _T_4659 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:45] + node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 581:27] + node _T_4661 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 581:93] node _T_4662 = bits(_T_4661, 0, 0) @[Bitwise.scala 72:15] node _T_4663 = mux(_T_4662, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4664 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 576:123] + node _T_4664 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 581:123] node _T_4665 = cat(_T_4663, _T_4664) @[Cat.scala 29:58] - node _T_4666 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:6] - node _T_4667 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 577:45] - node _T_4668 = and(_T_4666, _T_4667) @[el2_lsu_bus_buffer.scala 577:27] - node _T_4669 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 577:93] + node _T_4666 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:6] + node _T_4667 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 582:45] + node _T_4668 = and(_T_4666, _T_4667) @[el2_lsu_bus_buffer.scala 582:27] + node _T_4669 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 582:93] node _T_4670 = bits(_T_4669, 0, 0) @[Bitwise.scala 72:15] node _T_4671 = mux(_T_4670, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4672 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 577:124] + node _T_4672 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 582:124] node _T_4673 = cat(_T_4671, _T_4672) @[Cat.scala 29:58] - node _T_4674 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 578:21] + node _T_4674 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 583:21] node _T_4675 = mux(_T_4651, _T_4653, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4676 = mux(_T_4655, _T_4657, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4677 = mux(_T_4660, _T_4665, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6146,75 +6149,75 @@ circuit el2_lsu_bus_buffer : node _T_4683 = or(_T_4682, _T_4679) @[Mux.scala 27:72] wire _T_4684 : UInt<64> @[Mux.scala 27:72] _T_4684 <= _T_4683 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4684 @[el2_lsu_bus_buffer.scala 574:29] - node _T_4685 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] - node _T_4686 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 579:89] - node _T_4687 = and(_T_4685, _T_4686) @[el2_lsu_bus_buffer.scala 579:73] - node _T_4688 = and(_T_4687, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] - node _T_4689 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] - node _T_4690 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 579:89] - node _T_4691 = and(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 579:73] - node _T_4692 = and(_T_4691, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] - node _T_4693 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] - node _T_4694 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 579:89] - node _T_4695 = and(_T_4693, _T_4694) @[el2_lsu_bus_buffer.scala 579:73] - node _T_4696 = and(_T_4695, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] - node _T_4697 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 579:62] - node _T_4698 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 579:89] - node _T_4699 = and(_T_4697, _T_4698) @[el2_lsu_bus_buffer.scala 579:73] - node _T_4700 = and(_T_4699, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 579:93] - node _T_4701 = or(_T_4688, _T_4692) @[el2_lsu_bus_buffer.scala 579:141] - node _T_4702 = or(_T_4701, _T_4696) @[el2_lsu_bus_buffer.scala 579:141] - node _T_4703 = or(_T_4702, _T_4700) @[el2_lsu_bus_buffer.scala 579:141] - bus_sideeffect_pend <= _T_4703 @[el2_lsu_bus_buffer.scala 579:23] - node _T_4704 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] - node _T_4705 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] - node _T_4706 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] - node _T_4707 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] - node _T_4708 = eq(_T_4706, _T_4707) @[el2_lsu_bus_buffer.scala 581:56] - node _T_4709 = and(_T_4705, _T_4708) @[el2_lsu_bus_buffer.scala 581:38] - node _T_4710 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:92] - node _T_4711 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:126] - node _T_4712 = and(obuf_merge, _T_4711) @[el2_lsu_bus_buffer.scala 581:114] - node _T_4713 = or(_T_4710, _T_4712) @[el2_lsu_bus_buffer.scala 581:100] - node _T_4714 = eq(_T_4713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] - node _T_4715 = and(_T_4709, _T_4714) @[el2_lsu_bus_buffer.scala 581:78] - node _T_4716 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] - node _T_4717 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] - node _T_4718 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] - node _T_4719 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] - node _T_4720 = eq(_T_4718, _T_4719) @[el2_lsu_bus_buffer.scala 581:56] - node _T_4721 = and(_T_4717, _T_4720) @[el2_lsu_bus_buffer.scala 581:38] - node _T_4722 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 581:92] - node _T_4723 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 581:126] - node _T_4724 = and(obuf_merge, _T_4723) @[el2_lsu_bus_buffer.scala 581:114] - node _T_4725 = or(_T_4722, _T_4724) @[el2_lsu_bus_buffer.scala 581:100] - node _T_4726 = eq(_T_4725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] - node _T_4727 = and(_T_4721, _T_4726) @[el2_lsu_bus_buffer.scala 581:78] - node _T_4728 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] - node _T_4729 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] - node _T_4730 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] - node _T_4731 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] - node _T_4732 = eq(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 581:56] - node _T_4733 = and(_T_4729, _T_4732) @[el2_lsu_bus_buffer.scala 581:38] - node _T_4734 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 581:92] - node _T_4735 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 581:126] - node _T_4736 = and(obuf_merge, _T_4735) @[el2_lsu_bus_buffer.scala 581:114] - node _T_4737 = or(_T_4734, _T_4736) @[el2_lsu_bus_buffer.scala 581:100] - node _T_4738 = eq(_T_4737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] - node _T_4739 = and(_T_4733, _T_4738) @[el2_lsu_bus_buffer.scala 581:78] - node _T_4740 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 580:71] - node _T_4741 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 581:25] - node _T_4742 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 581:50] - node _T_4743 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 581:70] - node _T_4744 = eq(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 581:56] - node _T_4745 = and(_T_4741, _T_4744) @[el2_lsu_bus_buffer.scala 581:38] - node _T_4746 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 581:92] - node _T_4747 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 581:126] - node _T_4748 = and(obuf_merge, _T_4747) @[el2_lsu_bus_buffer.scala 581:114] - node _T_4749 = or(_T_4746, _T_4748) @[el2_lsu_bus_buffer.scala 581:100] - node _T_4750 = eq(_T_4749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:80] - node _T_4751 = and(_T_4745, _T_4750) @[el2_lsu_bus_buffer.scala 581:78] + io.lsu_nonblock_load_data <= _T_4684 @[el2_lsu_bus_buffer.scala 579:29] + node _T_4685 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] + node _T_4686 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 584:89] + node _T_4687 = and(_T_4685, _T_4686) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4688 = and(_T_4687, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4689 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] + node _T_4690 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 584:89] + node _T_4691 = and(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4692 = and(_T_4691, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4693 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] + node _T_4694 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 584:89] + node _T_4695 = and(_T_4693, _T_4694) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4696 = and(_T_4695, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4697 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 584:62] + node _T_4698 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 584:89] + node _T_4699 = and(_T_4697, _T_4698) @[el2_lsu_bus_buffer.scala 584:73] + node _T_4700 = and(_T_4699, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4701 = or(_T_4688, _T_4692) @[el2_lsu_bus_buffer.scala 584:141] + node _T_4702 = or(_T_4701, _T_4696) @[el2_lsu_bus_buffer.scala 584:141] + node _T_4703 = or(_T_4702, _T_4700) @[el2_lsu_bus_buffer.scala 584:141] + bus_sideeffect_pend <= _T_4703 @[el2_lsu_bus_buffer.scala 584:23] + node _T_4704 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] + node _T_4705 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] + node _T_4706 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] + node _T_4707 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] + node _T_4708 = eq(_T_4706, _T_4707) @[el2_lsu_bus_buffer.scala 586:56] + node _T_4709 = and(_T_4705, _T_4708) @[el2_lsu_bus_buffer.scala 586:38] + node _T_4710 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4711 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:126] + node _T_4712 = and(obuf_merge, _T_4711) @[el2_lsu_bus_buffer.scala 586:114] + node _T_4713 = or(_T_4710, _T_4712) @[el2_lsu_bus_buffer.scala 586:100] + node _T_4714 = eq(_T_4713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4715 = and(_T_4709, _T_4714) @[el2_lsu_bus_buffer.scala 586:78] + node _T_4716 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] + node _T_4717 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] + node _T_4718 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] + node _T_4719 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] + node _T_4720 = eq(_T_4718, _T_4719) @[el2_lsu_bus_buffer.scala 586:56] + node _T_4721 = and(_T_4717, _T_4720) @[el2_lsu_bus_buffer.scala 586:38] + node _T_4722 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4723 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 586:126] + node _T_4724 = and(obuf_merge, _T_4723) @[el2_lsu_bus_buffer.scala 586:114] + node _T_4725 = or(_T_4722, _T_4724) @[el2_lsu_bus_buffer.scala 586:100] + node _T_4726 = eq(_T_4725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4727 = and(_T_4721, _T_4726) @[el2_lsu_bus_buffer.scala 586:78] + node _T_4728 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] + node _T_4729 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] + node _T_4730 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] + node _T_4731 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] + node _T_4732 = eq(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 586:56] + node _T_4733 = and(_T_4729, _T_4732) @[el2_lsu_bus_buffer.scala 586:38] + node _T_4734 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4735 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 586:126] + node _T_4736 = and(obuf_merge, _T_4735) @[el2_lsu_bus_buffer.scala 586:114] + node _T_4737 = or(_T_4734, _T_4736) @[el2_lsu_bus_buffer.scala 586:100] + node _T_4738 = eq(_T_4737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4739 = and(_T_4733, _T_4738) @[el2_lsu_bus_buffer.scala 586:78] + node _T_4740 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 585:71] + node _T_4741 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 586:25] + node _T_4742 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 586:50] + node _T_4743 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 586:70] + node _T_4744 = eq(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 586:56] + node _T_4745 = and(_T_4741, _T_4744) @[el2_lsu_bus_buffer.scala 586:38] + node _T_4746 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4747 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 586:126] + node _T_4748 = and(obuf_merge, _T_4747) @[el2_lsu_bus_buffer.scala 586:114] + node _T_4749 = or(_T_4746, _T_4748) @[el2_lsu_bus_buffer.scala 586:100] + node _T_4750 = eq(_T_4749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4751 = and(_T_4745, _T_4750) @[el2_lsu_bus_buffer.scala 586:78] node _T_4752 = mux(_T_4704, _T_4715, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4753 = mux(_T_4716, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4754 = mux(_T_4728, _T_4739, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6224,117 +6227,117 @@ circuit el2_lsu_bus_buffer : node _T_4758 = or(_T_4757, _T_4755) @[Mux.scala 27:72] wire _T_4759 : UInt<1> @[Mux.scala 27:72] _T_4759 <= _T_4758 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4759 @[el2_lsu_bus_buffer.scala 580:26] - node _T_4760 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 583:54] - node _T_4761 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 583:75] - node _T_4762 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 583:150] - node _T_4763 = mux(_T_4760, _T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 583:39] - node _T_4764 = mux(obuf_write, _T_4763, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 583:23] - bus_cmd_ready <= _T_4764 @[el2_lsu_bus_buffer.scala 583:17] - node _T_4765 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 584:39] - bus_wcmd_sent <= _T_4765 @[el2_lsu_bus_buffer.scala 584:17] - node _T_4766 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 585:39] - bus_wdata_sent <= _T_4766 @[el2_lsu_bus_buffer.scala 585:18] - node _T_4767 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 586:35] - node _T_4768 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 586:70] - node _T_4769 = and(_T_4767, _T_4768) @[el2_lsu_bus_buffer.scala 586:52] - node _T_4770 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 586:111] - node _T_4771 = or(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 586:89] - bus_cmd_sent <= _T_4771 @[el2_lsu_bus_buffer.scala 586:16] - node _T_4772 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 587:37] - bus_rsp_read <= _T_4772 @[el2_lsu_bus_buffer.scala 587:16] - node _T_4773 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 588:38] - bus_rsp_write <= _T_4773 @[el2_lsu_bus_buffer.scala 588:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 589:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 590:21] - node _T_4774 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:60] - node _T_4775 = and(bus_rsp_write, _T_4774) @[el2_lsu_bus_buffer.scala 591:40] - bus_rsp_write_error <= _T_4775 @[el2_lsu_bus_buffer.scala 591:23] - node _T_4776 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:58] - node _T_4777 = and(bus_rsp_read, _T_4776) @[el2_lsu_bus_buffer.scala 592:38] - bus_rsp_read_error <= _T_4777 @[el2_lsu_bus_buffer.scala 592:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 593:17] - node _T_4778 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 596:36] - node _T_4779 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:51] - node _T_4780 = and(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 596:49] - node _T_4781 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:68] - node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 596:66] - io.lsu_axi_awvalid <= _T_4782 @[el2_lsu_bus_buffer.scala 596:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 597:19] - node _T_4783 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 598:69] + bus_addr_match_pending <= _T_4759 @[el2_lsu_bus_buffer.scala 585:26] + node _T_4760 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 588:54] + node _T_4761 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 588:75] + node _T_4762 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 588:150] + node _T_4763 = mux(_T_4760, _T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 588:39] + node _T_4764 = mux(obuf_write, _T_4763, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 588:23] + bus_cmd_ready <= _T_4764 @[el2_lsu_bus_buffer.scala 588:17] + node _T_4765 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 589:39] + bus_wcmd_sent <= _T_4765 @[el2_lsu_bus_buffer.scala 589:17] + node _T_4766 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 590:39] + bus_wdata_sent <= _T_4766 @[el2_lsu_bus_buffer.scala 590:18] + node _T_4767 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 591:35] + node _T_4768 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 591:70] + node _T_4769 = and(_T_4767, _T_4768) @[el2_lsu_bus_buffer.scala 591:52] + node _T_4770 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 591:111] + node _T_4771 = or(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 591:89] + bus_cmd_sent <= _T_4771 @[el2_lsu_bus_buffer.scala 591:16] + node _T_4772 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 592:37] + bus_rsp_read <= _T_4772 @[el2_lsu_bus_buffer.scala 592:16] + node _T_4773 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 593:38] + bus_rsp_write <= _T_4773 @[el2_lsu_bus_buffer.scala 593:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 594:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 595:21] + node _T_4774 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:60] + node _T_4775 = and(bus_rsp_write, _T_4774) @[el2_lsu_bus_buffer.scala 596:40] + bus_rsp_write_error <= _T_4775 @[el2_lsu_bus_buffer.scala 596:23] + node _T_4776 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:58] + node _T_4777 = and(bus_rsp_read, _T_4776) @[el2_lsu_bus_buffer.scala 597:38] + bus_rsp_read_error <= _T_4777 @[el2_lsu_bus_buffer.scala 597:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 598:17] + node _T_4778 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 601:36] + node _T_4779 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:51] + node _T_4780 = and(_T_4778, _T_4779) @[el2_lsu_bus_buffer.scala 601:49] + node _T_4781 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:68] + node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 601:66] + io.lsu_axi_awvalid <= _T_4782 @[el2_lsu_bus_buffer.scala 601:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 602:19] + node _T_4783 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:69] node _T_4784 = cat(_T_4783, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4785 = mux(obuf_sideeffect, obuf_addr, _T_4784) @[el2_lsu_bus_buffer.scala 598:27] - io.lsu_axi_awaddr <= _T_4785 @[el2_lsu_bus_buffer.scala 598:21] + node _T_4785 = mux(obuf_sideeffect, obuf_addr, _T_4784) @[el2_lsu_bus_buffer.scala 603:27] + io.lsu_axi_awaddr <= _T_4785 @[el2_lsu_bus_buffer.scala 603:21] node _T_4786 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4787 = mux(obuf_sideeffect, _T_4786, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 599:27] - io.lsu_axi_awsize <= _T_4787 @[el2_lsu_bus_buffer.scala 599:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 600:21] - node _T_4788 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 601:28] - io.lsu_axi_awcache <= _T_4788 @[el2_lsu_bus_buffer.scala 601:22] - node _T_4789 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 602:35] - io.lsu_axi_awregion <= _T_4789 @[el2_lsu_bus_buffer.scala 602:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 603:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 604:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 606:21] - node _T_4790 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 608:35] - node _T_4791 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:50] - node _T_4792 = and(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 608:48] - node _T_4793 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:68] - node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 608:66] - io.lsu_axi_wvalid <= _T_4794 @[el2_lsu_bus_buffer.scala 608:21] + node _T_4787 = mux(obuf_sideeffect, _T_4786, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:27] + io.lsu_axi_awsize <= _T_4787 @[el2_lsu_bus_buffer.scala 604:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 605:21] + node _T_4788 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 606:28] + io.lsu_axi_awcache <= _T_4788 @[el2_lsu_bus_buffer.scala 606:22] + node _T_4789 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 607:35] + io.lsu_axi_awregion <= _T_4789 @[el2_lsu_bus_buffer.scala 607:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 608:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 609:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 611:21] + node _T_4790 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 613:35] + node _T_4791 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:50] + node _T_4792 = and(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 613:48] + node _T_4793 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:68] + node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 613:66] + io.lsu_axi_wvalid <= _T_4794 @[el2_lsu_bus_buffer.scala 613:21] node _T_4795 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4796 = mux(_T_4795, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4797 = and(obuf_byteen, _T_4796) @[el2_lsu_bus_buffer.scala 609:35] - io.lsu_axi_wstrb <= _T_4797 @[el2_lsu_bus_buffer.scala 609:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 610:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 611:20] - node _T_4798 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:38] - node _T_4799 = and(obuf_valid, _T_4798) @[el2_lsu_bus_buffer.scala 613:36] - node _T_4800 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:52] - node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 613:50] - node _T_4802 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:67] - node _T_4803 = and(_T_4801, _T_4802) @[el2_lsu_bus_buffer.scala 613:65] - io.lsu_axi_arvalid <= _T_4803 @[el2_lsu_bus_buffer.scala 613:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 614:19] - node _T_4804 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 615:69] + node _T_4797 = and(obuf_byteen, _T_4796) @[el2_lsu_bus_buffer.scala 614:35] + io.lsu_axi_wstrb <= _T_4797 @[el2_lsu_bus_buffer.scala 614:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 615:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 616:20] + node _T_4798 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:38] + node _T_4799 = and(obuf_valid, _T_4798) @[el2_lsu_bus_buffer.scala 618:36] + node _T_4800 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:52] + node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 618:50] + node _T_4802 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:67] + node _T_4803 = and(_T_4801, _T_4802) @[el2_lsu_bus_buffer.scala 618:65] + io.lsu_axi_arvalid <= _T_4803 @[el2_lsu_bus_buffer.scala 618:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 619:19] + node _T_4804 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 620:69] node _T_4805 = cat(_T_4804, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4806 = mux(obuf_sideeffect, obuf_addr, _T_4805) @[el2_lsu_bus_buffer.scala 615:27] - io.lsu_axi_araddr <= _T_4806 @[el2_lsu_bus_buffer.scala 615:21] + node _T_4806 = mux(obuf_sideeffect, obuf_addr, _T_4805) @[el2_lsu_bus_buffer.scala 620:27] + io.lsu_axi_araddr <= _T_4806 @[el2_lsu_bus_buffer.scala 620:21] node _T_4807 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4808 = mux(obuf_sideeffect, _T_4807, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 616:27] - io.lsu_axi_arsize <= _T_4808 @[el2_lsu_bus_buffer.scala 616:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 617:21] - node _T_4809 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 618:28] - io.lsu_axi_arcache <= _T_4809 @[el2_lsu_bus_buffer.scala 618:22] - node _T_4810 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 619:35] - io.lsu_axi_arregion <= _T_4810 @[el2_lsu_bus_buffer.scala 619:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 620:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 621:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 623:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 624:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 625:21] - node _T_4811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] - node _T_4812 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 626:125] - node _T_4813 = and(io.lsu_bus_clk_en_q, _T_4812) @[el2_lsu_bus_buffer.scala 626:114] - node _T_4814 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 626:140] - node _T_4815 = and(_T_4813, _T_4814) @[el2_lsu_bus_buffer.scala 626:129] - node _T_4816 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] - node _T_4817 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 626:125] - node _T_4818 = and(io.lsu_bus_clk_en_q, _T_4817) @[el2_lsu_bus_buffer.scala 626:114] - node _T_4819 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 626:140] - node _T_4820 = and(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 626:129] - node _T_4821 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] - node _T_4822 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 626:125] - node _T_4823 = and(io.lsu_bus_clk_en_q, _T_4822) @[el2_lsu_bus_buffer.scala 626:114] - node _T_4824 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 626:140] - node _T_4825 = and(_T_4823, _T_4824) @[el2_lsu_bus_buffer.scala 626:129] - node _T_4826 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 626:81] - node _T_4827 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 626:125] - node _T_4828 = and(io.lsu_bus_clk_en_q, _T_4827) @[el2_lsu_bus_buffer.scala 626:114] - node _T_4829 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 626:140] - node _T_4830 = and(_T_4828, _T_4829) @[el2_lsu_bus_buffer.scala 626:129] + node _T_4808 = mux(obuf_sideeffect, _T_4807, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 621:27] + io.lsu_axi_arsize <= _T_4808 @[el2_lsu_bus_buffer.scala 621:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:21] + node _T_4809 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 623:28] + io.lsu_axi_arcache <= _T_4809 @[el2_lsu_bus_buffer.scala 623:22] + node _T_4810 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 624:35] + io.lsu_axi_arregion <= _T_4810 @[el2_lsu_bus_buffer.scala 624:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 626:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 627:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 628:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 629:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 630:21] + node _T_4811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] + node _T_4812 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 631:125] + node _T_4813 = and(io.lsu_bus_clk_en_q, _T_4812) @[el2_lsu_bus_buffer.scala 631:114] + node _T_4814 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 631:140] + node _T_4815 = and(_T_4813, _T_4814) @[el2_lsu_bus_buffer.scala 631:129] + node _T_4816 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] + node _T_4817 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 631:125] + node _T_4818 = and(io.lsu_bus_clk_en_q, _T_4817) @[el2_lsu_bus_buffer.scala 631:114] + node _T_4819 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 631:140] + node _T_4820 = and(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 631:129] + node _T_4821 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] + node _T_4822 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 631:125] + node _T_4823 = and(io.lsu_bus_clk_en_q, _T_4822) @[el2_lsu_bus_buffer.scala 631:114] + node _T_4824 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 631:140] + node _T_4825 = and(_T_4823, _T_4824) @[el2_lsu_bus_buffer.scala 631:129] + node _T_4826 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 631:81] + node _T_4827 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 631:125] + node _T_4828 = and(io.lsu_bus_clk_en_q, _T_4827) @[el2_lsu_bus_buffer.scala 631:114] + node _T_4829 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 631:140] + node _T_4830 = and(_T_4828, _T_4829) @[el2_lsu_bus_buffer.scala 631:129] node _T_4831 = mux(_T_4811, _T_4815, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4832 = mux(_T_4816, _T_4820, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4833 = mux(_T_4821, _T_4825, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6344,36 +6347,36 @@ circuit el2_lsu_bus_buffer : node _T_4837 = or(_T_4836, _T_4834) @[Mux.scala 27:72] wire _T_4838 : UInt<1> @[Mux.scala 27:72] _T_4838 <= _T_4837 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4838 @[el2_lsu_bus_buffer.scala 626:36] - node _T_4839 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 627:87] - node _T_4840 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 627:109] - node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 627:98] - node _T_4842 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 627:124] - node _T_4843 = and(_T_4841, _T_4842) @[el2_lsu_bus_buffer.scala 627:113] - node _T_4844 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 627:87] - node _T_4845 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 627:109] - node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 627:98] - node _T_4847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 627:124] - node _T_4848 = and(_T_4846, _T_4847) @[el2_lsu_bus_buffer.scala 627:113] + io.lsu_imprecise_error_store_any <= _T_4838 @[el2_lsu_bus_buffer.scala 631:36] + node _T_4839 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 632:87] + node _T_4840 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 632:109] + node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 632:98] + node _T_4842 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 632:124] + node _T_4843 = and(_T_4841, _T_4842) @[el2_lsu_bus_buffer.scala 632:113] + node _T_4844 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 632:87] + node _T_4845 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 632:109] + node _T_4846 = and(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 632:98] + node _T_4847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 632:124] + node _T_4848 = and(_T_4846, _T_4847) @[el2_lsu_bus_buffer.scala 632:113] node _T_4849 = mux(_T_4843, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4850 = mux(_T_4848, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4851 = or(_T_4849, _T_4850) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4851 @[Mux.scala 27:72] - node _T_4852 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 629:72] - node _T_4853 = and(io.lsu_nonblock_load_data_error, _T_4852) @[el2_lsu_bus_buffer.scala 629:70] - io.lsu_imprecise_error_load_any <= _T_4853 @[el2_lsu_bus_buffer.scala 629:35] - node _T_4854 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4855 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4852 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:72] + node _T_4853 = and(io.lsu_nonblock_load_data_error, _T_4852) @[el2_lsu_bus_buffer.scala 634:70] + io.lsu_imprecise_error_load_any <= _T_4853 @[el2_lsu_bus_buffer.scala 634:35] + node _T_4854 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4855 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] node _T_4856 = mux(_T_4854, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4857 = mux(_T_4855, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4858 = or(_T_4856, _T_4857) @[Mux.scala 27:72] wire _T_4859 : UInt<32> @[Mux.scala 27:72] _T_4859 <= _T_4858 @[Mux.scala 27:72] - node _T_4860 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4861 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4862 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 114:123] - node _T_4863 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 114:123] + node _T_4860 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4861 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4862 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 117:123] + node _T_4863 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 117:123] node _T_4864 = mux(_T_4860, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4865 = mux(_T_4861, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4866 = mux(_T_4862, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6383,44 +6386,44 @@ circuit el2_lsu_bus_buffer : node _T_4870 = or(_T_4869, _T_4867) @[Mux.scala 27:72] wire _T_4871 : UInt<32> @[Mux.scala 27:72] _T_4871 <= _T_4870 @[Mux.scala 27:72] - node _T_4872 = mux(io.lsu_imprecise_error_store_any, _T_4859, _T_4871) @[el2_lsu_bus_buffer.scala 630:41] - io.lsu_imprecise_error_addr_any <= _T_4872 @[el2_lsu_bus_buffer.scala 630:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 631:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 633:23] - node _T_4873 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 636:46] - node _T_4874 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 636:89] - node _T_4875 = or(_T_4873, _T_4874) @[el2_lsu_bus_buffer.scala 636:68] - node _T_4876 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 636:132] - node _T_4877 = or(_T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 636:110] - io.lsu_pmu_bus_trxn <= _T_4877 @[el2_lsu_bus_buffer.scala 636:23] - node _T_4878 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 637:48] - node _T_4879 = and(_T_4878, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 637:65] - io.lsu_pmu_bus_misaligned <= _T_4879 @[el2_lsu_bus_buffer.scala 637:29] - node _T_4880 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 638:59] - io.lsu_pmu_bus_error <= _T_4880 @[el2_lsu_bus_buffer.scala 638:24] - node _T_4881 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:48] - node _T_4882 = and(io.lsu_axi_awvalid, _T_4881) @[el2_lsu_bus_buffer.scala 640:46] - node _T_4883 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:92] - node _T_4884 = and(io.lsu_axi_wvalid, _T_4883) @[el2_lsu_bus_buffer.scala 640:90] - node _T_4885 = or(_T_4882, _T_4884) @[el2_lsu_bus_buffer.scala 640:69] - node _T_4886 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:136] - node _T_4887 = and(io.lsu_axi_arvalid, _T_4886) @[el2_lsu_bus_buffer.scala 640:134] - node _T_4888 = or(_T_4885, _T_4887) @[el2_lsu_bus_buffer.scala 640:112] - io.lsu_pmu_bus_busy <= _T_4888 @[el2_lsu_bus_buffer.scala 640:23] - reg _T_4889 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 642:49] - _T_4889 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 642:49] - WrPtr0_r <= _T_4889 @[el2_lsu_bus_buffer.scala 642:12] - reg _T_4890 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 643:49] - _T_4890 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 643:49] - WrPtr1_r <= _T_4890 @[el2_lsu_bus_buffer.scala 643:12] - node _T_4891 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 644:75] - node _T_4892 = and(io.lsu_busreq_m, _T_4891) @[el2_lsu_bus_buffer.scala 644:73] - node _T_4893 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 644:89] - node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 644:87] - reg _T_4895 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 644:56] - _T_4895 <= _T_4894 @[el2_lsu_bus_buffer.scala 644:56] - io.lsu_busreq_r <= _T_4895 @[el2_lsu_bus_buffer.scala 644:19] - reg _T_4896 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 645:66] - _T_4896 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 645:66] - lsu_nonblock_load_valid_r <= _T_4896 @[el2_lsu_bus_buffer.scala 645:29] + node _T_4872 = mux(io.lsu_imprecise_error_store_any, _T_4859, _T_4871) @[el2_lsu_bus_buffer.scala 635:41] + io.lsu_imprecise_error_addr_any <= _T_4872 @[el2_lsu_bus_buffer.scala 635:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 636:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 638:23] + node _T_4873 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 641:46] + node _T_4874 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 641:89] + node _T_4875 = or(_T_4873, _T_4874) @[el2_lsu_bus_buffer.scala 641:68] + node _T_4876 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 641:132] + node _T_4877 = or(_T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 641:110] + io.lsu_pmu_bus_trxn <= _T_4877 @[el2_lsu_bus_buffer.scala 641:23] + node _T_4878 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 642:48] + node _T_4879 = and(_T_4878, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 642:65] + io.lsu_pmu_bus_misaligned <= _T_4879 @[el2_lsu_bus_buffer.scala 642:29] + node _T_4880 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 643:59] + io.lsu_pmu_bus_error <= _T_4880 @[el2_lsu_bus_buffer.scala 643:24] + node _T_4881 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 645:48] + node _T_4882 = and(io.lsu_axi_awvalid, _T_4881) @[el2_lsu_bus_buffer.scala 645:46] + node _T_4883 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 645:92] + node _T_4884 = and(io.lsu_axi_wvalid, _T_4883) @[el2_lsu_bus_buffer.scala 645:90] + node _T_4885 = or(_T_4882, _T_4884) @[el2_lsu_bus_buffer.scala 645:69] + node _T_4886 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 645:136] + node _T_4887 = and(io.lsu_axi_arvalid, _T_4886) @[el2_lsu_bus_buffer.scala 645:134] + node _T_4888 = or(_T_4885, _T_4887) @[el2_lsu_bus_buffer.scala 645:112] + io.lsu_pmu_bus_busy <= _T_4888 @[el2_lsu_bus_buffer.scala 645:23] + reg _T_4889 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 647:49] + _T_4889 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 647:49] + WrPtr0_r <= _T_4889 @[el2_lsu_bus_buffer.scala 647:12] + reg _T_4890 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 648:49] + _T_4890 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 648:49] + WrPtr1_r <= _T_4890 @[el2_lsu_bus_buffer.scala 648:12] + node _T_4891 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 649:75] + node _T_4892 = and(io.lsu_busreq_m, _T_4891) @[el2_lsu_bus_buffer.scala 649:73] + node _T_4893 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 649:89] + node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 649:87] + reg _T_4895 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 649:56] + _T_4895 <= _T_4894 @[el2_lsu_bus_buffer.scala 649:56] + io.lsu_busreq_r <= _T_4895 @[el2_lsu_bus_buffer.scala 649:19] + reg _T_4896 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 650:66] + _T_4896 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 650:66] + lsu_nonblock_load_valid_r <= _T_4896 @[el2_lsu_bus_buffer.scala 650:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 8859cb2f..dd30eefd 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -144,7 +144,10 @@ module el2_lsu_bus_buffer( output [127:0] io_test, output [31:0] io_data_hi, output [31:0] io_data_lo, - output [3:0] io_data_en + output [3:0] io_data_en, + output [1:0] io_Cmdptr0, + output [1:0] io_Cmdptr1, + output [1:0] io_WrPtr1_r ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -303,565 +306,565 @@ module el2_lsu_bus_buffer( wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] - wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 128:46] - wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 129:46] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 131:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 132:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] - wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] reg _T_4269; // @[Reg.scala 27:20] reg _T_4266; // @[Reg.scala 27:20] reg _T_4263; // @[Reg.scala 27:20] reg _T_4260; // @[Reg.scala 27:20] wire [3:0] buf_write = {_T_4269,_T_4266,_T_4263,_T_4260}; // @[Cat.scala 29:58] - wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 134:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] - wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] - wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] + wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] + wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 134:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] reg [31:0] buf_addr_1; // @[el2_lib.scala 491:16] - wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] - wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] + wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 134:98] reg [2:0] buf_state_1; // @[Reg.scala 27:20] - wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] - wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] + wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] + wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 134:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] reg [31:0] buf_addr_2; // @[el2_lib.scala 491:16] - wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] - wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] + wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 134:98] reg [2:0] buf_state_2; // @[Reg.scala 27:20] - wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] - wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] + wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] + wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 134:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] reg [31:0] buf_addr_3; // @[el2_lib.scala 491:16] - wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 131:74] - wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 131:98] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 134:74] + wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 134:98] reg [2:0] buf_state_3; // @[Reg.scala 27:20] - wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 131:129] - wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 131:113] - wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 131:141] - wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] - wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 132:98] - wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 132:113] - wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] - wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] - wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 132:98] - wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 132:113] - wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] - wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] - wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 132:98] - wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 132:113] - wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] - wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 132:74] - wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 132:98] - wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 132:113] - wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 132:141] + wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 134:129] + wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 134:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 134:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] + wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 135:98] + wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 135:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] + wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 135:98] + wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 135:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] + wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 135:98] + wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 135:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 135:74] + wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 135:98] + wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 135:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 135:141] reg [2:0] _T_4296; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] - wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] reg [2:0] _T_4294; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] - wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4294}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] reg [2:0] _T_4292; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] - wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4292}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] reg [2:0] _T_4290; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 137:24 el2_lsu_bus_buffer.scala 138:14 el2_lsu_bus_buffer.scala 542:16] - wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 194:114] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4290}; // @[el2_lsu_bus_buffer.scala 140:24 el2_lsu_bus_buffer.scala 141:14 el2_lsu_bus_buffer.scala 547:16] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 197:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 526:60] - wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 531:60] + wire _T_2531 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] wire _T_4016 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4039 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4043 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1774; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 384:13] - wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 481:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 389:13] + wire _T_4050 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 486:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 481:104] - wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 481:104] - wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 481:91] - wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 481:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 378:54] - wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 377:55] - wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 486:104] + wire _T_4051 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 486:104] + wire _T_4052 = obuf_merge & _T_4051; // @[el2_lsu_bus_buffer.scala 486:91] + wire _T_4053 = _T_4050 | _T_4052; // @[el2_lsu_bus_buffer.scala 486:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 383:54] + wire _T_4054 = _T_4053 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 382:55] + wire _T_4055 = _T_4054 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] wire _GEN_280 = _T_4043 & _T_4055; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4039 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 439:104] - wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] + wire _T_2532 = _T_2531 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 444:104] + wire _T_2533 = buf_ageQ_3[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2527 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] wire _T_3823 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3846 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3850 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 481:48] - wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 481:104] - wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 481:91] - wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 481:77] - wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] - wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] + wire _T_3857 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 486:48] + wire _T_3858 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 486:104] + wire _T_3859 = obuf_merge & _T_3858; // @[el2_lsu_bus_buffer.scala 486:91] + wire _T_3860 = _T_3857 | _T_3859; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3861 = _T_3860 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] + wire _T_3862 = _T_3861 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] wire _GEN_204 = _T_3850 & _T_3862; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3846 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 439:104] - wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] + wire _T_2528 = _T_2527 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 444:104] + wire _T_2529 = buf_ageQ_3[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2523 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] wire _T_3630 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3653 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3657 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 481:48] - wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 481:104] - wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 481:91] - wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 481:77] - wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] - wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] + wire _T_3664 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 486:48] + wire _T_3665 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 486:104] + wire _T_3666 = obuf_merge & _T_3665; // @[el2_lsu_bus_buffer.scala 486:91] + wire _T_3667 = _T_3664 | _T_3666; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3668 = _T_3667 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] + wire _T_3669 = _T_3668 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] wire _GEN_128 = _T_3657 & _T_3669; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3653 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 439:104] - wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 439:94] + wire _T_2524 = _T_2523 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 444:104] + wire _T_2525 = buf_ageQ_3[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2519 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 444:94] wire _T_3437 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3460 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3464 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 481:48] - wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 481:104] - wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 481:91] - wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 481:77] - wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 481:135] - wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 481:148] + wire _T_3471 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 486:48] + wire _T_3472 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 486:104] + wire _T_3473 = obuf_merge & _T_3472; // @[el2_lsu_bus_buffer.scala 486:91] + wire _T_3474 = _T_3471 | _T_3473; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3475 = _T_3474 & obuf_valid; // @[el2_lsu_bus_buffer.scala 486:135] + wire _T_3476 = _T_3475 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 486:148] wire _GEN_52 = _T_3464 & _T_3476; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3460 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 439:104] - wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_2520 = _T_2519 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 444:104] + wire _T_2521 = buf_ageQ_3[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] wire [3:0] buf_age_3 = {_T_2533,_T_2529,_T_2525,_T_2521}; // @[Cat.scala 29:58] - wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2632 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2634 = _T_2632 & _T_19; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2626 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2628 = _T_2626 & _T_12; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2620 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2622 = _T_2620 & _T_5; // @[el2_lsu_bus_buffer.scala 445:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2634,_T_2628,_T_2622}; // @[Cat.scala 29:58] - wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 199:97] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 202:97] reg [31:0] ibuf_addr; // @[el2_lib.scala 491:16] - wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 205:51] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 208:51] reg ibuf_write; // @[Reg.scala 27:20] - wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 205:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 273:24] - wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 205:86] - wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 208:73] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 276:24] + wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 208:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 208:99] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] - wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 210:48] - wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 210:65] - wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25 el2_lsu_bus_buffer.scala 210:25] - wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 526:60] - wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] + wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 213:48] + wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 213:65] + wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 213:25 el2_lsu_bus_buffer.scala 213:25 el2_lsu_bus_buffer.scala 213:25 el2_lsu_bus_buffer.scala 213:25] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 202:150] + wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 531:60] + wire _T_2514 = buf_ageQ_2[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2510 = buf_ageQ_2[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2506 = buf_ageQ_2[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2502 = buf_ageQ_2[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] wire [3:0] buf_age_2 = {_T_2514,_T_2510,_T_2506,_T_2502}; // @[Cat.scala 29:58] - wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2611 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2613 = _T_2611 & _T_26; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2599 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2601 = _T_2599 & _T_12; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2593 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2595 = _T_2593 & _T_5; // @[el2_lsu_bus_buffer.scala 445:106] wire [3:0] buf_age_younger_2 = {_T_2613,1'h0,_T_2601,_T_2595}; // @[Cat.scala 29:58] - wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 526:60] - wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 531:60] + wire _T_2495 = buf_ageQ_1[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2491 = buf_ageQ_1[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2487 = buf_ageQ_1[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2483 = buf_ageQ_1[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] wire [3:0] buf_age_1 = {_T_2495,_T_2491,_T_2487,_T_2483}; // @[Cat.scala 29:58] - wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2584 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2586 = _T_2584 & _T_26; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2578 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2580 = _T_2578 & _T_19; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2566 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2568 = _T_2566 & _T_5; // @[el2_lsu_bus_buffer.scala 445:106] wire [3:0] buf_age_younger_1 = {_T_2586,_T_2580,1'h0,_T_2568}; // @[Cat.scala 29:58] - wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 526:60] - wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 439:78] - wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 439:78] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 531:60] + wire _T_2476 = buf_ageQ_0[3] & _T_2532; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2472 = buf_ageQ_0[2] & _T_2528; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2468 = buf_ageQ_0[1] & _T_2524; // @[el2_lsu_bus_buffer.scala 444:78] + wire _T_2464 = buf_ageQ_0[0] & _T_2520; // @[el2_lsu_bus_buffer.scala 444:78] wire [3:0] buf_age_0 = {_T_2476,_T_2472,_T_2468,_T_2464}; // @[Cat.scala 29:58] - wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 440:106] - wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 440:91] - wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 440:106] + wire _T_2557 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2559 = _T_2557 & _T_26; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2551 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2553 = _T_2551 & _T_19; // @[el2_lsu_bus_buffer.scala 445:106] + wire _T_2545 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 445:91] + wire _T_2547 = _T_2545 & _T_12; // @[el2_lsu_bus_buffer.scala 445:106] wire [3:0] buf_age_younger_0 = {_T_2559,_T_2553,_T_2547,1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 202:148] wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] - wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 191:77] - wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 194:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 194:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 197:114] wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] - wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 202:150] + wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 202:148] wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] - wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 191:77] - wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 194:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 194:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 197:114] wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] - wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 202:150] + wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 202:148] wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] - wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 191:77] - wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] - wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 194:95] - wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 194:114] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 194:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 194:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 197:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 197:114] wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] - wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 199:150] - wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] - wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 199:122] - wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 199:144] - wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 199:99] - wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 199:97] - wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 199:148] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 202:150] + wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 202:122] + wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 202:144] + wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 202:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 202:97] + wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 202:148] wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] - wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 191:73] - wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 191:77] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 194:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 194:77] wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] - wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 198:114] wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] - wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 206:51] - wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 206:73] - wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 206:86] - wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 206:99] - wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 211:48] - wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 211:65] - wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 211:25 el2_lsu_bus_buffer.scala 211:25 el2_lsu_bus_buffer.scala 211:25 el2_lsu_bus_buffer.scala 211:25] - wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 200:150] - wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 209:51] + wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 209:73] + wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 209:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 209:99] + wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 214:48] + wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 214:65] + wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 214:25 el2_lsu_bus_buffer.scala 214:25 el2_lsu_bus_buffer.scala 214:25 el2_lsu_bus_buffer.scala 214:25] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 203:150] + wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 203:148] wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] - wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 192:73] - wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 192:77] - wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 195:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 195:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 198:114] wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] - wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 200:150] - wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 203:150] + wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 203:148] wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] - wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 192:73] - wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 192:77] - wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 195:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 195:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 198:114] wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] - wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 200:150] - wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 203:150] + wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 203:148] wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] - wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 192:73] - wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 192:77] - wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] - wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 195:95] - wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 195:114] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 195:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 195:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 198:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 198:114] wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] - wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 200:150] - wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] - wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 200:122] - wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 200:144] - wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 200:99] - wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 200:97] - wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 200:148] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 203:150] + wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 203:122] + wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 203:144] + wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 203:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 203:97] + wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 203:148] wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] - wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 192:73] - wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 192:77] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 195:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 195:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 217:91] - wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] + wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 217:91] - wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] + wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 217:91] - wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] + wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 217:91] - wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 217:91] - wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 217:123] - wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 217:123] - wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 217:123] + wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 220:91] + wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 220:123] + wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 220:123] + wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 220:123] wire [7:0] _T_577 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] wire [7:0] _T_582 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] wire [7:0] _T_587 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] wire [7:0] _T_592 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 218:65] - wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 218:97] - wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 218:97] - wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 218:97] + wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 221:65] + wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 221:97] + wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 221:97] + wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 221:97] wire [7:0] _T_600 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_605 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_610 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] wire [7:0] _T_615 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 219:65] - wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 219:96] - wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 219:96] - wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 219:96] + wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 222:96] + wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 222:96] + wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 222:96] wire [7:0] _T_623 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] + wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_628 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] + wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_633 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] + wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] wire [7:0] _T_638 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 220:65] - wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 220:95] - wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 220:95] - wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 220:95] + wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 223:95] + wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 223:95] + wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 223:95] wire [32:0] _T_646 = {_T_574,_T_597,_T_620,_T_643}; // @[Cat.scala 29:58] wire [7:0] _T_649 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] wire [7:0] _T_654 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] wire [7:0] _T_659 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] wire [7:0] _T_664 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 222:123] - wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 222:123] - wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 222:123] + wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 225:123] + wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 225:123] + wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 225:123] wire [7:0] _T_672 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_677 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_682 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_687 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] - wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 223:97] - wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 223:97] - wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 223:97] + wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 226:97] + wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 226:97] + wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 226:97] wire [7:0] _T_695 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] wire [7:0] _T_700 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] wire [7:0] _T_705 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] wire [7:0] _T_710 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] - wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 224:96] - wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 224:96] - wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 224:96] + wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 227:65] + wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 227:96] + wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 227:96] + wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 227:96] wire [7:0] _T_718 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] wire [7:0] _T_723 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] wire [7:0] _T_728 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] wire [7:0] _T_733 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] - wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 225:95] - wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 225:95] - wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 225:95] + wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 228:95] + wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 228:95] + wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 228:95] wire [32:0] _T_741 = {_T_669,_T_692,_T_715,_T_738}; // @[Cat.scala 29:58] wire [3:0] _T_742 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_743 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] @@ -869,224 +872,224 @@ module el2_lsu_bus_buffer( wire [3:0] _T_745 = _T_742 | _T_743; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_745 | _T_744; // @[Mux.scala 27:72] wire [7:0] _T_747 = {4'h0,ldst_byteen_r}; // @[Cat.scala 29:58] - wire [10:0] _GEN_362 = {{3'd0}, _T_747}; // @[el2_lsu_bus_buffer.scala 231:45] - wire [10:0] byteen = _GEN_362 << io_lsu_addr_r[1:0]; // @[el2_lsu_bus_buffer.scala 231:45] - wire [3:0] ldst_byteen_hi_r = byteen[7:4]; // @[el2_lsu_bus_buffer.scala 232:32] - wire [3:0] ldst_byteen_lo_r = byteen[3:0]; // @[el2_lsu_bus_buffer.scala 233:32] + wire [10:0] _GEN_362 = {{3'd0}, _T_747}; // @[el2_lsu_bus_buffer.scala 234:45] + wire [10:0] byteen = _GEN_362 << io_lsu_addr_r[1:0]; // @[el2_lsu_bus_buffer.scala 234:45] + wire [3:0] ldst_byteen_hi_r = byteen[7:4]; // @[el2_lsu_bus_buffer.scala 235:32] + wire [3:0] ldst_byteen_lo_r = byteen[3:0]; // @[el2_lsu_bus_buffer.scala 236:32] wire [63:0] _T_749 = {32'h0,io_store_data_r}; // @[Cat.scala 29:58] - wire [3:0] _GEN_363 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[el2_lsu_bus_buffer.scala 234:58] - wire [5:0] _T_751 = 4'h8 * _GEN_363; // @[el2_lsu_bus_buffer.scala 234:58] - wire [126:0] _GEN_364 = {{63'd0}, _T_749}; // @[el2_lsu_bus_buffer.scala 234:52] - wire [126:0] store_data = _GEN_364 << _T_751; // @[el2_lsu_bus_buffer.scala 234:52] - wire [31:0] store_data_hi_r = store_data[63:32]; // @[el2_lsu_bus_buffer.scala 235:35] - wire [31:0] store_data_lo_r = store_data[31:0]; // @[el2_lsu_bus_buffer.scala 236:35] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 237:40] - wire _T_755 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 238:74] - wire _T_757 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 239:26] + wire [3:0] _GEN_363 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[el2_lsu_bus_buffer.scala 237:58] + wire [5:0] _T_751 = 4'h8 * _GEN_363; // @[el2_lsu_bus_buffer.scala 237:58] + wire [126:0] _GEN_364 = {{63'd0}, _T_749}; // @[el2_lsu_bus_buffer.scala 237:52] + wire [126:0] store_data = _GEN_364 << _T_751; // @[el2_lsu_bus_buffer.scala 237:52] + wire [31:0] store_data_hi_r = store_data[63:32]; // @[el2_lsu_bus_buffer.scala 238:35] + wire [31:0] store_data_lo_r = store_data[31:0]; // @[el2_lsu_bus_buffer.scala 239:35] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 240:40] + wire _T_755 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 241:74] + wire _T_757 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 242:26] wire _T_758 = io_lsu_pkt_r_word & _T_755; // @[Mux.scala 27:72] wire _T_759 = io_lsu_pkt_r_half & _T_757; // @[Mux.scala 27:72] wire _T_761 = _T_758 | _T_759; // @[Mux.scala 27:72] wire is_aligned_r = _T_761 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_763 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 241:55] - wire _T_764 = io_lsu_busreq_r & _T_763; // @[el2_lsu_bus_buffer.scala 241:34] - wire _T_765 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 241:79] - wire ibuf_byp = _T_764 & _T_765; // @[el2_lsu_bus_buffer.scala 241:77] - wire _T_766 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 242:36] - wire _T_767 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 242:56] - wire ibuf_wr_en = _T_766 & _T_767; // @[el2_lsu_bus_buffer.scala 242:54] - wire _T_768 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 244:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 286:59] - wire _T_777 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 250:62] - wire _T_778 = ibuf_wr_en | _T_777; // @[el2_lsu_bus_buffer.scala 250:48] - wire _T_834 = _T_766 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 268:54] - wire _T_835 = _T_834 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 268:75] - wire _T_836 = _T_835 & ibuf_write; // @[el2_lsu_bus_buffer.scala 268:88] - wire _T_839 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 268:124] - wire _T_840 = _T_836 & _T_839; // @[el2_lsu_bus_buffer.scala 268:101] - wire _T_841 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 268:147] - wire _T_842 = _T_840 & _T_841; // @[el2_lsu_bus_buffer.scala 268:145] - wire _T_843 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 268:170] - wire ibuf_merge_en = _T_842 & _T_843; // @[el2_lsu_bus_buffer.scala 268:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 269:20] - wire _T_779 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 250:98] - wire _T_780 = ~_T_779; // @[el2_lsu_bus_buffer.scala 250:82] - wire _T_781 = _T_778 & _T_780; // @[el2_lsu_bus_buffer.scala 250:80] - wire _T_782 = _T_781 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 251:5] - wire _T_770 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 245:44] - wire _T_771 = io_lsu_busreq_m & _T_770; // @[el2_lsu_bus_buffer.scala 245:42] - wire _T_772 = _T_771 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 245:61] - wire _T_775 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 245:115] - wire _T_776 = io_lsu_pkt_m_load | _T_775; // @[el2_lsu_bus_buffer.scala 245:95] - wire ibuf_force_drain = _T_772 & _T_776; // @[el2_lsu_bus_buffer.scala 245:74] - wire _T_783 = _T_782 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 251:16] + wire _T_763 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 244:55] + wire _T_764 = io_lsu_busreq_r & _T_763; // @[el2_lsu_bus_buffer.scala 244:34] + wire _T_765 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 244:79] + wire ibuf_byp = _T_764 & _T_765; // @[el2_lsu_bus_buffer.scala 244:77] + wire _T_766 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 245:36] + wire _T_767 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 245:56] + wire ibuf_wr_en = _T_766 & _T_767; // @[el2_lsu_bus_buffer.scala 245:54] + wire _T_768 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 247:36] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 289:59] + wire _T_777 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 253:62] + wire _T_778 = ibuf_wr_en | _T_777; // @[el2_lsu_bus_buffer.scala 253:48] + wire _T_834 = _T_766 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 271:54] + wire _T_835 = _T_834 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 271:75] + wire _T_836 = _T_835 & ibuf_write; // @[el2_lsu_bus_buffer.scala 271:88] + wire _T_839 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 271:124] + wire _T_840 = _T_836 & _T_839; // @[el2_lsu_bus_buffer.scala 271:101] + wire _T_841 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 271:147] + wire _T_842 = _T_840 & _T_841; // @[el2_lsu_bus_buffer.scala 271:145] + wire _T_843 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 271:170] + wire ibuf_merge_en = _T_842 & _T_843; // @[el2_lsu_bus_buffer.scala 271:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 272:20] + wire _T_779 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 253:98] + wire _T_780 = ~_T_779; // @[el2_lsu_bus_buffer.scala 253:82] + wire _T_781 = _T_778 & _T_780; // @[el2_lsu_bus_buffer.scala 253:80] + wire _T_782 = _T_781 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 254:5] + wire _T_770 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 248:44] + wire _T_771 = io_lsu_busreq_m & _T_770; // @[el2_lsu_bus_buffer.scala 248:42] + wire _T_772 = _T_771 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 248:61] + wire _T_775 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 248:115] + wire _T_776 = io_lsu_pkt_m_load | _T_775; // @[el2_lsu_bus_buffer.scala 248:95] + wire ibuf_force_drain = _T_772 & _T_776; // @[el2_lsu_bus_buffer.scala 248:74] + wire _T_783 = _T_782 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 254:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_784 = _T_783 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 251:35] - wire _T_785 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 251:55] - wire _T_786 = _T_784 | _T_785; // @[el2_lsu_bus_buffer.scala 251:53] - wire _T_787 = _T_786 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 251:67] - wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 250:32] - wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 244:34] - wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 244:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 643:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 642:49] + wire _T_784 = _T_783 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 254:35] + wire _T_785 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 254:55] + wire _T_786 = _T_784 | _T_785; // @[el2_lsu_bus_buffer.scala 254:53] + wire _T_787 = _T_786 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 254:67] + wire ibuf_drain_vld = ibuf_valid & _T_787; // @[el2_lsu_bus_buffer.scala 253:32] + wire _T_769 = ibuf_drain_vld & _T_768; // @[el2_lsu_bus_buffer.scala 247:34] + wire ibuf_rst = _T_769 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 247:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 648:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 647:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 260:77] + wire [3:0] _T_794 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 263:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [7:0] _T_802 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 265:8] - wire [7:0] _T_804 = _T_779 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 264:46] - wire [7:0] _T_809 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 265:8] - wire [7:0] _T_811 = _T_779 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 264:46] - wire [7:0] _T_816 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 265:8] - wire [7:0] _T_818 = _T_779 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 264:46] - wire [7:0] _T_823 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 265:8] - wire [7:0] _T_825 = _T_779 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 264:46] + wire [7:0] _T_802 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 268:8] + wire [7:0] _T_804 = _T_779 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 267:46] + wire [7:0] _T_809 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 268:8] + wire [7:0] _T_811 = _T_779 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 267:46] + wire [7:0] _T_816 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 268:8] + wire [7:0] _T_818 = _T_779 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 267:46] + wire [7:0] _T_823 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 268:8] + wire [7:0] _T_825 = _T_779 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 267:46] wire [23:0] _T_827 = {_T_825,_T_818,_T_811}; // @[Cat.scala 29:58] - wire _T_828 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 266:59] - wire [2:0] _T_831 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 266:93] - wire _T_846 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 270:65] - wire _T_847 = ibuf_merge_en & _T_846; // @[el2_lsu_bus_buffer.scala 270:63] - wire _T_850 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 270:96] - wire _T_852 = _T_847 ? _T_850 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 270:48] - wire _T_857 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 270:96] - wire _T_859 = _T_847 ? _T_857 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 270:48] - wire _T_864 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 270:96] - wire _T_866 = _T_847 ? _T_864 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 270:48] - wire _T_871 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 270:96] - wire _T_873 = _T_847 ? _T_871 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 270:48] + wire _T_828 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 269:59] + wire [2:0] _T_831 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 269:93] + wire _T_846 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 273:65] + wire _T_847 = ibuf_merge_en & _T_846; // @[el2_lsu_bus_buffer.scala 273:63] + wire _T_850 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 273:96] + wire _T_852 = _T_847 ? _T_850 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 273:48] + wire _T_857 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 273:96] + wire _T_859 = _T_847 ? _T_857 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 273:48] + wire _T_864 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 273:96] + wire _T_866 = _T_847 ? _T_864 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 273:48] + wire _T_871 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 273:96] + wire _T_873 = _T_847 ? _T_871 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 273:48] wire [3:0] ibuf_byteen_out = {_T_873,_T_866,_T_859,_T_852}; // @[Cat.scala 29:58] - wire [7:0] _T_883 = _T_847 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 271:45] - wire [7:0] _T_891 = _T_847 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 271:45] - wire [7:0] _T_899 = _T_847 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 271:45] - wire [7:0] _T_907 = _T_847 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 271:45] + wire [7:0] _T_883 = _T_847 ? _T_802 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 274:45] + wire [7:0] _T_891 = _T_847 ? _T_809 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 274:45] + wire [7:0] _T_899 = _T_847 ? _T_816 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 274:45] + wire [7:0] _T_907 = _T_847 ? _T_823 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 274:45] wire [31:0] ibuf_data_out = {_T_907,_T_899,_T_891,_T_883}; // @[Cat.scala 29:58] - wire _T_910 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 273:28] - wire _T_911 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 273:63] - wire _T_916 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 274:89] + wire _T_910 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 276:28] + wire _T_911 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 276:63] + wire _T_916 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 277:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4353 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 548:64] - wire _T_4354 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 548:91] - wire _T_4355 = _T_4353 & _T_4354; // @[el2_lsu_bus_buffer.scala 548:89] - wire _T_4348 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 548:64] - wire _T_4349 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 548:91] - wire _T_4350 = _T_4348 & _T_4349; // @[el2_lsu_bus_buffer.scala 548:89] - wire [1:0] _T_4356 = _T_4355 + _T_4350; // @[el2_lsu_bus_buffer.scala 548:142] - wire _T_4343 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 548:64] - wire _T_4344 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 548:91] - wire _T_4345 = _T_4343 & _T_4344; // @[el2_lsu_bus_buffer.scala 548:89] - wire [1:0] _GEN_365 = {{1'd0}, _T_4345}; // @[el2_lsu_bus_buffer.scala 548:142] - wire [2:0] _T_4357 = _T_4356 + _GEN_365; // @[el2_lsu_bus_buffer.scala 548:142] - wire _T_4338 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 548:64] - wire _T_4339 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 548:91] - wire _T_4340 = _T_4338 & _T_4339; // @[el2_lsu_bus_buffer.scala 548:89] - wire [2:0] _GEN_366 = {{2'd0}, _T_4340}; // @[el2_lsu_bus_buffer.scala 548:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4357 + _GEN_366; // @[el2_lsu_bus_buffer.scala 548:142] - wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 296:43] - wire _T_4370 = _T_2531 & _T_4354; // @[el2_lsu_bus_buffer.scala 549:73] - wire _T_4367 = _T_2527 & _T_4349; // @[el2_lsu_bus_buffer.scala 549:73] - wire [1:0] _T_4371 = _T_4370 + _T_4367; // @[el2_lsu_bus_buffer.scala 549:126] - wire _T_4364 = _T_2523 & _T_4344; // @[el2_lsu_bus_buffer.scala 549:73] - wire [1:0] _GEN_367 = {{1'd0}, _T_4364}; // @[el2_lsu_bus_buffer.scala 549:126] - wire [2:0] _T_4372 = _T_4371 + _GEN_367; // @[el2_lsu_bus_buffer.scala 549:126] - wire _T_4361 = _T_2519 & _T_4339; // @[el2_lsu_bus_buffer.scala 549:73] - wire [2:0] _GEN_368 = {{2'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 549:126] - wire [3:0] buf_numvld_cmd_any = _T_4372 + _GEN_368; // @[el2_lsu_bus_buffer.scala 549:126] - wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 296:72] - wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 296:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 393:54] - wire _T_945 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 296:97] - wire _T_946 = _T_944 & _T_945; // @[el2_lsu_bus_buffer.scala 296:80] - wire _T_948 = _T_946 & _T_843; // @[el2_lsu_bus_buffer.scala 296:114] + wire _T_4353 = buf_write[3] & _T_2531; // @[el2_lsu_bus_buffer.scala 553:64] + wire _T_4354 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 553:91] + wire _T_4355 = _T_4353 & _T_4354; // @[el2_lsu_bus_buffer.scala 553:89] + wire _T_4348 = buf_write[2] & _T_2527; // @[el2_lsu_bus_buffer.scala 553:64] + wire _T_4349 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 553:91] + wire _T_4350 = _T_4348 & _T_4349; // @[el2_lsu_bus_buffer.scala 553:89] + wire [1:0] _T_4356 = _T_4355 + _T_4350; // @[el2_lsu_bus_buffer.scala 553:142] + wire _T_4343 = buf_write[1] & _T_2523; // @[el2_lsu_bus_buffer.scala 553:64] + wire _T_4344 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 553:91] + wire _T_4345 = _T_4343 & _T_4344; // @[el2_lsu_bus_buffer.scala 553:89] + wire [1:0] _GEN_365 = {{1'd0}, _T_4345}; // @[el2_lsu_bus_buffer.scala 553:142] + wire [2:0] _T_4357 = _T_4356 + _GEN_365; // @[el2_lsu_bus_buffer.scala 553:142] + wire _T_4338 = buf_write[0] & _T_2519; // @[el2_lsu_bus_buffer.scala 553:64] + wire _T_4339 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 553:91] + wire _T_4340 = _T_4338 & _T_4339; // @[el2_lsu_bus_buffer.scala 553:89] + wire [2:0] _GEN_366 = {{2'd0}, _T_4340}; // @[el2_lsu_bus_buffer.scala 553:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4357 + _GEN_366; // @[el2_lsu_bus_buffer.scala 553:142] + wire _T_942 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 300:43] + wire _T_4370 = _T_2531 & _T_4354; // @[el2_lsu_bus_buffer.scala 554:73] + wire _T_4367 = _T_2527 & _T_4349; // @[el2_lsu_bus_buffer.scala 554:73] + wire [1:0] _T_4371 = _T_4370 + _T_4367; // @[el2_lsu_bus_buffer.scala 554:126] + wire _T_4364 = _T_2523 & _T_4344; // @[el2_lsu_bus_buffer.scala 554:73] + wire [1:0] _GEN_367 = {{1'd0}, _T_4364}; // @[el2_lsu_bus_buffer.scala 554:126] + wire [2:0] _T_4372 = _T_4371 + _GEN_367; // @[el2_lsu_bus_buffer.scala 554:126] + wire _T_4361 = _T_2519 & _T_4339; // @[el2_lsu_bus_buffer.scala 554:73] + wire [2:0] _GEN_368 = {{2'd0}, _T_4361}; // @[el2_lsu_bus_buffer.scala 554:126] + wire [3:0] buf_numvld_cmd_any = _T_4372 + _GEN_368; // @[el2_lsu_bus_buffer.scala 554:126] + wire _T_943 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 300:72] + wire _T_944 = _T_942 & _T_943; // @[el2_lsu_bus_buffer.scala 300:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 398:54] + wire _T_945 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 300:97] + wire _T_946 = _T_944 & _T_945; // @[el2_lsu_bus_buffer.scala 300:80] + wire _T_948 = _T_946 & _T_843; // @[el2_lsu_bus_buffer.scala 300:114] reg buf_nomerge_0; // @[Reg.scala 27:20] reg buf_nomerge_1; // @[Reg.scala 27:20] reg buf_nomerge_2; // @[Reg.scala 27:20] reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 297:31] - wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 297:29] + wire _T_961 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 301:31] + wire _T_962 = _T_948 & _T_961; // @[el2_lsu_bus_buffer.scala 301:29] reg _T_4239; // @[Reg.scala 27:20] reg _T_4236; // @[Reg.scala 27:20] reg _T_4233; // @[Reg.scala 27:20] reg _T_4230; // @[Reg.scala 27:20] wire [3:0] buf_sideeffect = {_T_4239,_T_4236,_T_4233,_T_4230}; // @[Cat.scala 29:58] - wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 298:5] - wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 297:140] - wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 300:58] - wire _T_993 = _T_991 & _T_943; // @[el2_lsu_bus_buffer.scala 300:72] - wire _T_1011 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 300:123] - wire obuf_force_wr_en = _T_993 & _T_1011; // @[el2_lsu_bus_buffer.scala 300:101] - wire _T_981 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 298:119] - wire obuf_wr_wait = _T_980 & _T_981; // @[el2_lsu_bus_buffer.scala 298:117] - wire _T_982 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 299:75] - wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 299:95] - wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 299:79] - wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 299:121] - wire _T_4389 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_4393 = _T_4389 | _T_4370; // @[el2_lsu_bus_buffer.scala 550:74] - wire _T_4384 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_4388 = _T_4384 | _T_4367; // @[el2_lsu_bus_buffer.scala 550:74] - wire [1:0] _T_4394 = _T_4393 + _T_4388; // @[el2_lsu_bus_buffer.scala 550:154] - wire _T_4379 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_4383 = _T_4379 | _T_4364; // @[el2_lsu_bus_buffer.scala 550:74] - wire [1:0] _GEN_369 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 550:154] - wire [2:0] _T_4395 = _T_4394 + _GEN_369; // @[el2_lsu_bus_buffer.scala 550:154] - wire _T_4374 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_4378 = _T_4374 | _T_4361; // @[el2_lsu_bus_buffer.scala 550:74] - wire [2:0] _GEN_370 = {{2'd0}, _T_4378}; // @[el2_lsu_bus_buffer.scala 550:154] - wire [3:0] buf_numvld_pend_any = _T_4395 + _GEN_370; // @[el2_lsu_bus_buffer.scala 550:154] - wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 302:53] - wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 302:31] - wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 302:64] - wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 302:84] - wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 302:61] - wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 317:32] - wire _T_4685 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] - wire _T_4687 = _T_4685 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 579:73] - wire _T_4688 = _T_4687 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] - wire _T_4689 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] - wire _T_4691 = _T_4689 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 579:73] - wire _T_4692 = _T_4691 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] - wire _T_4701 = _T_4688 | _T_4692; // @[el2_lsu_bus_buffer.scala 579:141] - wire _T_4693 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] - wire _T_4695 = _T_4693 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 579:73] - wire _T_4696 = _T_4695 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] - wire _T_4702 = _T_4701 | _T_4696; // @[el2_lsu_bus_buffer.scala 579:141] - wire _T_4697 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 579:62] - wire _T_4699 = _T_4697 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 579:73] - wire _T_4700 = _T_4699 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 579:93] - wire bus_sideeffect_pend = _T_4702 | _T_4700; // @[el2_lsu_bus_buffer.scala 579:141] - wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 317:74] - wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 317:52] - wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 317:50] - wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 405:58] - wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1909 = _T_1907 & _T_4354; // @[el2_lsu_bus_buffer.scala 405:88] - wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 405:58] - wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1903 = _T_1901 & _T_4349; // @[el2_lsu_bus_buffer.scala 405:88] - wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 405:58] - wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1897 = _T_1895 & _T_4344; // @[el2_lsu_bus_buffer.scala 405:88] - wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 405:58] - wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 405:45] - wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 405:63] - wire _T_1891 = _T_1889 & _T_4339; // @[el2_lsu_bus_buffer.scala 405:88] + wire _T_979 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 302:5] + wire _T_980 = _T_962 & _T_979; // @[el2_lsu_bus_buffer.scala 301:140] + wire _T_991 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 304:58] + wire _T_993 = _T_991 & _T_943; // @[el2_lsu_bus_buffer.scala 304:72] + wire _T_1011 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 304:123] + wire obuf_force_wr_en = _T_993 & _T_1011; // @[el2_lsu_bus_buffer.scala 304:101] + wire _T_981 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 302:119] + wire obuf_wr_wait = _T_980 & _T_981; // @[el2_lsu_bus_buffer.scala 302:117] + wire _T_982 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 303:75] + wire _T_983 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 303:95] + wire _T_984 = _T_982 & _T_983; // @[el2_lsu_bus_buffer.scala 303:79] + wire [2:0] _T_986 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 303:121] + wire _T_4389 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] + wire _T_4393 = _T_4389 | _T_4370; // @[el2_lsu_bus_buffer.scala 555:74] + wire _T_4384 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] + wire _T_4388 = _T_4384 | _T_4367; // @[el2_lsu_bus_buffer.scala 555:74] + wire [1:0] _T_4394 = _T_4393 + _T_4388; // @[el2_lsu_bus_buffer.scala 555:154] + wire _T_4379 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] + wire _T_4383 = _T_4379 | _T_4364; // @[el2_lsu_bus_buffer.scala 555:74] + wire [1:0] _GEN_369 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 555:154] + wire [2:0] _T_4395 = _T_4394 + _GEN_369; // @[el2_lsu_bus_buffer.scala 555:154] + wire _T_4374 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 555:63] + wire _T_4378 = _T_4374 | _T_4361; // @[el2_lsu_bus_buffer.scala 555:74] + wire [2:0] _GEN_370 = {{2'd0}, _T_4378}; // @[el2_lsu_bus_buffer.scala 555:154] + wire [3:0] buf_numvld_pend_any = _T_4395 + _GEN_370; // @[el2_lsu_bus_buffer.scala 555:154] + wire _T_1013 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 306:53] + wire _T_1014 = ibuf_byp & _T_1013; // @[el2_lsu_bus_buffer.scala 306:31] + wire _T_1015 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 306:64] + wire _T_1016 = _T_1015 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 306:84] + wire ibuf_buf_byp = _T_1014 & _T_1016; // @[el2_lsu_bus_buffer.scala 306:61] + wire _T_1017 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 321:32] + wire _T_4685 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] + wire _T_4687 = _T_4685 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 584:73] + wire _T_4688 = _T_4687 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] + wire _T_4689 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] + wire _T_4691 = _T_4689 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 584:73] + wire _T_4692 = _T_4691 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] + wire _T_4701 = _T_4688 | _T_4692; // @[el2_lsu_bus_buffer.scala 584:141] + wire _T_4693 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] + wire _T_4695 = _T_4693 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 584:73] + wire _T_4696 = _T_4695 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] + wire _T_4702 = _T_4701 | _T_4696; // @[el2_lsu_bus_buffer.scala 584:141] + wire _T_4697 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 584:62] + wire _T_4699 = _T_4697 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 584:73] + wire _T_4700 = _T_4699 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 584:93] + wire bus_sideeffect_pend = _T_4702 | _T_4700; // @[el2_lsu_bus_buffer.scala 584:141] + wire _T_1018 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 321:74] + wire _T_1019 = ~_T_1018; // @[el2_lsu_bus_buffer.scala 321:52] + wire _T_1020 = _T_1017 & _T_1019; // @[el2_lsu_bus_buffer.scala 321:50] + wire _T_1904 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 410:58] + wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 410:45] + wire _T_1907 = _T_1905 & _T_2531; // @[el2_lsu_bus_buffer.scala 410:63] + wire _T_1909 = _T_1907 & _T_4354; // @[el2_lsu_bus_buffer.scala 410:88] + wire _T_1898 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 410:58] + wire _T_1899 = ~_T_1898; // @[el2_lsu_bus_buffer.scala 410:45] + wire _T_1901 = _T_1899 & _T_2527; // @[el2_lsu_bus_buffer.scala 410:63] + wire _T_1903 = _T_1901 & _T_4349; // @[el2_lsu_bus_buffer.scala 410:88] + wire _T_1892 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 410:58] + wire _T_1893 = ~_T_1892; // @[el2_lsu_bus_buffer.scala 410:45] + wire _T_1895 = _T_1893 & _T_2523; // @[el2_lsu_bus_buffer.scala 410:63] + wire _T_1897 = _T_1895 & _T_4344; // @[el2_lsu_bus_buffer.scala 410:88] + wire _T_1886 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 410:58] + wire _T_1887 = ~_T_1886; // @[el2_lsu_bus_buffer.scala 410:45] + wire _T_1889 = _T_1887 & _T_2519; // @[el2_lsu_bus_buffer.scala 410:63] + wire _T_1891 = _T_1889 & _T_4339; // @[el2_lsu_bus_buffer.scala 410:88] wire [3:0] CmdPtr0Dec = {_T_1909,_T_1903,_T_1897,_T_1891}; // @[Cat.scala 29:58] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 410:31] - wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 318:47] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 415:31] + wire _T_1034 = _T_2519 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 322:47] wire [3:0] _T_1037 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] - wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 319:23] - wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 319:21] - wire _T_1072 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 319:141] - wire _T_1073 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 319:105] - wire _T_1074 = _T_1055 & _T_1073; // @[el2_lsu_bus_buffer.scala 319:103] + wire _T_1054 = ~_T_1037[0]; // @[el2_lsu_bus_buffer.scala 323:23] + wire _T_1055 = _T_1034 & _T_1054; // @[el2_lsu_bus_buffer.scala 323:21] + wire _T_1072 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 323:141] + wire _T_1073 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 323:105] + wire _T_1074 = _T_1055 & _T_1073; // @[el2_lsu_bus_buffer.scala 323:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -1097,414 +1100,418 @@ module el2_lsu_bus_buffer( reg buf_samedw_1; // @[Reg.scala 27:20] reg buf_samedw_0; // @[Reg.scala 27:20] wire [3:0] _T_1096 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] - wire _T_1113 = _T_1077[0] & _T_1096[0]; // @[el2_lsu_bus_buffer.scala 320:77] - wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 320:150] - wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 320:148] - wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 320:8] - wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 406:62] - wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] - wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 406:76] - wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 406:45] - wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 406:83] - wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 406:81] - wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1955 = _T_1953 & _T_4354; // @[el2_lsu_bus_buffer.scala 406:123] - wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] - wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 406:76] - wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 406:45] - wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 406:83] - wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 406:81] - wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1944 = _T_1942 & _T_4349; // @[el2_lsu_bus_buffer.scala 406:123] - wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] - wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 406:76] - wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 406:45] - wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 406:83] - wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 406:81] - wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1933 = _T_1931 & _T_4344; // @[el2_lsu_bus_buffer.scala 406:123] - wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 406:59] - wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 406:76] - wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 406:45] - wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 406:83] - wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 406:81] - wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 406:98] - wire _T_1922 = _T_1920 & _T_4339; // @[el2_lsu_bus_buffer.scala 406:123] + wire _T_1113 = _T_1077[0] & _T_1096[0]; // @[el2_lsu_bus_buffer.scala 324:77] + wire _T_1130 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 324:150] + wire _T_1131 = _T_1113 & _T_1130; // @[el2_lsu_bus_buffer.scala 324:148] + wire _T_1132 = ~_T_1131; // @[el2_lsu_bus_buffer.scala 324:8] + wire [3:0] _T_1945 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 411:62] + wire [3:0] _T_1946 = buf_age_3 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] + wire _T_1947 = |_T_1946; // @[el2_lsu_bus_buffer.scala 411:76] + wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 411:45] + wire _T_1950 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 411:83] + wire _T_1951 = _T_1948 & _T_1950; // @[el2_lsu_bus_buffer.scala 411:81] + wire _T_1953 = _T_1951 & _T_2531; // @[el2_lsu_bus_buffer.scala 411:98] + wire _T_1955 = _T_1953 & _T_4354; // @[el2_lsu_bus_buffer.scala 411:123] + wire [3:0] _T_1935 = buf_age_2 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] + wire _T_1936 = |_T_1935; // @[el2_lsu_bus_buffer.scala 411:76] + wire _T_1937 = ~_T_1936; // @[el2_lsu_bus_buffer.scala 411:45] + wire _T_1939 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 411:83] + wire _T_1940 = _T_1937 & _T_1939; // @[el2_lsu_bus_buffer.scala 411:81] + wire _T_1942 = _T_1940 & _T_2527; // @[el2_lsu_bus_buffer.scala 411:98] + wire _T_1944 = _T_1942 & _T_4349; // @[el2_lsu_bus_buffer.scala 411:123] + wire [3:0] _T_1924 = buf_age_1 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] + wire _T_1925 = |_T_1924; // @[el2_lsu_bus_buffer.scala 411:76] + wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 411:45] + wire _T_1928 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 411:83] + wire _T_1929 = _T_1926 & _T_1928; // @[el2_lsu_bus_buffer.scala 411:81] + wire _T_1931 = _T_1929 & _T_2523; // @[el2_lsu_bus_buffer.scala 411:98] + wire _T_1933 = _T_1931 & _T_4344; // @[el2_lsu_bus_buffer.scala 411:123] + wire [3:0] _T_1913 = buf_age_0 & _T_1945; // @[el2_lsu_bus_buffer.scala 411:59] + wire _T_1914 = |_T_1913; // @[el2_lsu_bus_buffer.scala 411:76] + wire _T_1915 = ~_T_1914; // @[el2_lsu_bus_buffer.scala 411:45] + wire _T_1917 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 411:83] + wire _T_1918 = _T_1915 & _T_1917; // @[el2_lsu_bus_buffer.scala 411:81] + wire _T_1920 = _T_1918 & _T_2519; // @[el2_lsu_bus_buffer.scala 411:98] + wire _T_1922 = _T_1920 & _T_4339; // @[el2_lsu_bus_buffer.scala 411:123] wire [3:0] CmdPtr1Dec = {_T_1955,_T_1944,_T_1933,_T_1922}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 411:31] - wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 320:181] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 416:31] + wire _T_1133 = _T_1132 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 324:181] wire [3:0] _T_1136 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] - wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 320:197] - wire _T_1154 = _T_1153 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 320:269] - wire _T_1155 = _T_1074 & _T_1154; // @[el2_lsu_bus_buffer.scala 319:164] - wire _T_1156 = _T_1020 | _T_1155; // @[el2_lsu_bus_buffer.scala 317:98] + wire _T_1153 = _T_1133 | _T_1136[0]; // @[el2_lsu_bus_buffer.scala 324:197] + wire _T_1154 = _T_1153 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 324:269] + wire _T_1155 = _T_1074 & _T_1154; // @[el2_lsu_bus_buffer.scala 323:164] + wire _T_1156 = _T_1020 | _T_1155; // @[el2_lsu_bus_buffer.scala 321:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 380:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 381:55] - wire _T_4760 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 583:54] - wire _T_4761 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 583:75] - wire _T_4763 = _T_4760 ? _T_4761 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 583:39] - wire bus_cmd_ready = obuf_write ? _T_4763 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 583:23] - wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 321:48] - wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 321:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 385:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 386:55] + wire _T_4760 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 588:54] + wire _T_4761 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 588:75] + wire _T_4763 = _T_4760 ? _T_4761 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 588:39] + wire bus_cmd_ready = obuf_write ? _T_4763 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 588:23] + wire _T_1157 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 325:48] + wire _T_1158 = bus_cmd_ready | _T_1157; // @[el2_lsu_bus_buffer.scala 325:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1159 = _T_1158 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 321:60] - wire _T_1160 = _T_1156 & _T_1159; // @[el2_lsu_bus_buffer.scala 321:29] - wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 321:77] - wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 321:75] + wire _T_1159 = _T_1158 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 325:60] + wire _T_1160 = _T_1156 & _T_1159; // @[el2_lsu_bus_buffer.scala 325:29] + wire _T_1161 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 325:77] + wire _T_1162 = _T_1160 & _T_1161; // @[el2_lsu_bus_buffer.scala 325:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4708 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] - wire _T_4709 = obuf_valid & _T_4708; // @[el2_lsu_bus_buffer.scala 581:38] - wire _T_4711 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 581:126] - wire _T_4712 = obuf_merge & _T_4711; // @[el2_lsu_bus_buffer.scala 581:114] - wire _T_4713 = _T_3471 | _T_4712; // @[el2_lsu_bus_buffer.scala 581:100] - wire _T_4714 = ~_T_4713; // @[el2_lsu_bus_buffer.scala 581:80] - wire _T_4715 = _T_4709 & _T_4714; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4708 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] + wire _T_4709 = obuf_valid & _T_4708; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_4711 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 586:126] + wire _T_4712 = obuf_merge & _T_4711; // @[el2_lsu_bus_buffer.scala 586:114] + wire _T_4713 = _T_3471 | _T_4712; // @[el2_lsu_bus_buffer.scala 586:100] + wire _T_4714 = ~_T_4713; // @[el2_lsu_bus_buffer.scala 586:80] + wire _T_4715 = _T_4709 & _T_4714; // @[el2_lsu_bus_buffer.scala 586:78] wire _T_4752 = _T_4685 & _T_4715; // @[Mux.scala 27:72] - wire _T_4720 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] - wire _T_4721 = obuf_valid & _T_4720; // @[el2_lsu_bus_buffer.scala 581:38] - wire _T_4723 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 581:126] - wire _T_4724 = obuf_merge & _T_4723; // @[el2_lsu_bus_buffer.scala 581:114] - wire _T_4725 = _T_3664 | _T_4724; // @[el2_lsu_bus_buffer.scala 581:100] - wire _T_4726 = ~_T_4725; // @[el2_lsu_bus_buffer.scala 581:80] - wire _T_4727 = _T_4721 & _T_4726; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4720 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] + wire _T_4721 = obuf_valid & _T_4720; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_4723 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 586:126] + wire _T_4724 = obuf_merge & _T_4723; // @[el2_lsu_bus_buffer.scala 586:114] + wire _T_4725 = _T_3664 | _T_4724; // @[el2_lsu_bus_buffer.scala 586:100] + wire _T_4726 = ~_T_4725; // @[el2_lsu_bus_buffer.scala 586:80] + wire _T_4727 = _T_4721 & _T_4726; // @[el2_lsu_bus_buffer.scala 586:78] wire _T_4753 = _T_4689 & _T_4727; // @[Mux.scala 27:72] wire _T_4756 = _T_4752 | _T_4753; // @[Mux.scala 27:72] - wire _T_4732 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] - wire _T_4733 = obuf_valid & _T_4732; // @[el2_lsu_bus_buffer.scala 581:38] - wire _T_4735 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 581:126] - wire _T_4736 = obuf_merge & _T_4735; // @[el2_lsu_bus_buffer.scala 581:114] - wire _T_4737 = _T_3857 | _T_4736; // @[el2_lsu_bus_buffer.scala 581:100] - wire _T_4738 = ~_T_4737; // @[el2_lsu_bus_buffer.scala 581:80] - wire _T_4739 = _T_4733 & _T_4738; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4732 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] + wire _T_4733 = obuf_valid & _T_4732; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_4735 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 586:126] + wire _T_4736 = obuf_merge & _T_4735; // @[el2_lsu_bus_buffer.scala 586:114] + wire _T_4737 = _T_3857 | _T_4736; // @[el2_lsu_bus_buffer.scala 586:100] + wire _T_4738 = ~_T_4737; // @[el2_lsu_bus_buffer.scala 586:80] + wire _T_4739 = _T_4733 & _T_4738; // @[el2_lsu_bus_buffer.scala 586:78] wire _T_4754 = _T_4693 & _T_4739; // @[Mux.scala 27:72] wire _T_4757 = _T_4756 | _T_4754; // @[Mux.scala 27:72] - wire _T_4744 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 581:56] - wire _T_4745 = obuf_valid & _T_4744; // @[el2_lsu_bus_buffer.scala 581:38] - wire _T_4747 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 581:126] - wire _T_4748 = obuf_merge & _T_4747; // @[el2_lsu_bus_buffer.scala 581:114] - wire _T_4749 = _T_4050 | _T_4748; // @[el2_lsu_bus_buffer.scala 581:100] - wire _T_4750 = ~_T_4749; // @[el2_lsu_bus_buffer.scala 581:80] - wire _T_4751 = _T_4745 & _T_4750; // @[el2_lsu_bus_buffer.scala 581:78] + wire _T_4744 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 586:56] + wire _T_4745 = obuf_valid & _T_4744; // @[el2_lsu_bus_buffer.scala 586:38] + wire _T_4747 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 586:126] + wire _T_4748 = obuf_merge & _T_4747; // @[el2_lsu_bus_buffer.scala 586:114] + wire _T_4749 = _T_4050 | _T_4748; // @[el2_lsu_bus_buffer.scala 586:100] + wire _T_4750 = ~_T_4749; // @[el2_lsu_bus_buffer.scala 586:80] + wire _T_4751 = _T_4745 & _T_4750; // @[el2_lsu_bus_buffer.scala 586:78] wire _T_4755 = _T_4697 & _T_4751; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4757 | _T_4755; // @[Mux.scala 27:72] - wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 321:118] - wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 321:116] - wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 321:142] - wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 323:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 584:39] - wire _T_4767 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 586:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 585:39] - wire _T_4768 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 586:70] - wire _T_4769 = _T_4767 & _T_4768; // @[el2_lsu_bus_buffer.scala 586:52] - wire _T_4770 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 586:111] - wire bus_cmd_sent = _T_4769 | _T_4770; // @[el2_lsu_bus_buffer.scala 586:89] - wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 323:33] - wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 323:65] - wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 323:63] - wire _T_1172 = _T_1171 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 323:77] - wire obuf_rst = _T_1172 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 323:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 324:26] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 326:25] + wire _T_1165 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 325:118] + wire _T_1166 = _T_1162 & _T_1165; // @[el2_lsu_bus_buffer.scala 325:116] + wire obuf_wr_en = _T_1166 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 325:142] + wire _T_1168 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 327:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 589:39] + wire _T_4767 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 591:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 590:39] + wire _T_4768 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 591:70] + wire _T_4769 = _T_4767 & _T_4768; // @[el2_lsu_bus_buffer.scala 591:52] + wire _T_4770 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 591:111] + wire bus_cmd_sent = _T_4769 | _T_4770; // @[el2_lsu_bus_buffer.scala 591:89] + wire _T_1169 = bus_cmd_sent | _T_1168; // @[el2_lsu_bus_buffer.scala 327:33] + wire _T_1170 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 327:65] + wire _T_1171 = _T_1169 & _T_1170; // @[el2_lsu_bus_buffer.scala 327:63] + wire _T_1172 = _T_1171 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 327:77] + wire obuf_rst = _T_1172 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 327:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 328:26] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 330:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] reg [1:0] buf_sz_2; // @[Reg.scala 27:20] reg [1:0] buf_sz_3; // @[Reg.scala 27:20] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 329:23] - wire _T_1230 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 337:39] - wire _T_1231 = ~_T_1230; // @[el2_lsu_bus_buffer.scala 337:26] - wire _T_1237 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 341:72] - wire _T_1240 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 341:98] - wire _T_1241 = obuf_sz_in[0] & _T_1240; // @[el2_lsu_bus_buffer.scala 341:96] - wire _T_1242 = _T_1237 | _T_1241; // @[el2_lsu_bus_buffer.scala 341:79] - wire _T_1245 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 341:153] - wire _T_1246 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 341:134] - wire _T_1247 = obuf_sz_in[1] & _T_1246; // @[el2_lsu_bus_buffer.scala 341:132] - wire _T_1248 = _T_1242 | _T_1247; // @[el2_lsu_bus_buffer.scala 341:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1248; // @[el2_lsu_bus_buffer.scala 341:28] - wire _T_1265 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 355:40] - wire _T_1266 = _T_1265 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 355:60] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 333:23] + wire _T_1230 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 342:39] + wire _T_1231 = ~_T_1230; // @[el2_lsu_bus_buffer.scala 342:26] + wire _T_1237 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 346:72] + wire _T_1240 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 346:98] + wire _T_1241 = obuf_sz_in[0] & _T_1240; // @[el2_lsu_bus_buffer.scala 346:96] + wire _T_1242 = _T_1237 | _T_1241; // @[el2_lsu_bus_buffer.scala 346:79] + wire _T_1245 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 346:153] + wire _T_1246 = ~_T_1245; // @[el2_lsu_bus_buffer.scala 346:134] + wire _T_1247 = obuf_sz_in[1] & _T_1246; // @[el2_lsu_bus_buffer.scala 346:132] + wire _T_1248 = _T_1242 | _T_1247; // @[el2_lsu_bus_buffer.scala 346:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1248; // @[el2_lsu_bus_buffer.scala 346:28] + wire _T_1265 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 360:40] + wire _T_1266 = _T_1265 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 360:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1267 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 355:80] - wire _T_1268 = _T_1266 & _T_1267; // @[el2_lsu_bus_buffer.scala 355:78] - wire _T_1269 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 355:99] - wire _T_1270 = _T_1268 & _T_1269; // @[el2_lsu_bus_buffer.scala 355:97] - wire _T_1271 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 355:113] - wire _T_1272 = _T_1270 & _T_1271; // @[el2_lsu_bus_buffer.scala 355:111] - wire _T_1273 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 355:130] - wire _T_1274 = _T_1272 & _T_1273; // @[el2_lsu_bus_buffer.scala 355:128] - wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 356:20] - wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 356:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 382:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 587:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 383:55] - wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 356:90] - wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 356:70] - wire _T_1279 = ~_T_1278; // @[el2_lsu_bus_buffer.scala 356:55] - wire _T_1280 = obuf_rdrsp_pend & _T_1279; // @[el2_lsu_bus_buffer.scala 356:53] - wire _T_1281 = _T_1276 | _T_1280; // @[el2_lsu_bus_buffer.scala 356:34] - wire obuf_nosend_in = _T_1274 & _T_1281; // @[el2_lsu_bus_buffer.scala 355:165] - wire _T_1249 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 349:44] - wire _T_1250 = obuf_wr_en & _T_1249; // @[el2_lsu_bus_buffer.scala 349:42] - wire _T_1251 = ~_T_1250; // @[el2_lsu_bus_buffer.scala 349:29] - wire _T_1252 = _T_1251 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 349:61] - wire _T_1256 = _T_1252 & _T_1279; // @[el2_lsu_bus_buffer.scala 349:79] - wire _T_1258 = bus_cmd_sent & _T_1269; // @[el2_lsu_bus_buffer.scala 350:20] - wire _T_1259 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 350:37] - wire _T_1260 = _T_1258 & _T_1259; // @[el2_lsu_bus_buffer.scala 350:35] - wire _T_1262 = bus_cmd_sent | _T_1269; // @[el2_lsu_bus_buffer.scala 352:44] + wire _T_1267 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 360:80] + wire _T_1268 = _T_1266 & _T_1267; // @[el2_lsu_bus_buffer.scala 360:78] + wire _T_1269 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 360:99] + wire _T_1270 = _T_1268 & _T_1269; // @[el2_lsu_bus_buffer.scala 360:97] + wire _T_1271 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 360:113] + wire _T_1272 = _T_1270 & _T_1271; // @[el2_lsu_bus_buffer.scala 360:111] + wire _T_1273 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 360:130] + wire _T_1274 = _T_1272 & _T_1273; // @[el2_lsu_bus_buffer.scala 360:128] + wire _T_1275 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 361:20] + wire _T_1276 = obuf_valid & _T_1275; // @[el2_lsu_bus_buffer.scala 361:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 387:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 592:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 388:55] + wire _T_1277 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 361:90] + wire _T_1278 = bus_rsp_read & _T_1277; // @[el2_lsu_bus_buffer.scala 361:70] + wire _T_1279 = ~_T_1278; // @[el2_lsu_bus_buffer.scala 361:55] + wire _T_1280 = obuf_rdrsp_pend & _T_1279; // @[el2_lsu_bus_buffer.scala 361:53] + wire _T_1281 = _T_1276 | _T_1280; // @[el2_lsu_bus_buffer.scala 361:34] + wire obuf_nosend_in = _T_1274 & _T_1281; // @[el2_lsu_bus_buffer.scala 360:165] + wire _T_1249 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 354:44] + wire _T_1250 = obuf_wr_en & _T_1249; // @[el2_lsu_bus_buffer.scala 354:42] + wire _T_1251 = ~_T_1250; // @[el2_lsu_bus_buffer.scala 354:29] + wire _T_1252 = _T_1251 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 354:61] + wire _T_1256 = _T_1252 & _T_1279; // @[el2_lsu_bus_buffer.scala 354:79] + wire _T_1258 = bus_cmd_sent & _T_1269; // @[el2_lsu_bus_buffer.scala 355:20] + wire _T_1259 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 355:37] + wire _T_1260 = _T_1258 & _T_1259; // @[el2_lsu_bus_buffer.scala 355:35] + wire _T_1262 = bus_cmd_sent | _T_1269; // @[el2_lsu_bus_buffer.scala 357:44] wire [7:0] _T_1284 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1285 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1286 = io_lsu_addr_r[2] ? _T_1284 : _T_1285; // @[el2_lsu_bus_buffer.scala 357:46] + wire [7:0] _T_1286 = io_lsu_addr_r[2] ? _T_1284 : _T_1285; // @[el2_lsu_bus_buffer.scala 362:46] wire [7:0] _T_1313 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1326 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1327 = buf_addr_0[2] ? _T_1313 : _T_1326; // @[el2_lsu_bus_buffer.scala 358:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1286 : _T_1327; // @[el2_lsu_bus_buffer.scala 357:28] + wire [7:0] _T_1327 = buf_addr_0[2] ? _T_1313 : _T_1326; // @[el2_lsu_bus_buffer.scala 363:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1286 : _T_1327; // @[el2_lsu_bus_buffer.scala 362:28] wire [7:0] _T_1329 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1330 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1331 = io_end_addr_r[2] ? _T_1329 : _T_1330; // @[el2_lsu_bus_buffer.scala 359:46] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1331 : _T_1327; // @[el2_lsu_bus_buffer.scala 359:28] + wire [7:0] _T_1331 = io_end_addr_r[2] ? _T_1329 : _T_1330; // @[el2_lsu_bus_buffer.scala 364:46] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1331 : _T_1327; // @[el2_lsu_bus_buffer.scala 364:28] + wire [63:0] _T_1374 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1375 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1376 = io_lsu_addr_r[2] ? _T_1374 : _T_1375; // @[el2_lsu_bus_buffer.scala 367:44] wire [63:0] _T_1403 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1416 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1417 = buf_addr_0[2] ? _T_1403 : _T_1416; // @[el2_lsu_bus_buffer.scala 363:8] + wire [63:0] _T_1417 = buf_addr_0[2] ? _T_1403 : _T_1416; // @[el2_lsu_bus_buffer.scala 368:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1376 : _T_1417; // @[el2_lsu_bus_buffer.scala 367:26] wire [63:0] _T_1419 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1420 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1421 = io_lsu_addr_r[2] ? _T_1419 : _T_1420; // @[el2_lsu_bus_buffer.scala 364:44] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1421 : _T_1417; // @[el2_lsu_bus_buffer.scala 364:26] + wire [63:0] _T_1421 = io_lsu_addr_r[2] ? _T_1419 : _T_1420; // @[el2_lsu_bus_buffer.scala 369:44] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1421 : _T_1417; // @[el2_lsu_bus_buffer.scala 369:26] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] reg buf_dualhi_0; // @[Reg.scala 27:20] - wire _T_1762 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 375:19] - wire obuf_merge_en = _T_1762 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 375:35] - wire _T_1465 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1466 = obuf_byteen0_in[0] | _T_1465; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1469 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1470 = obuf_byteen0_in[1] | _T_1469; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1473 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1474 = obuf_byteen0_in[2] | _T_1473; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1477 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1478 = obuf_byteen0_in[3] | _T_1477; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1481 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1482 = obuf_byteen0_in[4] | _T_1481; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1485 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1486 = obuf_byteen0_in[5] | _T_1485; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1489 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1490 = obuf_byteen0_in[6] | _T_1489; // @[el2_lsu_bus_buffer.scala 366:63] - wire _T_1493 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 366:80] - wire _T_1494 = obuf_byteen0_in[7] | _T_1493; // @[el2_lsu_bus_buffer.scala 366:63] + wire _T_1762 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 380:19] + wire obuf_merge_en = _T_1762 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 380:35] + wire _T_1465 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1466 = obuf_byteen0_in[0] | _T_1465; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1469 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1470 = obuf_byteen0_in[1] | _T_1469; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1473 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1474 = obuf_byteen0_in[2] | _T_1473; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1477 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1478 = obuf_byteen0_in[3] | _T_1477; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1481 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1482 = obuf_byteen0_in[4] | _T_1481; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1485 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1486 = obuf_byteen0_in[5] | _T_1485; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1489 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1490 = obuf_byteen0_in[6] | _T_1489; // @[el2_lsu_bus_buffer.scala 371:63] + wire _T_1493 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 371:80] + wire _T_1494 = obuf_byteen0_in[7] | _T_1493; // @[el2_lsu_bus_buffer.scala 371:63] wire [7:0] obuf_byteen_in = {_T_1494,_T_1490,_T_1486,_T_1482,_T_1478,_T_1474,_T_1470,_T_1466}; // @[Cat.scala 29:58] - wire [7:0] _T_1505 = _T_1465 ? obuf_data1_in[7:0] : obuf_data1_in[7:0]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1510 = _T_1469 ? obuf_data1_in[15:8] : obuf_data1_in[15:8]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1515 = _T_1473 ? obuf_data1_in[23:16] : obuf_data1_in[23:16]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1520 = _T_1477 ? obuf_data1_in[31:24] : obuf_data1_in[31:24]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1525 = _T_1481 ? obuf_data1_in[39:32] : obuf_data1_in[39:32]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1530 = _T_1485 ? obuf_data1_in[47:40] : obuf_data1_in[47:40]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1535 = _T_1489 ? obuf_data1_in[55:48] : obuf_data1_in[55:48]; // @[el2_lsu_bus_buffer.scala 367:44] - wire [7:0] _T_1540 = _T_1493 ? obuf_data1_in[63:56] : obuf_data1_in[63:56]; // @[el2_lsu_bus_buffer.scala 367:44] + wire [7:0] _T_1505 = _T_1465 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1510 = _T_1469 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1515 = _T_1473 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1520 = _T_1477 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1525 = _T_1481 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1530 = _T_1485 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1535 = _T_1489 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 372:44] + wire [7:0] _T_1540 = _T_1493 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 372:44] wire [55:0] _T_1546 = {_T_1540,_T_1535,_T_1530,_T_1525,_T_1520,_T_1515,_T_1510}; // @[Cat.scala 29:58] - wire _T_1765 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 378:58] - wire _T_1766 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 378:93] + wire _T_1765 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 383:58] + wire _T_1766 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 383:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1779 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] - wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 395:97] - wire _T_1781 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1782 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1783 = io_lsu_busreq_r & _T_1782; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1784 = _T_1781 | _T_1783; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1785 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 396:72] - wire _T_1786 = io_ldst_dual_r & _T_1785; // @[el2_lsu_bus_buffer.scala 396:60] - wire _T_1787 = _T_1784 | _T_1786; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1788 = ~_T_1787; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1789 = _T_1779 & _T_1788; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1790 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] - wire _T_1791 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 395:97] - wire _T_1792 = ibuf_valid & _T_1791; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1793 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1794 = io_lsu_busreq_r & _T_1793; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1795 = _T_1792 | _T_1794; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1796 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 396:72] - wire _T_1797 = io_ldst_dual_r & _T_1796; // @[el2_lsu_bus_buffer.scala 396:60] - wire _T_1798 = _T_1795 | _T_1797; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1799 = ~_T_1798; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1800 = _T_1790 & _T_1799; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1801 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] - wire _T_1802 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 395:97] - wire _T_1803 = ibuf_valid & _T_1802; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1804 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1805 = io_lsu_busreq_r & _T_1804; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1806 = _T_1803 | _T_1805; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1807 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 396:72] - wire _T_1808 = io_ldst_dual_r & _T_1807; // @[el2_lsu_bus_buffer.scala 396:60] - wire _T_1809 = _T_1806 | _T_1808; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1810 = ~_T_1809; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1811 = _T_1801 & _T_1810; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1812 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 395:59] - wire _T_1813 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 395:97] - wire _T_1814 = ibuf_valid & _T_1813; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1815 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1816 = io_lsu_busreq_r & _T_1815; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1817 = _T_1814 | _T_1816; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1818 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 396:72] - wire _T_1819 = io_ldst_dual_r & _T_1818; // @[el2_lsu_bus_buffer.scala 396:60] - wire _T_1820 = _T_1817 | _T_1819; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1821 = ~_T_1820; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1822 = _T_1812 & _T_1821; // @[el2_lsu_bus_buffer.scala 395:70] + wire _T_1779 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] + wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 400:97] + wire _T_1781 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 400:86] + wire _T_1782 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 401:33] + wire _T_1783 = io_lsu_busreq_r & _T_1782; // @[el2_lsu_bus_buffer.scala 401:22] + wire _T_1784 = _T_1781 | _T_1783; // @[el2_lsu_bus_buffer.scala 400:106] + wire _T_1785 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 401:72] + wire _T_1786 = io_ldst_dual_r & _T_1785; // @[el2_lsu_bus_buffer.scala 401:60] + wire _T_1787 = _T_1784 | _T_1786; // @[el2_lsu_bus_buffer.scala 401:42] + wire _T_1788 = ~_T_1787; // @[el2_lsu_bus_buffer.scala 400:72] + wire _T_1789 = _T_1779 & _T_1788; // @[el2_lsu_bus_buffer.scala 400:70] + wire _T_1790 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] + wire _T_1791 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 400:97] + wire _T_1792 = ibuf_valid & _T_1791; // @[el2_lsu_bus_buffer.scala 400:86] + wire _T_1793 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 401:33] + wire _T_1794 = io_lsu_busreq_r & _T_1793; // @[el2_lsu_bus_buffer.scala 401:22] + wire _T_1795 = _T_1792 | _T_1794; // @[el2_lsu_bus_buffer.scala 400:106] + wire _T_1796 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 401:72] + wire _T_1797 = io_ldst_dual_r & _T_1796; // @[el2_lsu_bus_buffer.scala 401:60] + wire _T_1798 = _T_1795 | _T_1797; // @[el2_lsu_bus_buffer.scala 401:42] + wire _T_1799 = ~_T_1798; // @[el2_lsu_bus_buffer.scala 400:72] + wire _T_1800 = _T_1790 & _T_1799; // @[el2_lsu_bus_buffer.scala 400:70] + wire _T_1801 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] + wire _T_1802 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 400:97] + wire _T_1803 = ibuf_valid & _T_1802; // @[el2_lsu_bus_buffer.scala 400:86] + wire _T_1804 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 401:33] + wire _T_1805 = io_lsu_busreq_r & _T_1804; // @[el2_lsu_bus_buffer.scala 401:22] + wire _T_1806 = _T_1803 | _T_1805; // @[el2_lsu_bus_buffer.scala 400:106] + wire _T_1807 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 401:72] + wire _T_1808 = io_ldst_dual_r & _T_1807; // @[el2_lsu_bus_buffer.scala 401:60] + wire _T_1809 = _T_1806 | _T_1808; // @[el2_lsu_bus_buffer.scala 401:42] + wire _T_1810 = ~_T_1809; // @[el2_lsu_bus_buffer.scala 400:72] + wire _T_1811 = _T_1801 & _T_1810; // @[el2_lsu_bus_buffer.scala 400:70] + wire _T_1812 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 400:59] + wire _T_1813 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 400:97] + wire _T_1814 = ibuf_valid & _T_1813; // @[el2_lsu_bus_buffer.scala 400:86] + wire _T_1815 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 401:33] + wire _T_1816 = io_lsu_busreq_r & _T_1815; // @[el2_lsu_bus_buffer.scala 401:22] + wire _T_1817 = _T_1814 | _T_1816; // @[el2_lsu_bus_buffer.scala 400:106] + wire _T_1818 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 401:72] + wire _T_1819 = io_ldst_dual_r & _T_1818; // @[el2_lsu_bus_buffer.scala 401:60] + wire _T_1820 = _T_1817 | _T_1819; // @[el2_lsu_bus_buffer.scala 401:42] + wire _T_1821 = ~_T_1820; // @[el2_lsu_bus_buffer.scala 400:72] + wire _T_1822 = _T_1812 & _T_1821; // @[el2_lsu_bus_buffer.scala 400:70] wire [1:0] _T_1823 = _T_1822 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1824 = _T_1811 ? 2'h2 : _T_1823; // @[Mux.scala 98:16] wire [1:0] _T_1825 = _T_1800 ? 2'h1 : _T_1824; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1789 ? 2'h0 : _T_1825; // @[Mux.scala 98:16] - wire _T_1830 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 399:33] - wire _T_1831 = io_lsu_busreq_m & _T_1830; // @[el2_lsu_bus_buffer.scala 399:22] - wire _T_1832 = _T_1781 | _T_1831; // @[el2_lsu_bus_buffer.scala 398:106] - wire _T_1835 = _T_1832 | _T_1783; // @[el2_lsu_bus_buffer.scala 399:42] - wire _T_1838 = _T_1835 | _T_1786; // @[el2_lsu_bus_buffer.scala 399:83] - wire _T_1839 = ~_T_1838; // @[el2_lsu_bus_buffer.scala 398:72] - wire _T_1840 = _T_1779 & _T_1839; // @[el2_lsu_bus_buffer.scala 398:70] - wire _T_1844 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 399:33] - wire _T_1845 = io_lsu_busreq_m & _T_1844; // @[el2_lsu_bus_buffer.scala 399:22] - wire _T_1846 = _T_1792 | _T_1845; // @[el2_lsu_bus_buffer.scala 398:106] - wire _T_1849 = _T_1846 | _T_1794; // @[el2_lsu_bus_buffer.scala 399:42] - wire _T_1852 = _T_1849 | _T_1797; // @[el2_lsu_bus_buffer.scala 399:83] - wire _T_1853 = ~_T_1852; // @[el2_lsu_bus_buffer.scala 398:72] - wire _T_1854 = _T_1790 & _T_1853; // @[el2_lsu_bus_buffer.scala 398:70] - wire _T_1858 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 399:33] - wire _T_1859 = io_lsu_busreq_m & _T_1858; // @[el2_lsu_bus_buffer.scala 399:22] - wire _T_1860 = _T_1803 | _T_1859; // @[el2_lsu_bus_buffer.scala 398:106] - wire _T_1863 = _T_1860 | _T_1805; // @[el2_lsu_bus_buffer.scala 399:42] - wire _T_1866 = _T_1863 | _T_1808; // @[el2_lsu_bus_buffer.scala 399:83] - wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 398:72] - wire _T_1868 = _T_1801 & _T_1867; // @[el2_lsu_bus_buffer.scala 398:70] - wire _T_1872 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 399:33] - wire _T_1873 = io_lsu_busreq_m & _T_1872; // @[el2_lsu_bus_buffer.scala 399:22] - wire _T_1874 = _T_1814 | _T_1873; // @[el2_lsu_bus_buffer.scala 398:106] - wire _T_1877 = _T_1874 | _T_1816; // @[el2_lsu_bus_buffer.scala 399:42] - wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 399:83] - wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 398:72] - wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 398:70] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 527:63] - wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] - wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] - wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] - wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 441:104] - wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_1830 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1831 = io_lsu_busreq_m & _T_1830; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1832 = _T_1781 | _T_1831; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1835 = _T_1832 | _T_1783; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1838 = _T_1835 | _T_1786; // @[el2_lsu_bus_buffer.scala 404:83] + wire _T_1839 = ~_T_1838; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1840 = _T_1779 & _T_1839; // @[el2_lsu_bus_buffer.scala 403:70] + wire _T_1844 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1845 = io_lsu_busreq_m & _T_1844; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1846 = _T_1792 | _T_1845; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1849 = _T_1846 | _T_1794; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1852 = _T_1849 | _T_1797; // @[el2_lsu_bus_buffer.scala 404:83] + wire _T_1853 = ~_T_1852; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1854 = _T_1790 & _T_1853; // @[el2_lsu_bus_buffer.scala 403:70] + wire _T_1858 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1859 = io_lsu_busreq_m & _T_1858; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1860 = _T_1803 | _T_1859; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1863 = _T_1860 | _T_1805; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1866 = _T_1863 | _T_1808; // @[el2_lsu_bus_buffer.scala 404:83] + wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1868 = _T_1801 & _T_1867; // @[el2_lsu_bus_buffer.scala 403:70] + wire _T_1872 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 404:33] + wire _T_1873 = io_lsu_busreq_m & _T_1872; // @[el2_lsu_bus_buffer.scala 404:22] + wire _T_1874 = _T_1814 | _T_1873; // @[el2_lsu_bus_buffer.scala 403:106] + wire _T_1877 = _T_1874 | _T_1816; // @[el2_lsu_bus_buffer.scala 404:42] + wire _T_1880 = _T_1877 | _T_1819; // @[el2_lsu_bus_buffer.scala 404:83] + wire _T_1881 = ~_T_1880; // @[el2_lsu_bus_buffer.scala 403:72] + wire _T_1882 = _T_1812 & _T_1881; // @[el2_lsu_bus_buffer.scala 403:70] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 532:63] + wire _T_2655 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2656 = buf_rspageQ_0[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2652 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2653 = buf_rspageQ_0[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2649 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2650 = buf_rspageQ_0[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2646 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2647 = buf_rspageQ_0[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] wire [3:0] buf_rsp_pickage_0 = {_T_2656,_T_2653,_T_2650,_T_2647}; // @[Cat.scala 29:58] - wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 409:65] - wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 409:44] - wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 409:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 527:63] - wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_1958 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 414:65] + wire _T_1959 = ~_T_1958; // @[el2_lsu_bus_buffer.scala 414:44] + wire _T_1961 = _T_1959 & _T_2646; // @[el2_lsu_bus_buffer.scala 414:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 532:63] + wire _T_2671 = buf_rspageQ_1[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2668 = buf_rspageQ_1[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2665 = buf_rspageQ_1[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2662 = buf_rspageQ_1[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] wire [3:0] buf_rsp_pickage_1 = {_T_2671,_T_2668,_T_2665,_T_2662}; // @[Cat.scala 29:58] - wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 409:65] - wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 409:44] - wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 409:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 527:63] - wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_1962 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 414:65] + wire _T_1963 = ~_T_1962; // @[el2_lsu_bus_buffer.scala 414:44] + wire _T_1965 = _T_1963 & _T_2649; // @[el2_lsu_bus_buffer.scala 414:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 532:63] + wire _T_2686 = buf_rspageQ_2[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2683 = buf_rspageQ_2[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2680 = buf_rspageQ_2[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2677 = buf_rspageQ_2[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] wire [3:0] buf_rsp_pickage_2 = {_T_2686,_T_2683,_T_2680,_T_2677}; // @[Cat.scala 29:58] - wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 409:65] - wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 409:44] - wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 409:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 527:63] - wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 441:89] - wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 441:89] + wire _T_1966 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 414:65] + wire _T_1967 = ~_T_1966; // @[el2_lsu_bus_buffer.scala 414:44] + wire _T_1969 = _T_1967 & _T_2652; // @[el2_lsu_bus_buffer.scala 414:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 532:63] + wire _T_2701 = buf_rspageQ_3[3] & _T_2655; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2698 = buf_rspageQ_3[2] & _T_2652; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2695 = buf_rspageQ_3[1] & _T_2649; // @[el2_lsu_bus_buffer.scala 446:89] + wire _T_2692 = buf_rspageQ_3[0] & _T_2646; // @[el2_lsu_bus_buffer.scala 446:89] wire [3:0] buf_rsp_pickage_3 = {_T_2701,_T_2698,_T_2695,_T_2692}; // @[Cat.scala 29:58] - wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 409:65] - wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 409:44] - wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 409:70] + wire _T_1970 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 414:65] + wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 414:44] + wire _T_1973 = _T_1971 & _T_2655; // @[el2_lsu_bus_buffer.scala 414:70] wire [7:0] _T_2029 = {4'h0,_T_1973,_T_1969,_T_1965,_T_1961}; // @[Cat.scala 29:58] - wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 413:42] - wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 413:48] - wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 413:54] - wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 413:67] - wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 413:73] - wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 413:79] - wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 413:92] - wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 413:98] - wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 413:104] + wire _T_2032 = _T_2029[4] | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 418:42] + wire _T_2034 = _T_2032 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 418:48] + wire _T_2036 = _T_2034 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 418:54] + wire _T_2039 = _T_2029[2] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 418:67] + wire _T_2041 = _T_2039 | _T_2029[6]; // @[el2_lsu_bus_buffer.scala 418:73] + wire _T_2043 = _T_2041 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 418:79] + wire _T_2046 = _T_2029[1] | _T_2029[3]; // @[el2_lsu_bus_buffer.scala 418:92] + wire _T_2048 = _T_2046 | _T_2029[5]; // @[el2_lsu_bus_buffer.scala 418:98] + wire _T_2050 = _T_2048 | _T_2029[7]; // @[el2_lsu_bus_buffer.scala 418:104] wire [2:0] _T_2052 = {_T_2036,_T_2043,_T_2050}; // @[Cat.scala 29:58] - wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 470:77] - wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 470:95] - wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] - wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 470:144] - wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] - wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 470:161] - wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 470:132] - wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 470:63] - wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] - wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 470:201] - wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 470:183] - wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 477:46] + wire _T_3441 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 475:77] + wire _T_3442 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 475:97] + wire _T_3443 = _T_3441 & _T_3442; // @[el2_lsu_bus_buffer.scala 475:95] + wire _T_3444 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] + wire _T_3445 = _T_3443 & _T_3444; // @[el2_lsu_bus_buffer.scala 475:112] + wire _T_3446 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 475:144] + wire _T_3447 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] + wire _T_3448 = _T_3446 & _T_3447; // @[el2_lsu_bus_buffer.scala 475:161] + wire _T_3449 = _T_3445 | _T_3448; // @[el2_lsu_bus_buffer.scala 475:132] + wire _T_3450 = _T_766 & _T_3449; // @[el2_lsu_bus_buffer.scala 475:63] + wire _T_3451 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] + wire _T_3452 = ibuf_drain_vld & _T_3451; // @[el2_lsu_bus_buffer.scala 475:201] + wire _T_3453 = _T_3450 | _T_3452; // @[el2_lsu_bus_buffer.scala 475:183] + wire _T_3463 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 482:46] wire _T_3498 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 588:38] - wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 495:73] - wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 495:52] - wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 496:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 593:38] + wire _T_3543 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 500:73] + wire _T_3544 = bus_rsp_write & _T_3543; // @[el2_lsu_bus_buffer.scala 500:52] + wire _T_3545 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 501:46] reg _T_4216; // @[Reg.scala 27:20] reg _T_4214; // @[Reg.scala 27:20] reg _T_4212; // @[Reg.scala 27:20] reg _T_4210; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4216,_T_4214,_T_4212,_T_4210}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 497:27] - wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 496:77] - wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 498:26] - wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 498:42] - wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 498:58] + wire [2:0] _GEN_371 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3547 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3548 = buf_ldfwd[0] & _T_3547; // @[el2_lsu_bus_buffer.scala 502:27] + wire _T_3549 = _T_3545 | _T_3548; // @[el2_lsu_bus_buffer.scala 501:77] + wire _T_3550 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 503:26] + wire _T_3553 = _T_3550 & _T_1130; // @[el2_lsu_bus_buffer.scala 503:42] + wire _T_3554 = _T_3553 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 503:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 498:74] - wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 496:25] - wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 495:105] + wire [2:0] _GEN_372 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3555 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3556 = _T_3554 & _T_3555; // @[el2_lsu_bus_buffer.scala 503:74] + wire _T_3557 = _T_3549 | _T_3556; // @[el2_lsu_bus_buffer.scala 502:71] + wire _T_3558 = bus_rsp_read & _T_3557; // @[el2_lsu_bus_buffer.scala 501:25] + wire _T_3559 = _T_3544 | _T_3558; // @[el2_lsu_bus_buffer.scala 500:105] wire _GEN_42 = _T_3498 & _T_3559; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3464 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3460 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3585 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 510:21] + wire [3:0] _T_3595 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 515:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 510:58] - wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 510:38] - wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 509:95] - wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 509:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 515:58] + wire [2:0] _GEN_374 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3597 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3598 = _T_3595[0] & _T_3597; // @[el2_lsu_bus_buffer.scala 515:38] + wire _T_3599 = _T_3555 | _T_3598; // @[el2_lsu_bus_buffer.scala 514:95] + wire _T_3600 = bus_rsp_read & _T_3599; // @[el2_lsu_bus_buffer.scala 514:45] wire _GEN_36 = _T_3585 & _T_3600; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3498 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3464 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3460 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3437 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] + wire _T_3477 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3478 = _T_3477 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] wire _T_3603 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 421:10] - wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 515:37] - wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] - wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 515:80] - wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 515:65] - wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] + wire [1:0] RspPtr = _T_2052[1:0]; // @[el2_lsu_bus_buffer.scala 426:10] + wire _T_3606 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 520:37] + wire _T_3607 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] + wire _T_3608 = buf_dual_0 & _T_3607; // @[el2_lsu_bus_buffer.scala 520:80] + wire _T_3609 = _T_3606 | _T_3608; // @[el2_lsu_bus_buffer.scala 520:65] + wire _T_3610 = _T_3609 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] wire _T_3611 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3603 ? _T_3610 : _T_3611; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3585 ? _T_3478 : _GEN_31; // @[Conditional.scala 39:67] @@ -1512,93 +1519,93 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3464 ? _T_3478 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3460 ? _T_3463 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3437 ? _T_3453 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 435:23] - wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 435:41] - wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 435:71] - wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2067 = _T_4378 | _T_2066; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 436:17] - wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 436:35] - wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 436:52] - wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2092 = _T_4383 | _T_2091; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2117 = _T_4388 | _T_2116; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2142 = _T_4393 | _T_2141; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2054 = _T_1779 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 438:94] + wire _T_2060 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 440:23] + wire _T_2062 = _T_2060 & _T_3441; // @[el2_lsu_bus_buffer.scala 440:41] + wire _T_2064 = _T_2062 & _T_1782; // @[el2_lsu_bus_buffer.scala 440:71] + wire _T_2066 = _T_2064 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2067 = _T_4378 | _T_2066; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2068 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 441:17] + wire _T_2069 = _T_2068 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 441:35] + wire _T_2071 = _T_2069 & _T_1785; // @[el2_lsu_bus_buffer.scala 441:52] + wire _T_2073 = _T_2071 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2074 = _T_2067 | _T_2073; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2075 = _T_2054 & _T_2074; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2077 = _T_2075 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2091 = _T_2064 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2092 = _T_4383 | _T_2091; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2098 = _T_2071 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2099 = _T_2092 | _T_2098; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2100 = _T_2054 & _T_2099; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2102 = _T_2100 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2116 = _T_2064 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2117 = _T_4388 | _T_2116; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2123 = _T_2071 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2124 = _T_2117 | _T_2123; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2125 = _T_2054 & _T_2124; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2127 = _T_2125 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2141 = _T_2064 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2142 = _T_4393 | _T_2141; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2148 = _T_2071 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2149 = _T_2142 | _T_2148; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2150 = _T_2054 & _T_2149; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2152 = _T_2150 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 441:97] wire [2:0] _T_2154 = {_T_2152,_T_2127,_T_2102}; // @[Cat.scala 29:58] - wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] - wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] - wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 470:161] - wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 470:132] - wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 470:63] - wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] - wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 470:201] - wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 470:183] + wire _T_3637 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] + wire _T_3638 = _T_3443 & _T_3637; // @[el2_lsu_bus_buffer.scala 475:112] + wire _T_3640 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] + wire _T_3641 = _T_3446 & _T_3640; // @[el2_lsu_bus_buffer.scala 475:161] + wire _T_3642 = _T_3638 | _T_3641; // @[el2_lsu_bus_buffer.scala 475:132] + wire _T_3643 = _T_766 & _T_3642; // @[el2_lsu_bus_buffer.scala 475:63] + wire _T_3644 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] + wire _T_3645 = ibuf_drain_vld & _T_3644; // @[el2_lsu_bus_buffer.scala 475:201] + wire _T_3646 = _T_3643 | _T_3645; // @[el2_lsu_bus_buffer.scala 475:183] wire _T_3691 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 495:73] - wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 495:52] - wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 496:46] - wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 497:27] - wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 496:77] - wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 498:26] - wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 498:44] - wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 498:42] - wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 498:58] + wire _T_3736 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 500:73] + wire _T_3737 = bus_rsp_write & _T_3736; // @[el2_lsu_bus_buffer.scala 500:52] + wire _T_3738 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 501:46] + wire [2:0] _GEN_375 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3740 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3741 = buf_ldfwd[1] & _T_3740; // @[el2_lsu_bus_buffer.scala 502:27] + wire _T_3742 = _T_3738 | _T_3741; // @[el2_lsu_bus_buffer.scala 501:77] + wire _T_3743 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 503:26] + wire _T_3745 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 503:44] + wire _T_3746 = _T_3743 & _T_3745; // @[el2_lsu_bus_buffer.scala 503:42] + wire _T_3747 = _T_3746 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 503:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 498:74] - wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 496:25] - wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 495:105] + wire [2:0] _GEN_376 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3748 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3749 = _T_3747 & _T_3748; // @[el2_lsu_bus_buffer.scala 503:74] + wire _T_3750 = _T_3742 | _T_3749; // @[el2_lsu_bus_buffer.scala 502:71] + wire _T_3751 = bus_rsp_read & _T_3750; // @[el2_lsu_bus_buffer.scala 501:25] + wire _T_3752 = _T_3737 | _T_3751; // @[el2_lsu_bus_buffer.scala 500:105] wire _GEN_118 = _T_3691 & _T_3752; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3657 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3653 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3778 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 510:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 510:58] - wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 510:38] - wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 509:95] - wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 509:45] + wire [3:0] _T_3788 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 515:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 515:58] + wire [2:0] _GEN_378 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3790 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3791 = _T_3788[0] & _T_3790; // @[el2_lsu_bus_buffer.scala 515:38] + wire _T_3792 = _T_3748 | _T_3791; // @[el2_lsu_bus_buffer.scala 514:95] + wire _T_3793 = bus_rsp_read & _T_3792; // @[el2_lsu_bus_buffer.scala 514:45] wire _GEN_112 = _T_3778 & _T_3793; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3691 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3657 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3653 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3630 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] + wire _T_3670 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3671 = _T_3670 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] wire _T_3796 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 515:37] - wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] - wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 515:80] - wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 515:65] - wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] + wire _T_3799 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 520:37] + wire _T_3800 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] + wire _T_3801 = buf_dual_1 & _T_3800; // @[el2_lsu_bus_buffer.scala 520:80] + wire _T_3802 = _T_3799 | _T_3801; // @[el2_lsu_bus_buffer.scala 520:65] + wire _T_3803 = _T_3802 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] wire _T_3804 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3796 ? _T_3803 : _T_3804; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3778 ? _T_3671 : _GEN_107; // @[Conditional.scala 39:67] @@ -1606,89 +1613,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3657 ? _T_3671 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3653 ? _T_3463 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3630 ? _T_3646 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 435:71] - wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2169 = _T_4378 | _T_2168; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 436:52] - wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2194 = _T_4383 | _T_2193; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2219 = _T_4388 | _T_2218; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2244 = _T_4393 | _T_2243; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2156 = _T_1790 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 438:94] + wire _T_2166 = _T_2062 & _T_1793; // @[el2_lsu_bus_buffer.scala 440:71] + wire _T_2168 = _T_2166 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2169 = _T_4378 | _T_2168; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2173 = _T_2069 & _T_1796; // @[el2_lsu_bus_buffer.scala 441:52] + wire _T_2175 = _T_2173 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2176 = _T_2169 | _T_2175; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2177 = _T_2156 & _T_2176; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2179 = _T_2177 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2193 = _T_2166 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2194 = _T_4383 | _T_2193; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2200 = _T_2173 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2201 = _T_2194 | _T_2200; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2202 = _T_2156 & _T_2201; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2204 = _T_2202 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2218 = _T_2166 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2219 = _T_4388 | _T_2218; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2225 = _T_2173 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2226 = _T_2219 | _T_2225; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2227 = _T_2156 & _T_2226; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2229 = _T_2227 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2243 = _T_2166 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2244 = _T_4393 | _T_2243; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2250 = _T_2173 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2251 = _T_2244 | _T_2250; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2252 = _T_2156 & _T_2251; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2254 = _T_2252 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 441:97] wire [2:0] _T_2256 = {_T_2254,_T_2229,_T_2204}; // @[Cat.scala 29:58] - wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] - wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] - wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 470:161] - wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 470:132] - wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 470:63] - wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] - wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 470:201] - wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 470:183] + wire _T_3830 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] + wire _T_3831 = _T_3443 & _T_3830; // @[el2_lsu_bus_buffer.scala 475:112] + wire _T_3833 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] + wire _T_3834 = _T_3446 & _T_3833; // @[el2_lsu_bus_buffer.scala 475:161] + wire _T_3835 = _T_3831 | _T_3834; // @[el2_lsu_bus_buffer.scala 475:132] + wire _T_3836 = _T_766 & _T_3835; // @[el2_lsu_bus_buffer.scala 475:63] + wire _T_3837 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] + wire _T_3838 = ibuf_drain_vld & _T_3837; // @[el2_lsu_bus_buffer.scala 475:201] + wire _T_3839 = _T_3836 | _T_3838; // @[el2_lsu_bus_buffer.scala 475:183] wire _T_3884 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 495:73] - wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 495:52] - wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 496:46] - wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 497:27] - wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 496:77] - wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 498:26] - wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 498:44] - wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 498:42] - wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 498:58] + wire _T_3929 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 500:73] + wire _T_3930 = bus_rsp_write & _T_3929; // @[el2_lsu_bus_buffer.scala 500:52] + wire _T_3931 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 501:46] + wire [2:0] _GEN_379 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3933 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_3934 = buf_ldfwd[2] & _T_3933; // @[el2_lsu_bus_buffer.scala 502:27] + wire _T_3935 = _T_3931 | _T_3934; // @[el2_lsu_bus_buffer.scala 501:77] + wire _T_3936 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 503:26] + wire _T_3938 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 503:44] + wire _T_3939 = _T_3936 & _T_3938; // @[el2_lsu_bus_buffer.scala 503:42] + wire _T_3940 = _T_3939 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 503:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 498:74] - wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 496:25] - wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 495:105] + wire [2:0] _GEN_380 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3941 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_3942 = _T_3940 & _T_3941; // @[el2_lsu_bus_buffer.scala 503:74] + wire _T_3943 = _T_3935 | _T_3942; // @[el2_lsu_bus_buffer.scala 502:71] + wire _T_3944 = bus_rsp_read & _T_3943; // @[el2_lsu_bus_buffer.scala 501:25] + wire _T_3945 = _T_3930 | _T_3944; // @[el2_lsu_bus_buffer.scala 500:105] wire _GEN_194 = _T_3884 & _T_3945; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3850 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3846 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_3971 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 510:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 510:58] - wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 510:38] - wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 509:95] - wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 509:45] + wire [3:0] _T_3981 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 515:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 515:58] + wire [2:0] _GEN_382 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3983 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_3984 = _T_3981[0] & _T_3983; // @[el2_lsu_bus_buffer.scala 515:38] + wire _T_3985 = _T_3941 | _T_3984; // @[el2_lsu_bus_buffer.scala 514:95] + wire _T_3986 = bus_rsp_read & _T_3985; // @[el2_lsu_bus_buffer.scala 514:45] wire _GEN_188 = _T_3971 & _T_3986; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3884 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3850 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3846 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3823 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] + wire _T_3863 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3864 = _T_3863 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] wire _T_3989 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 515:37] - wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] - wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 515:80] - wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 515:65] - wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] + wire _T_3992 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 520:37] + wire _T_3993 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] + wire _T_3994 = buf_dual_2 & _T_3993; // @[el2_lsu_bus_buffer.scala 520:80] + wire _T_3995 = _T_3992 | _T_3994; // @[el2_lsu_bus_buffer.scala 520:65] + wire _T_3996 = _T_3995 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] wire _T_3997 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_3989 ? _T_3996 : _T_3997; // @[Conditional.scala 39:67] wire _GEN_189 = _T_3971 ? _T_3864 : _GEN_183; // @[Conditional.scala 39:67] @@ -1696,89 +1703,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3850 ? _T_3864 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3846 ? _T_3463 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3823 ? _T_3839 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 435:71] - wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2271 = _T_4378 | _T_2270; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 436:52] - wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2296 = _T_4383 | _T_2295; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2321 = _T_4388 | _T_2320; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2346 = _T_4393 | _T_2345; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2258 = _T_1801 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 438:94] + wire _T_2268 = _T_2062 & _T_1804; // @[el2_lsu_bus_buffer.scala 440:71] + wire _T_2270 = _T_2268 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2271 = _T_4378 | _T_2270; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2275 = _T_2069 & _T_1807; // @[el2_lsu_bus_buffer.scala 441:52] + wire _T_2277 = _T_2275 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2278 = _T_2271 | _T_2277; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2279 = _T_2258 & _T_2278; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2281 = _T_2279 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2295 = _T_2268 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2296 = _T_4383 | _T_2295; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2302 = _T_2275 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2303 = _T_2296 | _T_2302; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2304 = _T_2258 & _T_2303; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2306 = _T_2304 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2320 = _T_2268 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2321 = _T_4388 | _T_2320; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2327 = _T_2275 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2328 = _T_2321 | _T_2327; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2329 = _T_2258 & _T_2328; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2331 = _T_2329 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2345 = _T_2268 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2346 = _T_4393 | _T_2345; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2352 = _T_2275 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2353 = _T_2346 | _T_2352; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2354 = _T_2258 & _T_2353; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2356 = _T_2354 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 441:97] wire [2:0] _T_2358 = {_T_2356,_T_2331,_T_2306}; // @[Cat.scala 29:58] - wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 470:117] - wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 470:166] - wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 470:161] - wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 470:132] - wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 470:63] - wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 470:206] - wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 470:201] - wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 470:183] + wire _T_4023 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 475:117] + wire _T_4024 = _T_3443 & _T_4023; // @[el2_lsu_bus_buffer.scala 475:112] + wire _T_4026 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 475:166] + wire _T_4027 = _T_3446 & _T_4026; // @[el2_lsu_bus_buffer.scala 475:161] + wire _T_4028 = _T_4024 | _T_4027; // @[el2_lsu_bus_buffer.scala 475:132] + wire _T_4029 = _T_766 & _T_4028; // @[el2_lsu_bus_buffer.scala 475:63] + wire _T_4030 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 475:206] + wire _T_4031 = ibuf_drain_vld & _T_4030; // @[el2_lsu_bus_buffer.scala 475:201] + wire _T_4032 = _T_4029 | _T_4031; // @[el2_lsu_bus_buffer.scala 475:183] wire _T_4077 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 495:73] - wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 495:52] - wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 496:46] - wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 497:47] - wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 497:27] - wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 496:77] - wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 498:26] - wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 498:44] - wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 498:42] - wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 498:58] + wire _T_4122 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 500:73] + wire _T_4123 = bus_rsp_write & _T_4122; // @[el2_lsu_bus_buffer.scala 500:52] + wire _T_4124 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 501:46] + wire [2:0] _GEN_383 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_4126 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 502:47] + wire _T_4127 = buf_ldfwd[3] & _T_4126; // @[el2_lsu_bus_buffer.scala 502:27] + wire _T_4128 = _T_4124 | _T_4127; // @[el2_lsu_bus_buffer.scala 501:77] + wire _T_4129 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 503:26] + wire _T_4131 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 503:44] + wire _T_4132 = _T_4129 & _T_4131; // @[el2_lsu_bus_buffer.scala 503:42] + wire _T_4133 = _T_4132 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 503:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 498:94] - wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 498:74] - wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 497:71] - wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 496:25] - wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 495:105] + wire [2:0] _GEN_384 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_4134 = io_lsu_axi_rid == _GEN_384; // @[el2_lsu_bus_buffer.scala 503:94] + wire _T_4135 = _T_4133 & _T_4134; // @[el2_lsu_bus_buffer.scala 503:74] + wire _T_4136 = _T_4128 | _T_4135; // @[el2_lsu_bus_buffer.scala 502:71] + wire _T_4137 = bus_rsp_read & _T_4136; // @[el2_lsu_bus_buffer.scala 501:25] + wire _T_4138 = _T_4123 | _T_4137; // @[el2_lsu_bus_buffer.scala 500:105] wire _GEN_270 = _T_4077 & _T_4138; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4043 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4039 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4164 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 510:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 510:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 510:58] - wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 510:58] - wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 510:38] - wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 509:95] - wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 509:45] + wire [3:0] _T_4174 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 515:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 515:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 515:58] + wire [2:0] _GEN_386 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_4176 = io_lsu_axi_rid == _GEN_386; // @[el2_lsu_bus_buffer.scala 515:58] + wire _T_4177 = _T_4174[0] & _T_4176; // @[el2_lsu_bus_buffer.scala 515:38] + wire _T_4178 = _T_4134 | _T_4177; // @[el2_lsu_bus_buffer.scala 514:95] + wire _T_4179 = bus_rsp_read & _T_4178; // @[el2_lsu_bus_buffer.scala 514:45] wire _GEN_264 = _T_4164 & _T_4179; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4077 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4043 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4039 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4016 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 483:70] + wire _T_4056 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_4057 = _T_4056 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 488:70] wire _T_4182 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 515:37] - wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 515:98] - wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 515:80] - wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 515:65] - wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 515:112] + wire _T_4185 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 520:37] + wire _T_4186 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 520:98] + wire _T_4187 = buf_dual_3 & _T_4186; // @[el2_lsu_bus_buffer.scala 520:80] + wire _T_4188 = _T_4185 | _T_4187; // @[el2_lsu_bus_buffer.scala 520:65] + wire _T_4189 = _T_4188 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 520:112] wire _T_4190 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4182 ? _T_4189 : _T_4190; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4164 ? _T_4057 : _GEN_259; // @[Conditional.scala 39:67] @@ -1786,243 +1793,243 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_4043 ? _T_4057 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4039 ? _T_3463 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4016 ? _T_4032 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 433:94] - wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 435:71] - wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2373 = _T_4378 | _T_2372; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 436:52] - wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2398 = _T_4383 | _T_2397; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2423 = _T_4388 | _T_2422; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 436:97] - wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2448 = _T_4393 | _T_2447; // @[el2_lsu_bus_buffer.scala 434:86] - wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 436:73] - wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 435:114] - wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 433:113] - wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 436:97] + wire _T_2360 = _T_1812 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 438:94] + wire _T_2370 = _T_2062 & _T_1815; // @[el2_lsu_bus_buffer.scala 440:71] + wire _T_2372 = _T_2370 & _T_1780; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2373 = _T_4378 | _T_2372; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2377 = _T_2069 & _T_1818; // @[el2_lsu_bus_buffer.scala 441:52] + wire _T_2379 = _T_2377 & _T_1782; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2380 = _T_2373 | _T_2379; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2381 = _T_2360 & _T_2380; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2383 = _T_2381 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2397 = _T_2370 & _T_1791; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2398 = _T_4383 | _T_2397; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2404 = _T_2377 & _T_1793; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2405 = _T_2398 | _T_2404; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2406 = _T_2360 & _T_2405; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2408 = _T_2406 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2422 = _T_2370 & _T_1802; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2423 = _T_4388 | _T_2422; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2429 = _T_2377 & _T_1804; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2430 = _T_2423 | _T_2429; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2431 = _T_2360 & _T_2430; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2433 = _T_2431 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 441:97] + wire _T_2447 = _T_2370 & _T_1813; // @[el2_lsu_bus_buffer.scala 440:92] + wire _T_2448 = _T_4393 | _T_2447; // @[el2_lsu_bus_buffer.scala 439:86] + wire _T_2454 = _T_2377 & _T_1815; // @[el2_lsu_bus_buffer.scala 441:73] + wire _T_2455 = _T_2448 | _T_2454; // @[el2_lsu_bus_buffer.scala 440:114] + wire _T_2456 = _T_2360 & _T_2455; // @[el2_lsu_bus_buffer.scala 438:113] + wire _T_2458 = _T_2456 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 441:97] wire [2:0] _T_2460 = {_T_2458,_T_2433,_T_2408}; // @[Cat.scala 29:58] - wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] - wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 444:34] - wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 444:8] - wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] - wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 444:34] - wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 444:8] - wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] - wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 444:34] - wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 444:8] - wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 444:49] - wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 444:34] - wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 444:8] - wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2708 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_2709 = _T_1779 | _T_2708; // @[el2_lsu_bus_buffer.scala 449:34] + wire _T_2710 = ~_T_2709; // @[el2_lsu_bus_buffer.scala 449:8] + wire _T_2718 = _T_2710 | _T_2066; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2725 = _T_2718 | _T_2073; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2726 = _T_2054 & _T_2725; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2730 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_2731 = _T_1790 | _T_2730; // @[el2_lsu_bus_buffer.scala 449:34] + wire _T_2732 = ~_T_2731; // @[el2_lsu_bus_buffer.scala 449:8] + wire _T_2740 = _T_2732 | _T_2091; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2747 = _T_2740 | _T_2098; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2748 = _T_2054 & _T_2747; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2752 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_2753 = _T_1801 | _T_2752; // @[el2_lsu_bus_buffer.scala 449:34] + wire _T_2754 = ~_T_2753; // @[el2_lsu_bus_buffer.scala 449:8] + wire _T_2762 = _T_2754 | _T_2116; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2769 = _T_2762 | _T_2123; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2770 = _T_2054 & _T_2769; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2774 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_2775 = _T_1812 | _T_2774; // @[el2_lsu_bus_buffer.scala 449:34] + wire _T_2776 = ~_T_2775; // @[el2_lsu_bus_buffer.scala 449:8] + wire _T_2784 = _T_2776 | _T_2141; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2791 = _T_2784 | _T_2148; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2792 = _T_2054 & _T_2791; // @[el2_lsu_bus_buffer.scala 448:114] wire [3:0] buf_rspage_set_0 = {_T_2792,_T_2770,_T_2748,_T_2726}; // @[Cat.scala 29:58] - wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2809 = _T_2710 | _T_2168; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2816 = _T_2809 | _T_2175; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2817 = _T_2156 & _T_2816; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2831 = _T_2732 | _T_2193; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2838 = _T_2831 | _T_2200; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2839 = _T_2156 & _T_2838; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2853 = _T_2754 | _T_2218; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2860 = _T_2853 | _T_2225; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2861 = _T_2156 & _T_2860; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2875 = _T_2776 | _T_2243; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2882 = _T_2875 | _T_2250; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2883 = _T_2156 & _T_2882; // @[el2_lsu_bus_buffer.scala 448:114] wire [3:0] buf_rspage_set_1 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] - wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2900 = _T_2710 | _T_2270; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2907 = _T_2900 | _T_2277; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2908 = _T_2258 & _T_2907; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2922 = _T_2732 | _T_2295; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2929 = _T_2922 | _T_2302; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2930 = _T_2258 & _T_2929; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2944 = _T_2754 | _T_2320; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2951 = _T_2944 | _T_2327; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2952 = _T_2258 & _T_2951; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_2966 = _T_2776 | _T_2345; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2973 = _T_2966 | _T_2352; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2974 = _T_2258 & _T_2973; // @[el2_lsu_bus_buffer.scala 448:114] wire [3:0] buf_rspage_set_2 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] - wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 443:114] - wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 444:61] - wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 445:112] - wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 443:114] + wire _T_2991 = _T_2710 | _T_2372; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_2998 = _T_2991 | _T_2379; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_2999 = _T_2360 & _T_2998; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_3013 = _T_2732 | _T_2397; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_3020 = _T_3013 | _T_2404; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_3021 = _T_2360 & _T_3020; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_3035 = _T_2754 | _T_2422; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_3042 = _T_3035 | _T_2429; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_3043 = _T_2360 & _T_3042; // @[el2_lsu_bus_buffer.scala 448:114] + wire _T_3057 = _T_2776 | _T_2447; // @[el2_lsu_bus_buffer.scala 449:61] + wire _T_3064 = _T_3057 | _T_2454; // @[el2_lsu_bus_buffer.scala 450:112] + wire _T_3065 = _T_2360 & _T_3064; // @[el2_lsu_bus_buffer.scala 448:114] wire [3:0] buf_rspage_set_3 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] - wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 448:112] - wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 448:86] - wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 448:112] - wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 448:86] - wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 448:112] - wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 448:86] - wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 448:112] - wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 448:86] - wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3150 = _T_2774 | _T_1812; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_3151 = ~_T_3150; // @[el2_lsu_bus_buffer.scala 453:86] + wire _T_3152 = buf_rspageQ_0[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3144 = _T_2752 | _T_1801; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_3145 = ~_T_3144; // @[el2_lsu_bus_buffer.scala 453:86] + wire _T_3146 = buf_rspageQ_0[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3138 = _T_2730 | _T_1790; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_3139 = ~_T_3138; // @[el2_lsu_bus_buffer.scala 453:86] + wire _T_3140 = buf_rspageQ_0[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3132 = _T_2708 | _T_1779; // @[el2_lsu_bus_buffer.scala 453:112] + wire _T_3133 = ~_T_3132; // @[el2_lsu_bus_buffer.scala 453:86] + wire _T_3134 = buf_rspageQ_0[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] wire [3:0] buf_rspage_0 = {_T_3152,_T_3146,_T_3140,_T_3134}; // @[Cat.scala 29:58] - wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3071 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3074 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3077 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3080 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 452:90] wire [2:0] _T_3082 = {_T_3080,_T_3077,_T_3074}; // @[Cat.scala 29:58] - wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3179 = buf_rspageQ_1[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3173 = buf_rspageQ_1[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3167 = buf_rspageQ_1[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3161 = buf_rspageQ_1[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] wire [3:0] buf_rspage_1 = {_T_3179,_T_3173,_T_3167,_T_3161}; // @[Cat.scala 29:58] - wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3086 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3089 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3092 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3095 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 452:90] wire [2:0] _T_3097 = {_T_3095,_T_3092,_T_3089}; // @[Cat.scala 29:58] - wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3206 = buf_rspageQ_2[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3200 = buf_rspageQ_2[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3194 = buf_rspageQ_2[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3188 = buf_rspageQ_2[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] wire [3:0] buf_rspage_2 = {_T_3206,_T_3200,_T_3194,_T_3188}; // @[Cat.scala 29:58] - wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3101 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3104 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3107 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3110 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 452:90] wire [2:0] _T_3112 = {_T_3110,_T_3107,_T_3104}; // @[Cat.scala 29:58] - wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 448:84] - wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 448:84] + wire _T_3233 = buf_rspageQ_3[3] & _T_3151; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3227 = buf_rspageQ_3[2] & _T_3145; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3221 = buf_rspageQ_3[1] & _T_3139; // @[el2_lsu_bus_buffer.scala 453:84] + wire _T_3215 = buf_rspageQ_3[0] & _T_3133; // @[el2_lsu_bus_buffer.scala 453:84] wire [3:0] buf_rspage_3 = {_T_3233,_T_3227,_T_3221,_T_3215}; // @[Cat.scala 29:58] - wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 447:90] - wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 447:90] + wire _T_3116 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3119 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3122 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 452:90] + wire _T_3125 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 452:90] wire [2:0] _T_3127 = {_T_3125,_T_3122,_T_3119}; // @[Cat.scala 29:58] - wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 453:65] - wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 453:65] - wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 453:65] - wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 453:65] + wire _T_3238 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 458:65] + wire _T_3240 = ibuf_drain_vld & _T_1791; // @[el2_lsu_bus_buffer.scala 458:65] + wire _T_3242 = ibuf_drain_vld & _T_1802; // @[el2_lsu_bus_buffer.scala 458:65] + wire _T_3244 = ibuf_drain_vld & _T_1813; // @[el2_lsu_bus_buffer.scala 458:65] wire [3:0] ibuf_drainvec_vld = {_T_3244,_T_3242,_T_3240,_T_3238}; // @[Cat.scala 29:58] - wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 454:123] - wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] - wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 454:123] - wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] - wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 454:123] - wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] - wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 454:123] - wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 454:96] - wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] - wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] - wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] - wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 456:47] + wire _T_3252 = _T_3446 & _T_1785; // @[el2_lsu_bus_buffer.scala 459:123] + wire [3:0] _T_3255 = _T_3252 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] + wire [3:0] _T_3256 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3255; // @[el2_lsu_bus_buffer.scala 459:48] + wire _T_3261 = _T_3446 & _T_1796; // @[el2_lsu_bus_buffer.scala 459:123] + wire [3:0] _T_3264 = _T_3261 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] + wire [3:0] _T_3265 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3264; // @[el2_lsu_bus_buffer.scala 459:48] + wire _T_3270 = _T_3446 & _T_1807; // @[el2_lsu_bus_buffer.scala 459:123] + wire [3:0] _T_3273 = _T_3270 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] + wire [3:0] _T_3274 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3273; // @[el2_lsu_bus_buffer.scala 459:48] + wire _T_3279 = _T_3446 & _T_1818; // @[el2_lsu_bus_buffer.scala 459:123] + wire [3:0] _T_3282 = _T_3279 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 459:96] + wire [3:0] _T_3283 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3282; // @[el2_lsu_bus_buffer.scala 459:48] + wire _T_3309 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] + wire _T_3311 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] + wire _T_3313 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] + wire _T_3315 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:47] wire [3:0] buf_dual_in = {_T_3315,_T_3313,_T_3311,_T_3309}; // @[Cat.scala 29:58] - wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] - wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] - wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] - wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 457:49] + wire _T_3320 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3322 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3326 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 462:49] wire [3:0] buf_samedw_in = {_T_3326,_T_3324,_T_3322,_T_3320}; // @[Cat.scala 29:58] - wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] - wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] - wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] - wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 458:50] + wire _T_3331 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 463:86] + wire _T_3332 = ibuf_drainvec_vld[0] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] + wire _T_3335 = ibuf_drainvec_vld[1] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] + wire _T_3338 = ibuf_drainvec_vld[2] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] + wire _T_3341 = ibuf_drainvec_vld[3] ? _T_3331 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 463:50] wire [3:0] buf_nomerge_in = {_T_3341,_T_3338,_T_3335,_T_3332}; // @[Cat.scala 29:58] - wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 459:49] - wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 459:49] - wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 459:49] - wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 459:49] + wire _T_3349 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3252; // @[el2_lsu_bus_buffer.scala 464:49] + wire _T_3354 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3261; // @[el2_lsu_bus_buffer.scala 464:49] + wire _T_3359 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3270; // @[el2_lsu_bus_buffer.scala 464:49] + wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3279; // @[el2_lsu_bus_buffer.scala 464:49] wire [3:0] buf_dualhi_in = {_T_3364,_T_3359,_T_3354,_T_3349}; // @[Cat.scala 29:58] - wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] - wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] - wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] - wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 461:53] + wire _T_3393 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] + wire _T_3395 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] + wire _T_3397 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] + wire _T_3399 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 466:53] wire [3:0] buf_sideeffect_in = {_T_3399,_T_3397,_T_3395,_T_3393}; // @[Cat.scala 29:58] - wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] - wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] - wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] - wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 462:49] + wire _T_3404 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] + wire _T_3406 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] + wire _T_3408 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] + wire _T_3410 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 467:49] wire [3:0] buf_unsign_in = {_T_3410,_T_3408,_T_3406,_T_3404}; // @[Cat.scala 29:58] - wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] - wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] - wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] - wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 464:48] + wire _T_3427 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] + wire _T_3429 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] + wire _T_3431 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] + wire _T_3433 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 469:48] wire [3:0] buf_write_in = {_T_3433,_T_3431,_T_3429,_T_3427}; // @[Cat.scala 29:58] - wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] - wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 480:89] - wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 480:104] - wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 485:44] - wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] - wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] - wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] - wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] - wire _T_4776 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 592:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4776; // @[el2_lsu_bus_buffer.scala 592:38] - wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] - wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 502:91] - wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 503:31] - wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 503:46] - wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 502:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4776; // @[el2_lsu_bus_buffer.scala 591:40] - wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 504:53] - wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 503:88] - wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 502:68] + wire [31:0] _T_3459 = _T_3452 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] + wire _T_3466 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 485:89] + wire _T_3468 = _T_3466 & _T_1277; // @[el2_lsu_bus_buffer.scala 485:104] + wire _T_3481 = buf_state_en_0 & _T_1130; // @[el2_lsu_bus_buffer.scala 490:44] + wire _T_3482 = _T_3481 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] + wire _T_3484 = _T_3482 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] + wire _T_3487 = _T_3477 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] + wire _T_3488 = _T_3487 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] + wire _T_4776 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 597:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4776; // @[el2_lsu_bus_buffer.scala 597:38] + wire _T_3491 = _T_3487 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] + wire [31:0] _T_3496 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_3566 = bus_rsp_read_error & _T_3545; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3568 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 508:31] + wire _T_3570 = _T_3568 & _T_3547; // @[el2_lsu_bus_buffer.scala 508:46] + wire _T_3571 = _T_3566 | _T_3570; // @[el2_lsu_bus_buffer.scala 507:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4776; // @[el2_lsu_bus_buffer.scala 596:40] + wire _T_3574 = bus_rsp_write_error & _T_3543; // @[el2_lsu_bus_buffer.scala 509:53] + wire _T_3575 = _T_3571 | _T_3574; // @[el2_lsu_bus_buffer.scala 508:88] + wire _T_3576 = _T_3477 & _T_3575; // @[el2_lsu_bus_buffer.scala 507:68] wire _GEN_46 = _T_3498 & _T_3576; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3464 ? _T_3491 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3460 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3437 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 489:30] - wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 492:73] - wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] - wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 492:55] - wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 493:30] - wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 493:28] - wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 493:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 493:61] - wire _T_4401 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 551:93] - wire _T_4402 = _T_4401 | _T_2649; // @[el2_lsu_bus_buffer.scala 551:93] - wire any_done_wait_state = _T_4402 | _T_2646; // @[el2_lsu_bus_buffer.scala 551:93] - wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] + wire [31:0] _T_3497 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3496; // @[el2_lsu_bus_buffer.scala 494:30] + wire _T_3501 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 497:73] + wire _T_3502 = buf_write[0] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_3503 = io_dec_tlu_force_halt | _T_3502; // @[el2_lsu_bus_buffer.scala 497:55] + wire _T_3505 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 498:30] + wire _T_3506 = buf_dual_0 & _T_3505; // @[el2_lsu_bus_buffer.scala 498:28] + wire _T_3509 = _T_3506 & _T_1130; // @[el2_lsu_bus_buffer.scala 498:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3510 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3511 = _T_3509 & _T_3510; // @[el2_lsu_bus_buffer.scala 498:61] + wire _T_4401 = _T_2655 | _T_2652; // @[el2_lsu_bus_buffer.scala 556:93] + wire _T_4402 = _T_4401 | _T_2649; // @[el2_lsu_bus_buffer.scala 556:93] + wire any_done_wait_state = _T_4402 | _T_2646; // @[el2_lsu_bus_buffer.scala 556:93] + wire _T_3513 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3519 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3521 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3523 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3525 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] wire _T_3527 = _T_3519 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3528 = _T_3521 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3529 = _T_3523 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2030,18 +2037,18 @@ module el2_lsu_bus_buffer( wire _T_3531 = _T_3527 | _T_3528; // @[Mux.scala 27:72] wire _T_3532 = _T_3531 | _T_3529; // @[Mux.scala 27:72] wire _T_3533 = _T_3532 | _T_3530; // @[Mux.scala 27:72] - wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 494:101] - wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] - wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 494:138] - wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] - wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] - wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] - wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 505:50] - wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 505:48] - wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] - wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 508:90] - wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] + wire _T_3535 = _T_3509 & _T_3533; // @[el2_lsu_bus_buffer.scala 499:101] + wire _T_3536 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] + wire _T_3537 = _T_3535 & _T_3536; // @[el2_lsu_bus_buffer.scala 499:138] + wire _T_3538 = _T_3537 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] + wire _T_3539 = _T_3513 | _T_3538; // @[el2_lsu_bus_buffer.scala 499:53] + wire _T_3562 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] + wire _T_3563 = _T_3562 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] + wire _T_3577 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 510:50] + wire _T_3578 = buf_state_en_0 & _T_3577; // @[el2_lsu_bus_buffer.scala 510:48] + wire [31:0] _T_3584 = _T_3578 ? _T_3496 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] + wire _T_3590 = buf_ldfwd[0] | _T_3595[0]; // @[el2_lsu_bus_buffer.scala 513:90] + wire _T_3591 = _T_3590 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] wire _GEN_29 = _T_3611 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3603 ? 1'h0 : _T_3611; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3603 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2064,41 +2071,41 @@ module el2_lsu_bus_buffer( wire [31:0] buf_data_in_0 = _T_3437 ? _T_3459 : _GEN_72; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3437 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3437 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] - wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 485:44] - wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] - wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] - wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] - wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] - wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] - wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 502:91] - wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 503:31] - wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 503:46] - wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 502:143] - wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 504:53] - wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 503:88] - wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 502:68] + wire [31:0] _T_3652 = _T_3645 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] + wire _T_3674 = buf_state_en_1 & _T_3745; // @[el2_lsu_bus_buffer.scala 490:44] + wire _T_3675 = _T_3674 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] + wire _T_3677 = _T_3675 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] + wire _T_3680 = _T_3670 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] + wire _T_3681 = _T_3680 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] + wire _T_3684 = _T_3680 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] + wire [31:0] _T_3689 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_3759 = bus_rsp_read_error & _T_3738; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3761 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 508:31] + wire _T_3763 = _T_3761 & _T_3740; // @[el2_lsu_bus_buffer.scala 508:46] + wire _T_3764 = _T_3759 | _T_3763; // @[el2_lsu_bus_buffer.scala 507:143] + wire _T_3767 = bus_rsp_write_error & _T_3736; // @[el2_lsu_bus_buffer.scala 509:53] + wire _T_3768 = _T_3764 | _T_3767; // @[el2_lsu_bus_buffer.scala 508:88] + wire _T_3769 = _T_3670 & _T_3768; // @[el2_lsu_bus_buffer.scala 507:68] wire _GEN_122 = _T_3691 & _T_3769; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3657 ? _T_3684 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3653 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3630 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 489:30] - wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] - wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 492:55] - wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 493:30] - wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 493:28] - wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 493:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 493:61] - wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] + wire [31:0] _T_3690 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3689; // @[el2_lsu_bus_buffer.scala 494:30] + wire _T_3695 = buf_write[1] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_3696 = io_dec_tlu_force_halt | _T_3695; // @[el2_lsu_bus_buffer.scala 497:55] + wire _T_3698 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 498:30] + wire _T_3699 = buf_dual_1 & _T_3698; // @[el2_lsu_bus_buffer.scala 498:28] + wire _T_3702 = _T_3699 & _T_3745; // @[el2_lsu_bus_buffer.scala 498:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3703 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3704 = _T_3702 & _T_3703; // @[el2_lsu_bus_buffer.scala 498:61] + wire _T_3706 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3712 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3714 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3716 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3718 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] wire _T_3720 = _T_3712 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3721 = _T_3714 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3722 = _T_3716 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2106,18 +2113,18 @@ module el2_lsu_bus_buffer( wire _T_3724 = _T_3720 | _T_3721; // @[Mux.scala 27:72] wire _T_3725 = _T_3724 | _T_3722; // @[Mux.scala 27:72] wire _T_3726 = _T_3725 | _T_3723; // @[Mux.scala 27:72] - wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 494:101] - wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] - wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 494:138] - wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] - wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] - wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] - wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 505:50] - wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 505:48] - wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] - wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 508:90] - wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] + wire _T_3728 = _T_3702 & _T_3726; // @[el2_lsu_bus_buffer.scala 499:101] + wire _T_3729 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] + wire _T_3730 = _T_3728 & _T_3729; // @[el2_lsu_bus_buffer.scala 499:138] + wire _T_3731 = _T_3730 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] + wire _T_3732 = _T_3706 | _T_3731; // @[el2_lsu_bus_buffer.scala 499:53] + wire _T_3755 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] + wire _T_3756 = _T_3755 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] + wire _T_3770 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 510:50] + wire _T_3771 = buf_state_en_1 & _T_3770; // @[el2_lsu_bus_buffer.scala 510:48] + wire [31:0] _T_3777 = _T_3771 ? _T_3689 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] + wire _T_3783 = buf_ldfwd[1] | _T_3788[0]; // @[el2_lsu_bus_buffer.scala 513:90] + wire _T_3784 = _T_3783 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] wire _GEN_105 = _T_3804 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3796 ? 1'h0 : _T_3804; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3796 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2140,41 +2147,41 @@ module el2_lsu_bus_buffer( wire [31:0] buf_data_in_1 = _T_3630 ? _T_3652 : _GEN_148; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3630 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3630 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] - wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 485:44] - wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] - wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] - wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] - wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] - wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] - wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 502:91] - wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 503:31] - wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 503:46] - wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 502:143] - wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 504:53] - wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 503:88] - wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 502:68] + wire [31:0] _T_3845 = _T_3838 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] + wire _T_3867 = buf_state_en_2 & _T_3938; // @[el2_lsu_bus_buffer.scala 490:44] + wire _T_3868 = _T_3867 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] + wire _T_3870 = _T_3868 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] + wire _T_3873 = _T_3863 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] + wire _T_3874 = _T_3873 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] + wire _T_3877 = _T_3873 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] + wire [31:0] _T_3882 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_3952 = bus_rsp_read_error & _T_3931; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_3954 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 508:31] + wire _T_3956 = _T_3954 & _T_3933; // @[el2_lsu_bus_buffer.scala 508:46] + wire _T_3957 = _T_3952 | _T_3956; // @[el2_lsu_bus_buffer.scala 507:143] + wire _T_3960 = bus_rsp_write_error & _T_3929; // @[el2_lsu_bus_buffer.scala 509:53] + wire _T_3961 = _T_3957 | _T_3960; // @[el2_lsu_bus_buffer.scala 508:88] + wire _T_3962 = _T_3863 & _T_3961; // @[el2_lsu_bus_buffer.scala 507:68] wire _GEN_198 = _T_3884 & _T_3962; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3850 ? _T_3877 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3846 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3823 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 489:30] - wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] - wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 492:55] - wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 493:30] - wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 493:28] - wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 493:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 493:61] - wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] + wire [31:0] _T_3883 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3882; // @[el2_lsu_bus_buffer.scala 494:30] + wire _T_3888 = buf_write[2] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_3889 = io_dec_tlu_force_halt | _T_3888; // @[el2_lsu_bus_buffer.scala 497:55] + wire _T_3891 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 498:30] + wire _T_3892 = buf_dual_2 & _T_3891; // @[el2_lsu_bus_buffer.scala 498:28] + wire _T_3895 = _T_3892 & _T_3938; // @[el2_lsu_bus_buffer.scala 498:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3896 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3897 = _T_3895 & _T_3896; // @[el2_lsu_bus_buffer.scala 498:61] + wire _T_3899 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_3905 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3907 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3909 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_3911 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] wire _T_3913 = _T_3905 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3914 = _T_3907 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3915 = _T_3909 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2182,18 +2189,18 @@ module el2_lsu_bus_buffer( wire _T_3917 = _T_3913 | _T_3914; // @[Mux.scala 27:72] wire _T_3918 = _T_3917 | _T_3915; // @[Mux.scala 27:72] wire _T_3919 = _T_3918 | _T_3916; // @[Mux.scala 27:72] - wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 494:101] - wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] - wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 494:138] - wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] - wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] - wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] - wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 505:50] - wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 505:48] - wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] - wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 508:90] - wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] + wire _T_3921 = _T_3895 & _T_3919; // @[el2_lsu_bus_buffer.scala 499:101] + wire _T_3922 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] + wire _T_3923 = _T_3921 & _T_3922; // @[el2_lsu_bus_buffer.scala 499:138] + wire _T_3924 = _T_3923 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] + wire _T_3925 = _T_3899 | _T_3924; // @[el2_lsu_bus_buffer.scala 499:53] + wire _T_3948 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] + wire _T_3949 = _T_3948 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] + wire _T_3963 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 510:50] + wire _T_3964 = buf_state_en_2 & _T_3963; // @[el2_lsu_bus_buffer.scala 510:48] + wire [31:0] _T_3970 = _T_3964 ? _T_3882 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] + wire _T_3976 = buf_ldfwd[2] | _T_3981[0]; // @[el2_lsu_bus_buffer.scala 513:90] + wire _T_3977 = _T_3976 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] wire _GEN_181 = _T_3997 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_3989 ? 1'h0 : _T_3997; // @[Conditional.scala 39:67] wire _GEN_186 = _T_3989 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2216,41 +2223,41 @@ module el2_lsu_bus_buffer( wire [31:0] buf_data_in_2 = _T_3823 ? _T_3845 : _GEN_224; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3823 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3823 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 473:30] - wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 485:44] - wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 485:60] - wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 485:74] - wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 487:67] - wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 487:81] - wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 488:82] - wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 489:73] - wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 502:91] - wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 503:31] - wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 503:46] - wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 502:143] - wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 504:53] - wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 503:88] - wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 502:68] + wire [31:0] _T_4038 = _T_4031 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 478:30] + wire _T_4060 = buf_state_en_3 & _T_4131; // @[el2_lsu_bus_buffer.scala 490:44] + wire _T_4061 = _T_4060 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 490:60] + wire _T_4063 = _T_4061 & _T_1259; // @[el2_lsu_bus_buffer.scala 490:74] + wire _T_4066 = _T_4056 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 492:67] + wire _T_4067 = _T_4066 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 492:81] + wire _T_4070 = _T_4066 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 493:82] + wire [31:0] _T_4075 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 494:73] + wire _T_4145 = bus_rsp_read_error & _T_4124; // @[el2_lsu_bus_buffer.scala 507:91] + wire _T_4147 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 508:31] + wire _T_4149 = _T_4147 & _T_4126; // @[el2_lsu_bus_buffer.scala 508:46] + wire _T_4150 = _T_4145 | _T_4149; // @[el2_lsu_bus_buffer.scala 507:143] + wire _T_4153 = bus_rsp_write_error & _T_4122; // @[el2_lsu_bus_buffer.scala 509:53] + wire _T_4154 = _T_4150 | _T_4153; // @[el2_lsu_bus_buffer.scala 508:88] + wire _T_4155 = _T_4056 & _T_4154; // @[el2_lsu_bus_buffer.scala 507:68] wire _GEN_274 = _T_4077 & _T_4155; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4043 ? _T_4070 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4039 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4016 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 489:30] - wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 492:71] - wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 492:55] - wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 493:30] - wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 493:28] - wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 493:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 493:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 493:90] - wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 493:61] - wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:31] - wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 113:118] - wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 113:118] + wire [31:0] _T_4076 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4075; // @[el2_lsu_bus_buffer.scala 494:30] + wire _T_4081 = buf_write[3] & _T_3501; // @[el2_lsu_bus_buffer.scala 497:71] + wire _T_4082 = io_dec_tlu_force_halt | _T_4081; // @[el2_lsu_bus_buffer.scala 497:55] + wire _T_4084 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 498:30] + wire _T_4085 = buf_dual_3 & _T_4084; // @[el2_lsu_bus_buffer.scala 498:28] + wire _T_4088 = _T_4085 & _T_4131; // @[el2_lsu_bus_buffer.scala 498:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 498:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_4089 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_4090 = _T_4088 & _T_4089; // @[el2_lsu_bus_buffer.scala 498:61] + wire _T_4092 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:31] + wire _T_4098 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_4100 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_4102 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 116:118] + wire _T_4104 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 116:118] wire _T_4106 = _T_4098 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_4107 = _T_4100 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_4108 = _T_4102 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -2258,18 +2265,18 @@ module el2_lsu_bus_buffer( wire _T_4110 = _T_4106 | _T_4107; // @[Mux.scala 27:72] wire _T_4111 = _T_4110 | _T_4108; // @[Mux.scala 27:72] wire _T_4112 = _T_4111 | _T_4109; // @[Mux.scala 27:72] - wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 494:101] - wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 494:167] - wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 494:138] - wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 494:187] - wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 501:47] - wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 501:62] - wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 505:50] - wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 505:48] - wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 505:30] - wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 508:90] - wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 508:118] + wire _T_4114 = _T_4088 & _T_4112; // @[el2_lsu_bus_buffer.scala 499:101] + wire _T_4115 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 499:167] + wire _T_4116 = _T_4114 & _T_4115; // @[el2_lsu_bus_buffer.scala 499:138] + wire _T_4117 = _T_4116 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 499:187] + wire _T_4118 = _T_4092 | _T_4117; // @[el2_lsu_bus_buffer.scala 499:53] + wire _T_4141 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:47] + wire _T_4142 = _T_4141 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:62] + wire _T_4156 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 510:50] + wire _T_4157 = buf_state_en_3 & _T_4156; // @[el2_lsu_bus_buffer.scala 510:48] + wire [31:0] _T_4163 = _T_4157 ? _T_4075 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 510:30] + wire _T_4169 = buf_ldfwd[3] | _T_4174[0]; // @[el2_lsu_bus_buffer.scala 513:90] + wire _T_4170 = _T_4169 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 513:118] wire _GEN_257 = _T_4190 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4182 ? 1'h0 : _T_4190; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4182 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2297,49 +2304,49 @@ module el2_lsu_bus_buffer( reg _T_4251; // @[Reg.scala 27:20] reg _T_4254; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4254,_T_4251,_T_4248,_T_4245}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] - wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] - wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] - wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 173:27 el2_lsu_bus_buffer.scala 174:17 el2_lsu_bus_buffer.scala 454:19] - reg _T_4320; // @[el2_lsu_bus_buffer.scala 544:82] - reg _T_4315; // @[el2_lsu_bus_buffer.scala 544:82] - reg _T_4310; // @[el2_lsu_bus_buffer.scala 544:82] - reg _T_4305; // @[el2_lsu_bus_buffer.scala 544:82] + wire [2:0] buf_byteen_in_0 = _T_3256[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] + wire [2:0] buf_byteen_in_1 = _T_3265[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] + wire [2:0] buf_byteen_in_2 = _T_3274[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] + wire [2:0] buf_byteen_in_3 = _T_3283[2:0]; // @[el2_lsu_bus_buffer.scala 176:27 el2_lsu_bus_buffer.scala 177:17 el2_lsu_bus_buffer.scala 459:19] + reg _T_4320; // @[el2_lsu_bus_buffer.scala 549:82] + reg _T_4315; // @[el2_lsu_bus_buffer.scala 549:82] + reg _T_4310; // @[el2_lsu_bus_buffer.scala 549:82] + reg _T_4305; // @[el2_lsu_bus_buffer.scala 549:82] wire [3:0] buf_error = {_T_4320,_T_4315,_T_4310,_T_4305}; // @[Cat.scala 29:58] - wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 544:86] - wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 544:128] - wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 544:86] - wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 544:128] - wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 544:86] - wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 544:128] - wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 544:86] - wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 544:128] + wire _T_4302 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 549:86] + wire _T_4303 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 549:128] + wire _T_4307 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 549:86] + wire _T_4308 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 549:128] + wire _T_4312 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 549:86] + wire _T_4313 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 549:128] + wire _T_4317 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 549:86] + wire _T_4318 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 549:128] wire [2:0] _T_4325 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] wire [95:0] _T_4328 = {buf_data_in_3,buf_data_in_2,buf_data_in_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4334 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 547:96] - wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 547:96] - wire [2:0] _T_4335 = _T_4334 + _GEN_391; // @[el2_lsu_bus_buffer.scala 547:96] - wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 547:96] - wire [3:0] buf_numvld_any = _T_4335 + _GEN_392; // @[el2_lsu_bus_buffer.scala 547:96] - wire _T_4405 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 553:52] - wire _T_4406 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 553:92] - wire _T_4407 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 553:119] - wire _T_4409 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 554:52] - wire _T_4410 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 554:52] - wire _T_4411 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 554:52] - wire _T_4412 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 554:52] - wire _T_4413 = _T_4409 | _T_4410; // @[el2_lsu_bus_buffer.scala 554:65] - wire _T_4414 = _T_4413 | _T_4411; // @[el2_lsu_bus_buffer.scala 554:65] - wire _T_4415 = _T_4414 | _T_4412; // @[el2_lsu_bus_buffer.scala 554:65] - wire _T_4416 = ~_T_4415; // @[el2_lsu_bus_buffer.scala 554:34] - wire _T_4418 = _T_4416 & _T_765; // @[el2_lsu_bus_buffer.scala 554:70] - wire _T_4421 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 556:51] - wire _T_4422 = _T_4421 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 556:72] - wire _T_4423 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 556:94] - wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 556:92] - wire _T_4425 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 556:111] - wire _T_4427 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 559:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 645:66] + wire [1:0] _T_4334 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 552:96] + wire [1:0] _GEN_391 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 552:96] + wire [2:0] _T_4335 = _T_4334 + _GEN_391; // @[el2_lsu_bus_buffer.scala 552:96] + wire [2:0] _GEN_392 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 552:96] + wire [3:0] buf_numvld_any = _T_4335 + _GEN_392; // @[el2_lsu_bus_buffer.scala 552:96] + wire _T_4405 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 558:52] + wire _T_4406 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 558:92] + wire _T_4407 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 558:119] + wire _T_4409 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 559:52] + wire _T_4410 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 559:52] + wire _T_4411 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 559:52] + wire _T_4412 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 559:52] + wire _T_4413 = _T_4409 | _T_4410; // @[el2_lsu_bus_buffer.scala 559:65] + wire _T_4414 = _T_4413 | _T_4411; // @[el2_lsu_bus_buffer.scala 559:65] + wire _T_4415 = _T_4414 | _T_4412; // @[el2_lsu_bus_buffer.scala 559:65] + wire _T_4416 = ~_T_4415; // @[el2_lsu_bus_buffer.scala 559:34] + wire _T_4418 = _T_4416 & _T_765; // @[el2_lsu_bus_buffer.scala 559:70] + wire _T_4421 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 561:51] + wire _T_4422 = _T_4421 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 561:72] + wire _T_4423 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 561:94] + wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 561:92] + wire _T_4425 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 561:111] + wire _T_4427 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 564:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 650:66] wire _T_4445 = _T_2708 & _T_1130; // @[Mux.scala 27:72] wire _T_4446 = _T_2730 & _T_3745; // @[Mux.scala 27:72] wire _T_4447 = _T_2752 & _T_3938; // @[Mux.scala 27:72] @@ -2347,32 +2354,32 @@ module el2_lsu_bus_buffer( wire _T_4449 = _T_4445 | _T_4446; // @[Mux.scala 27:72] wire _T_4450 = _T_4449 | _T_4447; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4450 | _T_4448; // @[Mux.scala 27:72] - wire _T_4456 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 562:108] - wire _T_4461 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 562:108] - wire _T_4466 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 562:108] - wire _T_4471 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 562:108] + wire _T_4456 = buf_error[0] & _T_1130; // @[el2_lsu_bus_buffer.scala 567:108] + wire _T_4461 = buf_error[1] & _T_3745; // @[el2_lsu_bus_buffer.scala 567:108] + wire _T_4466 = buf_error[2] & _T_3938; // @[el2_lsu_bus_buffer.scala 567:108] + wire _T_4471 = buf_error[3] & _T_4131; // @[el2_lsu_bus_buffer.scala 567:108] wire _T_4472 = _T_2708 & _T_4456; // @[Mux.scala 27:72] wire _T_4473 = _T_2730 & _T_4461; // @[Mux.scala 27:72] wire _T_4474 = _T_2752 & _T_4466; // @[Mux.scala 27:72] wire _T_4475 = _T_2774 & _T_4471; // @[Mux.scala 27:72] wire _T_4476 = _T_4472 | _T_4473; // @[Mux.scala 27:72] wire _T_4477 = _T_4476 | _T_4474; // @[Mux.scala 27:72] - wire _T_4484 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 563:109] - wire _T_4485 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 563:124] - wire _T_4486 = _T_4484 | _T_4485; // @[el2_lsu_bus_buffer.scala 563:122] - wire _T_4487 = _T_4445 & _T_4486; // @[el2_lsu_bus_buffer.scala 563:106] - wire _T_4492 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 563:109] - wire _T_4493 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 563:124] - wire _T_4494 = _T_4492 | _T_4493; // @[el2_lsu_bus_buffer.scala 563:122] - wire _T_4495 = _T_4446 & _T_4494; // @[el2_lsu_bus_buffer.scala 563:106] - wire _T_4500 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 563:109] - wire _T_4501 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 563:124] - wire _T_4502 = _T_4500 | _T_4501; // @[el2_lsu_bus_buffer.scala 563:122] - wire _T_4503 = _T_4447 & _T_4502; // @[el2_lsu_bus_buffer.scala 563:106] - wire _T_4508 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 563:109] - wire _T_4509 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 563:124] - wire _T_4510 = _T_4508 | _T_4509; // @[el2_lsu_bus_buffer.scala 563:122] - wire _T_4511 = _T_4448 & _T_4510; // @[el2_lsu_bus_buffer.scala 563:106] + wire _T_4484 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 568:109] + wire _T_4485 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 568:124] + wire _T_4486 = _T_4484 | _T_4485; // @[el2_lsu_bus_buffer.scala 568:122] + wire _T_4487 = _T_4445 & _T_4486; // @[el2_lsu_bus_buffer.scala 568:106] + wire _T_4492 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 568:109] + wire _T_4493 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 568:124] + wire _T_4494 = _T_4492 | _T_4493; // @[el2_lsu_bus_buffer.scala 568:122] + wire _T_4495 = _T_4446 & _T_4494; // @[el2_lsu_bus_buffer.scala 568:106] + wire _T_4500 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 568:109] + wire _T_4501 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 568:124] + wire _T_4502 = _T_4500 | _T_4501; // @[el2_lsu_bus_buffer.scala 568:122] + wire _T_4503 = _T_4447 & _T_4502; // @[el2_lsu_bus_buffer.scala 568:106] + wire _T_4508 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 568:109] + wire _T_4509 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 568:124] + wire _T_4510 = _T_4508 | _T_4509; // @[el2_lsu_bus_buffer.scala 568:122] + wire _T_4511 = _T_4448 & _T_4510; // @[el2_lsu_bus_buffer.scala 568:106] wire [1:0] _T_4514 = _T_4503 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4515 = _T_4511 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_393 = {{1'd0}, _T_4495}; // @[Mux.scala 27:72] @@ -2384,14 +2391,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4556 = _T_4552 | _T_4553; // @[Mux.scala 27:72] wire [31:0] _T_4557 = _T_4556 | _T_4554; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4557 | _T_4555; // @[Mux.scala 27:72] - wire _T_4563 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 565:120] - wire _T_4564 = _T_4445 & _T_4563; // @[el2_lsu_bus_buffer.scala 565:105] - wire _T_4569 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 565:120] - wire _T_4570 = _T_4446 & _T_4569; // @[el2_lsu_bus_buffer.scala 565:105] - wire _T_4575 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 565:120] - wire _T_4576 = _T_4447 & _T_4575; // @[el2_lsu_bus_buffer.scala 565:105] - wire _T_4581 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 565:120] - wire _T_4582 = _T_4448 & _T_4581; // @[el2_lsu_bus_buffer.scala 565:105] + wire _T_4563 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 570:120] + wire _T_4564 = _T_4445 & _T_4563; // @[el2_lsu_bus_buffer.scala 570:105] + wire _T_4569 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 570:120] + wire _T_4570 = _T_4446 & _T_4569; // @[el2_lsu_bus_buffer.scala 570:105] + wire _T_4575 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 570:120] + wire _T_4576 = _T_4447 & _T_4575; // @[el2_lsu_bus_buffer.scala 570:105] + wire _T_4581 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 570:120] + wire _T_4582 = _T_4448 & _T_4581; // @[el2_lsu_bus_buffer.scala 570:105] wire [31:0] _T_4583 = _T_4564 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4584 = _T_4570 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4585 = _T_4576 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2399,10 +2406,10 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4587 = _T_4583 | _T_4584; // @[Mux.scala 27:72] wire [31:0] _T_4588 = _T_4587 | _T_4585; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_hi = _T_4588 | _T_4586; // @[Mux.scala 27:72] - wire _T_4590 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 114:123] - wire _T_4591 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 114:123] - wire _T_4592 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 114:123] - wire _T_4593 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 114:123] + wire _T_4590 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 117:123] + wire _T_4591 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 117:123] + wire _T_4592 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 117:123] + wire _T_4593 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 117:123] wire [31:0] _T_4594 = _T_4590 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4595 = _T_4591 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4596 = _T_4592 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -2410,7 +2417,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4598 = _T_4594 | _T_4595; // @[Mux.scala 27:72] wire [31:0] _T_4599 = _T_4598 | _T_4596; // @[Mux.scala 27:72] wire [31:0] _T_4600 = _T_4599 | _T_4597; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4600[1:0]; // @[el2_lsu_bus_buffer.scala 566:83] + wire [1:0] lsu_nonblock_addr_offset = _T_4600[1:0]; // @[el2_lsu_bus_buffer.scala 571:83] wire [1:0] _T_4606 = _T_4590 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4607 = _T_4591 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4608 = _T_4592 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -2426,24 +2433,24 @@ module el2_lsu_bus_buffer( wire _T_4626 = _T_4625 | _T_4623; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4626 | _T_4624; // @[Mux.scala 27:72] wire [63:0] _T_4646 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 570:121] - wire [5:0] _T_4647 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 570:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4646 >> _T_4647; // @[el2_lsu_bus_buffer.scala 570:92] - wire _T_4648 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 573:69] - wire _T_4650 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 574:81] - wire _T_4651 = lsu_nonblock_unsign & _T_4650; // @[el2_lsu_bus_buffer.scala 574:63] + wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 575:121] + wire [5:0] _T_4647 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 575:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4646 >> _T_4647; // @[el2_lsu_bus_buffer.scala 575:92] + wire _T_4648 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 578:69] + wire _T_4650 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 579:81] + wire _T_4651 = lsu_nonblock_unsign & _T_4650; // @[el2_lsu_bus_buffer.scala 579:63] wire [31:0] _T_4653 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4654 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 575:45] - wire _T_4655 = lsu_nonblock_unsign & _T_4654; // @[el2_lsu_bus_buffer.scala 575:26] + wire _T_4654 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 580:45] + wire _T_4655 = lsu_nonblock_unsign & _T_4654; // @[el2_lsu_bus_buffer.scala 580:26] wire [31:0] _T_4657 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4658 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 576:6] - wire _T_4660 = _T_4658 & _T_4650; // @[el2_lsu_bus_buffer.scala 576:27] + wire _T_4658 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 581:6] + wire _T_4660 = _T_4658 & _T_4650; // @[el2_lsu_bus_buffer.scala 581:27] wire [23:0] _T_4663 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4665 = {_T_4663,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4668 = _T_4658 & _T_4654; // @[el2_lsu_bus_buffer.scala 577:27] + wire _T_4668 = _T_4658 & _T_4654; // @[el2_lsu_bus_buffer.scala 582:27] wire [15:0] _T_4671 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4673 = {_T_4671,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4674 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 578:21] + wire _T_4674 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 583:21] wire [31:0] _T_4675 = _T_4651 ? _T_4653 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4676 = _T_4655 ? _T_4657 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4677 = _T_4660 ? _T_4665 : 32'h0; // @[Mux.scala 27:72] @@ -2454,49 +2461,49 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4682 = _T_4681 | _T_4678; // @[Mux.scala 27:72] wire [63:0] _GEN_395 = {{32'd0}, _T_4682}; // @[Mux.scala 27:72] wire [63:0] _T_4683 = _GEN_395 | _T_4679; // @[Mux.scala 27:72] - wire _T_4778 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 596:36] - wire _T_4779 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 596:51] - wire _T_4780 = _T_4778 & _T_4779; // @[el2_lsu_bus_buffer.scala 596:49] + wire _T_4778 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 601:36] + wire _T_4779 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 601:51] + wire _T_4780 = _T_4778 & _T_4779; // @[el2_lsu_bus_buffer.scala 601:49] wire [31:0] _T_4784 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4786 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4791 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 608:50] - wire _T_4792 = _T_4778 & _T_4791; // @[el2_lsu_bus_buffer.scala 608:48] + wire _T_4791 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 613:50] + wire _T_4792 = _T_4778 & _T_4791; // @[el2_lsu_bus_buffer.scala 613:48] wire [7:0] _T_4796 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4799 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 613:36] - wire _T_4801 = _T_4799 & _T_1275; // @[el2_lsu_bus_buffer.scala 613:50] - wire _T_4813 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 626:114] - wire _T_4815 = _T_4813 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 626:129] - wire _T_4818 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 626:114] - wire _T_4820 = _T_4818 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 626:129] - wire _T_4823 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 626:114] - wire _T_4825 = _T_4823 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 626:129] - wire _T_4828 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 626:114] - wire _T_4830 = _T_4828 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 626:129] + wire _T_4799 = obuf_valid & _T_1269; // @[el2_lsu_bus_buffer.scala 618:36] + wire _T_4801 = _T_4799 & _T_1275; // @[el2_lsu_bus_buffer.scala 618:50] + wire _T_4813 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 631:114] + wire _T_4815 = _T_4813 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 631:129] + wire _T_4818 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 631:114] + wire _T_4820 = _T_4818 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 631:129] + wire _T_4823 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 631:114] + wire _T_4825 = _T_4823 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 631:129] + wire _T_4828 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 631:114] + wire _T_4830 = _T_4828 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 631:129] wire _T_4831 = _T_2708 & _T_4815; // @[Mux.scala 27:72] wire _T_4832 = _T_2730 & _T_4820; // @[Mux.scala 27:72] wire _T_4833 = _T_2752 & _T_4825; // @[Mux.scala 27:72] wire _T_4834 = _T_2774 & _T_4830; // @[Mux.scala 27:72] wire _T_4835 = _T_4831 | _T_4832; // @[Mux.scala 27:72] wire _T_4836 = _T_4835 | _T_4833; // @[Mux.scala 27:72] - wire _T_4846 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 627:98] - wire lsu_imprecise_error_store_tag = _T_4846 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 627:113] - wire _T_4852 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 629:72] - wire _T_4854 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 114:123] + wire _T_4846 = _T_2730 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 632:98] + wire lsu_imprecise_error_store_tag = _T_4846 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 632:113] + wire _T_4852 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 634:72] + wire _T_4854 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 117:123] wire [31:0] _T_4856 = _T_4854 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4857 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4858 = _T_4856 | _T_4857; // @[Mux.scala 27:72] - wire _T_4875 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 636:68] - wire _T_4878 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 637:48] - wire _T_4881 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 640:48] - wire _T_4882 = io_lsu_axi_awvalid & _T_4881; // @[el2_lsu_bus_buffer.scala 640:46] - wire _T_4883 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 640:92] - wire _T_4884 = io_lsu_axi_wvalid & _T_4883; // @[el2_lsu_bus_buffer.scala 640:90] - wire _T_4885 = _T_4882 | _T_4884; // @[el2_lsu_bus_buffer.scala 640:69] - wire _T_4886 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 640:136] - wire _T_4887 = io_lsu_axi_arvalid & _T_4886; // @[el2_lsu_bus_buffer.scala 640:134] - wire _T_4891 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 644:75] - wire _T_4892 = io_lsu_busreq_m & _T_4891; // @[el2_lsu_bus_buffer.scala 644:73] - reg _T_4895; // @[el2_lsu_bus_buffer.scala 644:56] + wire _T_4875 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 641:68] + wire _T_4878 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 642:48] + wire _T_4881 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 645:48] + wire _T_4882 = io_lsu_axi_awvalid & _T_4881; // @[el2_lsu_bus_buffer.scala 645:46] + wire _T_4883 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 645:92] + wire _T_4884 = io_lsu_axi_wvalid & _T_4883; // @[el2_lsu_bus_buffer.scala 645:90] + wire _T_4885 = _T_4882 | _T_4884; // @[el2_lsu_bus_buffer.scala 645:69] + wire _T_4886 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 645:136] + wire _T_4887 = io_lsu_axi_arvalid & _T_4886; // @[el2_lsu_bus_buffer.scala 645:134] + wire _T_4891 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 649:75] + wire _T_4892 = io_lsu_busreq_m & _T_4891; // @[el2_lsu_bus_buffer.scala 649:73] + reg _T_4895; // @[el2_lsu_bus_buffer.scala 649:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2569,62 +2576,65 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4895; // @[el2_lsu_bus_buffer.scala 644:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 552:30] - assign io_lsu_bus_buffer_full_any = _T_4405 ? _T_4406 : _T_4407; // @[el2_lsu_bus_buffer.scala 553:30] - assign io_lsu_bus_buffer_empty_any = _T_4418 & _T_1157; // @[el2_lsu_bus_buffer.scala 554:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 633:23] - assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 191:25] - assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 192:25] - assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 217:24] - assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 222:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4852; // @[el2_lsu_bus_buffer.scala 629:35] - assign io_lsu_imprecise_error_store_any = _T_4836 | _T_4834; // @[el2_lsu_bus_buffer.scala 626:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4858 : _T_4600; // @[el2_lsu_bus_buffer.scala 630:35] - assign io_lsu_nonblock_load_valid_m = _T_4424 & _T_4425; // @[el2_lsu_bus_buffer.scala 556:32] - assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 557:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4427; // @[el2_lsu_bus_buffer.scala 559:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 560:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4648; // @[el2_lsu_bus_buffer.scala 573:35] - assign io_lsu_nonblock_load_data_error = _T_4477 | _T_4475; // @[el2_lsu_bus_buffer.scala 562:35] - assign io_lsu_nonblock_load_data_tag = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 563:33] - assign io_lsu_nonblock_load_data = _T_4683[31:0]; // @[el2_lsu_bus_buffer.scala 574:29] - assign io_lsu_pmu_bus_trxn = _T_4875 | _T_4770; // @[el2_lsu_bus_buffer.scala 636:23] - assign io_lsu_pmu_bus_misaligned = _T_4878 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 637:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 638:24] - assign io_lsu_pmu_bus_busy = _T_4885 | _T_4887; // @[el2_lsu_bus_buffer.scala 640:23] - assign io_lsu_axi_awvalid = _T_4780 & _T_1165; // @[el2_lsu_bus_buffer.scala 596:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 597:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 598:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 602:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 603:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 599:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 604:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 606:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 601:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 600:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 605:20] - assign io_lsu_axi_wvalid = _T_4792 & _T_1165; // @[el2_lsu_bus_buffer.scala 608:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 610:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4796; // @[el2_lsu_bus_buffer.scala 609:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 611:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 624:21] - assign io_lsu_axi_arvalid = _T_4801 & _T_1165; // @[el2_lsu_bus_buffer.scala 613:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 614:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 615:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 619:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 620:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 616:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 621:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 623:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 618:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 617:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 622:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 625:21] - assign io_test = {_T_4328,buf_data_in_0}; // @[el2_lsu_bus_buffer.scala 546:11] - assign io_data_hi = _T_4588 | _T_4586; // @[el2_lsu_bus_buffer.scala 571:14] - assign io_data_lo = _T_4557 | _T_4555; // @[el2_lsu_bus_buffer.scala 572:14] - assign io_data_en = {_T_4325,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 545:14] + assign io_lsu_busreq_r = _T_4895; // @[el2_lsu_bus_buffer.scala 649:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 557:30] + assign io_lsu_bus_buffer_full_any = _T_4405 ? _T_4406 : _T_4407; // @[el2_lsu_bus_buffer.scala 558:30] + assign io_lsu_bus_buffer_empty_any = _T_4418 & _T_1157; // @[el2_lsu_bus_buffer.scala 559:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 638:23] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 194:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 195:25] + assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 220:24] + assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 225:24] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4852; // @[el2_lsu_bus_buffer.scala 634:35] + assign io_lsu_imprecise_error_store_any = _T_4836 | _T_4834; // @[el2_lsu_bus_buffer.scala 631:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4858 : _T_4600; // @[el2_lsu_bus_buffer.scala 635:35] + assign io_lsu_nonblock_load_valid_m = _T_4424 & _T_4425; // @[el2_lsu_bus_buffer.scala 561:32] + assign io_lsu_nonblock_load_tag_m = _T_1789 ? 2'h0 : _T_1825; // @[el2_lsu_bus_buffer.scala 562:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4427; // @[el2_lsu_bus_buffer.scala 564:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 565:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4648; // @[el2_lsu_bus_buffer.scala 578:35] + assign io_lsu_nonblock_load_data_error = _T_4477 | _T_4475; // @[el2_lsu_bus_buffer.scala 567:35] + assign io_lsu_nonblock_load_data_tag = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 568:33] + assign io_lsu_nonblock_load_data = _T_4683[31:0]; // @[el2_lsu_bus_buffer.scala 579:29] + assign io_lsu_pmu_bus_trxn = _T_4875 | _T_4770; // @[el2_lsu_bus_buffer.scala 641:23] + assign io_lsu_pmu_bus_misaligned = _T_4878 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 642:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 643:24] + assign io_lsu_pmu_bus_busy = _T_4885 | _T_4887; // @[el2_lsu_bus_buffer.scala 645:23] + assign io_lsu_axi_awvalid = _T_4780 & _T_1165; // @[el2_lsu_bus_buffer.scala 601:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 602:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 603:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 607:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 608:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 604:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 609:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 611:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 606:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 605:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 610:20] + assign io_lsu_axi_wvalid = _T_4792 & _T_1165; // @[el2_lsu_bus_buffer.scala 613:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 615:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4796; // @[el2_lsu_bus_buffer.scala 614:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 616:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 629:21] + assign io_lsu_axi_arvalid = _T_4801 & _T_1165; // @[el2_lsu_bus_buffer.scala 618:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1774}; // @[el2_lsu_bus_buffer.scala 619:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4784; // @[el2_lsu_bus_buffer.scala 620:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 624:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 625:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4786 : 3'h3; // @[el2_lsu_bus_buffer.scala 621:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 626:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 628:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 623:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 622:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 627:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 630:21] + assign io_test = {_T_4328,buf_data_in_0}; // @[el2_lsu_bus_buffer.scala 551:11] + assign io_data_hi = _T_4588 | _T_4586; // @[el2_lsu_bus_buffer.scala 576:14] + assign io_data_lo = _T_4557 | _T_4555; // @[el2_lsu_bus_buffer.scala 577:14] + assign io_data_en = {_T_4325,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 550:14] + assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 296:14] + assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 338:14] + assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 258:15] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 36f6ba17..a9bec798 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -109,6 +109,9 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val data_hi = Output(UInt()) val data_lo = Output(UInt()) val data_en = Output(UInt()) + val Cmdptr0 = Output(UInt()) + val Cmdptr1 = Output(UInt()) + val WrPtr1_r = Output(UInt()) }) def indexing(in : UInt, index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) def indexing(in : Vec[UInt], index : UInt) = Mux1H((0 until math.pow(2, index.getWidth).asInstanceOf[Int]).map(i=>(index===i.U)->in(i))) @@ -252,7 +255,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val ibuf_tag = WireInit(UInt(DEPTH_LOG2.W), 0.U) val WrPtr1_r = WireInit(UInt(DEPTH_LOG2.W), 0.U) val WrPtr0_r = WireInit(UInt(DEPTH_LOG2.W), 0.U) - + io.WrPtr1_r := WrPtr1_r val ibuf_tag_in = Mux(ibuf_merge_en & ibuf_merge_in, ibuf_tag, Mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r)) val ibuf_dualtag_in = WrPtr0_r val ibuf_sz_in = Cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @@ -290,6 +293,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val buf_nomerge = Wire(Vec(DEPTH, Bool())) buf_nomerge := buf_nomerge.map(i=> false.B) val Cmdptr0 = WireInit(UInt(LSU_NUM_NBLOAD_WIDTH.W), 0.U) + io.Cmdptr0 := Cmdptr0 val buf_sideeffect = WireInit(UInt(LSU_NUM_NBLOAD.W), 0.U) val obuf_force_wr_en = WireInit(Bool(), false.B) val obuf_wr_en = WireInit(Bool(), false.B) @@ -331,6 +335,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val obuf_merge_in = obuf_merge_en val obuf_tag0_in = Mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) val Cmdptr1 = WireInit(UInt(DEPTH_LOG2.W), 0.U) + io.Cmdptr1 := Cmdptr1 val obuf_tag1_in = Mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) val obuf_cmd_done = WireInit(Bool(), false.B) val bus_wcmd_sent = WireInit(Bool(), false.B) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index dd2beb6d804ed16b1620dcf0b47e0a29b4e0a3d1..70e5ff5fa77edea81c14702e8a080cb3c68ce8a6 100644 GIT binary patch delta 103 zcmcaFcVBLU1UF;vWJzvYAX&gGJ~@xu6UsWzZ3Sd;@z?-KcOHnm-}T4EjvHlePIOfHF(?b^`#BWgsB{ delta 103 zcmcaFcVBLU1UF;HWJzvYAX&gGJ~@xu6UsWzZ3Sd;@z?-KcOHnm-{d4EjtRlePIOfHF(?b^`!}#~<4O diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 32dc62ff9ee2a732392bcfae7d51ecf411044dda..7ea0560a8ef29611a32b92f46799bcd66ee32e94 100644 GIT binary patch delta 19 Zcmey$`jvG<1QTQLe(9W%)MpEnl+b3t2va_GqRhjXj6lGqP+B173oG z5C{-L2q9b{1PCEG*j!64!scMvY{DklY_4UqNtPwqgw1BzB%84RuF@PTz0O+Sr+#nh zyu~#+u$Y_IFL?9Zx;WZovv3`7folg=iR4rM3%P zdrLY8ZUkJkT2iTe)}3A^1+U$iy@`0PJ4B<=b_3Ix!f2(mlgh+h7+do-@C$81j&V2U z1fhP#yk7sPCSu~b|gVe$~*LRII&DL>1JbzbXU_o?k1664(RkeRkzy13od(Z{n~oQ(MOU9ISnxw-a~aQd;?Z2x!Ovmo zjMJC#;!eu-x?De{7Af(8N%`~f+@pTcLy~c)vp1P^x)Zwgk^MOKkp5LCO zzfJbKLjKJM!@_aXXav8!VuEwDznPHaH!jX}Y~I7%ubdv;d1;)TZP8s2^)2Hp#!dC) zPB_|BC@_H=oJksi?(JF|_Jy!CL=!pSozTZT@{6wn9b7Q!l zIwi z+$EEVuC$9GH@wu)U+MrJLkVqO9LjzDHP@G;xm^6ATew!DeSTB-56%4L)Pal0*X@w;n)ODr1e_Jf0e2Bx4MF9W7<6-E1wX0jy?`KlKj z`b>Kje`j-EM@a^Kh^wWQz*Vkq48?lpuj68C=nJ`6C(mvT+zRa0@T}JG?AGuGwT5T6 zhBv4+Ji9f#fvu6hCspKJ|0F|tVELDy(fq5}Xnt3Pnp5$foHxIqH8NH2^>*gGMt_vo z*3T>iLLH(Njid2lDx<$*s>oql#5AQ99aRWO{!Po=lJZliCPfz*=Cq;-M!Q+jM5Dc5 z(IlgN0E4!qI1_gjO{NR|@;<0&iqY;=G}UMyQZ&tIA67KoXdh8jXS9zhnqhMO7}I#< zEXPho7tzIj2@fc$H`+r3Ph1C1MKfuZ@Ae5rvyJviMRSbyDMfRQ_JpE&M*Fm)22=cJ z6wNox}gu6g3;|yNcEu z?H?6wFn<4}Xrs}7sA!YXeynJ-(f(Oci_!i?(H5iqtD>z&`?;c4li$B7+GezWSG3(| zzf`otX#b&Try+i$XqVCcQ_-cy`d^CLOxk}d+HKPQM^U>;`-7rAChdO}?KNqCRM+_UMKPm| zQ4}}YI7P0}E>P5Ia+#>8%cMMvH%~y2PXbTj1Mq8vPYqTYbazP(Tfp|YYVkD ziMo=VVRji4hp`1?{(($C%W0oPn1=FUJiA4EdXgs;C+SoKDVQ5AsV`vbF*|K4{IV-o zFlaYq!I=mO#`IX_&&Mw>#ORDd-Sur8bw|?`>uwTty^e*sm;3zs+#Z33Danw0X4)&n zSK(Pyymg288m97k(`eU5_#|H^wSj(E)n%Ou(?~|(s?C>>Z>A8x4^!L1`Q?)}bUMs8 z@=Z+B>syMkFz+$&;TDl@EZ-7=|NEKz(KfYYuq4vviMF9L;d!}agMLIt2_N9wA~c3? zXKE;RgzQ`_--IOez#S2s(w!we;9ElcAw06QwzWI!+S{DnYd7g;YEk@Qz9&K<{s^X9 z`6k%Wn=^y!qX@r+7U)ma4e+rr-^ceya6nIw@^jtZzRlUbu64)S_0Haw^}9DKe$Zt6 zkl&Aw_*HrYPa8p3EoKhQ`tg4lLbAKPH`U>KyUpYMh}I0=q3nrz3IBJ0V(m6J*PV&) zjC#?Oi$=tpL$##SuBTs*xcE7210IVrS-c4_u6UMh%k<*mej{G3z})7)m}yBTQCv&9 z6BD3Hoq}LoMiqDy)JY@!c@4A?{#->%%v7|*JVi^)QnbW;L`%#@w8UIQOUy*H#5_bx z%tExp97IdZGPJ}TLrY92w8UgWOH3uS#6&_%Oe3_!BtlC}A+*E*w8UgVOH38C#6&?$OcS)kBtc6|5wyevK}$>zw8Z2< zOH2*4#Kb^LObfKcq(Dnd3ADt7Kub&qw8UgUOH2i{#6&<#OarvUBtT0{0kp&fKud&w zEfM^+MCjKNfnQ66eJv66wM59*5&>UJgnKO!?6pLw*AjtVON4nX5#+T*h}RMUUQ2{` zEfL(cL}=F%fn7_4buAIpwM0nQ5&>OHgmWzs%(X-)*AjtTON4PP5yZ7b2-gw;TuX#+ zEfKu6MCjHMfm=(2Z7mVBwM59)5&>IFgljDkthGd_))IkQON41H5u~+5h}IGT8nTOU zfzv6x9sMMJHQ*ORR?d2sZul&eGJXulDxIkKQ_z)hp!d;@_=P865x&WWhlub55x&KS zhl=n-5x&)ihl%hc5x&iaYejf6-7YlP9X32%gr|t`T{b*Igr|z|-8MW@gr|w{JvKZ_ zgr|$}y*4~rgzH53J{uk*!ZXCKy5EM!itt7BaiOaowBd0gTra{8+wgc1o=J}gB|mDz z7l`m|5q`{uCy4MI5q{i;CyMY~5q{E!CyDSp5q`>sCyQ`{*w0Vf@P#5gUxc5r;VB~A zD8iq!;i)3LK!nfQ@H7!#D8kR#@N^MgB*HJ)aGeM*7U7p{c!mfs5#g6@_#zQrD#Bl| z;fqChnFxQ$hU-Omxd^{v!!t$r5^-$5YQwWcxJfMet2R7agjb00*KK%?2(J|3*KByM z2(J>)`E?tfC&H^m_?tG|Ai`_JYJbay=Zo-K5q`sl8%21XSncoF@B$HT7UA#N@Inz@ zFT&rq;YA|6K|JRl*zjTz-YCL9vf(8nyh((AV#CWsc(VwsN znGH9I@KzE2g$=I|;a0Jq-?HJABD_t6-?rgZBD`IMe`Uj~MRqPicvD&}0;bswT6H9*AhS!VmZn5Ow+wcYvZWl}bqYZBq;XPu>f3o3C zBD_~D`8^xnEW-OFnAwI~M0mecZ7#RrEh2nCgez@$s|X(ys~xi8RuR5Tgu^zxO@uEO z;Tjv>F2Yxc@DLl`A;OLb53}K&B78_}?cp}OON65$Jko|Q72ysM9&N*IA{-Opu{OM0 zgySMS-iF&n*cIUkHoQlKJH@V=WW#$!xJxYgLL1&E!rdY~)rR+ra6*Kq+wcJqJ}jQ| z3>!Wu!bilCFSg;!L>RBW2S2&F)B7Cg~@37$>5x!1@ciHe!5x!o8+icjAV0`y($7ch4O&D@BRpPrG)x0q4 z3}1GR4?V{*Xx(S{$T?1%q;q`qi<~`6Hv}1O3NqXhWVkiRaGNn4KgU;=6n^ztx+BPN zSCHZEAj3UDhI@ky_Zh?BCb{1?yu>H>KgZXX)c53BdN9!Pu*vL(GkkOHlyiLRIsV`| zzN>`3`z$?r9zKtqhtK2Z;q&Bq_&jBN1~yUi1n)jgdv4 zfI-Tmi!QZ;?`Ec`u|=OMxvzPA(Pi)jZgQDmTn3F%o>XLmYI$MNC8!2di!MRAOb@ET zj3O)C!c6rqF7XL!sF^_p%nmZl4Kg$oi~9?Mpt0x`I0zONeS#WhanU7k5G*bF1a-mk zqD#;qXfiH?2f@lB8&u2HMVFu&tS!0(<xf zU0bbcsno_otrj{jWTG=U@UUnbV zl|4%{%3i07%6?84m%T^z<>P2(c_Ym#Z>QPiX_`}hn&y^2Nb|~Hp@#CeXnsW*HC9ZZ z1r=*)VTDVJDsH016%WypiWg~F#T&G|;+J$uWhFILPNo%=OKD~00a{gg4Xv(xh}KlT zN^2{BOzSG&rRJ&$w7zN)ZKygx8>_Oksp?+ZTy=(8s$QclRqxQ&&}eE6&7*ChO|(69 zh<1cd(9Y1Ev@7&HT^jl!wT0fJ-PO~ny?P_Ql6@`cc|n{WUsJ{Wcv8SI}kQ zdb&K^N>_wka>6I+Q1~8-hF_+R@S7BiR8TxpPi|x@bw<+E6**1aktZk-d7TbNenUrU z!j!C;MyZ-6O4sbBOihYg{wVoB4sisk literal 15385 zcma)@378zkb;sY^Yj#H^X|=jfbgabcT;12|zE@h^THR7>cBWT5(j2RvSytjS*jQjN z#u#%NBQOw$I1X0Og0W4AuMlh;2Rp=Z2(cZ9*oHXRacn{y$iJ(0re|wkJKFEtey{7j zUsZKgb-${f>X+Vo?s+0w$WJg$Naea3-PC*s(rN3;;lI7z_3G(vNIMx9XsjPughjr^${A#G}tmlXfRWywhLTq zb0!b24lY_PsZ=5Bb}yTT*S_q5WFp@Yq2Xw|zG+M~Xr+vk&L&(KTM9Ms3vEJ<2{-QK zQ(caGHt%|wSjtHy+Pf1@BAJV|r9g}?ICQr;@oX&R=HkiB$-q9TV9zBJu8W?G=bg@M zF7KA)QCIM3&w6p!>4>HBOv>;7_J?RKjWRN~N5Rq^^CmJ=K-#aMDg!CsV|n_$B$( zjc3zoc=`Dzb578CIX`JYDv`@Oi7pHWG)T!A3Mn~*=Me4Lb}sL_8Gj7<)zIx8JDYT3 z-JTmuoI{)F20}`|gf`vue%1XZgh!j_=1|)K#q%U;5aj6DIeNVr6ami%irIO7dzPM? z>~)3whYyB@<7UtZetAKHbEdbMkmNTmu5@Ikxs{8MWbkR4VBRcm@4v^_b^Rt zK~EGTj{j6L&z!;>sY%fo!+c25SfhPd(Kw^sqG-I)K7vtMQk)5_iYCw%et92NG|^}u zQ#8qFA6GQlXrE9t#b}>YRByC<6-_lc-_JA}Im^LO(KNc!FX2;)8jSX74C9iT=*XyO zI?eFi9#J&YXrECu%V>`)nr*Z{QZ&bCf2^p{6#plR<{Ir!70ol+pDCJev@a-HV6;D1 zw9sgm6fH8^Gl~`)?Td<*80|}nmKyCVik2DeFBB~|<^H9jCX@CxMJtT<4Mi)B_E(Bl z8Na_)wAyIjQnbccUskl%Xn&( z{z}nallGsA_L;Q*Qq*eFey3=^N&9a_2Ta=kC^~4g|5bFzSl?H4SZmCRj%X{FD>`bl zN=3(v7EyHEXf=u)qYY4W!mtAs#f&ysQJc|*D2f|xsG@|?hAVQ7Hd0Z$$z`;nlO}Df zq7I{tSCll`6^c$7ze$Qto3ts4QbwDqC~d4)D#{pbx}vPnW-975ezO&wF45nwhFrBs0nUD#X%;kY`BK^!;$F&vs$D&vZp$IS{fsitr9RyteP$(Au)Go@r9? z(G}v~q<9zauHl`$2c_)Id&xMSh>J^Z{SZ)CNg=O&+!{q*s4=dmP}RP!xE{sZ_+XSO z_z*%=!K*pb*@e{;AHkESh?+!Q$zwHql#lx}`~p!}Q|aZH_Bl~QgBY{N%2;P->YU;@ z-4I0zg7o5`IvX&-Y$|4ASFUK#Zpeb$qbL}Y4pk`EFE7Ff7sa~k2Q})BC>_+@Br)Ko40gSPd!II3FMQLMu z()04EM!m{K2_NE{qcnm)%+wfkgzOxYZ-Neb;Fc&Z>DH1S@GTL(4Xcipy{*o=*1gWY zwVQP_H7ouo-w~ww8T6_OUyX5 z#C$_b%r>;dTtiFDG_=G#Lrcsuw8T6@OUxp)#DqahOc%7oWI;%w8SJq zOH2{8!~{W0Ob@igKub&uw8W%9OH2v0#DqXgOb4{YWI#(y1+>IOKub&m zw8SJpOH2W@!~{S~gnum&{Ix{r*AjtWON4zb5%jf0$k!49UrU60EfMUsM5xyifnH05 zc`Xs-wM2;55&>RIgm*0w+_gk#*AjtUON4bT5!AIrNY@epT}y;>EfLJML@3u1fm}<3 zaV-(VwL}Ql5&>LGgl{boytPE=))IkRON4DL5wx{L$kq}8TT6s%EfK7>M5xvhfm%z1 zX)O_?wM2;45&>FEgl8=goV7$~))IkPON3=D5tOw=NY)YoSxbatEfI{hL@3r0ffzDD zxRL1wyvTeAXN@>x$jTW{(~VC-DdSJ$SfvvcKMY+N2fB$qh%+o!MfheL9w@?NMfki8 z4-(;VB7Cb24;JC^B7B<-*NX52x?O0nJ8XD}2u~E@J8ig5geQscT{b*ageQye-8MW- zgr|t`JvKaCgzH84J{uk(!c)brdccN9itsdgQ0S_MY!cW@p1QBi&`}uP=e1!D%_96G8(uELTSfRM zHryn_+eG-MHoQWFTf}~T!-iLi@OBaYnGLTJ;TqU64Sn_Xdc!LP<6HETB4Q~|TRKX z@By*p-`nsO5k4rw@7Zv(2p^KF&1Az{Mfk7?m)Y<(5k4YSn=5R%MTC!vaFq>j7vWzX-RBT{Xsr4~XzdvE*?!d{BftM0kP?9}?lD2v4-(!y4Cc>Tw&$r>Y2x=R|mo4WAO>tHoBlMSau_&O2ZV#65` zzFvg4+Hh8a@k4P7-oN9u{J{BCi663PKxW28-rK_mp5epLoQvGn!>&o{;f@#h)Frwx z%y4s<;e43k)-c0u#*ppdGbM%RF3}xfhC9OycZC`54l~>nX1LE7`Zvh~zTr#Ub?6yB zTTLR!X1Ew;csk6`6J~fe%8;mcu$=feyy7=!M{>X2^yY8d?WFvB;)3@--7 zRfd%IQs6SPhwlvW`gT|e-w88(_pPf(5==I~xD21Sj8ExpYMSDg zVTQLaBiCPt!M_P$47mG4uK%}T_&b+{zZ-`CKFsjm<>bp0c!jk&mxUC;6=8;|Fhg~i zAsS{-#^4Vv@pjh3f(Hd&p_fq`_=F5nt_xgh`#;T0QNseCD!H$DMBvi@1~<8kGA{kb zD31x)uv(4_T*7KFA#e%HWnx$jCI_tW2s72MFYyU$sA*vZG=v#ugc)W9#r=UnFemT| z9Rza&pRk6RAGm}Lf`x%kSQjh~T*3yyQsdHp5G)Vauv)GNT*7LwDsTzQWldNO*7dSI z{9s{DW_o@@m|;_xVM~}{>lX_9U-%yE*TwXkqS*a1g$80@^&spp9*n)VwRE0_V3J=) zU!q?&+pQNGHf#|TS61dcG0A= zBuy^6iKdi2NcCk;)6}w;Xrkx897J0B6rd5$g{L3@*3@pyifb8r%-G4CfZ+}qyyDA(ZTA+=}`5H zbh!FWI#N?XM{64BSWOEZuW`w#xt>nc+)J^VuTWdfk0~ClphUER+~_uHk7np(^gMM$ zpQL2;WjYmon@$g?q11rMlpfGTnF0GKJ0ML1^r~ruSsC^2N5UG3xAUj)j_(3`tde&;W!8#Hw1Dh*zk@t z{1AkXKK)btw!+x@jst#XW^DCG8h+|#Z1BecTS=I(tAnwB8wb34VC=@m0dH*>`?qnx z8wJL`Y-m`dGj{aj6mM1-d%AJJt0BhTbZB@D$=GR*1K!{=Hb&xrw~LHD=g{!Nj`95f zPVtr%7waGJnwase05rUvVthXU4L>R~z6*ecUrQN#zj2CRFB#ttK*P&7#*TDo_~n|h zOC6_po5%QE<95b}0MPKu9pf_r9Pqm(<0AqburZ49aR4;za$&F4F?-`r^alj@L?9%lQqx=JQvoLnEUtoUR9~S=y_5hCX diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index de9fb1c56b604fbf77f3284de33408e045069334..929b17f3ab6247ac706a55a196b03cf0c1c8e72b 100644 GIT binary patch literal 506189 zcmcG130xe@arg8t?Ad@8NeFa3Bcbc*fL(+@NP6;uE+jw#bb*9c3oK|QU}1L=(z7f( z_vf5W9NUR=`Ap&?K9bmp9Vc-T$4Q*Sxg6)d@B5Cw#BsiVb*&@u3#)0qw9=lNPi62oGqqB}f3vf>V%QSG+A)1EU&_sQ zw&xeix#D7WzI`w^mz{n*RG3+r&xNg^uv#3E(cJP%zL-mw9xqOh=1RG8*s2v)d_F(L z*7H+gD=e($>Z*>ErnB=|VeK4LM0+teJD-~_w~rBfEI+rHEw2=FCxlfy^|+iXiI*2b zqIJ)`Y+qk%I2=eOVzrU*Y9O6R_nl7cX=#ayDG>{{9Eb*MYnlThp6Kg41O7ma`QtJ0 z+n9ejvE#r%SoDkdjlSj9UE4%7c;G;!zcv&IB z_{|zWvB{O+uJK1S{>wCeVzVtD42R%XERjvC`r@eX5X;quTGJyVO(fTPT!7!9@e^BI z{!xuTqVan)exlixKdJFYH2%vqexk*dKcn$SH2wwVhc2SOl3PjszyS5*u*P53_@kPg z&gTH2%vpexlZuZ`1fA8h=0Y*-uO-d%(hnH2#Rj@6hZd!mj6 z5A#+3BqAiw{c}>6SA3R#IrG_{sO!%e&CZD8vz-gfSN)cVx&935_7$J)U(xu9ILR~r zs%~HL+5S!Dvp>@H=Qi_sycD0u>#k-eQAhG@XGXI#qWEfJgzstmM7?W&Ub8cz_-toM z<0l$i`(=$kqVXST{KN)V{t5F{yG9hB{rT9db%lhhP~*;w?1;vX=o6#SZGhC}6`vch zLF02oWxgFzc_OKZ%6vPbhPM*1ROC#K#{eulawuh;8F(6Aj@ z8MunifUqO0;&WtWz8zUvUPV^s+mV&!RRm?e7Fn}eWDVPqm4T%qC=Y-ZS+iPX4cn2G z?W+jN_6N0gjVM0%+ZBzUa3g5=s>UDD_%}6v!i}uq+ZumFAVP5nb^) zqBGx)=mgMZj_AtKh#k>cUPW}4w<9{+R}r20o7@Su%#mK%3E7cA*DUF|0k)Gv`Y5Zl4->dPH?g|ij zLE|rL{63AJbR&J_oW@_)_!l*PQbl_9XISGeYy44-pL8RAeYw-*MsuNDBduPqFs=q?OVZD9~8cL9iM3xgB5Gi*7h}sJS#SKwZd=(#}_QJsayGMYiwlIjIyD&uUg@NVO z0>JIn76wst7lx>|Fo=}907SKgK@>HLVi$*t~N40=1Mm4@2&?#_;Mt4gewF9~W?r3F8UlZLB zXpM^9d!id#uhpiL>DmDH8V=S z+06XSd&1yfC4TF!=fK~i^RK)7E}ehV<)7906E6Q6^ILMYuz#EQ>8X9>zb=2rm0w{# zxA!jbxxKYv<$v9&5bR75Kihhk{MY$2E`Ja6+0LwMr%UJGbNOd={(YB!P3OrBZv~fgCbK138=a+4MaL?wMeRIv-i zCB^ODhhfoD6zRUb;mcbehiij1!*#VYfxf=|;qGEO+q${tW>+GajE8E&2e%&IBWh0N zUU+i;@|D_PxHGn#ZRxr{*EG|;FBq)3Q}@b^*}(Cs^GD?B;jOUWc`=>Mw$#i^j<&`6ee=IZZsKF&AZ-?GrsyEJh2`CZxUu0*tMPgim*91L$6gI{em z8$!wKk@4>PlRL@JJvDc0(~05jCuVcK^869_*;IdcPdc=J>ek-!>cU7*A>VZ7Li@&^ zl}i_oZdn-b3>-c#Zyedyb1(ct`F#7lez5KFIT7s7yf72)>+hQkpWaAG z(wdIYa^K;J)ZKjQ(4#}}@6yHcmb%*=3jy_ z)jv7caQnpFxxH;S4sSg=cd5SZk(}%)=bPGAH3-OdiZ(A@pFr84Pw5WjveYDdqYpRZJE27TA=z8 zlWu*_srrtVE;T(lHZ;^RGk)Yu>r`uR&C6op0VKa?gUM`n`yI@~;rZ41mhO3s>p;OB z*G&UW+gBykGXdOf>%5x_oqQx|TyAZ5#-+J6Nb@<^Q-7yp@nEzix&iaHT%WrM+`nRT zwB>k5y5|05{mhMqiB8Oyg`Sb;0`)v!V7Kq~`9kvvwEvYo&bYOwYpMOA!nPB4bGt?m zUbsDCS0J!i^?NcmaPi6YBcT9-59Vckf9=LZ>v$IUj9$BxtKV50k3QGJ{v|di(*2!< zfv55Fbz6Jy-XeSbMtgf3f}z^xI>tdX9&O#VwI_Ev)OLPovZItZ&^kWF{gNI@i@Led zCx{Onx&BePI=BP%own-(PO~*VOQ-KV+1D`9v3Tj?$r}sJz0u8yx|GwtgCbhUJl(xo z*xs8veeBS_!UXyiel4GM#_bT}i*R!B(3Zl@Zs;F&_0!>nfv24}8n$=e9ogIV=+Ji5 zpKNWi>ltko!n2Y2U^q7g@NI=M}y;t4^8D&iW98A$<;rl@H@4< z_es;j&feMn#=%Hmu>W~2&ZHM7Pu%UF8eE-s%MY2#2U|`~jqhy>L;r|fUK^^-SM zdS~bQ$p2=pU-SpzKg}PifA8UKh(C$Cqm^+^M+nDFb(yZa{TDagJlxXxB5-tk&YfQk zaAubi&ZJvDcph=8cFD!(NPy;L=u9*Ejc`bQ_Zt1);rPAN z?YHC3yjSt-?xkL8*K=-p+F$;piRQ=0UTr&oK$%t!AOKyS>@1$XNCsM61Ctd4dlBg7 zE?s;QYF87gyk!FnMVvsEi7$Hs*;Y)5=A#eCQ%{q#(ls=od*{RG&zZ5|LjZhlDRc43xy9Q_1S0l-zbikohWxRHJ3XaK zPvdh(o`at^Tt7vG0`;XE^#H{Az1wdt-0UpnUf2WxcII-9d`4Sv!z5o?9p0W=$kgA) zLeMjXz@vc56Y((jH%$Z{??R?`)A_~Qsrl2XCtK~a0(@$P*xH{8;_ zbpD9lzP;r+JMbKH13*2E_w3^Kp6T%yws$W!cQ5rfK4}`>e)4WUbdm$_t6YD%eSUv; zZ>hVJyt@#Z*;20pQ)S_5T2KDc#Z8ZfDX=%#^0bf``-APnADV!(?gUKsQQ(<;p^S|Q zHUdwlv0>@FOXE)y`HI^gLgBw}9vcqY80_BP@LUgYKjdr(9v>7^Er{*d$eg{t{n4S@ z*tnzySBGwQQouOA=mwNV3K(*-n>PkLz7!A_-GC>QU)f`a5Li!?X#>$tI63Y1OSXo_ zJs$43)iG7zg`WbOy+9){i?AEm?r>nbXSWCQDq9ImH3+P}yJ{6k1@u zGqbuljtyAC*?`c(qnB^TM!gFg-UBUJcLU%C?$F7|<;k8Elpoj1>nnjfbh5c{8w={@ z!$~)ex%MmlyZMw|PW8L(FEq;Y?Jc$O_&#?-)9SYW(cI{!{lHVm*|;Dsy9>k3lMDUB zZIQnI{(5)(mpu!bS}^)_A6M*Hp}n1H7=P z1$k(aHfmHJcgs00b2;MB<-Jel5FbJvu6@n_WNEyqjDth}R(D>x{=>iW*!@FPzTGYN z_&@#N;`R%`U+AQ}Zt3_eQCy+=U+&hwtl_tGKD0ed2a&k5p`ke7_Wx9_Z_^{%aK;<# z4G*mcdil90-Bkaess85P(xp%vt%sp2ZvAO-r?ZR$$4K&$yM8nzfP?Xl{22Jl)qGmF zMv{Y7^0Sj9uk-63oUhw)qLgig{E#MZ^QXaI*7%9Zjgk1y-qh)fQtaB+le;v91J~Ag zI6QqYzi-!$Xv5}HGa@N!Bee+}P-`Rcxs+%T{WZbO19R9gK0eUWdc1XNXXsG`X>$4(!M z*Gkwqu=l~WfoqN(mb2|7#d8}|tpo6D>iOD8Vn=!z4URS-wKf%qMK|xco@fk&4_vRg zaAab8pl(mw`6Ck@XM#Hh&f|o7Hyns%vv-o%aBSP0?K?R&Hu~gPbU=j8A8T8k9}!{o zH<1X`4IJujm?XW#&4nGum0n;rHSDq+{1JWQC+7I16A#8qu|(y1M zN+;0XmHyE~Thc*w(!9{#a$+`JJJ3oe*uurZ^X(HNjtv>@uF)L$3wq?Ve z&e=&UoX9M+D*c=4P=&PUTm>Ou@^hn<7T3Miz@~y7c;1JrW+Cv*f z^7E)_S8&A4PuI4Po$1mjHhkNtf7M2FAropf^8>M@XX83?sC!8Dr%apqH5;?EL5&Sw zz=`!fjT8BMde`6<5i;__dqjvfy7u_Vq{4&J?<}Nik>A0%PfoSkl^{gHF}8cKD<2HF*}KND7&=4jpI7RcGU*?Cejqdj42&$;@oM;{G5JvetH?Y#yL zrRo>8fxk3&#FjtYa$*Yh!h6yYS05+-g_~W4U^<}=43x3L2J@bhW4-G`J9?LLZatN2 z)U%N`aD$V*%cpU&#|C*ecM+L{bd1`!w}5$`Ym(>fjd^4b`RVXgKZyoczl}Dwz+2)5 zGO4Axz9;C{u9Ztq5AMT;q!b)6^V7BCYP{&gT@Sy5N!1QIxnmrTwg&gO?K;fk#r+nD zjr3ETxTxY%IUk~f6Kz=0pF%3Uv`?U2!`R^7!NH0)s#|;DUv%zBrbET4d5(K3egsF% z{B$kwL>Y$RnnxT@WEMCnpT4*~Qn-1tG&Xt&;}Na&3&$TiXvkLhr^~HMw9mooc;nI4 zhOH;2F57smTnA#yY1OXn7urRwY6muqh&KZ&LpN|yZoz>Ana9ox^%G*_7-i&|J4^jI zuuyzhNVUNqg(oN8H4WdUaXQKeN6K7mLq8l={i@;xt^+M9uBv#315F~Zg|3@BA0n=k zejVfS#MJoHOLM>tq8sAtWODIRd+v1Oq2{{n=r7cN#Ku2maOmKr z+H+RvmB$(n-5A<>boTtH@*DUjKf7|5ns7j$NUiYvQT=oM^6lRHmwT0*>Yqb9d&*-w z?fzlhl~<@f#@(^Y8Rbt}`EzGeGcxCQ$9m<6_qt{yuS*B-Elzgbn+!3&AM|c-UL^dn zU7lxbm**qmls{fBVEs85#lvB>HKCcNt_#a)v2nbS;~?VY`O9~Z8BRSR{9&C?>l0<* z)H*S;7a3VSp@6tdiG_6SV>K@QI}zVAJr5^$9@^I~fZwgiSRwOQdg0K$#fi=m*3-mr zWgQlAg)_C@p?&>bB+v6ZG|qC|AFaUyj8k>Moe+}o$(gJ@$Rs=K8S^R8frF@s8~MQ5 zCbYMyZfj@h^xjPr->}}%`Ykpa`P+coJxY$&uN#QZbzBdx=dc%L93wG;8*miQ)d3j% zp*xg;SN!b4PWxayAhuB4m>=(%!aCh^7g^ur{xN!ajWTGc}XU2L@ zx*2@T5AQyrH?V^bX944mL_;Fb z>b19!dAhNg_66EL2m2AKXC%o97?l_ZY#C~MG(1^p-v-F>!+{k2&isj<()p$*=WtNGKN*TPD*SJKvac|X{!(!_5qOU4(c+90 zyOm#BMtWx=u$kpn#*k5OPltG)bEgX#c;*R&35{myaTZs&dKN40L8;(m3`0h#M< z&El*YAIGnU*tfR^yI79*gFO%Pp=BFiYQMPjU{v#m*Cp2;(O2j$(Ckk_zXBel5lE2yeieM|ZW9~lu z4bglm-DuyA`9kw*9Q$u1c01B>itn>W?sTsr&LYmI77@3Rz)b}9sP($%;jM`o>_DJ+R}HiqDU7Pj@%dKET=kP1^eed;eF+ z@SUjEU~9{@`mM)Ta(#h~X|;dQ=Gh&w!N0y^pI+4Gt%?uWrz=0~JE+*l;SK|>V?Fjd zM*IEoWu?dGgw|m4vD$Az|6%)h*GjO0!fg)goL`$D_D*dNe&NAKt0%^|GI z{k?*lgqjAKO}<+_;Q-ah?x1 z?Cebjk0)1;?8F_#`gu;kh*(OOj{;Bf>`_+u~r|(5tk2r2P*Jx+LNeBX`Y>(Ex7PbHOURU~!@O5|Kh4PKVPQMYJ z8j*jZ`JnK0a^@Crh#P?`bnd{p^^wYVO?DRYSYM~P-GqB}UZiu*Zf-B>om<%6L+2Xo z+jv~m{tr8X! z(j=XeZi|hKXIl5PdedC*p_Xo(W3a!X@kz73b3;b=Z}7IlKb@Bx{|cF5iW_P_vCRFr z@xl=012~=}xZd6zoqr|zWx&BRoqwC@4!4cx$~EwecsKWSV-NC$lu%aZ-XP9rc3xm_ z`vh(vB5zSQAR0XO>HL3+{m(USB7N*n^f--q;Kpe>f3*e&Jo3w!->QB4xc^H7$}gIy zj8}Vpk=;#p{6B|01D@%L^15-cZ6D=5>~p0vzic~|{ZY)FN79}jYM;~U_&?`9;e-8z zn#af5w{^~5!V_i-Ef{CJo%X&XN&6>T&fa&_lzD%`?X%@l)pC;M?Da{VXNG9Mw#@O7 z_DwA`Pb%|5?T@Bk>BbX<+jE$Aw2$U~bIvKS^O)_}`8LKA$5X~T?4-TdPW)H<9OU&l z{_nog-qM{PL!OOs#{2l)p{%~{j^f7r$UT;I2_P39pO5q%o zUATRMo&-XEEcs%tHt)R___43Ok3V!<#M&>`oVz^Pa`%>#9}1mBTvPcWI=AE8P5Yz+ z*eC6}wlFSa80$Q4lq91~+bMr~=2oN9KYOWOCZ|TabFH+_j&{yC`2e2ZT{Ab7U6l_| z`M>Pm?cGPlkE;CogB{0lu4p6s@jb&ebR!1#FhBct7tT-h^@Kyg+DPkAZJ@uur}joo z9qvB_8YpgW#tj}kFMD8nC-iG-_M~eZe>kqA98Usf)7N^J$**kS`Es@oH=eQYRr?6e zuTs91^G?n+#)I=zz2z+VeU0TQpNag&mN?>zTKD-Hd7#df;3>7PAwLOxVST$q>#W*W zQoKsyJf`La?F*^>Q%;_0v)cFC^`to;&E;sn+TX;<9_9<@dsKdf@@%cDKG=~>trfWg zt#+QP@Zi`{febwK~QQoZo(Q zZmtREdcJY)wx7;Fe8bnRZ}=+Y)Dw=H9G{ygFXidKo0apFdaywo7s^*}N!R)wP@!`k z_7VR6Q|Hy|^n~frLNMoRANT9SNj$kmc?~r_H@gLUwUxK38fR zEEFEBEQPJTu)n@&*xE0w5X+-0wRcyv6(3H|Ke#tjL`NMIR>ZcxQeUM_XHVv9Q>d3|mL>{)`g66{G!1F*lQ&ZA%x6h1GPa zRGC!hOHjFfI}03Ba7LE{Nh}H0q^s8RBl_yP8SPp@Sc9|w)L}){-^@_@ zCIpyff#4%@rQUuE$kmml`CK;)hVn3+>8BFMNdhvJtY-6NDyf}bn4!O+Vy?8LL}v<% zxy(|wSk7nXi4j)}M?R#kz>eT$CzcXN zSBsb!=#u%FQaM9!D#- zVPU15otn?J4=m2)9_41na?7Z@``IL2uW9n0Ag}%P-pdn!XOzFTFSCovn+i8i4TYOt zF8d8xkA;dXabu*&9RXz0#p0u~x5qNM#Wj1n;&Yr-XR_t2lHNd9%8Ghh4H;0j=VxYD zXEJ&3C6&7BRM`X@Sb?7OtfR>6BIfg!Tt`!O# zHLiLkJCC|-l&GpP5y=gOR&P@_W)~l4T&&u?RjJL!Si)PIvhH?*x(urdN@WbW8kCu0 z7QqondpJL%+;@gX^?`Df=7D=P1Gm)`Do2NB7jiSJ>bjn;7Yhry;#|%ZAaS6bx>yO& zwbR3J7Z&m}xg28SblFu}SuEl8KI)pM(~F8$P2r9tdkRM^YImYNDgD~oeEgo&u?O%53`McZS-7Z0=ZdGs$$9_~(Rx;}ZlT&g3ktT^*T zMI^>lgaFNC6Ak!uA&cc~8u2?blP^(Jz(DHJ2JVF%JN__)G7k%32&`DCTqx%3N*de? zxJ<=5hZVR!8x4?<`BDZ=o?Fb#kV3?6%Mwi6ouejIy}D2sWB{^Yk?^@emCY84({O}P zih32xMT}#uoCoUAXjRMrq#3SXlR6t$(;#xAc%@uSD&G{@K_qv%15d6^2Q2$uveBq& zt4t1V3U@OjSC#WP$qLONSC`6bbXicRh8yHVvIZ zXOe_fVd+I|(3I550%mVsU18Q0h`U%NORd`v*mZ$G2KQU?5I)zzGuH_cd4-sWe%>k$Dv4c=RX;ej8 zTM5=uF)Kg}QOD32vx_!b*^)Lll#&~)*$ANDp(?UR6%DTxBpJF&kDR5|$nKX_*Lfa9 zr(CJjD;0%h*1aUIP+AR&=fn_dC)?p()13d(NuIrT`Q;lM}$)4?f^L)p4;5FEW;eVQ>jB(f(MJP zxtyKWVhaz7>OtxmgeqP;yh%m}B0ur@#p-uysd)OaqB_XvD@; z@^s1;i`mC8b?sSqntbq3oA#7%-|62w(>_$MCgnJgq@A(axM0aeGx`^15WG9iVE6P~ZqRHD8#1fNeDPl`5dnQnbCgObxdkehjLr zn9d7pmC>f6*<)74jKb`!YEy%!hyut)564+V5*{w0&Tm$EvVzw_&HGnW3{onZbeKv*>I6oOcwExanb>9CVNBm#6My+j!!C#v!yt zu41o$qfo?h(NKJ7pSKs(ODu)8WpLhoMvo${5ZkO|PsJALJysysr~vIq5j*9Hd9WYQP<0Gg|4Ys_Zc95{-oU%AK(r>_oN zM>$wynJc4LsRPfYuMJ*BBv-h@j}Oj{jj6stTo4xxeDrRWEJ9NiuhYPTH&t?71|Cv0 zRdU^!fVz)ohtqw7XW^xR3m*+!cxm9mPXia88o2P)z=gL4F8uYhVJJOziB?R#4aD>J zC-MCKNj!gl63^eC#Pjzj@%;TsJos0CroVqE)0ZB;l<6C|I)>$e8H#RTdi?6y%#DFF zl&WHm^55pLfwTSnj!0Z7@z4Nf>gt@X!8UlFaGh*p_!>I`C)md5+4BRJhaH#3(q~31 zw3^OrNcmwqUw39Go$0%F&It-Jz8=dAVA4wGf>2L&DA}3~C{&cP?G4QD&<7f#yKK={LUO7OKXh zjP-1kD`^eoY>NAWl`Du>qBi20R)~)coVx~m+jw>^Y&<)c3eSmwVc^*t%d2=4cl>e` zcl^9g1CQd4UykCApGR@W&!af5^V~RI&80YA&80YA&4r%^E<81GDUMfLpg3O5r8us0 zDRxpE_w)SyNpk-FB%Z%NiRbT6;`#fNc>ew*9{D$TIejK`^>XIg*xAgL!E0miB|JEG zErlqD%>XDDH_nBP8|PBtrk<_{qr-h<5or-GqY~wbJ%&vYFBIzPsup<@!RWTJBI^g{jUS#0{QV#eEBr6{>=&!M zSlfK+bZ2jLW$`L{sx2&j9#|i`eCFEV**3aIvW_fj`IPv@sQ3l(OTZPK!#MjC5x;`< z0C&NVyvL0@>V}dUtXtY(^6*OWY4L01)@Kmp-IEvJ$ritXA)3pTdAx=7a(#&GzOOc^ z_o)vtQv8xQ_YTFd`Cmj6inG4;-$V26OdyjPg%&raXVVXEx%4@?!pF+akr1M#hE*H7Wj*Yi+q zc3ED3!{V<^vlo$B5(1DBE9RCn3lZ^mUY{Xgy926v_9KeNR05W620{Cuz1k?YwLw*D zBi`%%gG*Z)RJAm%R<^Ghm9d^qW^kg8h|hV=K+ZM;s@e<|54}=c+R~t^rLnBU)lC!1 zXk?0!K#f-&<+eJgYIWRF*DJ%NEe)z#`nXpbm$o#hjWnJ-rJTr%<#)qkAv3dzR7Hk! zws5ck>xvFKNJVTwfi#!_&O8dZb`(Gw6o7;cxRK+gGm%`41h)9hK*})#(qIOV@YIWI zM**Zk0jP))0G1wuD1bC502>|!TssOz*rb30U4gD`1tP0!NcjLl*N%b_ASsNurv?`a zRAi{Z2ZfXi1tJX!7+_Bg?%1b{W1sSkJzYC(Fpho7H}-VxC>X~+h2iwL5I8QS0* z>6AOtL>d%)Bb{uy0{;EvCLi-b-i5;}cIplhd%MkI9lkU-atf)NRwJ|xh!qhLfrrw<8q?I;+L(CI@0 zT{{X!By{?aK-Z3f5eY|qNT6$5fynA{IqE|KT{{X!Bpk)?>hPy)N5MGqM=_lB&T@`r z9QRiufu}wr?&y=a!A;-jA9Y8cNP_}~SVidR!omU`QUc<>+*hZIhoeS39QEOWuAP<| z@o?0K2fB6?jCeSTL2sPF!#Cyml?>k}zlXx$5GCR1B^4;pC``6C$e>x_mgH zYui;K4GKP-bh$Vo(xBkONtcTgA`J={cpX?>E+&XHDEKhZ?g3dejSP1m+dL>d%)BYn&r zX(9~@zL7rWjx>=51>Z;?b4Qv;gMx3QkGUgFq(Q+q(#PD9Ceonb8|h>2NE2yLz;HG? zQH|~f+h>%(&v^&*n2P`+4TgLOIOZaNNP~h80mobf5LvCz?Lz=v+Xx`ipx{G5w~GKG z4GKO4bh`*3(xBi&K(~tkA`J>Y1a!LyAkv`VLqNBS03rd%)Bi-$eG?4}c-$-}6BTZzrLXU5x>DnG?A`J={&L}cSiy7RX&P4*B^){);9e5%Q z`o4khaR;7AgMx41d)$F1(xBiQ_#SuQi8Lr+bX%P@)jhdpmI|dZ9!9jEkc2O!wsOnBbLWR@erxX+A>8d5O0=R-}8iy9&g3V=pLCc>8Nb<;`FZuc=|Uvf?6cvbilnPOI1cC-o+;r+RbUUl_t577iQAwf(qIoz!b7$0 z)A0Do0a8lAT|PT5_>LRFciaa)x^^lyg6}vG)~G!jOrJExV>gkY@9a);jv*r`j{|vC zhVJDf!FFF0T(FXgp(_A2Pv5yHJZ?ncae&IB;Np#n3^n-hcHG4qkp>0e$Wwz0vExRF z9S3OW8EHI~tRDPvI`!o~J1)#fW0N7v1+@4LQK#bmNxdh}1-Yn`=mKpr1;FfZg23vO z;SuRb5YKve%|fSQ7PQGMVCB^TqoM=aqyq$bb-<|TfHvs>EM6TjDmtJ|I>3up2aJji zXp;^gBekcy5nyiltw5w=1+>WuaOABSjEW9ulMbNd)d8cT1KOkm*m!losOXq6l>|OK zI>c~vh&I%d#Nc~%G-ObrNym?jq=pQlO*(#LBsF9ZZPM{0BdH;SXp@c~8A%NpM4NOl z)ZRYOaF8_PAc>)FK$_ZgEUN)`@xaaz(KT0#}AIA1`eVPI+O+V_(lu| z97LOR{NSJ@X+_5j4$6gk>(RhLg{FE43r-Fki{m%=O=wu5)TzmYA1jnGt+;B&N~$Yj zz0F^h1{X@FnyT`{g|euXs?2bqWU04TG-ObrsUANvD1ln3$BYb0nR@HdkU@opdMIn^ z(IJLY578zaKQbtjTG25hgL0+bdNgEEp{X8>wpT}k0_9FkI({fn_O#-g848py_12@| zfC^3Z_;Elv)Ji>O98lKOTaShVDm2yO#{p$hEA^OhK)F+IJsJ+E&`=NMOg%cpaOxr2 zq~pf{rBo|AW*krw)mx8-11dDtM=us(xu*dG$>G^sUANRD1}<7#|#BZntJLXhNDBYp&rVcdUZ4?P@zc& zL+#bka6oxgla3z;luoVqX2t;}P`&kNIG{pPJ$@WeQngZ#83&X`_12@|fC^3Z_;Elf z)Ji>O931P3Sik14N`nF=RZUg-p+LFRN>yekQ1*1EbIL>#BUi+4Uie4kl{`}o9@6qO zo0J^8L>qjeB&%0Pg9{a!bo_9k#A~Ga9nE3l*B`@xz7Eua$btaG`{&w;l~H zRA{OPh;W{;SWr*@)L`JeRx|)niq>QW7*W4#nl0vXnduZ8I^{Q{p^8$sCPP(~YmlPs ztx3lZDN5Q_y2=bGO4E88NeoAaXhS`en)T{vaG^qzjvp?RuC3H#h6^QVz4d5tp+ZwV zez;K5wo;E7E|h=u)}z6N3QhH3{JlCFI4Bcq(!o%Bbu=7MGS;Ny#{s2XEA27kfU>II zdNdqRp`jkioO*PK;nYL4Nym=^N}E=6%s8N&ska^t2UKXP$BzTbmsaXAJMDFTi!MNw zs>z}cps5ZQpo%u>_yC&fZ~>}lla3FdsSX#QiZt39WEvnZPEeQVthMiA+xd+ zsd>BKhKtNphY^{n4&cjMuM0j^XsXu%pZE91_z5m2ArR0p7?(Cjsc zr{r;;s^$ZJgDw!2rolshsy3yiY87qL0f4pXu zt==#bsreDVRSlHpY;TGZx?8JVRq+kJMrwY-Z&L%UIUAg!gs%q(S~ge}n!3ynS}hx# zGG~KRl<@V|qk+_%4Ng&R*Q=v})SUQDQErzbKJNR}{Hou821;`lI7NwGHh|lDHNW9E zpn=ew5>8RpcdfBk!7)dE%%Hw4&^cIsy&0qQLXqYo+l~a^NR$FSalXVRJz2A@)JxRmVKtB*Ex9m(! zbDlXxX=QImYCxnyQ$4_)>u}L-`G5EgY3MX3pHq};b`7mE9BM7UAq}OZVe$w#^tM6+ zra2>>QWT1B!;5z18~lbe44PBdDauZJ zE7$O5PF<%cQ(eKWQ+Ko9js`bUFg1eU=5(Nqf?7Pf;Ppp?pgF~zqKtOM&XnC3cyz&Q zM}s9PnEavm==d|msI1-Rx1*uboC;4-<~#1#DduM)wTJvhG(?iL$r%8!Vq}VpRKY}p zs5x1lqI`Ir;|W?+T8h+CXKDBDm5ejT&H3{brOUlKU{rL>2vrXyJ5?8HO^wvP;5Vb; z)0|RIsYjJP?rQj?LPOV4)?6hjI37~po%7eMq0^jXPfZVkQW`vNJt4Nz&qDt@p#6{&rN-;4%ck~dWiT)Jj>7QfnWM#HZ8 z?mFo#&rREz7DY}2)1_Kq1zs7GxLnw(GYF4)x zoCe@mx+)lagU^n3+aYDX?T}Kp9b7vc4BqIsqaoCMD&~Hd{ZPv_dwKX&j0=~^{zE# z^^<<98jMNZ)IfmJ+dvJm=G!JIx=Z5K(XdH{rh0%iqxb4p{L1@J`>jI7StralR#J4Q z#OsNMO7m@$6x|f@>Sz!&-!VziO_9p;(&|;+%H!YnThc&izK@ck+a}7=(t`T^dZt`_ zgf$z#x%c{{1y)iq^r-*tN=m!CqG*#2VCJcZ?x;9@Y`(jaqMIpR9Sv1fXsXALD!QXm zsRy)4$B!!Q?n;VilMW!_^-aT!`R+=JZlI`KgfjvQc+W7u-8WK;ALV=PX?QZS)NJLQ`A);fwCJRQlA65xTjeUUBHOl~aD9n6G;6hD^$QLncM{ zUpy{rH)K?y!DasqnG`V`m(4e1Qgr{tGeMAje;f&I_Sdcfid0Os`vFC_W-7It0Yx`o zysgv#Wxg|$qFXLr9St<*`!OlHtK!wsKx4k;lA;?YUL6fI=DR8>x=-TO(LiIqiPA|o zQTW%UsZ3GbWDfaG;Y!5rNkv!peka{M(Q8(WiVg}*I=)cTsoft@v`Ghp@2$s0Mkn1X zG3oe_(W%`ZQFKi)w=^%T=k^bH-|Kq7dx@7UPT{{S-j_oPcsk~t0ePQ1$ zYS)bR?eMnGc{z~nhYSsbE>eSV*xx{nm?}@vi%~Q8vZZ@?ykx((`>J{~u!NW3Cj5%1 z_Mo@gbM~E80y49z5Uk@LpAQK#1z0ckl*bLi52fh^{!kURSJZUWV?5vg9f-+gN;spy^VREsH&%XB5Ab88hL9mk?R&z0H*`aYX3N zfcyeKCe{1e)jR55niVqjEr3d(Yk9vW+uzJ^p zN@Zk~pH;xAAzO$dlxIM%(iIH^Uhb<`0*CRoDBa1~5yul0O8kO5gzMqMQ07}0g!RQ=AXD!2HPl+^149|TB0E5HB1CPcZU~p?C$zbl zN{4W>F~--{7-NIk^{VuBf((5}=*={MND^UUxN51c(EH<7DD(j-n#7i<6$pJFFuwo5fD|p(%jx<Tq z?LFvHY!%x`0ePj(jzZh~i;wZfm8F$(jy~E2!`Ef#qoE&;K@Ja1z%YUiK9^=P%q4Aj zYvFdWgAD(;GHkPT!!q=7Hu;mlCcj`E52I9h%I{W}VyAeH%>4}g+?7AQ<~8=SZ0zTR zwHHloqaa3q=~e%DrZ>~3UMB3kp+3`=!y=p5?|Cczi38aF-173ifcr_Z-fDF1s{I0c z_Nr$80Qy_Jj0f^pq-bU8(^BkW>N9FgCZyOc+Ne){Q;I!Y^4n7EW$JgO*vHiGOR=A+ zKa}DCQ-3VQ^Q`-)QXFL7pG$Fwd4DOzVdnj{6i1l)TPfO^`gfv}5G^oZkVO*kk;FH^NroM0*}#Yv{3 zQk-HcF2xH>)k$%hsRk)t&QwB*w5ln*QHnmMHcQdZ)D|huFx4W(S*Es0agM1SQk-Y% zIVmnM)hhALJLTVQi8stEYL66`nA#`BAX5jV7-H(66vIp%mf|u~?NVG}Dk;SXx4%=0 zQKq`27-OniimOZ=m*N^zm{Ql-ms3*QVBTpdZZegYVw|adDJGaYE5$9Q&P#EdDTIhg zrY=cwhp8bcGHm&>6nB|7B1M*|F?=?dscTY9Gj&6X8K%ai$T4+Gidm*6rI=$XBgH+Y zvQp%knwH`|Q#mOfFf}K|JX3io7MOY<#UgvPAVqiOsz;!Vro^2 zGE z-yy~SVcuJ$cnwo;lj19xdWRHW#ng96@zt#HPAR^Isdr29wM>1F6ko^G`=od+Qy-Ax z>zVpKDZYWJ4@&VmrhY(*Z)D3Kmg4nH{g4!IVCsjZcq3CkD#bT3^nffCs-o@0PNbzo_{!EJZF!dKwd=HPu zUrF&^=KYNn@8f#^PKx(4?;oW208{@Y#rHDxFH(FTQ~xH#_cQf>rT8FI{~^VPnEFpC zet@a}lHvyy6%kT=n5m!?A7QE%Z=j_GL%rnJUCGpkMED~kyke{?GMOqR)t55`9MelISzymqectza;vM z_$5)hUrphQKSShvqli28WhR_c(v`kQ!6K$b+V@4Hmk@NnnZtr>I#>Dr5zCpDU+uF< zEMy9<{;wsygn#bmWnRL+%2#Jz!ax4X1`Dm(!<5#3DUr%vWz9iwzuUyNOsg(`qRIZ8 z6N{OaE$2&417}#qw2N|N=u00gWgH~;n@}ut!~nB3J{83Zre@e*JxZxBNU@k{@NKcm zhoxB3ggIT(*+9IMoU?;41uoqlkBds_yo8hLqgCvrDReqUwQpIyblaUzT(RUjzN)FI zzW>CcrVXR*ffD7Z@(i8epYtZb*@kJ>tBzV{(0RROu>%G$*`~CQZLzFr-q^C-6ldH` zV7CR;r@7eNIzy>q1AVdUB`YRL`j8h3n&yvPF@4kOCF`wx_Uk3hxtrT{dQkgF*h^MT zp9IsevkrPm(hHq+#+rm3gsn3j?GKQ#mu5Ly$Gq#Ed6*qJ2Mp%^aiqKrF~xWF$tHIN zH4ib&>8M^)$OeO&A!azk68q#|Zz^oy>u z`Sgpfb9mB4U-Ix&ExgX*saklQ!&9}e-M_}*rD1`6Ugz*sZF!x;Q?>9qho@@cbq-Iu zFr5e1IXwAApAo-g^%?O?qR)t55`9MelISzymqectza+X&zgB%1kmJHm!(Iem@uTkr zvVbY1(P#en(|Igo3Tcka`XSAcq9s!;5T>|KLKOD-TZAlU+UNP?v>mKz2_R|v%Y|&x zv^FUr_k)HkXbQVk-#KJaQ}4N-KV%uxPQvq5L>4op{G5*^u5YOF4aN0+(wD=vDsz{* zwY+veyr{<06qXF%XJpSzgYW%pBg>gq;>yI=&x|FjVT$N|ebDMI#@K`~V*H@P5N_G(UY0-3RRt)6=cyLS^8GqLGh8K8lBN zSmsJGH`ZnE-wdD!Br9WR0HdiE?kW2TOBwl{$nW9_n8@!n zgd+F>%r2v29+eG|KMbNX!}O29yy3#JTrr=W&%a0w4oC13h+XbiAnnhS4rUjoX0k)M zau$=XTqr&cNB$fS?cu9J8c$eXuDjs*DCz|~UOAsDwG9>u4_21+&!axe*Hrb-eHyyF z>Km$&zl{7|)yk3~Kg`7bo~IkQ87@7 zx$7?=rp81=(J%sU6mP(Q)(!cqKAXEWQgooHLgP8SPpDb+5=_v^W7_d#0ILwUkF z;bIZezK1AZcqwf|KuNg)<&8xT*TiH1fnnRi}Vlgt~C)*a?ul2(SPp>_JAQsZ5gy&|nCrbeYT&D2$C%`kOc zS~;d}N^6#>32DtSbz55Zn7ZQ~XjO@{^45K7R90FK*x6}m%`-12tp!${lhz`a=A~6& z-UDeZvD|{RmYFI@tH^T8(kiiBNm^y5R;0DUrK{3<$h^nWT4m}L(t5;`OBev(D6KDJ>J8HRa<2EAr1ceC$D5?}f4E=1Ra&oM>f5FDl}x=^T3^M~Tc!2Y ztnqefeGOarPHBBD^S)bJU&nIqlGbaP_a13|JyY+M);BQqerdgqsqdB6H!}78(t15p zAClG^nEF9!y^-yGL|Wg(ypKxjo0<0`(s~n9ACuO%F!kfo`c|esF0F54>J!rXcBXz> zTHnD|J}Ip?GwNlnJ z-Aw(qwBE_o?@H@kO#QyJ-p$k>O6xsL{js#Zhp9i6)_a-yb7{SgslSxg`6)IUq>gG~Lav_8btXQlN6O#QpGevn7&bJF@S^FA-Fk0>f; zN$ZDHAH)LE`Y2O1()wYhLelyXmWxR1N12LA>tkFhrS)S>)l2Kgnc5(&pHQ{M8m0Ad zrZ!3ICz)!J)+bo5Sz15E)K+QzG*jE9^)pQEl-4JidYQC-mZ@FR`Z=cBr1kSm?UmLq zFtuM=pJM8HY5gL5dPrKo#PuGL)-N-!Lt4MWaw%#3DpNFm+B^zspuGNbC2QcTrlu&(xr_{(z}r zY5gI~U6IxwF*Pc!KjzY_()tsou1o7rx%8&A{*0*!Y5h4*4^$J*K29B}`?EMK$G#Rv*NPJrKJDOR zuZ_mOE{15m*HNqNJWGX@;&d**I9q5RQ{-TNF&B=#E@DMd-O2o7zKr)sZP9nJ_Av;@ z(d#nyhS(cpv2Toh6CJ7-mge)*`SSc@8GBRYw{V({eakadIe^;3v2Vj#?zngM5u57A zFDdf#xyws*)-9op-+|u&RNA&J_8N+)FO z?Xh>nU<=uqCdWOmU^w>OINr|`GDs-QKNi-A_6y*(`Jw6gnfhrdB20Z!iYU+P zpOYfSykC$a&h~y$3dynPm!+s<>Q|+x=h9!3qJgPjmtq4`_%TX?Df}3vku~sRl#NW` z$0(b)6hB7U%+w##FLB(%0RKQzhQ25CUL22Nf96d%j^ZbJ#TkU9Q;~O2@n6zjR{wDm ze#JvG`mbYFDu!glM)w?c^P!_iFcoB~t4bQH z^84Gc$~n>RrE2nv^he+|Vq5Jow-b24NMSWyDix;b2U4GkeKu-!$B<9h;M7z})y4h; z&8}4Gu$9t(Mq{6geO_35kn^jOm_=4&V6l9)Fqo%gz&tu?SKNxlJ{JdS#5EZYMt8-m z);JFOk|rT?DeC{8ndoir7icENLvbATKNZJeKj!v>jK@@zjmMECZ9@inrPMZu_b9@7 zH*=__k~@x02BM1NOj75B9j}Ym$E@yn17J`+#>geC`73ogqOLi_P^2NopSUw_kPpXk z5>M!3;-psMTVnC1cr)GuRB-}dTI8S7=gSZ2t=$Cz%mLuo{1OChpjDJay0wkpn{d$W z1o{>u5~}S3iw_IaSz2taW{XJJo_#c(Q)^E+PH)O|T;|MuIQ|@`b=69Ne!@g_P1?&x zyfwZn7JpfMw-i4lK8jfv-vi9LVmu(>_&zLEoE%g?*Y^vYFvis?DH%Tye?BJuCVtTN zEW1+5@h<~i*~(l#A>)VRM`H1vaby`}rE!S2;W+wq6dC8rB>7(>nIm-UUGdIXJQc^D z_Hr~y-GM#r7|p9d9B(F3i(7VKNydBC+7rhd9A&vvNT;yeY2^Fu`8Sh)h&d?ZX`UZ_ zQv5A<@)^unG~uu|ATK$_NNm(DuVm*b{s5qg#@{uOcQ4wCDQ6ju z7ML+{B4a^F#dLITdGC`+xo%$gIqX{^H#Ws_stYbIT` zcg5c+47ZQ27PBNpo72mS)6jgkuzK|K>NPsG2k(W;4mKju5`JFiS*q21%$IC7es!WpHi5*hzc{0HLk_r!m29SC4`p{a4d z4T+#}hVVy`xo4Q+{`Q*Kfg-~U0Sz!i5L-_aFk2H?|9@_zZG88}af|&^@lRuU zLd;xQ%oZQhX?k%^#y^9=iYWK%RUTuy);wyXk8#kSszr+4?!TonH)&x{b-BIvyWDHI z@g4vDMk`Fc_M6;me+1SaH(C)xuhGceZ^Itx%easJqRJI9gvx`-wmt3Ei(|l;XvR(Kej= z3ybO*p%Hg6RNMRi_1^NjjDJ4
    n`H1Sud+GW7sRrE@KF9hk`{Ebc!Q+cv;`T6;_ zung(1#BmGgJlOx~x# zeLz!#6wxYQc~#5lb?zj}EvFAq_%y0oPA^<14Q@GoHNr)F9-m&y(0i@$(jMApR}MFL zEC~Y*PJIP^#8N}t8I>uCN%v+(5)LMBVG7Ci*C|9xB-`J>)JX~>5Plb5;!N_Q3vabm zt5o0xyZ7pUy(?7aWb9os9fS9MYjy&U9nE6&8O@2Um5`-6i?&*Lw_9PQO#QWXp>4_Tn^2U*+<<$ilC z193L;3MgVqDkIfXbFr|HLwUR-;xzCe);^WuXsxbUH>mS7~^*-@Z?zgoK=pzYK4l!Q=rWFck;io@UlZ?J*Kn3{YQ^Xihf&Hhd2k0GjR9@8) z^c4XrKpW^!RVUEL0a_}USA%OWP_&-o?lBL-@8E7Z2cF-?ObGEQ@(9v*F?_dGL3>kaz_f&`+s(4cW>@C zce^{+xI+;Y6)8$b6hY}AAkq}2!y!nof)$Y_3Mztt3Mw{4QA9ieMG+KxSM0rFN9>LN zlRVk%W;Z+JX5agL{;%(mCz*VoeCNqCnVHO!EW1^t9EbBxT#jt!t5tJ3%ang7vb+l@ zt#e2|W4RVt-UCJVI;23u5x# z-r|r5qq7O=JOV|JIwZ>IM5XEZ7drNX1i%O4MuKRgC(^5cV=z|2R=^=u2Y7bkO<1T+ znCWTc^$d`B)*&&*YZvl*0g7I9h@;~LHUM5Q6!%0we~tP(q1V1a{asPoL;c-RdYk%B zN9kSWsX!Gy6$C$L^<86q|I6F0z+{ZdWpDZu%s zGHjV(_%|~A2k89kkYdL0ch0bYL_!4+pHre?$lhFmVY(Zzmsmyv-G%+OO)48OVG5hZ zjU=0bJkF*d3{6vqlmeTA5VDCtQPd$dbZnrtlxEngFynz&5&ONH)CzFRp3c3hpaw(& zqf}1)y}1V!90IY@SXK)>giR=M4`D*8B5=_fbfq767 z2!1w2i#ZVd?1xb?mu|Jj@MO3V8)-uQ!%-oeo1gs(DuO^rWd_~DY=GA+`@Nmi3h;>X z0BUWWeh@l}p@n;;dwvsmX555mh`L?P?==M-sJ{Z)bziRby-H1@@CU zOsj?~JltwX!NjgqiDeecnD^){Or}3 zGhl+By&+=`gvdE^ijlv> zf#7G;444DK&t@Aim+mZ0n^FHY$Y&e%FGuN7>R*Wxe0KG-pJzol2!1w+fH@HSYz~0u zn2_3?&8h!JRP!_}BtUO?7DDV%dLBaT@!Vd75POv1yVhway$XT#D8Y`t>v%{&!JE)2 z$su#eu|Ht;z7zRsV+f!+s^Be%`YCuDW@OKV{!R)Pm2-5X#!Q(qZQSt!QSffTdwHZz z!TWGF@vxGlg{gW}VnSQ=@P`E-L9}GS#}JW^r}!yE>ElU!4w3s<_9ZOE!XEyb`q!X& zzM=kGQTh&+XW`KwV0jiwKT-efc=Tsjo`q$Z8e?6AL2gxzm+CQ*9 z3mN>!dMkbzGr`YhW3cZ)@Utlx%rWO;GclNB&c&u*FvpyW&ADI>gw#HVcis)0L?j<} zZx6i#-$x@6SQ^IZL}IW6g_DgG!V(meieb4JN~J7jU0!wEs4|x^T%6Cr!snn!8N^LS z%3*0CKi>%4wI`qiYgZ;=^R=nH&c2&bIs(?CVWV|m6&fBr8djkpqAsjL!=v?J?FC8= z*jp$2ULHA)`ZwY!!i@HVD8c=y5+%5vwxYx?JvK3gwgSP=W}`62t|T@Eg*kR5v6(2I zV}hSeKVc4pR2#RX{ztKi_Hg1n5HQk_`X9$Km;=~}Qdg!Z-pNexvso)t%Q~68gkuhb z)J9IA{-;n)Z-^I1yYz*%q5M*ez@76MJbD&W6!#A%_}Nq@s@3=h+?%GMLE-M1(k8pr zq!vNEVJ;5|h``H!>Opvu%RyzM(htIX(M5O=?x?9qcm|k>=L9eKsR!X+KMM~+WLxS% zc>1~k4^Bxx2>0^~@!<5dgX{^fT)Fl1Ie*Nw5%G;Baw0R~)*3(Faa_gt3fM;>0*iIR zID6l7;sp4_7r6*N&xts3Uc&A{kxOzT7e_9In`57fX>5>;$2KP|Ku83BK^^$~8@Vhp z2bLa0E{8=ZX)#G^<($Yo_+V2pe$upAEJEsF%0c&n$ilqH{KyqP(k^j9i*t&vY``Th z@mwaR7@!}yGA}YKa#b|6ofa$(|H-c4t0SSvHEgd03qI`ebtrYlkLX`#>LlJ~rhu?G z_bF_}+k$Z~LK_LFm`WIPXvO#{tHx}ItMYlMlCKN>|7TpF#w>#tzR@4rQV1^+AGYp5a zqBF1vW*8zc!%&MA4aOpf=89}|$YJapO0kRMr`>KMY>m(lRyyTG9)xGEem%SPWnYdn zR08e~MJjV6n3&K9U>R7J(;<=W)Dvj7ElqC$Jve z0sNkb=E6)bMAjdy!xC18h?K~a4yhe^N?9}`epw1nAyHcwj0Osx&I3}Ny%2 zj-+4;A_7wohew{G{@3x~D>PDp2VaAOuRG)jc5n|K+(RRy@!;EV@EwO7$qv4Q2j8cW zvEiERTt9?^A4PMAI;0Le{yrZ6j7BEl@h{-`mkv3K9sCFn?xm5*c<@^|_?<(JW(PmT zgFn*9G(5Nu4*u+rW0*PoY_1cBUUs`-zwnGXcDrG-l$c|;8#XD&b4>8FxjD?S2Lm?! zg*g!XY!(c2?4H5qqA-_!&tQ*+@OTdYrVg5LGx>rOkJ>aEC`SO#3GvFy0 zIkgI)7zy~katV^qoF+VX;X;6DQyM)ENi+u%EgVuGNyMFj|4Oqu>BpW+c;j%oJWcNe z{wWnmz+H7Vk~k%uD1;{LLrb)$(Kbk;BarCikOoL1?t_}yGxo^C8;5S-X}Yo4;|sF{ z+`TX5xAthSG!iY~QS28a(T7HRVu$ww68#<0kdt83W8Bx6kYei=&1mT9&@*t!MhC(c z1K7x5AT)ygIG?)o3`ZOq9U2|R>JO*>-?811KGHRDyHKBF`5UtwD#f4`zw7gZsjn5j z-O*97_AGiXTzzax52>eL1MIPj83&$TW+3nJ>8A&;Wd9(^Ni;eZjWY#|Gu0u-p>g8Z zKAW~nH4Z#@9zgUgMkYQmXr}hqV8h73)6yJt7kD~JRd)il{HSgYjb4oIG8f3qbI9>X zCO+7(xw{lJ8@ITd#Co zu78e-ry9eh7qM%Fq1Y!wo~9d4GvCadC~4W8>ij9*&a*Lji{A}O+Cw+0)t^wAkCN&ert>9+)H?nvoy?#?_MUcho)N)XIlUk)Hy~UV;RgoD8em3!q zIS~Bp7v(XRZp&89sC5Xc`53CQ8Esyb2{jY#;wLeii*1e%LX(7bYKJw^P`=G~)8B5U_MSeW zFT4ik!~!r751%-*??jkKtT>l#l31dyhZ5x{(O4*!lNSrdY@4)&#mUnr#9{DtTeAiS zHd>5@W0WCaLTo*+o4}Wnc9<`;NqcB9ZYuuvIBw#(P1;wCfhhf034A7#j|}QT z_J$R!ksB+GmBD)vZ0HD5O`CK`dQankj&OqlO|_EW(-H@`mfE?o!(xZS2*yqvZKZuF z?>=VgGyv?59hnCJaMNR4DvQMsplITRoY>LOx1(V^rLnrc?Rl|dV#mVl#_PTsHW4*BC44beBq#?~|tS^@Ivq^VW(t^g$!jb_t>A^}`(%3*O8Dtaq z&?n>2&xGH(1@|2aGi35Y;AOn$hUdnH#bA1{L>`NO8Gx1c*tr$Cq-G2z2}{$euqOzt zGdh>lh>ZcyX4CjJV(_#-IB8!KKDbG=9L>^plQG=o1eo!QXnY6q4WzTao6F`d^C1> zY%bh&V)G)Q*!+X7)>pYY0 z32Z}}tgi^Rq%C$gv>#hnzEBkzi3$p;zj#FE-8#{f{T`Y!zqky zw#iT$?jJsrnG+(PVq2o&Gab?_w#FvIX?QRm*bWCCb4YVmI)a9WVChaM-Q|!LtaKy| z55>}_q4XJtv}C2QE_WD~!ZcwFrU_4ArK4zgIFf!9N?&tGD^?2YD@S1I9w>dwAt$oZ z(KI{~HM|FpoqRyxKeV{J0dCgW+i0zt4=G6riUTeHIxY%-CCMA*JZ@H848jiBG4^mm7}Wu?X?PqSgDpxO z$06<6F}VIOv&kH4VIZtiBQ;>HajW>H;`RFHuYlAkci2R$a|kqks6#r$uB71$Lu^&E zQyYp7cSy(ZW@=&VtpiUjYTR6t#1-otjhc=DSY3y7Vwx^TP4%IufkQg8xTB;uxRFCr zmhC4gJ06ua0%T){bYYi2d~wMLR>lseIf7dNxTQn7GVnZ`%%|ZO(bBEqz{w8j#xD~2 z`otIHCgRSVQ&7vPP`RB$PK#ZccJhf3FsCC{?gW)PJES{na)C`2+T;pqHRS`m(}P+q zP=Y(jB5Jk5OmAwnMyan&00v)cUZdeZ__qjW0O(^2%jAcet_S&26CeKKUo28S)HG%v zYM73bs58(RP^|hoZR->8rt?kiaiM z{6eUFg+ux={Go`y3W^pxq(8f4I-n)4Nj;NhjS`xcqNeKr24Bt2WSR~~O)H>ir9;k2 zXVNUmk~bp$CaApHAp;oxD8%0aMYlTSYz;oilD8xN4yb&mL(XCNV-bHh6s>p2Kn*_0 zk{b|zA5^~IA%hscA>ubd5o~%em{|g&Or1*jsd^sR;B2wU)$ly6yJ^O2XzHoDw-3by0o0VdAV)H(yJJWH*< zC_T?NQPMGi&ys1yohv3JZ6d)QM4cD&fX+)cxdwKNC!2p5pnVc%S*Qs)x{e`=Fuu;(m- zzp%-2n_N$=;RxAFtqPRBWt$Yh>-Gw2VGN-2BegJY&)G*U490WzQwsy=oL{MhL2u6Q zHd#q640Ch-q87%XIc$o7P4H3YKQ!$DYGD{zA?$Ovidu7c!-WBA%|j_x!We|;YC{BKGc=u@)|EZiC-gyaL*}(d(IGcjdbAG zNZ~0^bgDy!hT-Y!9(c2ey9zDr$b(Y~JBdOz%}oot@ZK+km&bdlwF!B2r`AI#^`zDo zlzLGMHxMg?Tgziu25%Nmpaf6gN2r{W7j!3RpM|vH22^;qLxwThU6A%5C>rdL;fywf z*VR_FjbxL*d|7uw*KpJ|0)QhOGJ@&qj=Ii;qR|c+$#mTZ`yOK_j-%F-$aVsyr_)~W zG*UPTYEG`oi$^1Nc^1M4nu@|{)Os5A&7gD?>YEArW;tY3;WV4vPp#+h_{Eft$K!B! zD1^Jix$O8xYQ2cZ=TbTokI#qW3mh_<9e;pY_(8l7&g@b=z8H=#amW~Ud=s_sn|R?; zN*ChsWpI2s?A*x6;WzQZm6TqK2XBCbH#%f213ySD{48F0Go?4<@mt~eT8E5dVEiUt zcn76-;X#OUD1<17@eI5f_H@RJ1$+iSkQd%ZE&Li@xRKKJh=Jj{5Qggsppe{yfJ$oN zx97qw)WT1}h1)3IfPhB;yF6nP5WrZ85(F?ljMA&g*HnCcATHL8J5*JlcySnyui`Cyz3>g@P;Uao zJr0@7p6e1l5ZMsxf?E!-`x@F!~FP6LHM+hm7Lp0LSIo9v== z7n=MJ!2juxY3xDlNlKr@(tn}!KZi_brB6}%6fZ6EM?yuGLuRnj-8OmJCeS<2+T=N# zKzQj6equ$GTK{qSMFlkALn#V7W#dr?VghsX!H`8ouxB=wl~B5yKF!J?QlO|TN}qPf z`QQTdS$?$_9SWtj95NFg3g`=1@o*?Q!XdL*t(UOYQBVXi02i=YuVSrZp{SliE@ZV{ z$65`c=s1U51hoi%iS-vXrgRV1Zwd#SIpkti|81<_5{gc6$R(`)(?}O0UbmwJTLwOd zQd`)j8>LfeAb=;`-X<@=p50j7i3W18xC;&7H<_YtHhGZ-^064E@?N5WC}w)uA#b(_3llQ(Gq zKa&(yzz*NsMT*X~$y+vg+a~Y82H!{$HoSfZC3pvZ*Cy}TK4JHZV^1{&S9!>E2JVAJBwiKyqu}}(I!7p`U75NTj0P}hsXnI2FO)(tL^szlVcAIOJ+} z5Z{D~_R;(Z9^4NHe{sk)>|kd^{!a59JoqOZ{L3NNvV-05;J-A#7!MwZgo=qnma>CA z_`za}=GWl&q~ahP3_0XFcCZ&7%%%A?@gOXRDu(4y%hAI_UChT*KZ%pupa4_k(*kz$w{S;aK}LId~^U)+cW@FBjq2@Q-!h0SPwL&U(mMlsB5 z+`uruQt~6eDvD2{0erYGKA8sa;l8-7O@5;Re7-MkNAnw@f)1ddqeE_F3Vu&^esyWK zbpGP5{1#Q*4REJ9T z-mv98k54Y{tAr!sB!1e97n?FoOkKyP=8OC1fvPhzoB=HLPMpC2JcG0049;=LYIX(? z?f(~2fD!g@oBTub`|#no7#>uM;X!o`n;%Lnv2CBUjys|FTt37Uj|RvXhujR~LjFL0 znH7(NqVW#7g^dgUrYpkr+6hIIQ4vHH6~ja8txQn`DuRiQVwmVy%M|@*lLIt=H1fO% z4qWVz+xX1391fEfW+$}IM(vjYVva*@XWA#A_IXe=-ywH^c6g~K|DiW6vVA_=2OIrZ zuoF5KT}|^AW4qVFp6FP19fdbkwkL1#a@!Z6`IGs`SG*E*u5!qo@OAg_8!6EC_j!9bkoYdnR z@r)k;$R>x}13m78-@wYF`KwVE%yJjQ`rdofCjna}9?ps%K`k(D7sI%{A$=0CRbmpb zcn4N~0xIux$bGDG$~w(PiOHYhr?B>Js146a_v>mmO?2RMSo?XX{enX_>S`w@W{O|N z+HgN8hWo(-y4rFAnHIl+wc&}l7@mkXX=*o4JPH@TgSFvNxELOV9|Tt_C_-0)Xx-wE z9I}~B8l{|g<0PAYiV9$=z8I$JAJR3KSRG#c71sV5YVUPOrLK0;iGPQ+;r3Gux1Wa@ zxf77wJ}COxAzO6h5|ewyzoLTQp!V+$*~%2OK?Q$7(ccc)rcux&sayX;1#qV-fjiYB zOhGrUpu~crfI}YDDM;A2B!?>~v7vUZL$)&oy-@*$qI`!urc;oxZ%Gstz)h_LZfcKf zES9wIWJxjBE`i#m4%xw)8-dN0Ls3nKJfUkY;q@i8PyyTnOW+>3lPMUF3XX)LIu6;T zQ;=}Kl4DRoU8sGmL!Q*|ZJMxeNdv46&;KRx{QnedZV@)u7>b%WWH&t5M9$~)=Oqxz zTLPiHPqSI>6pw6{==73SsPjaqeUd|-0i99U&J~8Wk~UD()*;VoPN8{XuqkPW3fe>M z4i0%va|+E9*K$c`tlb4_cXi0~thxHwTz4qy;gA>L6v$yQ_js*_OFnNW0=LtfG`Pcr^Fs9+$}9^{ahnS#?$!B8j~=8#u33R)z&>qt~k0kuat z~>%hrGp9EkPSDgrX}P z@-|a7i$BMeT$QdW$u*XsD){VO0-wF#VXBs*D)>lH0v`$9W!;f@_el++l{bu%6{rbr z#U*enevfIo9yQ$rMXMe1KD*9YF^7D{6xR=d61!!S2~j1DB)p3=J$q={Xu$j1v5M zOBgT9mvCd?#P4WKrX{cNY1@)lX<#W{6 zk?;n64@%$LKKN3%5i>u*&&=@G@Dg~(!D-`?UuXcQjZ1!m-;|ZlknTaf2`Br5KUSB( zJ6j37vwg)LvhrzQJGS>% z+#dI`tGvO%c(dSPNxGDxhJ4Ua;E-<^=>h{K$~Wdx2bC0pk|KwE%alZD0H>KtYuLUh z4d9$|X-(S~qXC>iF0Ezz92(ui-_1)82QW;2eaAdv8~5r`cv&okm&NayN8p@t>9Mx2 z5PkxPyIpAm_*o#7j)NZqLJ4AI3)#1W_)ofsl$JK(Ukpl{+P)$hz!~V$7BuiSn)w78 z_yDC7;a7*aW0bb00h}8yZ9@Y%{abnp{K60(g?#@@fzlEh#2JIqYiMvh zmMx{hDJU(Y!ShkNo(A!|Ug=8s**k3G1{%B+kKP17fQMykXmBo;-9m#`ptP0-uR`f| z8pKa`rFYUGe!44NM}xS3LFsxL#9^xRUK+du5%?_eiD$&p$IxpY2d~-TkbP{7fTQAP%h}lG5+;Z(jb0| zDSe9uKgY6nXmBq|@6q6QD1AVK!o@$LLHwvt`UwrNz4DeOzceq^Y09}UG&+D}8m?SG}AGA#R@hJ@SyNkfNW+21sD z1WNzX&`~HIprN{4s^Oy{ycB9!H2M;sZ>kZDgldEwvL9x^LU?u5$fePj@gPjh)qsh) zU)aH>s3Sr{El`Tl=xclytp*IVHDI9ql~rqn2TN)6BRp6J2g@DuTj4Z_LmpQ#;oNDX zX^lhS+pxW%MlJYNM7u_9h&gBB@$egAqsGik3FeK5#P_E)j;L`YM8Ma8Kqo(29)XJx zK=8ATaWDshpY4x>IS~A8ry9(G;Ab1wU=9R7+iwPQAo$s~GnfOx&vtCV90>ka@%0f* zu=ujg9NgI$26)H=3y}v2^1wsnL4rIm5qXdx4_rhZB*+6Bkp~I#z(?dkf;=!1d5|Cv zoJ1ZZ$O9{p=ls}GFw8T_;!DCrp7Ue-DvLbl$F`FadCre*fGqNyAKL<1~u_UXLQr`LVqkMV|9xE3PolB&#voEJ@@!Kel0?$a8*d(Uiz@er(e|k>~u_ zwtXVc`LR_{BG37;?a@S@^J5#Ni9F}WRuG9i=f~CpVV+4AUlSzqoFCiwK;$_;wuz6( zbAD{wDv{^>*hWzz&-t;=RwJm<%D*%5ipkL|M~@|+*rX-DKaKepG7$a8*dw;hq^{Mhz6BG37;Rnj8Q`LX5A zm}ipJnC;CX@|+)A+br^&AKR!!~u_b}b^$`LQiYM4t0wi+@F) z^JCkRh&<=VR{mn1NmgUFPFLhPKen-m$a8*dk*>&der%T!k>~u_9w8#n`LT7YBG37; zHS8kK`LR{(BG37;rKKXz`LTtdm}ipJn63I0dCrfmW*2$RkF94HdCre5?i6{>k1a(P zdCrfmvJ`pFk1eSbdCrfmrxbb4k1cH$dCrfmoWwkntj27aq{wrAY>lMIbAD`vqsVi9 zY}KO3bAD`np2%~4Y!RKvbAD{;n#gm0Z1I}NbAD|3n#gm0Y-t+inPfF)w+oTy{MZdc zGFZnSE@{%8uATRkb3G$L3ldLB4{=p^4OMXm(yyV9u$V+~FJGdtD z{=p?UF8MJD@{%8uATRkb3G$L3lOQkoG0AEo?;l)(yyV9u$V+}qg1qF%B*;tqF$waL zACn+2`7sIdk{^>GFZnSE@{%9lZ=;F4e{jiaBJUqug1qF%B*;sCOoF`R$0W#0eoTVA zI2bUl(?Z+g@OMXm(yyV9u$V+}qg1qF%B*;sCOoF`R z$0W#0eoTVAGFZnSE@{%8uATRkb z3G$L3ldLB4{=p^4OMXm(yyV9u$V+}qg1qF%B*;sCOoF`R$0W#0eoTVAGFZnSE@{%8uATRkb3G$L3lOQko@%N9W^8Udk ztEs$ya0&8peq4floFA7UALqxVSmL+s#FF5gve)3lecG4)#1>j?(P~cF8+_wTC4?`h zY!5Gthad2jEIDOw)4ct8u;kib274Df=}X^eI2Lx;;SXqdes}?sKBD1mD1Ac1FQN1q z4S$6agu2W?=_{JQ2Bp0;|9+Gp*5z@OzNh)Gp!6fn--psZS`a{KKMj}jJvz#MrQy?1 z`kjWy^G!s`{-ohcQ2LvOSEBSU4evtf01bb@rE(t)|A3N3X%M9#r9~*^P+9|}TuKi? zDNJc?l=3O9gHnXjx+ujcZGciCrHxQ3rnECkrIenAQW>RN={B%cc}+?mN9j;XccOF{ zrSD_C!zuj|B?x?Jibs#4`5jO?hUWLhqY(Kr1f}{ke+)_uY5sL69Z&P`K&dg!e+Z?f zG=Dcr&1wEuD7B>df1%Wh7UZII5-q@Oipo!>1*KTlmKGd=(y6qdF-q-e!AU4}qy?v< z)R`9aK&dM&=!ep2v|u1gJ!ruwluoAwlTbQ?7F>=J?3R;*Qa>6kM(Io%73~h7(I!}S z4vn@$X%LO}MrjC*o`cdb8l8yJ2pXM`*+?>de89u?C@PaN50*o2YeDyG)yK5^pM>61=M8V$r`Pg05C zg}}bECRHfEp{*Q6wF+Z#y#26otk8#ZEWk71MgvR@}-OSi{NsOUL;{P2n? zqQvPAzdb&#|1hvN)DVSHJW>EpZ>^>EudAd%RP%(x7zIX*>^ZHDjK4nA&{DvWD zErUHA*s_xTW2TM)C8ti9FmW3HJ#(lSg>|CSrcRqOY!d2(qDUWTaah+0 zcnQ!ahZ8>uz+Z^izHt!qa1v<^`wPNaOVWm?ZOJJ-JyoRbMA}}Y9atKLm4>7fuh*G$ z;b~Wqb`$AoBJD2H9wO~2($h&V;wxVUSU))f+V7o`?<4B<6=^?__9tgb+*yDdkdi+; zMb9|`H!!7qkSHIVQa&UlKQt*n4B8u>k{^+hADNP`NXd^%%Cqx6HzhwhDbLy)L(b#& z9V_^b6Z!E;^_ZRs;`qd*a)zHI>Q5Hw6j5(#Qay&BmXv3>>7w3@q;gj8{FMAmQE!$= zFA(X4BE2Z3{>7sF5|Lh-a(uQZzf7caM0$BrKhFgo^HTEjQ}iqlxP{`puMp`XkzOg% zt3AfP|AkzCpdcR0Fiu3`IZW8H( zBHb*~heTQ_(uYO5CCR?bzqX3Pxi1b5&`$*(J7U?G<{Zt(POr)QS@-IaCr6~VOq+g44uQ>jV z$bT!+??n2&NPiINk0Sjk>HJwg?-P1{7RUFC{4XN^t4Mzn>F*-_BkB776ZHI*lK)%O z`zNVAX77JR`F|okpdN?sOZ!k-};;c3x%SPk=7IS>x+B?k#8vS z$BFcKkv0-(W05uyX;YCl6ZqyLZ6VT@;`j-^R-E67B0Wi@twnmWNZW|Ctw>K1>8V0r zJCU{*X$O&Z6lo`sb{1(Dk#-e&x{35Ok#-kp50Um1>FFZvCDJp*dGr=(ACdMIX+M$n z7ww%X@@I*3fJo04={X`DDAGY99W2rzLeEfC9=`@i}7xia|{P`lCDbiUYy+EWFiu59ZyIABe z5$UBOoh{PKM7=p8yhs-kw~u;=~bfMVv#Np>D3~=Mx@t@ zbg4+M6X`OcXSqnP7wHO-t`zAik=`KE8%27P(6d^kYeagpNN*A8ts-43(%VFOyU=ro zNbeNsT_Rm4(z`{vUZnSk^j?u}5ca!IHfOtMt9lqrq+xY_M^Y@3ne`zBlSu z`rfYeeOT%Hv`77V4Jv(Kp4ng{0Ic%s;omUv{Y#u2-;NyL_vI^~0Kzrk-}&V$;osVz zIY{dIzVdwyrD1Y}Z?Eqgc$b0JNJrndgp{uWIp~8Ir6`d4!JO1j*^}BQN&W0bYQH(D zU%il8pjhfRN$PhuQV=F%+I^lZrIPwnlKRVy6h!QplKR(!rF_MTrT&wo4!DqFzYt!n zq)L)W`F(Lxe!m+jh+{G&N-9^9%5x(Xu4Ym-6iZP_ zD&LJ11e%$4U(`$Yl_{iRl9b~{s?eB}x9(F(6-iRXZlqx8x2dISc(GKuVyQAos@#oK zO=D8tETxhJY_J$4F9j-AEm4PO83_?z>hY)j*PJ=tk-|b5fpeGb*X$C8PD)WF)6>N?o&xMm!w*_k!op9%2W5Lq)w2eTDg&exPQ~D&y%ILDBX9GB-PrD z6f8k7CFRLdDycS-R9iPvr5r+c4D>PkuKDmPNFhlQ!5{|`tl zk)*D6BXx~Asij`J?-RwRu9Kvexsh6KPRi5O_o+hadP!=98>yA%q&!(lCACVDy1|Xq zjnz!*3&m15Nm8rbNUbp^<*EC=R!H3}N!{W`>Q-}7p1MyZwN{e4&5hLU)lBLe#Zq@j zQg^zMy33rDr|$bsA+=7Dy4#Hu>{($tczPoBy+Z09N$OrVQX9-kdGe`!3aR@fsr%hX zZ8Rt4$x?qRq#lr@Ho1{{(43SfOR1zbOHvQHk*YK&<;hZiDVBOzlG@@%3btRVR!9F^ zA+=4Cdc=*?qvoW%bf4d+klHRuJ?2L0adT2$y3Zd_NbQiMo^T_z)0~tiOR1!GNm5U` zk$S3{N#!V(+AT>v?MCXEY9?hXq@Iz3Wnbe_*rS?iv-?)+bwwg)RQb>I#Nqz4|$~<=1?`cRlOd<87B=wUUseRRKsoDyu zpCzgNZlr#xW>SYMq<)p8esd%ByE&;py*woxp^*AZlKR_?)Ia8=Jo(g-3aNi3ssG$a z9WW>5$x?NaNm(RL%JR98vi#p1>8sljY(NKUVN&HLduq;a@|PfnUkVk zNS&{c%9o@H+(<=?NqLIN^IxHmib_&3H&Tu9n#X3=vI?0VxYjaXwLcfVh>SRf( zjT@=9#-yxMy*T>&%8<}bl4|cps)ISHPF_eU-;gaC5o@_c#Ij$#HuNd$G%uvSR4mn9 zTB?VerFt5Z^0aP-e5H^&U6ShMM(T`eCZ&8CwR%fZecVX(t!7et6-)J#r24y&I@6rg z5HCKZe6F?5lB5Q>kviL$lr_)`Ddo$kHAs>g>_%#cF)6<%OR1!WN>anzNDZ%MQa>p^ zHA0da=|-x;oRpV3s*tTRutmPIFYgHj4){{@Eq+~>_ zlCHoai$%t6XEh5&c zLPV^mx0zoQQZgdea*K$ysyQN-sH9{>tmPIFYneyHT9xlnq`br>z;2pJTc}u9NK%X3NL^`6%G=B6 z9}20fB&o%2q?QpCx2pGs<(B(>a))b+-syiIVZq*h2$ zE8R$~GA3o+;K5Qpzv5FjN>Vquky>p`%G(wymO^TcBz3bJDfnry>U_xa1r<`aN>Xdx zNZs~-O6qn=>JB$jcbb#(6uBQ#EOnP8wa$&y-R7jcyg2w&QtKtDd)!FfYfj3`lV=L4 z4U*J-ZlvH>?o1usQ}-#6X4XbY>H#-Wo6Jdhd35nb6rXxflG^M>>LGJdULIXiNL5Nw z54(}tVou7-D^?1rt&-F>H&W(X(s`QTNFnv8B(>d*6#QVLsiS*Zo~ioONhv|AFrG!*kGECQU3)8jC!*sooQbH;%8K!Hw zh3Q&V2-Ecv)a6q`DlHkNYq^E#TION8-YlhrR9Z4j*K!NfwW<)N>&a3|NTnsibS<|q zUCTU7*PEr3kV;F2=~`}Kx|VsEuJxUl*Y>)~knp{{&HUhYn}J_{HoeVw2?6jmQb_$I zN$qnZ1wTY>NXpavR0^s6lGHD5q~JHP4M};L3Q8d*_Z_y}_8qp&_Z{~170cH|={~vd zu;sSzuw}mQu;0@TI4Y@sWcU5+)_wmOcc0(Wd*7*wr4GbN1&9l&fX|$ir?(k}RKPDu zS#G2P=A^uQ2Jv-Fwp1V}Nrl`<0phQ^*3M8qB8>y%{DNl~xRq4K%B;~k~Dl{kMX(q3mLaIoTDt04PVou6a_w`Un zl}b`I+(?!EpOTVM)&aLD>wtNbb>L7h&r`h>OVyH=I?T;dwaqQ%X-F8MkdoU*2i&%e z4w!En9XQI1rA8~Hj+T}>#?4Z7%`N5W>N`&%b*v;+&y7@lb5fqZIE+(B$Vs);1k)Qwa#b5forVlPriHJ7AXxRGjUOe)aI z%hh*@LP~~y2i!ux1LmRMfi_-9U8<03D=l@3o25=Qx0EMRvlUV@^gG}d`W-M2{r1*< zmnoz=N=tQevs7nuOL_9CISQ#Rl2lhWQr)VVl(NDoaGE65-HlX_Y9^(uFbedPq)vAu z)vKCG%~QJX3`wfD8>v3kOiK9)sz6^!s-GLF{?$xsfnupMC8@LANDZiFQVSJQXG>D& zxRDxI&7_p&nE@FjA8-qj510qZdmB8Jm5>1$Bp+}Kk`I^%$$KMprP6&eNIu{eBp;|k zki4gPbd{70k`K5A$p_4XvgoNzL{`s-Z&aGD&KV8>!39NzL;@>Ntgz4E+wcg?)lALFec?~zl6pLsg;t{DmPL$ z7?bi8x$jp=$tdf9TaFz%3#+ zU>*_cjnv5sDH#zPaEpiym`B8VBh^MBB_m=3ZV|Bo^N85Mn_e9K6ou3tdG)>JcJ;k& ze)YZUg_IiW@}4C1z8k3z%t?LZg;YDmQZmjn;1=f@Fpu*Lcp5xaQZmjn;1=f@Fpu*L zeC5Sb?G;OXExT{8Tlalq-hEy|D*fFRQZl46;1*IDFb}B=?DJwNmDJDDQv2O3^^3Ws z{s*Lfm85=iBlY|LDXBjssXyIF{bf$-pX|HuUrFjeH&O?TNdg*mAH{AH6|7G^xED_v6Kvd3%Z5B1C zr1~kOPLiZryOBECoK#ycmg=vNIz^H?)s0j;b5fojT?Qzm+DlR$+(>maC)L@DrOsAJ z$@rU48Uo#BPlK!sFqNve+QK|%AVpx`-PNDWp<4V0D|hW&0)}Oo5P^_HiyBByjW_KLh52^sY~1}b*Z_fF7ra_T!qveN$PSp zQgh8o&G$k|S)vkLAW1EBBXxy2DNk?6$`X~}B1!5>H&R!blUm}%Qe&0wlbiPi-8SzF zns440^s;%MUs*R3l$-Yj-8SzFns440TV9)l4|pLpMIp6Gl6ugM)Mj&1m0n0qRY*N7No{c>wbh)|BVI^NQ%K1@&4O-w zngz}GH1jq;HC-Vk_cRN-?P(S?-_y+7^x_PK)K1xbyWG0(N%QX8?WOyaAL|M}ElEA& zM(SB}QqOxKb%A237bK|{-AKJ;Ov>9gWR=v*lGH11q+T^974+17Dyi2bsn^{|yKjSwTQ^eQ8I$t%4Ot~6 zBRGO?5gbAD2o7)GkX2H0Q>>udrdUDqO|iUvLsm)2O|gP*n_>mcH^uVy4Ot~6H^mCN zZHg5%-xMq0=^L_2N^Xi3blVgwXuc^{@Lw-Og0f;e_@BJ`4!B%>A!2;>dD>{ruaFA) z;-o@;H&T{4DKDEiq>u_oQb9LTA#+k*whv1ol_N>nZlrRJNrl2*d`ekxAEJ^}z8k3m zV^Tp+kHaddh$IztBNa0z<>`4!B_(5BLT<4xA@f+5P>GlBQ+5Fel}bz1aI;jIxurZk zC8(ritV_r()+J;f>*DPxK_zvlv{WrOOC4rzDNkPPShd zjvJ|?j7fQWN>E81ElC~YMyjqcDQ`~+Dk&Ki6mp9S3YkX*g*-(CDcfCyWK>YdEh;Ev z9u?$`l(OALsIlz6CT`u=)VTY+J-R5{U4)uRQqA2+wJ;{-%~C3c zj?jsc)Jd61`9iHjCyU*5I}!{2BP6F@%?4XS?KgtxFJA%w!qX}In=7YJph~Dis3Vjy zsSP=WAI}N3C0ziqiq-W)aTE%o#24xm>MU9~9x5_b!FpRl-Szq@RzUsb%b>D9)CH9E z2=x?7P9%Q#4_MW!za`X1ucZWQNS2|{>A=m$xdnZYVZ8e4Ri2rJ%Cqz;&&fjNz|bIZ z;)(vL5B)Pjud_CGp*-`P&|r4vV6U9ekmUXu4E-~N+hJse7RH#iP$63wmE1xFv@nXd zaGu^QzW&=sNUc(Vne zMXXt-F?1zJ>|_c;SAoO~S`bOHAw7y^FnJt ziud%5yzy87AW^6sg=$f#z&!aj{kh$#Ct-AMcZJsJ9q3-YQuN$p2a2DWEziuxGmAS= z(s{r+YzW;4_GQ;f@({mC-U8qIrPZWa4UQ`^f(h48LRwy_Al{;)9#9>Fe+Tb7upeeLZfgOD%=eU zcU4j0)1hZH3ZFxTFM`77s;Ka#(96R8lkTSvg*HE+HCUS0k&eDnAcXhlSHzV?I&z18 zHT0VBzJ%(U4}~5!R!!i6LQ(0ft?k`6jC$91xE8#}419zZ{I(hR$k02Y*Akb-=Fod) z;G?uHe_#eaS_}Tj41A0h{D~R3t`_{68TeQ&_zN>|J*~CBG6UDww!GI2+(6s%w`Smm z+LpgJ10Saa|7Zq2UJKr525zJU?>7TC)`EXE12@rve>Vd+)q?*t12@z5^WSFR=34N- zX5bcD@BuS$OWir=_{_j3xU}^?#qXie3f!k`qQ8VxX5fxm z@F8a4PFip+GjL}uxV9O%ixzx@8Mv#~N$Qw6NjEL{XfyC>T5w%6aCa@Zo*B4@7TmxL z+*1oa&J29I7Tm}T+)E2?Vg^1#JLoqvbCTX#a0@eVA1(L5T5u;b@Htv=7c=lcEx4N*c#sy{-3&Zf3+`zK z9-?)UUS{B-+Ln8pfrn|qea*nbwc!3{;1OEzS!UpoTJYIs;0i5xpc#0S7ChJte6AKe z)C@dY3m$F;9-{@1Gy|Wf1&=ZVkJW-ln}Nq^!RMKQ$7{jk%)k@0m*fO9@I)bH4UZe%zX$HPh3tndizDjGb^=1ZJtOeg|2412C-)9ECS_|H22EIlM-ed;8Rtw&2 z241QKSDJyZ(}K5{ftP8WWSg0jEZ2e`H3MI-1wUp6UZDl=FaxjDf_IvMS82gdnt^Z7 zf_IyNZ`6XHF$3SEb&}`IoMg2Y{DK*HjTZcp8Te)`_!TqoEn4tvX5d@3;5W>`Yqj7# zX5ibj;J3}dw`-l`T{9=SLkoW241A{+{Gl26E-mgr)~KMGw}Ud-~P$Ww>N5A{@JYM2ejZ{%)pzp;NQ%^ z4{E`Gn1MHI!GD>7AJT&VF#}g>!T*_oAJ&0wVg}x#1^dmwTeaYT8F-r(95MpiIr=!J zH@wF&*|uKgo-9=6>Q%m-g~~iTtP9|J&se3ePD%imP4z54Fjkos6K3b@ReqF($^yO0 zPqI)M(X0F{3zbp5$}h4|8PoUpSH>#Sd)(2p+-s~dy~hjnEWb5Yna;9E&+>a?mFX;t z^(ueNLS>0w<-RObmg-gR&q8Gly~B%k?V%%tB>NyQx@dLS-$jEpvRvD$}o?!|dAf-h<1LLiG-NC?{a7(g#Z}l;C0eaJ|Y<7AlX> ztF*IFd8A%tUKT3r=vC4zR34>QS&)UwqxCAIS*ScluhPjvWnJynlT&1_GVPh@SiQ=U zEL7IhtE`cQ%KCbh#tWiDGQZn>Q8)%vC8!E@+`f|X<4WopjSB~3zcWs7vzg~|nbm9J%?a-m-38(FBlLa%a97AhC%Rlc2t$}9D*{;sjg^zrg4y~_8qP`OyI z^201tF43#}I180m>s5Z5h01I6DnHLc<+Xa1UuL0lsb1yRS*W~DukxELR4&uM_k3rp zGX1@0xt`?@#wydVp6m73_)o?v({B_j^elfiR+-LnrC#MPS*Tp4SNU5ODsRxM{38pM zH|kaXm4(Wi^eX?!Lgi|`%Kx%ZxkjtfCRwPwS+CNch00s>Dg#-lyj8C<$zCfr zC5&$=zuCUsV9Q|G!SH1J4h{G&Be1>BzFWknt{}ODZD-wilfALhey}Gbo9r!{?CqQE zomQp2dz1Zqk^Mr0O8d11mG+(`mG-+0>Tk365wgkttA#?#K-% znlHee!bu*PM%s#P_t_53A#zGCC9V0<&T<#(&h~n-3w3Av9<~cL4}Q({Lva)eV25dY zU2eYE>(>+K+!8%!`(+*H>1muxwVZqLy(HtD`9{LI1!>$#Tiptt+%lsTJmgd@xTYDn zofdqk8MwU`e3%)ygBE*EIv5rUlnC z19#Vg8<>H6Xu-#sfqQDfjm*HO=Qb8&Nz(Svxy=l~xbb#w6S#(YYn8O9nvy=+(>TFE z2{7oZ1)pdJ?xzK}HUsz1jsJE@vH?#q01E@Q0r~^9O4?OT$=TXo=wPmdb>%r)TXZr| z0^osK23-umNzOD#%b=Tq5@0Y`%fS41Ubs6C(JJX_z#!=~hH90ZVXowKIGy2IB^iEj zMj1#26Q*Q@R!P6AF&U{jv4quEqJaO_#&<0 z<{N;M40o}X!9oKiV7N=P;6-NOOSSZ`G5{yhpRHxE#6Stqzf23h#tb}13tnmlzFhmf zxZD6N&iy)|KToS7T4)q)=| z17D{FKWGMCrUgG_241cOKWqlRUJKr824114Mc(t`Jy zfgjX@_nUz?Yr(&ofgjR>e>VeHYQcY+fgjd_|26|}(LP50YXBCHk!<>4tCqn510?|7 zrUU2s%)pQ6?i_iR8Te5xIA{jmt_A0qfgjVJbFLZqaV@gUrBtwdXv< z4E&9@ zXrKgcwLfd=Pc{SZ*S0*>4E&3><>_YNU$x-#&A`8D!L!W3ziYu4nt}h&eXh$h`9zKV zop%v**Dtd4Z}~?|7hLTIP?)zo=35&*=Ha|m zm3cSq$Xf&DoAPd}%)5(c@2VT%#}B&H5+BF32IZ$ ztX1a9DQa6}uK55E2yV{}53uS7RW7Gw25qRHa)zB;>Z)4XXReuUxbB%Nr<`He%r(;u z*E@6N6t$;kuK7Tp0fG7f_2dR*uAXMHAue@QtphXHd?3|>D>BzjIkn-LYo=RlZ05=- zYDZ_T`9Pl?c@I|RJzSZ$tpQtbp|{KQ7inpKW(F?Sg1;~T zM^6oH$on!a1iLctYa=i@Id889{H+0)IH5;F+Xc7+7T3WTPiog2(0ymU&7nu0CXsrN zkR}7*LFmQPMB#BOok;^hx&)ZNLj;g(H zk!j^CS>Ga&ykB97B>Ug^yx+vK$?6 z_gxXLB?g@iBya#mAq$2bUrx9-fq!as%n8>$;2TSV@xu6l10+W+^ylP;p>p{OxCro* z^L*}mZ6H@lLg7x~&Ty#&$RXh_qz$xi1+l|jp)BZ|N)8WqgEDyc_3a8D66(mVBi}CW znfQ}Cx&H7W(YRNh=IWI_%)D}eN3UEEHTB8`RrAUPQB$v6;MFS^n0w^{bFVzz%qyYs z|3AEPf#Q|Dq*tCHy|TCT%0A{^**89eLz=?{<^vB7!(GY3yOMhAAY?J;fa_Mm;OB7b z5U+jW_Ry~?+O9sBw*KKW#my##+u4=jv~LL4rf54amD}K|XuIxU+J;m`+wy~H8(I}@ zD-Nb@SXH#GI+(WMRq4|kQ?#Xd$cUYSz^N@lbz9XGu8~JEtA~I&%FdsD*kLlwq|-Zx-c|ISfU3!pD|06!PkR&(0*XM{W464 zCuS>C?@7rmm4z=7Zwh7Mi`nap*gpk|qfo$JU@pzJNX7kIv+dR3YvhZAK^LfcOp9?mh$8A18ya0RG*BJbqy#VhAmtAzo*6`JS*z_k1 znQP%an7vFXCVeV8A+yUZO?J+wqM2v#`5VtNRdI~W&8S(uK%Ru+%w^Cj&6QJkVm3d-fODE{K8(|-(dNsF&??~PgDSX$~@OmHF5x#d@_#qh8!ds!A zlfN+Fi&ip~6Qv1G46kA)h+n$w#8+`D+q7=9{h)5N+t`hEAIyy&SKMf~xf|^^b|X+) z6*t_(4BH`?Ldjj-Lg8$BW2XlJq;JppdCllR>&LpORRlN-H|*^QE^7`V}k zRk?>h%gyWZ#`BVN%Dp(Y`R;+M;?elBq_`R<7iNFcq87nARv^fCEmc`?1~{bIs);}_F=@?v^F`C@tx zE~fYS#q@#U#q?>Wi|NbE7gI77gNx}at^0qYcmL-P=KgV9M)!Z-*!`b3cmL;&-T(RM zLEK+`Bm@qo?hjQ>-5;u&x<6E{iu*sG!TrC^?EYU%_y5+r`(wLt_y111|M$u6{~fsh z_uTz|Fm(U@ncV;P%&UbY(Ic64StyZy@L&c@b@?T{i{4JycsObPhaPF3X5WnVF;;wP#+u>Q@>TE6Xc<%!;aSuNXELW=CAHGdXpiw3?K#*PsSj3r zjL&FK)aZ=%sArVeX_a>xd6T?G_HgItPUyQsj{SFJcw?o3sys!!bC9P98k8=*2mOew z-9+=EHkf=;Nb_d&ezbq)j$B$vDfCx?{5};eO}>Y}AI%&Vq<)}!KYC8)R`#U6h`*mO zAXytXAX%HqfDt)f3?nvwv1nMYzREN6w?kU!)_Ox46=O(48cP~Opg0NzFbzP9TnrB1 zr_x?UKZv%@Y>HHamqw$>C-OnGXJ)MOMeqYRZ%#A#2URn8@xcuKfy>=3-QdZsH>4%f z;HAk1F9Cy>a)Z}!F*tlCOf&e0RWW!ZnjAYyJ~TG?hgCB8hgCCp*})9{VRUFl$4EDL za_bFgxiomqWP_K3!E17ZA0iF@rWjIgl|u@A-bpj~M^!O+j+N}-9~s;Gqbk|^qa=IZ zDo2!CGmR*R9?ae!xxC(|+dH}ShP0Nn_hHHQt_Ai!jN7}mw0GJNK3g}0f0D%z{)zDr z{z;XF@K2HqZZL!&elUZ7;u8LmZt&#R8`2}B!H-Nf_z__6Be}urxENeFgnwEU2TvKo zKQ;F7PpjnNpH|J_M;*-IpH^uIPj0;-Jz5(4m}G+=4F*4k8@#TI!F5CUXH_wH$`JmU zvB5v9lEFW#n!%4fn881*(h#29dP7=I8oYk8!Rvv+>vMxQkOog1!e{G-@XxDaaCHd( z+}PfqSIOR=C)wLz2yb{Wdw*V~Aw0SDhV(dT@8gs0eH_^Pcy8}TaeMpjCI$R$Zu}++ zpnyi(?BR&7c2Va;p_fcP4UpV1IzVY$L z03U`aCVwV?=K}U<-$D9NRlg6xvLIyx^qcC1F_+H}${YkJ&irhe_dx1YfG-v!b29Qy z)tq^DgSJ*9q5}RU1d_HC&n(`T_vd{aFwpoRk|g+N>^}|ZroK) zldkfj7+O|ka1|%oCi4j^uHv{2_u4_oas5W^G6*>?G0D_z5OQMV>WrtOjzUiKjLbC; zG73R6cV`AG!;s@Po~pwT|4Qj|l6-2F^r=;ue5$*5pGs;8e5!}^sh-I`)dPI0C-cN5CfW~r@ytX7ALItGVBGHYStu?&r@oBD&1K=x5c^CA!T*!*2F1~t znN2xVt?3d}+PadC;Dfr4l#^pg0~xM+9Pu9@4TvA&JQ6{@xnBO%<;Ps+$4v;x8%*(dYsuy;gABKu?xw}VmAN(I77%g{=rGg|3911pup z$mND+Dv6HFSTkG=W-3w4G*+5voG{ZeotefB1%+(Vn8J)K|v)cg1{;Zte`{_D8Ve|d@%xoiUO~? zPwF~zx@VT_|G)Qr&+qP?;mqmk>ZYoVD z=$w7gjT@6JZa9Q?N-VjJsXZ;0KQU9gcvzW{AhnB2JV~3{S2DL@R=dpY;z(%kwuJT` znb1zR$aI$>wA-=J&Wt6BTxjo2NN7n|c_2YL5?QFmn*9G)rIsM6z0Z=`*_PDaZ%OR~ zhSY9%liCO4v13kA+3`q1>?n~qJ4*b&&yEs^9gkYMIiBNJ%)|l;8v@Da&zmnIKJ-Yn84=R_is83fHy2i&}Tr zO(hXWR$3f+oN=TpsP!ipN1A|IUuAG)r`rTsZE{4}0;>Sk{>?E*o)U6oE#`=AT$#(A zegLx)HLl_=bM|2;wUniI>5{e18rym{HbBsA92=~0w1;s})2kF4hyPi3EETX*t@BlC zY1XBlQ&q|h{6-P@O^JXn6A%1m3w&QN7AW>L^8NW>DZglgH}}HTa#|A*WOFZk2MSZ@ z{y{mezZ!1C*;#~Sd-W;~8a-m;Ot$y$@)zn>zcSO<*Xd%Mz%20Zh5^8?FFsHjR%=MS zes&5I#{M$hEsi~isn%fl=(!nP^w9yqx`@1=S1jzFF|eBu54)P$^+Hu)Vz&>)(rRjB zg)Q8Uys9<1K}@g)F+ntl{nj8}0L2Me9m@20gQy)=jtOxxMj<#cszTdu#BJ-lFr_v( zp6S+jri;dNpeO>^Af5moEDE$n@t_?l3bed<&|dYwW=xJgaE^dZ?dtRpfi;`k$U-L0 zbU?KY*Ijl0>)c#~e^B4@jVt%{b=XGElmAW2*FVhr`fq}-f0*@jB+kIvYURZu6f>~4 zXkc&g1AB{g_x6jS$z(!p0z)tW3JHfhp=ieIGyVk(o z;|KOG4D3DD)BD!I`Wm8G1BqhWu=3Rvj;x0N1Ab&5u>L;$w?_7nHL{QSk$nUs`VU;Kd~aC*~W_TB`imuA%%YjTZAQ>CtpC5uN+OpshT(*eTR0Pm=An5 zv3iFjHjfTV!J9=mET!JTXrxb>FD-TcrAVEBedV0ydm{dCEKYyRbNUr_R=x+_nTRk@xZIBX@!`uQYTc&xz#x-|V#ly&tk$0Ut0I)Am4nftmr zNvk5HTkI~)B(A&a{@-|A_8UX~^p#7v4%=LQ&R7zDmY47|K&G>-r{CiYY^rQGCT3lo ziUxL$9~hKT0j`|C@`35F&4IDA_h8--|DXK8UOaR zAK3-g-{1e%$o{cdc99?1KQOY3tfx!yNA^|`gb|PIGC#7*w7-DzFO4jqnj;H%xRJqQ z4Ia>*yaA}YRALFR6+5PZ6x}hUWe+S<_8zSvjM8>Y(|z{-x$cxJBJgDs0e^oy@Z~J-IIyo^bH~I!R=D+=GvY{H zprQ!;Rf&LqFdp`mMc}I@0{)?R;B!T^ubv3)=fneFLj=B7BH$m12fnri-l16P+Tg8; zFxR12VkLDh*Pp9mx!R11c?Uq9Kd7qaG0WHq3yP`pWwt()I14~_U7#W0>k_q`FWZ4c z3(|a9DmAM`rk8#TG>$E@#mbNY5>4EZSfG^?u5~_y!~(6D_)X+S&eui?-=wKkcImW0 zGjWkBz`2D8=Z-@7PMjsDS`f|)v|J%f?E22vB)y}>e!eEIR1I6qKEFLY76bJt8@y-(4tsdEY`*eXExRri#2gUb5sgNT`W7l$yXHuF-=rkEGnY5 z@H(}HC+I2TDQ{_wwMxqWhSs3ECKWP@K*f|*%s*4##QY%9Ig3E&ECQXg7 z)oN!Et8cvWpa<8JLIMMj^~s zR!hW(@gjaJi1;uj;=_xgH=l^7H%FKgMlGjL80BY}C8J!l&MMid6Qnm+N%lRQdh<4Z zmaWoyi#dd(YL#^T#xQRc!;Fc!ud=zW{A_Yv`B}vEF;~uYz9$kGYjJ%X&-Jmu^>K{r zw-;px##yn`xdRgzUlbW~R_t`v*bLlJ6lh!HN#um0K+BH@?arb=dodogyUYSbsWl&Rt9(KDG8R^=cl2d_riQOS_;28sTYBOIFs6Csca@0;EX|{*Sk8qb3mW~i2TINy9-x#CAIW zAhYVIH=_GfxDKJZOH#Do#}`(GHzjE_$dlhC!I|1>N$PlYrg|Sl?_O0uP(SuXz4g59 zydB~5e(y5x3d=A5kCJv-y^p19tITOOon?A1M}LxJMDmPaUKb_B`iVcZgP$hbjr4Zv zP$dnu8lgLKqxS;PdtDJzp3>&ES7#M28SUohoUxe!>b%cpW7Rz6o- z^7-_Y%ctJ2DW7XB`CQA(=NgdDwM;(OS@J0@j2ze~lF#SesCv2^RHZV^?ps! zeZdml7kSZr0YvvjCc4`b6x~9R=)No#T^^MLME4a-bUlV5JP7i(-%Sy|EHktmiV(#p zUY6-JWH-MoyCn(fw3lU)855&;*_I3CpeYy1!N6War5%iwi@lay9Jq41(EByz;-DoL zhj_U-2y$_V$;GP)%Ee)kTpSh41&>Msa&gR*i=3`j=AVP))RZRUSd5Va@+Sx zN(njKcHG-OnTP6d+uz~cqJ3H&37Ys#@o^690PNG!#4tg(A`kq%ggo&5l2S!39{2}| zdEon5DwcaZ9uH~1R!ux4>eC23@cmLL;K?h!PKEX$@W7>apdd}MKVc7ipJXT4#RLB+ zF%SHJ7Ln4B^T1Cg=7As3DoG)VJn#duAT*-c0hXiWE+JOr#l-_ZkTBn+SGpkmzF)E{ zj-_?4%yZg zD_^elahS_BJ(ENKBZ2Suc{^A7#&V2;_Vm)231ViqcUKR*O5L`&X zojod#N_?RRCYeG3`Eu;;W3>mc*VVp1Bs+)auT%lLkTYj zhh(CS(jO1WmMye!911Ish}Yg2DdldKQhS(Es`dyb8(wIS7%7FH3@Ht!T)C9;*Ex}3 zswt(xG)_w4u?7!dJ_OSflhR;NB&C^RDdkZ~KuRMPDu+OId0=ua04asND^YIpo02Qs zu;jccGk*}5-_-gRfyw+mEelL0D+>hS%V5@(vz70O1hXx+(vt6H`AvAN1)PkZ{Vo z0f(hQBLFB!u!d_@#MNyu`wvT#U0|4H9K#GNtA$d)4!JgoX;2_(fQKtC=@}FGIxM-d zgT1^J4vdXa?p9`4cInl$_RI`B?ONZs;qtIkQvG%5E)10a(!cQ6Zmas$D^Pz8V59`g zf+glvZc|DH%Yh{ZQ&N53lydcbk(8IWWbZvaQCeN*CVNLDn}SUCD#pr_%dPP-o6JXK z=iI1UDUhclvS1Q&k&ejB73je}IuNYln!pS<-TQCnlZJ!2u1Uk}JzjW@NVd0Qgy%^7 zQomlMwbEVb1;TSi^{Of0^psGmsWmNO+Dg-NdlgNX63gp%k;2PLnIa_VraHwKiUA@? zKEljInv&ZKB)J-s6 zb(tmc%R~}i$CP-r9@tl)ZIx|q691ND6=D*9HI)fNb=G%Po&MW-ad=CTff6GQZ%Gmg zX%Jminkb!=E*9@=P({*3!uuqf1Bmc%F~U<70(-*228{4|K=_8b3Q@M11XZ?)2-@h% z7fQW%!%7d*@{`O;59aX;Wn)+<^VmY!#AKcFs!{l2p&RSo*4ha5J7ZllD48&Y=gr%a zFk=jF-j*28u^Sw3GXm>FTxbzr1^$?%q%yV_kI6D~kh^fKD6{;StUS9eOD&YH zN_R_^YEu>5T_Q_R1=qCYSUL??-|v_uPTfJAu4CfV9K@-+E>3D|Q*o%RMdH-s%Ed|V z-4v&umN@m|#i=KVQ!ge?y)AM25KKlYPTkzZ>3BSG>dRUoiRzbtL>-siJt;96k0%_u zI4(OTLVIY!ec3iRx8l$C-#}h-kyql(;UZaxv2}LyRIPp4ei5Io5`ZMvO*3}J$ zcy+VHtD8u?ZnT&#wVF;y5;fV4*%W4{&xYcyamCskbcXPfDvF7Vge!dQY`8t%%*IO;tH8d7_s8bHkzazZx zPQ3X#oMYX{Sk_r}aJhN$PCQZP?S^->Q9|zht2XaDh+?(-2LB@`Pj6$BH?@G?dFtxZ9=-nJV zkLzF+9ivQFW?8H09rT3yb&0K}8S_{j`f3TBP|vW}U}!}HE3c#mXTkp<@=}G_b9W#_nZ#Tl9kcmd(MDo)QvoBYEk8|NNn!4m?@o#@5PJs z&E`1yfQXa+wLC9mH{`}B()VQfKmVp8eGg4VnyIT#MVhIb;8dJ*0Z_hL0bTfhU6&oliI3*p##;*TPtqK;+9;m*>aFtgesy)_rgh z7#P>XA3FQ;vE<|kn@v{fl8^bjdxMJs5Icl}=JQh5`OE@z>^rUo}?!wnfLfe=t)^#LDT%;>XHS) zHGp&z4E|2x`h;DQlajqJE**#+-2h^&BN-eqe8lH|pyQB|{I_FSu1D)vR zT(aK#gq6}TiptTs7JSzAy-&5`&@KPobC%)=h)D36?1T*pbAKj@F5+z7&m?DRf|~&U z=e06uAL%nmY5?0`X%^F9ypY290;|uosQ68qS!|K{Gfcj1u=Z*Yf~LhOUQiAyufgX@ zF)yTdVCL6{xwVo2Q3qP^e{y$H`z)OPS}J3LEQYr(Suc$VgYh<|VgJo9;)!n2+5EQ2nqrX`g;IXG8o zX_>|JekyoC&Ej3=TI?AF*{<|JP)ql<_@xBa;x8pN&gok01K7Cu#g_@M#a}x0h|5~M zC&9J&mBiNKuOxd(oQC@fW3~-UFTs>j^eDtUy214S&=g|!x}JRXB}lA(H!s~oqEfmS zq{<81>7|1EK&m_nmD2t2OqbFF@GME8QhE@c=~8+Kp3yy|mZtQnEk)AzswsWGhCnk& zw@7=6K>EIoD}B1d_a=04gkRdfl|-GWnGt*&{*K`m(}emKuc+V3JOFCX-3G8v zVA$6RVKeg-zbrlljcwU)v6Mp3tXd58{;qLc2R`6m?-v9=DhPgB5d4zw=R3)sybltc zf?vbmw+R6nE*u@E+;_60JIECIE{=7JYsh{lyRwO~`+GF6| z0o%U+Q7eVz*|giSJexk+ABp*BKS|AS7#HHwPm+);<0(@2KS}EtS@QZ5wv?gIzYMyf ziRveQT1_DMH}P}MXMhCUozI_Tij=N3Xkb4}c)$jBkr&pVwH}FRs-I&7#`d7}F|xTB zyzFpCevup(G4kN7dxnyEyncyud=7eQp_Rog#Fto5SIGspdxS&BV*QK6ZHrl6e@WP{ z`UOkNHn4yUBhZ`XCEikSl%l_Plov{eCgmp`NP5*5P5vFltk@fFO*#kB~!aN=~Z}^0?*Quw!*Vic$Sv@ z6Ff_UXTIe3;aNI7%Sbr{&obayAY}_Y^T9I+l%~CA+SF;U32f?+-?XX2tCURIC>FO)@>0Hz*8#n@N8xSx^e3%t0WVauj_*qUl~2Mf+f>LOuF=!|UT zM5h4G#0fR~K+%SZ+oLpW){MvZW;|OEDhW{~_`NjG?K6@Hmk%A9KOXX7l>4rZQ}#Md!1&&m?>5i`%q*4U=G zFxoQkXL7S3Q~^^;R$do`vB;8eHmqzAvI_fo@ai1#t5g;+SHsFnlGpLD#5oV_d@K0g z0d$_{tfmQJ#~24^v|!>dpOy$CcGOQh&9hJ?-R=xk23pYT2jHI`nX~@8OqHT&_wTZ} zIJHKPz~9>mba_tVR?zrB2v6kml5^~AmmMN5p=!43v5fNbGVO+jc3z?@@G5aGPWXV= z6Ezr4I9uiqt%OhxTvYiVlC#Em_WvPqRYSFSN&iDqshg=&eX(-lExt^LHlkrKOJm^P zBvl6y6aJ98usqE3l0_ZwgPmjZL$q@8Fn`y?r#86|#_#L`OD-a#d#*5eHt{Uk`?E-q zn^2v^A~$~}9JvWyomk}NFUh*a4f`*ro^f&9ah&vmZ1RD?K#<7@hJ@;if+1K0FG#X& zxDdw$>|B9py1L>f#^C z5@XG0H>LWIBuxh6|Bp<~BXj=murg1m6`A#wi5v%h-d@zo3Y#)*mdGM_QKov3lDa5K zjl?U(Ka%^Rv6A{*Y7ts05srpCSGWC4gKJ{GM zkLvH~HPk=Td#itCR8%iy9994J)q}qc>OcNr>fio_>cv1)^`F44>feE9)Jyzke5etG zTG%#cs4;|EJn4{{7|MfZ$?1@q7-|B~lG5LWXHDT*YWmCYtQkB@$(Rn$n!~g7j1lmx z1w2d3_y?Y~glE2t@8DT0cm^S+&`oCOCUlc1bko`l-6Z#fC=+bPCshEUj!ldUwRH{M zpu{+jD%rZwH{)GgY-rU-sC$`<&AXAD(uRg&A2QS)zbPw`0Sh4S?*ZJq#0Y^GPd8AJ z69+94-#~?z6WlDmkiuA8sIe~h+MvjceM9zFPw_^zU)2fJz=gl%EBV#n|S;FudFvr z&$A>cD6IUL$Ky%!cs<{HJl>w3B&L^*^pnuFphtDR+!^$!$2$XbE4^tb**g++E4^tb z$$J8x(VK=+y}RKVy=e&2Pm(H_`ZlS8NZ)oh^{wX+BtOxdm2>X;wr2wRHZ`ukbtTcC<`g3le@Km3nn9NcaFM^;B3*30KdFB>W~*!qpIDXVH@Y zhfN8mn;Mo*@nMPp_iv_=E)XtA< zZ)$%kYd;XZp{W} z4JoM^2$j6(y-k(BgK zU?4e?5SnNpIYk@D?V^F)fd*1svV0{lkm8bCF+>9?UbKNs5DnxmG!XLNafDm}M@Z2I zGSOU|Que^&1U1#XtzHUE?nn0<*)~gPYJO-27$F6r`wBvHc7z_KOIB{l!q8GhDG03q zD`+bH*DCX0*VBJJZTu^Eg8pm0@vl&6`mc@Vo43(_K^1%*$~*L5`RreP^FurPnh4~F z_D~~4uT2wrCDsP?57_G;KpVeG8@~bS*HU?zS|+Rp{4&X|STI25B@tLxEA9*(cC~9P zxKP#F0XM?#x8dQkiiRd!Bgr##+|}c-;Jz0FH_N%K>pMRtTr;l!foojff_pLsZgvb@ zW^9^p&5-@4t|5C1?pHBzi#h3_=VO>~jjX)TH?CQE7Th0V;O4OE#T`hzh?k^KIO^xY=_Ukycynr zY5Kxdt=eT}L%ef7p+a_ar(2??ul0V+{j+8+S$wsrjxw-+AcF<}T_ zz;L7~W+p7@OBfzxg2f(TGV|K_b4YPt#Bjs$;PS8&dfA50Oz-we7+jGLm8kj=6z=^( z$y2{kI;y`ZeZV{&;z?1)doq-n@cV2}rt+aDqSp3gsSkRx)h|57JdHd#p81~Qo*z8= z%25D|zy@%C&Vj4rRThK$bDlc=%0+O0{-ExU@;$gee^U2H?G4ZVf@i5}3wU;cI{oTq zc=k6uOIMe{vwz@OnkN~aU4&;o^;dXy37%zm2Een+@GRizpp?@Tc;@$PSJE^Uo`pQC z;Tb(nAN0bF=b9Iug*|`3vm|&1FMC^=Mw7Rd;C#B4Y%S6E8`fVB-IXwt;|&i8>{I6H zIPB9>VP$q4Un177E5$9oR_#@#hnAwWLDx3FA&e-)d9(|Cs%vuR0MGFFN zynuZvEd;y)fl~&Wyio>AfjQI0Rxu}o#MR>sMfGK?=) zIZ;J0J1a`~QpMy8AHV~wm;X=CPJNIj3#(cz%uRfDR=e`q$&;99)veiCgP)z%fxI;s ziEE04r4AC7GLkY`2?$FW*#Uo%u#^!9OD(6c)M6v4YfWN-u+)a~t)rW;0M>K=r-elyq$w=dSi;gNabdak%7uj|G0|vs zNctbqy70n6YeaToB<^aF7)K|y?g>RF%V`sZVR;mL#ltPk}a^5~7Q8BUqnNh}&fNExW$}?V4 ziVOrBcLbwIXRvWc8Wb688A4-4hR`UBA`S^0laK^fkd$~7Nnizm1det};Akd+V=W8? z5_o$oxh*wx=f5g}I_9PX-epPP#Ka|Vk~NychBMVpm%t#)=VM1@^z>Sm&xhn{O6)*+ zxvHSlRqA88s()p2Rnd~G`XE=8v^-XlRGWw8(JpxCsU%55f@D8>NKN0N#7U8!O47>3H{dSBM@Atb z#oBtYLb{chwy<}xVqNe`+#I-QQS+j9k8=*(!{)$DOKJpm+r6=(Vak6 ztOcyHltXf^lAK7Rlry)Q^*F>;9H+S*Q_;Mcd*!wV-xJa1S+>V~-u9RWgPqR?yufw9 zrWWF5rLxvUDk^xum5Vmug;zdcz9*tBvIe}EAMhd=>|!?HCDwqK8=KKX%>5gtcG0~{ zo+^?g9dtqw-s~VGPt48Ho+=!9hFCLyh)5omTI3mIAYCK38qb_6n)t#LZKYEh`QB@2 z%M6m$(3bNgTL$D>&PevSNiy|1{mvn^6p##+-tbhF5Q^r3au=eaicZk5o0x7WBe1IE zE)<&PuNSCsOw+2ed`hI>t4gc-@WigFNeU7=@3`_*wOOr}GFh#b60!OTi`9b-R@cO= zt}1h%VtLJVuhi658N9Bkt>$^X3iu2+lY;_$(&V-Bhtd533G;GgH3lJ5%#|g%l6+fq z5W$lxJDA8HAAvW;*~GE4FV|*?a=>JXazMnAr!1BTW?!x*HVtAq!uMW7d)k_P^d5J! z5HUQ~G`PVXII`B{h9oF%z+x&2_c1$#u1|i0kVtt_vn2biYE_eJtC#?qMRXwjY$Zjhp>2Y1mi#{lMA*a#u~~cG=)Dh^WGcK`J(m~UTCy|A6P!b@ z#fI+J;b>bZ7fL(^Fq2jI2c2$P;|;c!Gz--`0Pp*~nO z12T-M{rP@=#swHI<~@H1-P1slf#gds@cF?v0r$tMxZzm28%UgY>|`~N-p|1Eq=6(Q z-}h00Ps3#LdKz#NY2BWth;C2QUbM(m-5}GSammy$9+_g?&xVp(e9Wf7CQw7k)hU>e z4P|$u@nsr34JApUzNRjOY{(JvPgBV$e~Ogcc8icSqaEZ;T@aU$jieSE2r4E^Bgq

    B592{;eF}^T|8%wNlRJ6y_ zSZa+6INVq=TZ9~LEV=g*bGWfA9EB{C#*#_`blUo%gnE#MR$chpnB(s}i@)vizXso?DW4T zHC38xR|{1{te4W9b#9s}yQt}4nku`Pu~2APs9lU;6&%1=NR6YUJ!wb#d8^sCgW&a6 z@#wJ4%#@c5W0YvSd1Le?=w&zSX^&})ssoH2lP{1#f-2H_I?Advfco(7Ls8z25>deF zqodRxy>A%P&&&#dR7uj_t4E5{x%a&N5(-K`g|55 z=zCcNeJO-K^~%VK692Y3ZNFuX3cQexVdYWbIAV=&9VMOdHMIkVJz7&c$lId_fawPr z;}4nI#j_Bu-T?c+)89qAVD?`li-ft@qo~}jrxQD~BP!PdHri`inH1jE>?EmcKuZW% zr3zx&iBC`Z>f;_72`P-vvUQRkT7^imSvwh)cHF~_k{+NPUoJVOtvLAL#7=xa06tRN zN#do8GLrUL7p8DyqNV5hfu1|e^jv$;b4T=@LbbHXIkmKib8lIkYhai$ zP_itJ<2y-K?GDx%$8O>ziT#f$H%WGQ72FGl{X0n$`v^|Jw~OaFwF|*j7hC?5?8%^d zERuReBz@a|onejig$NQ2oYY{)?gAb4%}R7k^8t1`-QKK>J$v{7>s>cTYUsTi<_NtW z0wO0z1^sEqUHW@4ZhsHP>+eJ$Ngto5D;OoIFxgwN@n!tjxf(qjp}kwpIvbHrla$Sy zj_8E$T7$jvehuptpMJ>!SN-~EIlgWZ#d zWYfWIgZd#>F5Tui-Jte@sOUM@)!=TvW}q0>DFwX!m|`*4P@$n2G8jID@LY17VFPVF;n&c zZM-mzI%6^W(pAjNqqXSZ@*GyP15@0Y3SCLha*sn{ZuE25=*t3Xbo5_YqyL(X9?*@M ztcsek6wc78C6*}OZ9gl;)q{$1s7|hq*ADHw+@0Df`1=+9PJ=tKwIJ<@4Vf&Yo z>=!MKJ;;jo*vWZ#DCB^21k?oPuz}$COepg&RZh z1CmNINPuW0LW=DOH|@MZsklKYzCp>}pk!`PLK_tS1_cP00tdEU3ODapeMh*}E7i7# z+vvo7G2Esg+&&WSz~~5c+MZsK`=wJPe69V4k+9-uWsTw%;n7A)j&={L zylG6o@L2nCF;KDQDrQu8r@o?wG+po7l1 zTo9h5qfC*vAjdA6$_&)W)JS-mO@u}|d1z6^;-olTVZ!&2;@k>D2t=D;g-JUKr-!uE zRL=iU?v>T)s~-tZxBJPn`zb+6uzqHe5?nte30N>@KPmiW63TsXx|wBnLrV~AXYLFE0OS{20b6yp59W}WFcy@$ZpbFZ^9%e)rALU zE|>BkD~V$A(PVEJo|nU-v)BL*qSICf&ha-@#@vTc7r@*lHZ|HOisu{z#Kv=u6VIhK zo*j#Z=fkKAz;l_6XD1F%dnVe$w&?i?e+!5;6T!FPYvqMuzAU%#>i~UK>rHEF9~p1panPqjf2BNzVH>t zm4$pA70Q*}HV!?Z|7Yo6VR)|qhecW_aU2%&)pa=>79$=34*P5zXwjAb(7(d)Aps6c z`191^ICNNw`m%Yk)F}_I+BiTJfZ(t6uQ2?k0EcBok%#319F{wAIBeqpr4WLr>0e^fH%{z!n(6a4x3cyh8zz@t@89(`=%FcA9xi~bdcKNH}v z+KorXGD3BRZW-{7h4Q3Uk(*+mlt0&dO!sY19~`6KwU)Al=qXla%v4(d>kAzgH3Nqr zSOR38cCHX#+Q{7Ms*~MWyaut-X7L)Q%zb5(cQ}A5PX7wS-wDXO)=lQPRhpR^`lWW^ z(JoflI;5$AKHuB)85uJ?q~zCYl{q%qBU-Oj;GTof{$P)2G>oPa{VNRrBpA^KL=Z!{ z$pz*aCy#!%c{C;wCO_+xl3#29;}8IS4qF!my3%wbA^_0zSDU8eV`wVY3eO2<3Y$k7JbvMm<1x!9?<8T-Be>43n4F4s- z;d#x+$p~h$P7t~Cu*FH@3pR--xsuq$Qre2RZjWs%niDWKGco{n@D#A*$I!pR@MXc+ zw(-?jT(mA7U#veDR`LZJA|J6}d?Zt`Nj(iv0VS*rEX;%r0#|mw@Ly_(7ZF-hBbmuI zsqb-_8*;{NM>Gd$DK@kjMTS$K%3>_#)qrqphSpOC59lzIfj9ef3?dtqjlfKq$h{DdM<>Sd&OL9FzU9g7{! zEi$EEL2L(T#cWE=FEX^fh-3gQ$A$(~=^ zafr+^KuI$WvDdd@mv5sAkm+xZL6)mpq=M@sYtsXj!k|^u65{Yu1mLX*% zo#u)fC5;} z05E|qOUg2V?MljW0$YxhGX)mnie>n`2IZJm4Qv)cGDm&zf-1%|Xen%f?q2}Vl3Xg- z{0+|L1Dwa=?8^oCgb#o%E^1Ft8o}f(Ps%e|Tt~`tw5UKTFj_d1jR4{;!g2YUMl!qG z1b@mVctui?b<&emU3bGSe;ex&)OzJpvreT|Sb=0e79r$}LmjBX7lI~(3@HcUI(vtN>1 zlUdFt_w!9aahdAgM(<%~lX+Z|y%{eQWb7!&D74nKPx$b)vCQWZ00*|k0+P$orW%19 z1$~!9NE;|y%2^mdn-yl~acHyJ*>H{7P)M6+oJ}_IO1Duqz5bo_Fis@1(4OXo$DFn^Kl2p; zk+LUqUq7#zV+1l>lhkBr93VA0KGh<%7#jX1d;LoaGGEnmbZC)tPETaM4td;E=!}dd zfL5E-X3*XwwK-^YNF5GZaGDM+#T8mI2dyrt%b*=0bvbBPldE}X6Ln}B)E13J&frJp z(Y@)Og7oA_rV*#5b9l3%02dnC4vh>*rDqcZ15Vfp#f)?HNIizuyQCh6R((>Rp~W^D zlh6j>DcLbKv;?1$T-A~JK_v4-TLo<~7R=0#9lM%8O6~>oH;-hVv|((sVBj6gpW=Y{ z#0K#~EEO%an)y0MMv#sMqyZz`XQTl~xQ3*mNjR9N)(pxIuGZ2Df@>Jb8<9q=mIhfuQ@klwae(Fv6%*$afhqfckkPkJ0cH$>V6)gfy{f$Y&TUzp>!DJElI}fow`3 zdk=KjPoyaavKeV61ld?@55hvZ-&#_B)2ayH%=|f$$%S2C(j`!+;hK}?49VX}a}LQC zqybpH@ z&k*^OwC51%Kss<}^Gl0<@XOQyw$A>`o>zNpaqdVuGU$JkjvVxB$TbYQk)I4=--&c$ z?_DIFxc9Cl*K$}y6h^tuq%(ar;vt>6SG$ldHb|a}zbATcx}x8mDj*zqR@e{wU3Sut zPc8?zU4*j){HuspryO-?U$H6Im2_ppOeS5qVRj?k>|ru;Tt}{B@1>IKxc9n~?)G~; zInK%S1s&u}{I=wD0UG2v$xXm?kVfp44sxHa3gml0=TXpgY9wL=S1Eu429*MLgJ;o? z$M+A}=n%etu&sPCvq2_%kRFV(KGK7uY){hDow9#O?$FBE7K!+6HXpLt+>7*LodiiQ zu9M!Rx7`V2b05-&y{C~r+FEHT9~Y&E`tX~zh5Vp5`T85eUt`>2u&@O>n50~kRA590h~Z7y zwPH>sd?`(c07W_2jz{+r+YNTtYwYct@Y{56U-I^B{B}d(+jxMNB-apAEF%21n93!s zjSw!LPV(E7ExEqPZ>>ejBSF;X1-H-VGA}MWf=ZDJ_PjV=RA{Q=faYCcLwl#l&{PMs zNJSgkdrV2*NN!|G@+xv8rz8iE0j84l-wqR$ik_Yhw1B@RoQOdO?S!JhE=I;L7ni>riBz?T z^8p(e?JyF_wI6?Ea6tE!ZYDP~w5yYwIkX3nLEPdMoCruH?Me6b^D=r5CWBd$q=Ak4r#9-bl9C$7tngh#!3;H3 za$hK2{QXUw?~5;1MSBAPS!{m$Bc{BLBqJH68j+D4rACoaJmrIBFcN86Rj~lNAT7Hj z5+j|aiIslLJV<4mbW*l8w%PW%A@Fu;zZD8{OMg<+9b14AV_%g9F22-ZS;1+2FET>+ zK;5>VBW;W*Y$VdwM(Ar!j{4c!m~lqD=&J!q&BsUwUaSKWS1q{7uq_h=Tyzp1 zu#l7s)Oj(1OkhLnO(t+dyOZ2$au*95pt(qhCh`X9h{X5aP&OdTjlRB72pO4#^wnp? zuUZ#e!|=oKn&A5TR-d!gKZm?`0&C#1% z8cO6wkvhBCQfGr~b@sixPP|nF1WKo{l$=Oplnn$<3_!TaC`S!34z3P03PY(`%!P9w zr%_xw!dK&i1}(&F;6o(vW;5}dE@yV30ky{r*7M9$(*zEGA18k%>-O(K&RSI3e` z99Jik$+}iycM=vxj2h|om4s?GziI4Ob&?zR+D#!-7|e-e3I}s4nTlXeWi_WG5$>4U zj|j{(0>N5{HQYm{abWHycOx*cZwt2?Mk4pxy!#n}xrf}tz|1E1aA2mB=?Kh22ADZE zm|qc?8Ds_n^9Y&2ftg8WA~5p}Fbixjr$KezWRiOpnZ=+iBC|Ls_mX=Nlw}MGcLSta zf=^yD9V&y|_mTS;%*V)m9L(8dHiG$tf!Qh>GuUATrEWmEpWM%&JW1~7pgcexKv34k zU{=Z@TWkUwFdrljGMMYhgB;9<$U_L`Mgz0wY|PF9W)%SvoXLnleP9}W(97KC%^`Cb z$Sq_J2l8R^Faycr*>Hz~CHYZ4a}mU}z<1Fc@FV0A25B34goE@bc@!ZB+t&EfOtlQ} ztx=HvmIkIf5TTGojvSY8Gh=acyi(o1AM2WbIWfG~X}2Gepp zYs@*yWuD10S=92ZS`8N%+H14t4}E4N3aSD#Za7fJ=`3o%{uKiXST2j1sgMmhMK4v=h(+e8h%Iq3IxTCw!0_-joL^u_ahT z?5|=wu)4ISP(ExBTi#@T+TpCUBOol=0|jaC&t<92kq>!0isg$qT&o&vg1TEo*x0SX z=A-+YG_)HJl~3cLQd3M62PU%0NzCm2ocFA1N^Vuc)UGLWX<+}sI6g<`MXEk8Xy7l9 z0`lY4Q+3RPHjpMle*)pm$S3HbUzolL?H_KXi~_ zgl0rwN$5}i3L}3DjL-(W?{6BRA{-i`JuDlChK@|~$Uim?*>IXJi~beDF)?>TxDg)| zh=)UCWZ~I3G)4m%AIVZ}9CD!lV)U;t3rcdi8smAq>1*O3H&&ZCn3-jqp`_BF*QbIB z$?EV3jMb)20LHON3ZP^n;?xY$VrnGI&eqA$>s`gcpgHnv0DzsXlc5*IiUXhpI(!ZQ zGHih^m&g!WvivyP$;fIpQg|6|B`G!wm_qP@Zb|Jf$bzYa?m1Fh z%fkO)z+~m{7`2vcbg+IvPh^$i=LdHJF{`Ydh|jPIRp4Q_VW-suCOL{uwqYmM1#i;P z`u7>|owRQwt4fSgI@C6@`rHn5hKbok(V>c~qKGI}Vu(UpfSRc;YEe6;1y9Ddl08pd z6dnAIG^74Nt^@x4|;HGta^!xitxI^o_Rhua#%y-WNy68m=2 zTV~i|@HBavEe37L)7)aPhODs{1Jt+d#x$S3E!K*+D;%c3z$|F*IQE{^&R!BL!9=1* zoU%GlVRH&b2dReV(eJ?W5k)hEuDkf{RtXZigKU>dUv<}#wT#f$khL74*O7JZgzg|$ ziF6%bPu4St*OK)d#0_MF2%o$dNXvW!}n)CQ~?)B8rUk@eo4Y~*@>jyz|M6!M3-6_n@UH4^8} z=(>k3XVqf5-b6OBu6vP9T-Te)X4bVHONLQ5Wb0E_Hs7_Z{JtJ|$?C0lO?}!Lde@u} zLR0@@t5&GjB8%Vl1g_=1Z3xR}8Rv4TM^p=@&h_-KFpKUEyM^UDYZ)NyrbYT3PY8Mw z1{JvH$@7dBH<9N#T5KU(I3aLmn{6dqS(}^5R<6x9vW;)UWt&026jNsR@x<&Rv9t_g z+MC)Lb#(OQen?lRA2Qe$gsT|^;98yrvFv>6CQl(~QTg z;rsx5#vh=4q&cGr8lXV&_j2-Kq)qT4{!l!nk0iW^&51q| z^~FrW+ki@Ac`EhM+6q?=Cu~XWg{G0LaW*YlB|?k7j=iX?+id`C5&>|%6To;IKszBZ z`$^7w;Q{xPWdAW@LL5HpE}oeEB)OJO*b?Uxh&j<7N(Y@5bdP`vde&qRkLu_Up4wlM z=MC9XZin!ojU@65hD)HjmmgYx$u({CTD+{Oj@QSE#frbg9q zdmGeR2l}D0$?nY12j`%-Ebj}ar}Ymjj|nN^o8Um>e(|fwTn4E3iC;xtVtB+bzktuqkbBf+=%k{O102tsnmXmdl4WLUR0B&;H>PA&-s&Ts^0Q#aP ztyriIM6q0mrp?gNp^IAgB15~`Nu8NCw4Ml>U_Bb-3@;kBwCUh_GXNm#bU6v~ayD3U zgbmNQ!O~oF470RY9%(G%KK!;U0S=rd*qaW%4S#4)bg)E{@jl7mgf+!r**!pL$_ua^jND74l8qnOs0O>L-yS4i^MmPsWrrr4WDIa>}B-Rp|K?}hRj1Hp&3l( zp%TGoL7aD;A~%$24|g&ew*15PoV_7t(DDNSw>pRXhz)B1f+d*$!<^dDD2z|%|3EtJ z>AH+>KvRcH&gateAkM4Spn=#_!E4=ciD^Y|5Y3v$Pmke}AXyd^SRc@^L6`(9WCf(WiZ(dU>L^J!!A*3q(8mHA41w)B3vIVCal?o=XbvRTiW~eW$ySX%c<$wq z@$K+s_Chg8UnsDM6p}(FB9D%4ef(R4)NRGJ%9@gnPqk4->SRJDtW#j5vpousM!|WL&~RGA;ojVFefkdW0Jk zHx6EraBtYdhH=xXjua@@j8hKdiXtXsCE-9ut4P)pwk8?sCMGYFmzkKXCNFbh@(OuH zASSoR7n7`~tnu1 zFN&Dl9$!qJw#8(aE+$qoIh3iRMLn}Nz;=z5waF)@JZGd1M6x#7P(}!#;QEqwf$c1_ z&SWI3z=kqPhr(?|QBTP-rtU=X5qksbPP8!v8&ErRkaPnIBIKbO^sg{WaJ`ax7rzk! zsc5kGLgy^F7H9)vqQrUhwSl)$^3O!s;Rc!sfU-FzO3rA5X$`B5C?#p4q!<5Fr^XWqs=?5OU_9)1;=mpyWIcA3r^+Zu1)JvD zeIS~?C*@d#m2F})kRLupyGQsY_QNM>lZ0=wm;`LG`KR!+d>T8VD~@77d}c4%%Z#K? z$zIM#+DG;=Bgt78UY(Y3$aQL1`CEu?#x`5wx}CN+PMPi}`x$bdll>fW2gm`2oL@f) zp7phUSU1b4;z}ckV`=oqJga(;9Ar?wBL_JshsYrWoybQ>*RF?@(g*M1NjDd1A#mr19GN=eg#<*OptGq zHyOx3$(tO=!{jgm`A-bUS&l#s-2yQNeuNxhATN<49LTrGTRg~YPYlR=9f!r~{)hqc zZSpn+nVm%5=0F}LM-j-h7?AhzSkgn!!FNrN$H*}TGJ_oBKprQ@5y)T+$k~nq&om#- zgn5FTU@$duf`j=Ec?ZFSa|rb4f3|&_Oa{%GvcbGd-eq8N$h#bv_sDw)Oi2SwDc!iE zB7Fx4%l#%Y@00f#lqh+hgYp6S06{4qGr$L(A`OvhgAE^&4;jdc}5|WY~ zXU`vUaF!qJ$K+!MGnahK!8}P$BA9R$45OJ{0W0H98q9}3IBcIa73MN*1cI*mgnYul z)ghm7;65dva^UEDpOMeldsmasxc5FMpR@O34&*;9;rbWk3kIq_`GN!WCHa!;vM?L& zm|-KZs~}}eWL(#WkPYU6F@&$kR}5Zr@)ZZ~Yw|S*j}GA*@(p{hCHaPX?_2UM8bTzy zmF-YKas?c%G`RU4`Hr=3L%!qMe^0*W`YFur5W~&6yf$$;pAV~|eH)hH0>hawOPkMQ z{+OBIHZ_vn(ME8pJ`pVM3+%U*%>T;n!uem3?5>7K^T_s$dw_5q^Rs)}J>?c;_b$l3 z-s*56f4;{=*rkgBsEeEIE{q$=9DUpjeMGXkWH;lgG0t7ncLuqu&@7ymq5Lu1cU$JT zP%qoag`>V3>?Z{J(7(cLS{24Ed2qSgg?cVS9mNfmzvwA@tYNc6vW}>NMF?Z0;J@izP%L!Ck1T^DflvsRo~bKy!dNqv&5@_H+S(T<=14A<%uI zfjwvq%-9d61bPH?P!4oFh_|d(OEO-46D&zs%}*z`-q!^Iewc^!WMYt>bUet#d*%c5f-Y^m^4WW4UYMqB62VfISFs94=?MhE?Db%*a2 z9UsYl+@8&c0Ga*tuQ2;b0YcC7wz7F@k|T;3p)Dvm$wp`kim3rYPuU28wGsH8{uO4g z6CkveH#m!g&^DAiYa_G`Wl;b^>urSIfEhiE{uO3JH6qsqA)nU?MMCHWLb4`zW{OZwQ*d9Mk-Fx8`pPn(sxY8=&{lFt_FqfpH!CLEaT$q&x4{993%xKV#|X zLyk*}3xdS0IjXS21%|S}G($36O*nK*BGvuc7&n;o>TNe}9(ImM8|c>jM?v!=jtN>2 zg0g!tmv&`CoWSm#AJOkFBYKO6Nkvc$@hq0& z0`n*@OAIFDo5zg3m`?;VGvvrEWyPr{&k9+V*Nf!PI3MI zNPd*}yHM0G+}G&5_}NpL_idnG=4ZO_fVvUZipdjkwE;9z>`C3Oqdwtrh)uoiMiXjl zyMlSv1-GiabxP+8Ej$)09?y$l=DWbm<*h{q^H(>RJ47&Fa)DXRxh8~M*O|Q{n1@_o zR_9^TNCL>=Pvj>i53iA*IC=P){A>mZ;lwU2-DGcXduqt?L#?<4ycUJM8zYQoNZ&8y z7uMSwS6L5_v&eK+I*E>^Z)}mL(Z`G-XdqX_s){D_Io_? zH6`iXbOWr|QC3;bw=!u6TtA3g-YDFQDt1ENa-y&$+93ASlx zerKe3m;BC=;v6|=l7jNm*SxUUM<6v;bPz6}Ee7_mK8w*Y3QsLbesACga4evjnU(ec zZ%dBU@%z>AW_vL~XrP9$AfvQa*(JB>;EVE&B7oAzp3y<6=5MhHQ>bJHX*)t9SlIWEWC!a6=2{244@1v ztkb{HZwNatJb_x4=6%K>wH>Y5S=TW;`ADn#VHVHTECI^i^(gkMzVy+3yLSv?B|(=^ zlM>K5O}`;@lHp0RNh~_ii=8!ErNA#KtkpUC4Yf*zC#hyD%;j4zY4h6MVq?s8Fb2k z-ZB^_m+3czi4UImOgi~*M@1a1j&hP>IrT zk?+gKW_8(!KOQBeP>vRZcqAumn_eFnCY&2}bBejN&9U3+_aFfPnrOwQd=Lf}WP>L3 z8ya*7o`lRnryYenV084@(?pU<1{dh&l(0KK$&iNsqh%^s#~J{KG$^2UDf$g{9EK-h z)Nv$-E7|a=39MLm16U?B$Yj9E&~FG>1fE1VV4(_;oU*pS={My7M96>25!LR>fo*bg zO*XpB0mb(sxMvK?Jkv%CC~;m;1c-w-q^Zv;WBWkExQkaVK}pp}3YB^b0O^c#X!5}uS~&>$Uv;nvI;5f!ge ztW^v84Yeu_PfDAuu*PjIxugth+}6@WLTgN|U6oE0Fo-hjTG4L^J1Wa%Yzk4O!)}gG zLpR6gK>BV@J9`g}w>a9!jua!iu8p)76T8@*J8h+z)$`H4;yE3(RKD_p2MVU$D_rmW z&24GN_bgi@g5?z!eE!sgr>*2#c5J@4m1L6pn#PRCte)>2Q3#Ewt>jYBm;qTgFz2-4 znbJ<4i78}eyM%|{PF5|%96D^j76-`zo?+y~<<~Mc2vUhU0zp&(;Nfq99yrH|V1yS!A#(&D8t!IzxQyhQ=-Y0h=#QfTkWcse?R8Cg(! z&(%Qb&4a@x(lz}4AIq(1suxqcU;lv95ReMV`BR-G*HJ^JEjxw?jhMMn7IbM@rf2)o zZ%EIUgD2(8Re-J?tVMZvTAsD&N57#K72rt)y9Gu{TZ~P@T8jAwMDk-@U%K> zaSQ#1TGW6iHS88VvRx#!;9S)%F__+EU|JJk*JS+;rQcA$wctrDyI-S4ZFpLnwHQXf zp%!)ENgcZdk7?J$Fzw23qA;4$@)dMajpd1|JgvuCjH2IAi~8`SzTE<2BMw`jH3hSbh(Xk%!!Xar9iu@+p_)^?N)0hWe#ecN4o`qeWAA3N{7I$UEpa)S?+YX=b_L2Lt0+L#c*?{KS%=IJFn2#;*0Ub12* zcBr+NbCnLl#Z#7ywgsHpGJL1fZwTLZ@T6Tle0$4|+ai2>C&v5U{8kQ|PjGgM@xDFa z)1KjaH~ofi?Ep`}@G(Py7VrD;l0>=1Aa#VdJ2FVq={E%F8hCOIgJk%Wv;YaJtK{h? z*&|>_c=&4>;cZFTLKHmjr_~W^90r%KZ47Y5g%i;kDR{HB1g=G^emvzZ|GN{=qZ6am zO!^Jc>RNbmtxa`$c*BC$pHF|Vpml~8of))y={E$e3q0v!L(@$;s12gM#-b+9xcnixLA| zVfKJ_Js8Y~={E$kCp_uN!DJRYPX-8pK>*U}`rH(+;)I3LO|Ej>3%c#aPL8p)ZjThIr&Qma2e=+B@pqTdj7>bl&3pu;8%WtRnW zuq(zlLc1Fo%q8?2f=Qj50SqS7x-L|>#Z}O5f_67Cn9Jxl1e3Zc18taeQ`4!*hf280 zG%j*Ihsv(uK$?80tgb(7yirftc9DiAtjUKarpbr8(&}cQ$IXmZkI`?4R@AQ=Wb+il z2rG?L{jB@-_>aZhTV*tRpL;FKwu>#P9Sr>pW;i@Szabo`r*;d%VYLNqn5#q$ffhp; zw5RAd1daM%Lv8MZMA13GOX+Y|n72Z^TN%tX^c#XnJ+xsqOgD)dDYJ5rjEsz9MW7oU zJR@aZlml*E$!hPEy^*pwwgaxD#J_ECj&#*0!+~cTg>484J+cd zgt5NO73(p8*%*fPbMza+nmV&%Ijm!?h%v4($3eSs4CZF~4Z)<2>g^m%WJSPa=Ssu5 z+Y@6GwkO8AO7wU@U_3)^3;l+WqdxH+HgazE#5jo-}P1yNkhmfqp|UsdqdP!4%sQ<6WsW2_Q^j(6`fX2s-tYCnM-id*TjP zjHf`mDGcTg`VGOP-t1HcQ)Ev}a22^}&~6%oxr=^7FsavhH-hP0QSNkwc@MO^hrxV_ zenT*+|2bU>^DbAIGoalJ26GSnhG0@ZZ6*iPJtn_j9Fw2ORwg@Bg5}O&C~y>z)?CV= zwL`4TPd%|C=XHC7`CG%`o&_k)V(7j}zaey~BY3ZkuF>K?czPdeafE(DEvR=j+iYQl zeD8;+_p=sn({HH71MuVlvjyJrog&H4!dt#mBq2{Ocr}I1Y@2#|$l*c2;6aAnG5QT* zNBypc7R3H&4he_=@o`A+$axnMHjM8K#3S(9BMij* z^cw;}9id0fep#vqZ5KQVUEwEu4SS*C63t5X#=r`1YIzWre7M8RvhNp{J zi_hpc)PlML-%y{_THj#yY0>N%c=`-$afW_FEvO0ntl7e%*+zJ}k+t}penTy&`T3mP zf~VQNk}OG17VhN_C|I8BCV;z%^?9CtLw!>7bhFu~HLmC3>GQ0`pY$7QL2bq@W(#Xv zTjA+e*5U&FhFVZdZ=2ZyFJZGKvVfPc*@>v1eCR2kVet?BhOnTv;R_}f7Tzzy(-&Eb zOY|FRLG8WmW(z0Y;!HIs-u;EM7%QsT5_is0R0V)j0mZktLcbw=sV%v~#Mc_(PI$VL zweZkys0FnYcbP35BMd7K3K4emYDH8z#lQA8!LepHt^j5U^fGvLBAp3)Lz|#fX7sD@;vAS z&NR!*(DG#lRiob!)K}oiD<%)XRL~3Pz&Q894|`doO!^Hq+6PbeAygof78geHpu$L0 z85A>XNdfTv0AN1@UYveIzz@Ka0|r0O)J=zzIPL9rrKI)5> zQObnNWWi_GG6TyDhR?^#Y%a6a7cF~r*|udn_@d=Tm%F>%4ES7MZb!L7U$p$G@_(1V zAQUGY0#w9*ZgMpqgOpSvo( zS?MkK{Ib%SO6PphtNd4$zN##Io~@izIn@`fT(WZY%C&saDtA`7zsf`K`EivWtNh}N zR`pbkR4oRdo2u@qy4M%YP0J;@rF_v^HEK1l)e1iIYaOWdsxMl5MC~cH?}pDOYCm6l z8+`sy`>)#n_@Z^RI_2sBUUeGPxu#BMU$pMvx_8vQ%NMPmUcW^B((qZQe(U<};Pc-4 zi|a4*MH|#>(5^v8U$kMUVcCWie9=bUMp=z=e9^`qH9pn&XJ0h0Mqcwg=r?ad-t4>w z;q#@uBY8)C(I%cIktTp=la5XLHMtQ!A8)d`3DB|0S53|~0s1s;(6mF-PVo6q(-lph zfX{cDe$(`OU$oiP&Du5tIybw!+1zFee9;!uTg-2<$QNyUsO|f0KZ4Jn+g@s?`l9VC zw6E8`p)cCuc!#e#eCvyLysBfvj(NUl=gyr6biNrrS9RXfIo}uU@m!DHJznuedu8>i z)T;`7-r8$ouPN|(qSx2GfFHfD>piIV5coXY`;*?E`=Wh5=<`FLAAQljzP_dUmhnZe zpLYGD*U$Gw2R%EeaL^uKbm;n_JB9+^hrKxL(6HBi(Geev_+bRRKkCA0-{_z(I;Py1 zx?}45q7&Os>^rePe11Lg_lbY_qLZpl%A3>-KBrB3bP~Xubac`elfL#vCzqdm_2dTd zdFSN&Cj*}+zdre+$)EV5Q%X*$J_YzcW$ct0Q|^V&f+?>}c@sYWnHrd?`Jz+1O}%;Q zE%3Q!>Wfo%z~`B1-f1bm=(G~ks!gj2pF^kJHEl9{ZlCt*v^U`M(`mm9<*EFSrAzO{8}(>!OR8s!RN{an-*+=&jSnIUGRY~y729V zpD+B%7hRmOxa8s}eAZpuW^sG??7w)_;xX{KW%1s{2Yk^bNlUVq6o=1QOO`EJ;fpRU zyR`n&M)0|1>A|J1!RNP2FD$+2i!Qr&+45y8ebMEC<>i-Gg3lStmn>iIi#~SeV{;yZ zdHC2bE4(WJuN6&JT(_bpd_KS8z=~IW(Ur4Tu2>23vhtmkKdc10KVI(f29Gy}&%Te3 zetax^o_iwWiGVNq^nj(q6{))8NHed_vB>p>3IH(uX$eRudgx&F8HXMNEP zfeqz10NxvBZ&J& z{&Dj!zUcEKp1pCGTV;@qTK)gdhX0Kci)-0_q^}S?#_mTRSvc|*c$Dk zgWC@7G>09!ap<2zx6NUP%N%ZSxUo6xNYIh|M+%{R>B!Y1ADhFDW;E;j$S-^ z*&KGP%&`W?(C^snW9yG?M0?}dKgaO;H>xmI3BF$kZ#YyYQ z%xGJk?0XV*`Q(g~Yfi35d;H{uldqe@VnSjH#uPzYH>N{OXSA=yT#vzc#oUSwj)i|? z*To);J%aX9>}RnU_c$46i^Dv|b&4ArHv;YDxG&ceii?IOGYSCRT0>?}T~q3as$Z6L5F_5_co7icmy; zED^oTIT6$xc3XHo-k^3TnhV?(T}0N$dAyFt{MZ9K9Jl4P$o{yG+kWg3UL2VIB1dxU z;J%$`J4CMJ+KGKfbWgJG#9>yXo5+)#=i_)z$-X0Zo{8mOFOxaJ4;@8#a-W*x>7~fd z?w`8+DRF*|=a^zUynia?Qc*CaPSt+82{lCqi^3_nSrkp_o!bw9H7Hef?qF~+ zK@?Ao^LHGBR677O&m6VP`-oT2NiXj>uh4h#KisC4;|ec#bwtV38Xd#%l$Shj#<9|3zl&g}WLRuL&M~kJYgYvjDejL0+w^b6A($c6oj$E2MFvnYm2BJz@ z8#l+XOOpp@FLZa_KCKni(&7+04r7`gYO-aGE~I{}^R+frN|BTv016 zkFw)Pr}+c@kI}yKjwD>vN$aESIO6HzVE<#(?|6KYh)55k?l|)4=79fW^zV4SwWyz7 zM&EG;($zsb-q__34b#(jJC1p}J8<0%VQ$ely$!wN@Tbdzx4$FAJ^7v6@{dH*^f(KS zGm&mj;Qm6?JiX3?<6NZcleoV?$>ySEdY%%;X-W4da{ttbj-qvXpBl&M$q*-Ve>H2H z5$4Bnjxx*%=~8KwUBqlyPH%@fPh$m=2Nj}b!VNcNOj$?gG@jW6Oiv@n;x>t0|sB`K#uNnFz?H3ZC z1qoAHSoFxqQ|dUa8U92a9+qI*#(DWq!T%B;mZ&LuX7qV>oa-mzWbLVZr#j~ly`O|B zcAVxX=7jB^+9qC+c`eQ%`aUVM?KtC4)JfalIV8@y?+{+GWiHY0Nt$@a$$#Qb+{ul& zwq6W)(mdekgD3LjHT(BTb96Hoj=IQrrZG2%)0g`+prVBiNrj{dkQMk#+J{$|Sl`zJLZcYZ`>zv+}0#As!b zdq1qR8$}I@!>b7P zH8?u^7+PqevQSbBIoe1Kkb#X1tu$F#$=FJcc2a}n#7+j5sv@Q;OF6fcqpj3H{fDhM zPzi73@7{AySHAjhUpab94c7nhmSO3dsVw&YE#_!5HDC{5v!tbLw({A7`OML4YS13g zYX+sQftaf-_u!Uuw4E9_7i`CY^W-O`_*;}ve3|dtDd8j28e*O@plb$nG@%+i7fr~) zbNtA@tyrM^=eqwKJ*WooAw2jW1#yuw|d5Evg#KM@VuGB>%HN?SdcItWf5BbaOfyR1N53FenGq;n6)=RIE}y zeLOyO^r{-v$K+MLU$=@i%CC>lua2Ho1N#^~%Yn5&m3HUXHjTtOW!=YXT}S(>!F|m3 z<>1U>3FRMXLCNJy!?CCBx zD?cZnpB+7|209r%t@E|)Dz++LC$Fy^y{!g2nZ3=y*1a*TFSaX-C%?rVZLS791#E6$ z^&VoUvUeX{_4K$BMzx7NxdwwXG+2o$ELjEh?|Erkc1~t@S9!y^=-{VM5#PU8+qcGD{4~GNUm^F z?tkZq+hitA7I8u)PTENv$K+8HlZKM#KN2WLB~aQ*Ajc$9lat1h$Y~;li8z%=X)lo+ zlSxfb8cZf<31!MAPOF4Un+fHZRBDpaXi{;K-1#lS-H+qMQgK$LRN74`$Fx!tm4?$Q zF|`uEue#G1t_jrITDsns2YFd`mz1=9qJ8;?hyhCC$4FD(}))-Z|!;n!I$Ddr9-}lFGmI zmw%2qs3tHS=3rtT;<3X!pIbH%msK97&pdR@MKy`(G#B;x*igKw@-hA9qhn60iA={i z$%(Z8ZKk{bl>FN&HPd%$I;N+Z%ygcf1}R!aysJ_){imp7nyLxS0BOnzz5m<%_f?)| zj68MBRW+#@Bvd2Y}3gg@Xe z28o+$;-8#}zi;w2FY&FK{3mPj?=yhtDZW<*c=8NzzX@`SAC(E7OcU6Rz<)bLncxYz z@8_iJ|8w*59m0QeDe?2YpH)3bIz;**%gF1}%N)j_2=e(r!FLZ^e;8> zt11moc4?qPSRN8SK9Hrw@5&I$5cin^PwD-sOrcC+zn0i2b?ir?_O!%dT`qV_3=0Qwz zTbPw${@*~U?TN|c_^xP?G^q=dsxc3m8uu{M;Yfqjl+KT%+pKyDf1}vxMH6B z4fLf9PzF*4a@9a~6Y+Lg83-l$VFvI?e@((CIs6k0nwQy@@aax}8K|m}GSkB*2Jeel zk(7y4MSAFpoU|%cm%*wksjBp_Rf(^&S0-g7Rhb^TGSNs5)d|mk@^SKB(yFSHs!oqm zog6EaGLx!Mk5r+ItJEtpld4jxNfj}y|JWkKk^N{-kkS8>6Am&FIB(v7I_@&cOPu==pb_`lPQxu z4pSM$uzwz}Or|cDkNsk4H=H;B{TTd-NuBaT`*4{@l{Z!1>`qCJ)?VV2(Nu{`wh~9< zn)C(kPRUFBYga8~xTZz)yuTIIiZm)dGc&hTHSmmRkHT$hzxGbuQo+^6DS>%jj+Y6sEpDKJQTKH&2 zHx|D;H+}tNaaI3R{WGdj$<6FFP#I9wz!a~6G`GV|U`bU3RS`_?g6Ay0y$~uBszR75 zg^Lt9+%@HhY;=hE!!T)ypJJ@9=qJ1yv+fkxZ#V`2Y4} zFO)ad8mfq@ zBAU_#@#BiLv;ST5iW;)9GOsdkYMSw3_I=O5ugj*& zz{$El+Bfim5Ec^kPo~6dq$3sEtQd#kssDbY2kJE%sfN3R%TXaP79`d zlw-JO=s~irGPE-EqnIhJy!M`{BV>DJYGvxQV$4T9j(f&#E;}e=D`P*Zq0-Xp@0mM7 zc2ee6=1xoId>qH(Xz(t|;L6~S!>4#$A)+tM6{gCXlRnO4x@Y(Z*;^T28UAsaE3L-5YkFB<_En}=rcbLzecZ=& z*Z6qkwVyJ+GXCQ>SXz#E&-|~;0m}T!{At;&$#HD=5@4qsq!K_SKysKYt;f8V1QBwG zN&=MxY2C2Nb$s^{VWAwR5#J!gcgX9R63@RDYgK3lV81E%SgdC+3LM23U znk~J=zLyluaK~lnW>1`j5Nita_iAs|6X5f@M-g}9%NlsOXq7o&gjF%qwb1zxK<#d%SDp}H_ ziBs~JnJ~A7StUzK4!YV``YcSEm+ufSbAj6;T+UP%m-IP@D)&-$-s~3`l`!hxE=d>v zc1da%2sd72Oy-2^%py5kU1w6ZUZwW*tNlOxeBXQbUqH@PiSzISsZ99fjh+2QO(l-H zQByZ+E_fi}hRwHB!VOzlIZs`8p1j(ru9uHC=k{xlN*)*Ljxyb&nJ&YOA3rd_^#`9B zcwgex$81Zu7A=tr)U`-iB*WM|1xw?-4-z8eB9%lciBu9joCoeDQn*~A66xUvQJGJT z8$Doum!uLY1#bmZ@@2f_b9jztnYt)ty!ll*o3e9izc8s}QWqxm)zKq*!14K?rgDY4 zKBa6eQ`e4+Io|ebluD>atWPRIGG>CfIl+8 zQ;*35?stAyap$KMqvaZP$ucfKsw}HbjNj zrdXwI>dvYC+NF|9UAxpF-CM84a)Y{rrEa-Xmz#_^{=AGeyz?iDHmW4cn3JrM zH}&V$e!r-a>@nXfD08R2xzoxVI6SAjSzXMOFVc!HGvaaBFK8;!)CEm_0FsOzaQra8 zsobirYwEg|5w9m{>74A>HkEA2Sl80hgsWpoy`t)Ped{f^tLt0pO@&H>Cui&(Kl$IO zlJ3cwc$MU-JJDCu{ue7d(}CDOBuKf<0LY z=4`(2|72y4y6!1kIJ1i4$>R;jPg(Y<1WcYmR_0aX`d=Qf-+-zFR5zgN6PHx*fWxOR z2h>GS73=>h)@obw`NMu8R7sc$MNk<#`HY>O#@6vunbGo)x*jT9q$m4kv}3hj6ICLr zYodB{n2H{7ye@{zBkH=Su8SG%T9e++$9`>8$(V|DF};~a9dGg!ZrvY6+ANQ$OJwql zv~sW-S64h>zf7uxRF_Hh7BUTZ;QqIgQR+IW>bWcRT=h*pzu2#pDk;-Iom56oKBK3n zvDLk`jF%_WB~v*gJvlg|AFKVcsS;CNHq~3qG~@v{FYilU0nrJ6Lc5uaQP)j%-OT9M zqV#rt_G_m~&NNgv)0>IZ@g`4Ucf5YqmvQR)nLNX+?5oE0Q9QtFXyU&UQ$U_pNvh2B zC}vWxO+NqFZ+2CZs+(Q)K~Wm@K*IA0cRw^btFEWXR}a;-Mvd*Gdcb~7Rf(EL>*=FT zuzG#QC=za7CUfGKF@KAiSyl*PuBcrULih-qFo|$s7A4Wv7NbN%w4Fty=!rH`j27e3 zE)-+LDzq<%vEn7P?}+i5yD(`cv=+2^&=x{l1#NA#ZP9i{I|OYc+PP>Kquq-31+;Nu zf}6K6xn&g--Fl!MDJHocKpQWnx`zsrdl50seG=LwVut%6wCBZ4_b<@?DQ0=p5+;u} zVz$S8v>U}7kDF+3iMgI-g~_wNc+PVP+KpnK=No9>74yAv36ocGvB0Y<+MZ&e*H*NL z#3HZH(0(NrdlwWY?{Z>^cOSF^#8U4)Xitb`-ru18Q7kuMTujx(3e#Y;Bg9J65wvH; zD$_4${}iizaK1h*#2TNeXlIGFK5wJ_RID?H2$MNftT(qu+goffuSB~}Ja2v#?MGsx zZzf^#EhIMiwnN)bZ1z2l_Pp5Q`#aiy#8$s@!sORLZ1bCgcBRIjW`~(8SMcP z74!+(8zMS5w=e~l5hsE%KEYGP$>0lUKNK+`zQPm|C}Kkzq3t5#LRO>QBTj{UiS{>f z#@bJuwSFv2*3ZQ`nWFW1*Au4P z9mIFJ=b?RGe4qO=+Rwxfc@7Ixo)^WBdDn=a!dr-+!=D$X@I&I4e3*xP--=)J#|cyZ zH^grRgfJBd7QYubjrJ|^N1=CwsnA#A&%*Fc;X>lC!pP6Uk>c;d+t5ade+u6~`>Xi3 z@W0~UBHxHxMgJE67K2BM)fBgjA%}}Cl%m+HXg`v&IOe)|eyJ7jhjy@ZD}nJUQD3^3 zXpFY2^eFKf+H2CYB*wesYUx#~z4R^}D7{Ko6{gb7rKvQ$Q+k~=mp&(bOJBnBC(`e! zABE|u+cKcspVCskw=k9OF9XZ3M|(g9mH!m&k20jfYtmXVhcH#lD{U3w`-=T!rivTT z9+a6YBEKvCDMKq26{bp+WR^I4?F%xzD(0%{Uou~{!opOogv?(J=Ur{I zEKn^5?HO6H+P}h7-69KB$N5!nE(=$mh<33oQe%-US|b+im$GQhVX|1wH__gb#cH(? zrdoYv@!ETYsrCt3BEl+65m{u(h!$vj%2M^#3RAs(vUI(xXn&AT)ejS<`XyzV`a{r8 zlx6GhM|(t;tB>4j;3msAcnWO=S)svbv@>PJ2B-xMVq~QTH__gbl^YfjriRsIm4*Y* zPLfp{BBvXkmem@$OI_*0p5)*1OTh$_8!n z2~(R2vSFL4Xcx&wZO)*5Q8sQnOqklvkWJdc(`{drP20YT_9NM>T^3<#S5!7{*9YxL z*`i%E+Bn&=-QU90-e0zAUm0yv*}6S^*?ysH^E7hh=?=2()3ed8mF=E>1?^SYzQZzM z>aasT-5KZKxti?Q8Ry@5lI+wO=im9X?A#gW-$j#Mx>P~iOm^)u7wvl4tqc6y<(ll? zEkgF_oj!2>SKK?80H)4)JE zWZ<82=%7F3kip@?G`PGRHn<H|3; z(qEV&v&o5(gXE;hGjj51tDG{rkDNOCgq$|UUrrx`JQ>qV&KR>A?H)OE%yqQi%UNS< z%GqNvu47lrIb$!Py&~t1Yb#9SI?Ct9EkwIn&Kr+|*^p1u+7HhFaVd9?4#=o#?(jHl#@89n968Czt` zj8A3k%-r(S%x*G%=JWF0%xm(MS*7KxvxdsoW*v|hW_!qsv)ju{v-il?=SX>ZPIdXl zoTc*3IbX`R=H{1g&mAk@ox4oSHuozn`*Q`fu;<2UIi7o6%Q-KvmTO)QE%&@lTAq2= zwY>9lYT@&TYx(AXqvc=lj4&SNR^WbN#YL^`irT6!rYOD>_YOeiOtF_)utG)g$t59ITK^+H+JGacw1G!Mv_VG?XoHUx)rK6KtPMSOO&fN+v^MmOFGi zSShgZ&_!US!SZG~1ojkI{w(Xj%7Ep|)(osHSix)+!ODRZ$lf2UJXqoEZNMsk6$+aN zRuQad*eI|{U`29-fK>)79(D_?3Rtlm-NCAYmCVrytQuH}9Q(nlgO$#)8mtCbshkmD zHNnc{ED2T%?5SLnz-oh)%k?Z+9k8;wqQUBdRmimkECQ^2?pt8>z$)eb60AO0#XQZy z8h};FQwgjgSmivwfi(iFmgi%z#$Z+R<^^j4RwJ)JSW~d-d5?oN1FMyH6IgSwnt8tf zYXMd#@9SVK!D@#O0&4{p5#AoGHCWy73t(-)>W3c#YYSE{{8zAcU=72sfwc!~kS{0L z(_oGB`G9o*Yn0y$tRq;{d_RJ90&9}LI9O+}=J`Xxx_~t+FdM8ZSjz&#z`B98DDWLv zcd*t4-UI6a)~cWl>>04O1qE17ur>uxf%O7wUvL*#Z?JZSvVrvh>rluOtS{Kp#cO~) z3)ZQ4VX%H+9gCj_>krnY_i z6s%{7FTjR@JyVinKOC%gNsj#puwEq_fsF*~Te2+JD6l@IMuJ6x^()mCY&6)jWwL{f z0UJ=p3v4V{|1x#J#(@ngQygqO*uXL?z$SnVDKi;tBG}-v^}r^94J%s;Y%HoNjuU@O38RbB_S5^QeenP98H=2X4`wi;|+ z<;!4ez@DqZ99#>wpbB$v9oYOTi@?@{EvhmWYy;TBYD>VL2U}8YJlICC#kJoD+XS|( z_F1saU`y*ruq|LKYTpFg3bwp%Ik0VDtLo+g+YYue;&ZSaU~3{Sf$aoaU9Te8F0gg= z@`CLKTid8B*b87A8Z`jh1Gc`=L9o4G8yl?!+Xwc1i#V|TV4GX)1Umq>sZ{~6gJ4@* z1%Vv`+tO+b*kQ2kt)2lp0=BIc^ZY2-&Q{FxV_-X4KLd6gYU{PSZT3-W;2HVs6 zWv~-qFSNM{b`oq~o43JY!1lJy0Tv5(psfik4s3tBg5}JlL_GtaazWj`n^D>^xXh?}K13f*tS6{k{ZtqA&ORGFWtff3R1;V*337 z_A1!Pfn~s61B)A&1MC7=?5L-~E`psNRU7OQ*r`#!gS`%RcGPvS%V1|BtAM=$b}lkM z*qdPSkvxyLz+Q~xdAtpFKJrtrcfekbya4tt*h{0!g1ra!>gb$c?}NQEnl^j&RV_pIK1nliG zhrvDtduyx(>@%=;$NUBMIoLa6dw_ib_Wsz$U^l?t8+#1wORx{eZUp-Z?1OQhU|)k> z9s2{=O|UEDih+Ftc5Pf{uy4UW8aEp3JFx5HdVqZo_VKuv!F~Yyblf4ZAHhBu_aoR( zV4sis5bS5L&&HPo`vvUA_^e>Rf_*W6KG<(yU(JsM`yK4d1w58Nz;4dxvHS`4^&-}d zzrem-#Jce}*f)!&f&Bya{i1)OV%LNv+ z`aD=}Fzf1FV0pko)~o}|3zlikWUz2B+nSqT`M^TgTms7vmU(RjumWIN*JcMR2$p5- zAh1GU+1IuND-4!xogY{cupDcD1S<*_wrK=dF|b^l+JhAb%eiSgSP8H^o92R*1k1gd z=TQnQd=t;3G+5rv9OtLN@^9uimjTPS`3zWDu!5Vnft3R*u%!xEd9cD;a)DI)7zU5W0DqzL7hJsZEE4k%guxel>w&eh;4pw@b8(0mnQrnt? z)dVZEtqfQ#u&1{D3|1Sg+_rbY>VTEq&bh1$R$)8mG6Jmpc8+sBuu9uG&h^16ZodT9 z0IbUPLtqWTD({E@YXnwpM`5tWU{!Z418V|SW5;N)reM`~@I0D<)!M=HXbx6$=L)bE zV0Ctm1#1abdsi*6R$vjk@`JSotGkOHY6Dh(7d_M#tlsV@uy$Y#cdr9$57yuXFR-V< z8t=Xd)&Z>13!i~?1Z(=jOJJSAn(SeIbOvj_hxyS3tl6ILz`BC9-17!lH?S6aCxdkd zYrVH8SP!sPdzl~4fVJJr{OAeRW*^777g+m!9OvF(?e^^h>jTzd-x9FCU{CLN1A7*% z)4m&E{lGfzZwuBRtjqpNU<1H9AD9X@5UksQ-e7~kx*p`Y4F>CRfaf*@toy;%U_-%r z9;^U14D6YMJHdv7^*%TcYy?=ZL$|?3g7rQ0DcC5mK8G8FMS}G^ToP`^H25%TMxGAWHYc0U<*&K2YViD$;l~T8^IQzd>w2P*s_y{ z!8U^}jVS`Q1#Cr(1#BzW@|ezG+rU=E)CAiOwlapk-vPEJhQ8kkwmQ}ZwhL@s%wJ%; z!Pdqe0eb;#L+mQBJz(o&nX7xjHpVhn_kleh$C|SrY;!DY&H=DZaU;MEf^Cg!4|WJ_ zOWaprhrzbTT>v`*w(V3_u%lo*<8Ff;1KV+m-Z&1n`xLzq1-9$dX|QOpJ*T#UodA2` z6zkPVuzjakuVTRVo@Tv@1v_w>^(qc*|LNUer@#)KUI2C)?BMCEU}wONoIVY97VPjD z){=OzV`o@P&Ve0069;x4Eb7c=uouCOpIr#{64;5e!@*t#i$41;*ehT$XD@@j3U)G{ z_3AaSxOmp93t+MF^ua~2)A97dC9qTRZ-c!Kb~Zi=>@wIHAP3hkm#pYZ||E6rMsthx79jku$NE&#!m||If$gH!N|x zkI(O+SMZ8eSoU*62Mab?e0~=eOQ%7z`yy1S!z=R5jDzoYX`c67q|j!xX& z(MQgA^qGD~uQ=J!CFeW3bazMJJKxb4`W?OMWJg~*-_e)$9r-PFzN0U7JCa9@cjPz9 zz9aK02iKV~N7!UBucGVxwmIL?*ZLiubh4uj&Uduo?v9Q+-_bYv9mP1=(SGMU+JARP zFFN1RclsU0I@!@F=Q}!ecSm13-_Z~H9i4Krqsz{BbouU%{&v2jpY%J5ce10OobTu- z`;Pp5obTut{f?Ye27eEyJHn=QQ#^`p9Qpi(K4k zfg@LU8d=jvPORk*9J!9u$nN^ciN*VY zBlmY2*;60+ifc1C!f9l0edMdIMV{a^vX4IUN3KPl=`^yhKJqo!A}@9t*d)V0WaoJJ1ONB+#E$ibDIMz-l9OS5Z{+c}M#SsyvjwaDF_ zM$V#-9OPQ$H-q0YuKZcD=_40)E%N(LBZuiD7jrH0mrf(+)JHDiQsj`$PRBX7KJqZv zB0KkUUVY@mcUnp6vy;05YkjAqkxw6ap-abXZSOR40e$2pu0`(cbes$6Bd>NX@(`zy zi|8Y-aV_#Br;&^4Bd>QY@_wh0OXwpfz8`vEZ=7%%xs*O~;v1j`j(o~#!~Z8o9haa^icM2abHnY2=Ff$cb-a9ysz_P9s;=M|N_T>#T0P z?=*5%ePk#1qRt|J;xuw~ePk#1qRt}Ua2mO$KJsg><={6?BiGhPzTjHqU!6v-tB-uq zwaB-eMy{uie95KAHZP}<8|Wjy?pkDv)5wkVkuSRz+3GZM6Mf`2T#Fp$G;%Y27SLas{W6+vp-|*<6cU(`n>(`pDT`iyYxJ^3(drVXj4P z;WTnbedHXjMeg7^~&xm}Aq&}rmn^pW$p7I~P{ z$i4KD^STx}(rM&A`pDs~MV{(3^0WHL`CN-U-)ZFj`pEfRi@d^VLV9%E%F~u-w#IWBbRk4awg$4 z@)&*Oa;`=8avFJ@K5}{2BKtaxJV771f@_h3oJO9ck6h8U$n~6hV~RdPcY4gz z^pWek7CF{wMkfVW%4A`2$wRiiiz0+@>0gDQZzS}SQPQN$<7H_~_ zHeeSG*qa9Xeb0bhHF)jk2K{at^!wSM-ya73ZYAv(nL)o*2L09>^xI_6Z@WRi-3I;k8}vJzv|n)5r2T?x8uaU6 z(65U@zn%vD`s(}1*x;c_>qzhz12)-!%`#vM4A^o5w$^}cHefpq*kJ<}t7qO_h*k1@e0X08$z#wjzd^sk2K|Z~ z^ebb~uYy6p4oP!5WLr`Xh3qnTt%1iw3_KnZZ7?pe2FG{CU?1lV`n_V%@1jA!Hw^l{ zW64YQX+Z%B<3WdFlNuW37G$EZBf$F^HGTfEnDASc@9O zD{sK+>Y4WyYonw&W9?|bdM5Rn_1UCevkuhH2lg@CpkJgxzX=BWm}>CanFg<2oz$n+ z4F>VH7_glNY_9=3q(2s%Ta-b+Sc86N4cJQt?1BM%!+^bOz^>?-d9U?jgR%Nt-w)^U zwE_EH&k*ltgLr=!^!q1iKbuV2&*ov!&&Qyjzd^rXeLwFjw#<5F-fPQd@Y-Ak{lX3U z71H;^K8ouZ_EB2*THJ%#%H4ktW~*%Qy6U>u;a<&FN8b;ufu3QXO$=V!+Mr*1gMOV2 z`gJ$x*IVBY$I{<`4KZLN4cJ&c!+A_J*zXL3esc`^Ei&l0%%I{ z9)o@cpM}_t8uW`ch!>}Se}{27Ym2wve_$!$eW2}K+k1D}dx`fXwkrnx{!UuMGr1Ws z9|IO(z^n$$;Jzo5!F^ArhDpyYQ`4l!oT-(;K2963^Li#@GaFocGaFocGh35hQ!{6E zWLXx9-@LDzg7InB0eq76s`KJ0H1$c40tQxgMg0%ZUlTA@Uz9&lEsqWQqB@#X=@p7nSuBB zivrgK?hA|xj0=npd^zx9;G2Q(1zrvOJn&}V&w+mg-U@OH@(l_O3Jb~|R4}M$P^qBu zK~;ll1=Yj5y52#9f`$b}28|1v95g*>PSE_IWkIWg)(340+8(q!Xn)Y*;HtqjgF6Iw z3GNx(H+X39nBd95vw{}{FArWDyg7Jh@ZsRt;Pb)n244xj8T?o9?GX2nEFn2?2b({n za7gixG9eX0I)rQs*%h)s(|!rtv_4;u>NC{HV>PR&EFPm%WTVL%Vi6<6|xn#m9~|$ zRkl^P)v-0OHL zMcd+#Kkp(p{?6o<$tP1lCTpfVneu09n5k)|R+&y`I-l7qvtMRw=B!!P3z5kxh5I_c zR_1}y+B+z#^y}!^N&0o+DxNQsem%L`m#cUvO!^Jv>JqNvNiOL((mYf0M=sKDAvRc+ zVS^1{eo4!6_ABihE&0rz^sCJ!JYy$)BhV!nPmfC9dg$U?f~$B;Rr=QEYD2E#2~g?V zh^qs9n@QhZl!=r`%67^kh|kYHzexVBOPT}CL6SeblIDiytdc(-!1JtJ!VeUr`7DC+ zL3e46#^IXrb0%p%h4AK;+<<56rFjN7;0IOGybK#G7jU?l@R7N+9QS=uTB6C0k>TqK zX*tRzd?g|+hp=SBS4Gm?%Cm_yKVxny{mvt(6%Tevzc>yKe#RpG&LV){4Q{}5S<>%y zZotn@q~B-U@E14Wu`22JBRAk@BGT`7Z16X61D=YK=AH=e?~bkfZHM&t>0h2*DsdIh=t%#nST*;;;riDg!_zg=zX7{6#ws6) zk^U{X+M26)jz#)+YN5QIzwL0AET?N^?qQh`A3AD4-i&8_=E7lhTLM4|5qXkTQfa9Afdp1{)sGkQNWD zn)_nE77O-fv2p{RuaFjwkcFdRi{NS)Usjl1N|E7l25BiqR*9@886HHC=4TnIDmOIb zh8Em_=Ln>w36?A!xQZ|TrDYJ8@MXQU^y7v|uHqYZX_?F=d?PL`<9TTP7>@&Cna2(I zLR(tqb9E6{@h!BpEavK3uHp+`X<5hB=ede+Wu;{!SGRB#U!_WOf5zKNwgcg9_!3lF zc3O5x%O1;KY1z-E!?Y;AX_S^DT*5bl(h`HtneeTcw47m=bKHQhvZQ$cBJ*c4(()1+ zzJ!vNi%2lbCCVFq?$UA%Vs4H7n#W?l<_UZSe(oa8Q@MJ98?KV!M<3FB5k58Jw|vt4 z0k?j~4fxH5G~eI`{Ps?oZ*oH$Ot<+DOuVl<0{D8cUw`!T4Zv345U!5ks+FsmxjKQX zpk{Jby$e!i3dN)YFxJ3{$cxtbXV z>6ev~m#g_HCAiv>UHVXlU>|-%v1IPS)nSz3lo6D5l&u&N>j?~r-+I;|{G?F&ZQ{<4 za08x#m45rU0Y3qheh0asCH8^<`3tTl3@j(vFBgxc5RWB{;)YeQW*o^(gh(xdEjir4gkur3s}er5VNM86jkI9$yPeOG+zBYf2l2YRjc| zl=hUTDIF*sDV-pq8l?tTJ5##wWnH<{4dOG;vx$)1x!Qx$hw==iC#4sqH>EG-SxP@j zf64&LK*k$H8O+rol%bSil;M;S+&Yp=qbQM-(UdWiv6OM_GM-BlC=)4@D3iHi3S}x+ zr%|SJbq1Gaa+qduX*OjJWiI78ZkR`zPgy`&NLfT#Oj$x%%FfFu%PA`;D=Diet0`+J zYuR}nWj$pB<$20R$|lNY$`*FsO4&x)PT4`(N!dl&O?d(0S(o#>hbOa_Y##&c=h6Yn zLCPV@VagH8QHmQqi9gdTYc*VSw|L`Fz<-$_~mYvQ?DlxVn?F zhq8;Zo3fX(hFwN*X+LEjWgBH3H#|?-NI6KhmP-e?w4O_cxwM_KiL#Zlf${?75M?&| zt)|SPM1Xnk@ZJeA`3X_Qdn?4}D}g^fj?V3QMr|l_*?A6SI#;JsI&$ovrgUQG4wP1u z#gx{RC6tAf6?m=ZMbAsZ^D_Qey0;g_n_{B)c$2@%6$L4UD1|9SC`Bp7 zD8(ry*tsO76s0ufDM}eiSxPxddG5Rdr6Q#gr81=oqg3TmHA;0#4N6T)ElO=l9ZFqx zj^I)~N_|QLN>fThN+U{RN)t*mN^?pJN=r&BN^6E{Lut#^c9iy%rzssM9l5m=mpW6r zP`XmOQMyxlu*)-C>PhKE=}qaw4Sgxkakrp0a`RJY^$9yn6>vCgm;4%am)Bk13y1K4s?{lnY$_igJna3)vf#FDP$Qexkff zd5K+4QhuZyBa5ZPaP>3FMTk5hPYTT+;#QkdpVEZVoYIQYmhv>E3#B^+e>+)d!CdM? z=|>qz8A2IO8ATaG8Bdu)nGVrxT$)9hOPNnuL|IN*MOjCAp0b&;4Web`(oV_?lzo(g zlp~bm6rPM*EafyM9-@VD=|##blna#CDQ{BVp}bGILb*oy1fu2P(&v;fDK{zKQ+}fS zO8JBGH{}+@T|%^cTym#)QG6(V6bpp|=FZc152a+It)%p+S&SwUG%;gq>^%G^0+?mH;EDf=N>!qIc0+&NM1F_beD&Wt-} z#+@_c&Y5xN%(%Y?(JFH3Dut8c&iQcvn!>4Y|Aq29{QV|~8^sf%@q9f^6n{z}g(K-1 zO5sR)=Ah)Jgi{JY<+W3x!tJ z{-NB4xM>s*iVr1#5=5bkH8Ynu0dBOi8?EeCkW!4o!FJj_o@H@ literal 501055 zcmcG%31D2yRVI9^Tk5qWxn*0HY}qgJlebv5q?TpLl9#mPO|~Rki+9WImei8lR;#5} z%d+3|GmrowggpxhOUMc&KnNi~5|WT1OxOv8urGljY%{eTJJzw{p;{e)#%N4CFCSPcs+tL@o^WEy|d)2l1^|J+=*7`BA4 zcFx?(tz;Lv+H;GgY;iHO&_0}<&&)g?Da@`eWW!caSWS+|cy@U;SInkX9xu*}XIHYN zuvIOr_(E=)wdbb8R#;e#l|>z1naM0{M(}8HPx~efC;)#KQ z)8G%qn13S%ek=1YC3YUjg~XtUpBh+h+1)6j!2?6#!RpXMk*G=R7NJKisj;zyXbEgS zFcbkl5^(v6dY3OX{+Pz!r12A*UHL5}eQ9BN3 z{LUtlXZ~4D&$8lky)J0{&h4)LQH{T>@yBiblPGs=r_z6*7Uka4_=#OEf5GOHony>b zb}ni96VJKjmUMZ=XZs)N@~?2^pXl<6&+?!AYBwR15XvAbI9$a*3!{TGi2(6Cse{8( z4qZO%${%4q+oSkwPp_sY5g~cjbDa6A-NqE3?Rk~1 zKkDi~t?|b+{&`)0%+){4e6~mN*`CYH=l+V5JeNDE=^0aew)48CCm~6m_1xC@V;cXi zrYBM3+B2*1$29&uji0D>F> zuhugt&&i6a+!2#ukIH=8qbi`VM`gb4QNy(aEaf3PA4dT!+jA;Ddrsyz>H}6JgW_K%}&j; zhHcNv`juzpa$lv(yB;)rTH}vt{PP+=;d;>Uu*M(L_?I<)Vu#!DlNx_a<6qbKiDp;+ zHuKqj#b^8P>hj8SbHB{$@`}&$wnyjoSDu^swnu0E8sGNlEU!E_%iA8ExH{j%oiBeth! zdF9brzwPN+zsA=*{j%oiBeth!d3Oeg*q)yCE050lZBNhg8ejAD%L&)hM{G~e@*3av z^sL|Y=n>7+FKeDYVtaa)S00`1u{}NO*Z8)lr$*x^o&pC(V1_1dY0Gtnx|jZJblFW^lZO714JB8&jlz?Zw~->1TV9vw+Dd6 zXHU<3$J46;pw`aZ5Sv(@8;EBBS{g;189>Qve0v69dz7bV{q_vN71sFL46w{=YnIn$ zfMsn4h-fpwGOw*!zD=taudSJH&j4(X^7PENX8^WG%1&xLkV%VEdJ)XTCNAENe4BM4JJYwHY8{ z&j1V{cV>v()$8ke`iM3IEc2S4%hhIpWnR-WUz-7zc}>rJZ3bB8H9hmS8DM$LodF{D z48Q}}_4E;Y2H^H_X9f%aw*sQx_4H9~1`y*KUz-6$y;{>N4-wU705Pud?HPay7WK;0 zs|rNz89?#JHNG|jho?bbQs67L){q9;ns?7kR-u3iR zdj?>6#pn8JGk~afXMm_S1Bh{rugw6W-u3iRZ3YnI8sDA)qSLTHsXTY8346lmBCiw_ zzo_wx?g~OA-8FjD307dhi=yJI0Uv!qmv=pP^r^-#YWx7>nH+~mDu>Q`Ld>UuA&QDm zxUGuD0xeN7^L%u3%Zch#XR0~?FB%A*84@BiB&Gx4kLdi1E`LhrkGT9i^P7~OOTuL!4v8W0Swd`(&{+f^~m-!Pef2YpB;_?sa{A(`%1oNA^tD*k}@l(^SWWO$d z%axyEKG%1O_*~yS^J`9!ooV7{S`IHKAzAKbHbcHewsPwBza&DRQ39WxKMAGtQNGZYNR zW1Zr5-@~wIDvH#=-teXEkHgi$s?nP2*}%ZS{%}t*m1)^pb-g>$*%=R2hYxN)wntQ* z$ew(1?$YJzV7M!`oN4O5Ki@Fhvo9E|x>NJg=1kz&^tr=w?a+4U@4Ar6WSXkxqMc*+ zo4X#~Xqbe)#;Uuimd?cJz|kGirpJ4cZ?Wd3=Ub{@IX824cwhU?meNXJ^S*;O`{r^3 zkh?T+9CA(PgMq4t)lI3xJCdXtntQ@wj;M69LdR&PUf&FY@uz`*73 z#jZ@IW_SyVl@a&i0c{NbBDb5rA`EtypJQi|JWa&w|-=s~72SQ9;XuXcOST;f1e z?m=e%U|@4~=kiq%o3EAgi`RRWh8o&-120scBc0c(tHTd=JU%OegXxpA;eo+{sqm@I z6eO+c2rUmBx|zJ2OSU~~gMAk-l(yB}?#K^qKYl*n*mZwCwB_94?bY${i#r})AU{!a zqM;?yHFxgm^XCuW>3DSJ>5jF-O+9n-wZrp^H#@QuqjF!(?XKw7n(ChAEh?D%_+ToL zy;%F?Y~AhtyYqWnuN~TcWd34p>mxbUTgo-GuF0F-rNP+jwVGh4>TK;xdzxAXMK~RA z3Eu3QJvBait#+H*?Z_t-`#Yq?Oy(RGI^?Ywx6SFQ;N{y`*pC>5gsl*OPfF zFEQno_na#4$jZfrCr3v{I%aPiKHW0i(pUA0Sa=A*@3~-Srlqu4IpQ@d`R(G=t<0aoa_FSNr#|!ih+&))m>_`1y>UH|9JylKh4;6Ow-_7nG zgS+GUh~0s}R@LsE*`W(ht{x5rsw0F?L~l1IT5e>3&*+tl+1g#z@#u3+Y+qt)A~o1m z71SbGxL*Qle&l z{0aO+M|N;rt_|-*d8h31fYVG>@6xF|PxjT_>{z^b;rO+DV_$S@q9*Co@1Tel(odgX zD>V0IPaSRBSGb9GgMoPKL#d=XAAv~4R~??L`UZvIp_KlHTgT3vI`-Lbu`kJ_41 ze%dWR6+DuEFnW5e?RLk*4Au9XtGBKRxZc^#NF-n?6$h~A%nx}&l7 zX4l-v_O_lH;8NLp-qpLUv$d!0PDl1ZXm*Y85e;v5@X?S7_GHmsXAj-!%%3`Xx+O4O z@8Iux@KEMlerNyPp{w%TqAK@L7q?T(ftFM>KQ!9IU;^sf0@H@S{ z_en#3SKr)V{cvO;IQUA<&!iTo`tJ@-53en_>20R;;ilu$H}ko}EZz8DO`ei}bi{@z17;C~V|N6P)2iV%()YSP_z2QO^7eyFMI1>oq|ygRcWx6n%mu3!kL{;IFoMr@HzOY>LnMSV*whMq0^0MH^L#=-Dk9Wr(^dn zx8068<6il%yBGVYUeCGdDS!Ht1{xoq{A$|(ILeeV01oKVR9ErT1ya!BDwrxO*b7HD zfAPYTP`esXrEQy_DB?J>bbQ(4$hKoZG#+_)Bl)CZyes{S+MNQc;p1FEt{tyQA z#iLc{+tVG>Q*%^)t6RQXfd-nePKCJKEq1vyz=O?QchAhVUe7mn-_Kz|a_MGAK1~C0 z!gUaPI$PHi0G|$SgM-6@`pr!aBV-0WN()b&iddY&geH;-qB zLamK?<*=a-?a=DBL#m28bnikK?KwL!+6KV)t)wqJIlFkf6OM@O-|xzgts{T5?oRK@ z#i#N4!_UFaYp$IlLXP_4wORn;+}`Hv`RiRP*^^rUz^-i8kxy$AZkXg()<&C?`E>1V zOa#5ta6AgAJP;3Yd(%MR{w}2ZwwznMom@DTe6p?PX2$kTwZ#$l&t^=s0{+?TG?wz@Dvbkrmv1e(p{z=1V^YOd6&~bLWU*+1%_4C{N z{I;4qop!;g~9Xt>z?Zc?nj&j!Q+EMstK_j3z;)ln;*5^ z#=<2zyf$*XiyX$WMc1L!lf#fxJ-jgB{w0UF=sG;1?8+Q%gJbP4(E_5KaB|9RmrND) zdpz86t7E#r6F)gNdxC~z7Gc-1-C@Uc&#n)~Ri^Biu6I5ftZ&^%j$^@1=lYW4LVC90 z_%`$}^q+9`V}dI?&O5W$MreYY*ZM)XZ*+KH2lZ>gP50OzYujJgi3LU?UtVAw6q;kc zGrP8U0}HT(vjCxqM^A6YLcJRc-UCe;cLCr!?$GhbrK#Rkq#x7LYs-#1biA=}8x!i* zL!GW4bM=?ock2l|oyvFXU#OSo+MBB5@qO-srp2xQqxtbI`+=vBvv7f5b|;4G$Mb`u zt&xGj!CJTfmpv1jnlJ|kH@WGL%js=ReQUrOO+2Aicj95c&;7SDQGdE+_jJtl13a;) z33+6S7HX6pchlJ~b2|LcrM*w);U7XBu71t_&XpStC2Sl9x4YxYwIBACChoUUdb6AE zv47^lh350XU+B0yZ|V44A-_W9zsfCtS;OzjxlnVMHX?CnK|_APZU5=)z?Mg};EdPV z3m%#e^z^e&dZ_$iQ~8a3D;GnpG#`d8yXB|Eovso#9Aljq-T9*~0UX@u$W4I1T*;?- zYpip)LVgZm2W%X>=9aNhtO-?BB^#4M z`pU$iz}B&gS6*5F%DX#4gCXd-via!2Jr5i`ENAO!5}PhGCHBCs&Mk;U)gd4?jSWO% zXKFcBT^;W~m^&}3t~?l+y_O#p;fZ?uy}mn8x2N^o;hP<&Q`qOW-{@XFRU2Q<-08%6 zVMq0zRB-lI{ga~yM3wp*9TMSeLvy4+`G(qh>ZT~)aw^~4J9lb8?yI}gJ$>Wpj;)!h zuBE94tcRNVRwuAvUPvSY+Y(Q(@$Psuhz0$^n5Y>#Swi{8rzggr93{Q{481G|y{Q)3 zK$3rL4+TPt)kEdyx*-w6`eCZlQ(!%*uG%wUOJv-_r--J;J*%$GYI| ztx$BJ_GaJeso3!O_M2S=%>Qd`%?ZlC#_J2LcXl1e1|IVu?7ceBIktRja5+5lprvJZ zPaJr;Hg;RYCXOy#tGm-VLjjtW>E6)O>aoszb59-{_eRVUgrDn)=0F_yxmJ_z%I<5B zjVW4x@kT8-F@EHF{sz`@zzMDIsC^2wzPs7hL+iZY5H=jw)dmvlxc)T+2=F%%*r%`a z$jd_(iRYR^!M znIDLCme;4(yX|#nKQ??aW#(6HP6a6tVE13>hQb4_Zx3znZ3%X&elIMKH=l2B>YodC zd!JJ_ehS!FkKB$2Yw84#_nI>ethaxE;d)mgRn2#>Qxs?8Zqp4N+PJ9-g*MnI*pCp&Vd75?-H%5};)xDWIA zid#HPd!wA zkNrpBfSKPyctSvTWq!c+C!ysQ3SebNs~%zFeLvTLc5&NF`Ja4a|MaC$Vz*cB$ywCT z!K(@gV*P&4#%uXGReen1I}5u6j)Q}$bXBX#dhlEsm zZ}!8lQ+{|e!XpJb_LhK8^?bDbM$g>P9q79)>Xcue>Rh~7fBX^f*b+R(<1lRpf-nx2 z)i~&Ta_#W;zIzv;7vB9+Iu+p&L1*@G}J>?vFrCS2X03gx`dff&zAS4%zZw~iESd_SBT#l8mZ z&U$&Av0fgJscM>MJbsk?bVGI1iR!_D!G-u>>#-IQLx4>AK?;!FYe%5@#!12-<_R@F zVIJdoA~z9ARO-9c-4Z;Z`ephO{QJ`F{=%h(EsX;B9eEmGJ9M+-h4j@D4i@?_pAM-& zu9}BMNa0N31NGazgXDR92M@8FY7dOpt&CH(zCM)Ve8a%a1aO&g=QGAF<_p?Si%@x< z)A_&|)t3XD6BIC3@r=Q3heh1TC)~0e&0lSVTcytqw87t1m;I`}UQhuh@aGHl>~Dj6 zI@jtr01A0Ye&cBVc4z+LX!Eww+rZ)8=Ee~O=z~+rFU+PxSWitQaiD?xxB8~fB-?0w zcmjOGQ=;xhee-$jgOyzfY_$ZN61*M>VZ5tx34e|DK|s+Nr=i2QI#z;bIFM-1cj)&g zd$A5mc=h!xow^`tU7)RVX4X_W2b#{q|IVEv|9nG8Ex-#qBcG*C%@Lm*;x-j>`LvSbdN0_1pj_|kebcvaFoAgi{%@$Ka28`#D;dz8gIjDD}~aygLSg?Jg}_nmAn2i}z*vfBxP`a^a*N%%*!-}1$s2UfFZU)YQGyPh3* zet-K&$4Z$$;PUGf{|2`!^yHxz{iEt_uPfj$>~_@ruiaj1J}Ao_V7dAGYChm`$o>=K zh{vHbzZ_0^pWXhm#|PPAm+Ro3)-x@3JJPxcKTc3~s<_jTsImK>a7^8sf9asTmp?PS|| z^uJ>duVZeO?Qz#NY!9t_wxyhU!B1ix%Kb5lgU;<;kDUIP4=rH49H;T4>OFOm>^_3^ zuJ^f|-b4O|4)B8fI8tWU(gHu-*=IgK@arnUzp7$RdJ#rrNnWG2msK?4~tiyR6 zgqD}pxWRaqce;UV%nxe4v$A&hcGt?K2FdGaXT5;_$&B@6Gviw#`$YBWTlH1vFYRn{ z))}RVdhFx2ADKHhZm%=E<1wKQ9PNWo)DH&(GBMLk^H|r~=u~p<6#JEy;DNH8*e?jl z2bITZ{Y*GsQtKS#FN84vr@YVPm&@|w!?ez!^}k&X_8E=JAMpCf+fK_D`?y_$W1jXa z^HbFs#)X;(lP_dKIqx&}RfDwt)#_bnJT^B~FH>edtrL$dJwUk&H=K5j%wzsXKPMN5 z23q&m)g)-$P6xG%Lr-_8{T0PkX}=V_qU==h0pI>dsC8a_8{+A_UzfCwbk;=hG1c#VH(|hBA!5z9&6&euMpliXT%P zdZDRzHT(3%`S$I7^EXZ&Z%l=!4}3L?E_l49yD)h zh3O5)h+^;k*j;Qsy_Zj}1>!)y&P4~57{^j;M z1pHAvsz&WgfP*`3|6pH&{yMJk4!o?Uszp)xFNH_7pQL%Gp2t7sdwy;7w%s4BpXY~q ziie3!j8nDlI!kdhwa>x6QpLq@bd=x^8fl+1|Fr+SiUW+c&DYXCklRhgCFITC0_~#? zi@m0F1;m}Oug-vfNJLe+!#lfZf2__y(f;NA@$gjF^bqZj?ESKOMm$c9iwlS+s&TCL z#R2T!?6|>ZI#8x_F!)=>jjf;d&w=OI{*@t>ANy?h74A=GKjQdrj1P>nJsx@ThpK*C zxc&RGw2znM573TShs2lldc%^p3xKgGJbn(g~XIai3Z)(3Yuhu_YAGLm> zaZ(-^YF(35`(6#VGcT$3spI-O>mgdFu^xS$CbWE{r^Wk>ezoIUSnnY2*IAw~n!E2~ z{le|V^YM7F)9Fu&-yOidl%Ii*ZTkfK9a{I&3t)@`URMVXv7GWJz(F13ptKrFvHsCX z>}xo`y+7P$+imZ^XuYZYf*QBZy1-s=SC9pF0=2(RrY`YbBI|oeBVkgD7`9PpyGqe z6ScRu8SBmY3a_Up87VZV;_B+e;X9_&0ub}gr_^ewkw9faS-#6 z_Zj`6;#k8|eamF`L6)a@C*mIx=PDc*<7dQ)w)Y2~Q|n#CFGI(1PJtIBF)kE7;cwu7 z=G3@Q@gu5#rxVADtM#*84zFYFbZ6b8^kKa4x<|!dC=QoU>nrFviyvoGyd83fwk84` z2XoflorRUqV-;s|*4u-9!@#e4Z^Zu|0k3zSZf-Q6>)Y=+V7>K9m-_=)8CM zc5mTK@<~tr7S10K59WDB`DyF}hSq%_0P*OhU{1X+<9~mG=dat?k7PGUzttV+RxkSX zE=|SMc{bu*h*LZ93Y>3r`qI_8$o1a&k$HcX+mDnkV&Bi_>F#?K3p-B+ zT73HE??bNI`@TrquHF*j7ZkU`y3oyU^Wp!azV|yQo^8L_sJ$OT@l=dsU;FXC@&R6m z#eOZ&<+F$UPyTv;VK8}|<}1}dZMS-s5eMIfb?sgCe#caY9oL~a^s>@FakOeb;)QsT z7=CnT-%=Lqc@-DJI;kcqtfX^y!I?)(3%QwGX|RxA$}MCsEtPVG#g$g?iL=Tc_oL1sSNI#4Jqw1%yEVb%GP!qyhtc%ae^`K}BtmXL27 zL=-8kEx!D%SF_Ht@n7P*$2E!_)S&kL*8%M;d~`;ku>j-YeSfRTv+AkNgv)Q@U zRIylCORcOFr~{7*OX{g%s~6X5Xd*CVF_X_N&JPxFOUI*9Yd$kmEVROkj$xKF)+|13$rVwG~GXvT}_m1MW%oRrq-!+|-hQ&$U+%pe0eDu~YuhrnsH`b- zb5v27>E*KBko9ON%Mv$68Mz^VOnO*+RPwf1I=i@TOP6hqQ`Xr`DWjw}(Ua1m?w}zB zO83I-+}dn9=Y6D9H#;TPQFbL;%FMgsSq!A2(qB(E+Y|w#Vm33IR(u_L$||6xEJcQ) z4V`C&0w)_6y_#7-SvE>k(HMwihC-{iDw{KlkJBzz?ba%nW@9YjElp{68$msWRRJp{ zbh+x3*-c)VOH zBd)YK<3xER##Dp=&13_0_)H;#>1+o6J3X6QA+Lar)V&SN3pslHZU|`}7Tge6v6WJx zn6(S3b01(bCF>l{z~$L!fP~Dgq*3Mh#q2C)h}d;mf@-^Q)S#+W4+?_}Ko%?#J~yef zxk7OUh7d|ou41`}akQ0kKpiTrk{N(B!u4m$&dSv=h+HdPE)_eKZHnw5lAGLtCzqxJ zmTh0L(Wpu*4-T#hH!~wwrE@>YGR+`YkIHKG%q7`*3#+I+n@*Y2>e*IMb{VV>kB-sY z^wjX$Fmw`~K@wJpD=%PyrleN$81?D3B2ANUFv5b9!x(0EnORdH?piTzH?wjI_CUvK zW-vdyR4R7Z<6G~XO)4YzAhJ`J#2j79KRXXJ|Dwa4N4H_%KFjtjWfyHLxsH@H{TPT+ zKGrQaO@e5_ISkF&G-|=tsTxzAypEN}m1;=kPn>r2WXJMDxmwCj?jJ416|skPTv@(Y zSWzW!b?!l%Su7RPg@;%gEfm&pPZ|wYg_nG$G;>eci9xI8DU4z=ExU+zh$wAp3^+}X z6@&sxy-L#RO0brSSq5T=8ix9qO|;Rqu3svx^pQSov@Cqq}|BV%bc zvfE|NHJ&@sNmp5FRThP1&Vz|yCB3E?T;qgSLglJcJjaJnJy{R8vL;fTrCFIf9W#VG zNdr;(V_FS-R>FA{!$G84q;dPY8dWh-WeGJ6CQU_`vuo+p{_s#L-R&TU!(*GT`@+wiGzw46NPB zK&pX6+cjcgDtS0%ip9)hsJim3D^1>bs6~6yx9;?>ooOAa7n5`h=%kggTDV}!MKuQV zvvA%Wr?WHVhfeiSN6=Sj$f?aSYBRpF1X-0zn5KN}vp}I9Ax=lZYShrOUHK{H`$75=nTtggU=kWyvZodz=S0 zcanH6zf{c5;3i030mBE=@&hZNm%h&6C*Y+sViVS$osJo~)e=*&2JZ z??`U-X;qN`$i)ZFPo`6+Pmib159v?Cmr$Nc8y`>INZXs}TH>5L58>3r`804WZUVv% zb7JTw8ZttUOvVQ$2Xy7m6Dy}DU3m>j4@{=crO#d(K8-4rpIO@$dZwggdT8|Ynd{03 zTTC%JRUK3|`c;L6bO>2&J+06;T|y2fnA^`Rs1 z`sIi8XliokD$>E4NM9bGqy{{jx-vWoPp)v68XP<`F`?Q9enDI?@X@*zvT#imyeO!K8+ZuORLJ#U0O~fL8BGlgpMjMIE^IV#VWoi!I}Kb|YT&|F0~gjBxUkn#hmq98 zMVc}7IuOs_p2YLFC-MC4Nj!gh63^eB#Phc&@nB!=>A}H~^gwF#VtQa`astx>GZbCN z^o_|g>1#u$DOANAWxvf~1!o2a9g(=o#9afJsi(7g4%Wfrgv(?dqgU7v7{NNm&zu{& zH0qc%kvctIrqys}MamA__?pursr0~=vyM}U@$*D_2!mES4}^LuL&;90F|6U*&ZZ_N zF?c7>jE`TrJeeLpb9!j}%pgWZ&Cp!c zzPy4*e#b9Ie#g)2GVsXn_~pp&_<7`a{53=Jj6 z?m@{-UYbk|ljBCuk&5!O(+aiYXRzp-#E7?-N>MV~9z$`P=B9|*PuvprfyNg zP(9tD&gW&V{0D7(Xur1BR;QW$2Q-dz?K;b2QM+Zoo@_7IH;IqqB#`%o#nnX$_Owrw zimNlYqdl`Qm|0kuz+OBoeg^a3bfJKgfnsJ!Sl_0Xy}@~&z8!#C1Xy&AC*$PfrR>Iu zy7_ii$H0Cm{)_n8nE0glR9#5?91fc@OG^ug47H-tFIPKc{O+hae<1!aCVpT15$4P6;tDS9PtD9^S5|T=sC`t|@5{QO*3XMSiJ=w# zR9Hc@fUwT#inyX$&Hqm{|C#s#wa%Yog@CraSC}2l%-qXjsO*sPbkxJRbmIhTReatJ3M_U@yX6jEKQjX`v^t)-X zke*#bs3OfVTNqe}c|`{uq#`z;KpNBlXPyjrb~1o8WB?L2;ULEiXCk;732gJJfs~^L zq(KcJ;VBo-P6m*M3_wMc0I>9+Lo}COJ4H;D1w z8{S6fa(kXgg93D~y99xPJ3a+261t2?=<*?fo}D@xk+fyl~!IpRYCJv$kUNH~J-)!|Ri zP6lJoA3=B4I@39(ah$J20#AKP+}-dCoJ zha*Nj9P#0So}HQ+@o>b42YPlg81ZlfonAkSmv2f7t7$$bzlYajB7txBnd;(%vKVUX z!^sgBCq!0e==R}+o^4Z!G-UAMq}#;_k%kODoOHW5A<~cm9j^nc+r?y%TK(`SA-97}+v(rjO1a$ilK+jGFBLce7ogS0io~9&29eh3A?e;X0l^Kru zdYYbXlZZ5A@b&ajx2K6TWbpO$QMadwG-UAg^ij8`i8N&J_4HABE>G?A4VdVM`j&vs7}X~=-?j3R=x zn8x{ZHWK(}Ze-ncUzn})!n(K zmkKK-yo_kSAsGpVd{uSv(QCv%#8SuP}NiRo&ai1C&HI%_%o)0y>E^3H0WB@e6 za|^30_ppWuZuS-DLa5gWpo8}|IMxPEBRU}<$!7)I`@JLhP6kV#fd)dPf>>C94;IoJM_>Pmc>T%CW@|ep2g+ zb3rcZ1iC<*Q~@wM9Vf6hZFogG62!Y6UbV0i&D;v?&h|o!yz1Z^>Yk^ME$x0hGLX zz$oVdZOQ}Kc=Lc!&SS<@C-C9PLkuSm(S~w5(fQsy8Zs!+l*f;ZP7N7EoAUUP(WxPW zXj2|PGCDP65N*oiM@FZH45CeW(AC~H&~VUc#z7~#x(;D#Pn0@{oxWF*1`f($D%=l_ zP7NGHoAUUNz?D-FJj8IyA=;G34+{#Tmh+flL4i_lIT|b|(Nqq)+M7qi z0R>J?dHgt_&}rE=GY%+N>McjZ0VSHs@#BC3sO56ZIG~WJw;T-zlxQl)j{^#$mdi2Y zfC8u9ax@%JqM;lLn0oRM!zqVoQyxDKD4tr*W5xkRP`%}7IG{vRIer{a*tA@Z83z<7 z^_HXIfD%pR_;Em?(sDUw98jFpTaJbUN;H+@#{tDo%jKAHK%r1?IT{Wq(NvBf2NWJH zmt)2O1w=jN5W~qsw4oe|iF)&BIG{vR9zPB!PFgOmZRZ-5>4g!aX{hJ zaye!kPyp3ij)ntDG?jy{-sNoI5Ff}E@pBUXeRes7Q`P9#t6_zLttP#GtWb=#Y`+;R z6jk-wuVIA}4dqZ^)su%9PB}!I^7yer!PasfGgc_P>McjZ3MHD#0UVr{7xL=GnyNOx z77aZVkTq!mK-5o$=8D;DdM3$=DypDCiUPGJMSe(8e70O|Go&aY>#ep1DM~bz14MZ9 zXmFv3ttpQmE)=LO+h&Ffg=W3wXmFuKLpcr*^_HW- zg%VBWp#QyjG;mPR)|3Zb?aia%fa12MJboNd9JX8^GY%-m>McjZ0VSHs@#BEvuH|yf zIH2IFw;T-zlxQl)j{}OXmdi2YfC8qTa){yNA=*$51xLMkG#pT(DG$0jtfF-|jIYZ1 z6=4+E3WsB+uy!0dVNY#p8p@tm_ zqnZ=~9)5)?Z<_5k%jTC#kGaua>({6Ol;XA~jedYqT(%50BS4cKK7cBQ zok!7?_N09A}~9wR`L9e|yVD#a-0F=8^=0kFmRq$HnSU5Zq_#jnFfrV=*U3VeCX zb-|bHFoG}H;egNkQ(pYK6{i|iZ}%&7!KZSXi~yVzn!O6~0y>U>s@~&Q=mIg>VFY5b z1Ca98%Eg;XG#LTV>>%J)8bLLKAwS|*>OwBrVT4?=1E^Z>m(A+(mPpkH{aRg6s_X_+ zDUQ3n(p2T&U~8o6r+hlKAaK$g1Wx*cz>47j&>RF#Qk>V*%vumwC7NspCcSwykeY+Q zNs9A&^JpM72Z56m=VgzNBb6%r>cFc&1Eo14oTQ*HE5M0a6@G-^RiJ^;93D}! z2#HjE-ls>4Eho*fa}}-GaQKp6k%m%pEILV{XK&#eFe%YgI8dfu zP*mM#myMqqc=c#dG{>it6pr@h(V$3)rm}%3x8g-Eyc$0}@G8nNu z#kXBOjLPaAemxp0DTB!#;4SXhQ_Rgqs&PA|r(-A*Uak~qlM;ZgtYn&$?C~qnAW9)~ zlM#<66Y?uUz1v3+*Q246GMMbq(V1CWDi%tSYWf+y_Kri6!tqXvnnUwRip0CFV{L|hcowO~ zPdB}aG=x$PlR?0odb7!ycII)#WTbk+uStWcIf|cDFF?CZy@1VS^>x1*4W=Y-G6+c2 zYBs%!yRahFQ+`DncFocLBn9`&*v+gh7gi$G(|$D?c1hk~k2(O*s)gHnBGtIJ%hS-> zp+M4nD3J6Y3Mhut%jQFYB%J}2tFVUO!cIr33;v=t@RGc#3c#YPhDUM9uSUbJ`RE`? zCk3t=TxX1adF)rCL6_uB)&Pj!#?w%0K21o{;eqQ6lsEnwzZMOlByK7hh;yAmk(~j4 z7~r)=gQ)q;AxTFJnjUrrulMWG5K0+L_5g939(D%b=GUV^)ODlB5F{oR+4m5;C-ccmk`7nAc58StpS&dLpv9X;@#fc{GfePhOIA(4uY+bn40>zv}<+tJ0uDc}&Iop+ko-<)$`6ht6NTCTq~4L_;}r z=HeM3h`v9LgrYu8+A&Sid`y${AJZs?W3l;|CP{}c9*c=l&SM4?9l3b(XrM8l$0X@g z#hXV1jrqtWNe3t1JQ`@srz%N0C-LUdKw~~cNz!o$|By48E~-Q3kbf6WBIQ;wlzOdv+nIPO#jA zC%U?XZtNUP4P$te@4HdAywa7OH+`(H?4_M{$*A8>Z~dHmcWgUk=tSrwRS28?O;m~L z(lp&Un!cA=xrf(F_IsPJqBR3cxL-Em&xm3VdW+pqjSQU*ogpL6;&Ok#F8t<~ZryJ% z@>^wSh_m9C3S~3Y0);D3?_?|UkY$9kAPi8h^8CG`U1i|G^QjE)Q$+)Ws2sZ9HV74( z4UR%I#j%Z=Vng*ZG#R==4RaO0r71qlKE!7+a3xW$^cZoBnFr2c0~H@-zPf35bEPP1 zeT!AyMy);R^K{L4!p}m6G&j>-C@(&orhOn?ARlt>!i|-gkey}aS@@yi!y-N>leH~; z#r_KwLyE6s;`@#<5>CF1$a+^Imp^h5p-cDpZagN{)p%9Z<=Ber_MkU#KbLlAZU4(y zCqwzrBJ}{p6N(S>nUx3lGLE{NTv&Y@LZ#fZ%FYVlRFN)t5sEXQRq2U30{7jyd_8BSID@<6m(x@l5TDQH=GSIQ#RdE@ zaY4aeO*Rg*ggd#^kI;y*fUe$Rs1+LU(K-$I|GbVe^y<)SsKvh){aS7*E*937Ia=$g z@spjI!37XA__Zn8XO>1cKZz$wb92{*6Cw|+Kr0zHG&MiA@oM-z&ELZ z>2^Vy0w3f?%RL1S`sFR$FK;c2vw=$d8NG$FxMHx_WtG*T%KtZh!K7Sv6vOG@OJpX+oYIO50h`56Qv8!jvCY;M%g~2eGqK|ia)2-^BUgz@nbZd4Q)AfGO zh41|but2!w<$VR$lcc@HXxgNGH#}QawSNfhEndML`SVh=F!hW0AUspQtor1p6wixR zYLj1+Vh^YMx)ghv`m7ZDnEIR)`HAVmjLe<4LDQ-38zlBxeLMHf?lBgGNc_jgisGxbF&jxzNRQuMIgmsD*o zNYN{fQEmQ7iaw_PKPmc|`d2BAGxhIMoM7t9Qk+y&SV(b-sh||EVyaq-lqxA4mSTXZ zs1$=t#icmSRE-p8n5vWFEK><7&M~!Fit|iumH3<-*%#g>ae2Fr%C>Y$agBLh zQe0=MTZ$V@^+<7(sbf;yVhY3YHd800m}2Ub6nB_PNs(sFgHqgO-We$}Or4Wrnkl%K z8Ky2uG0W756j`P&NioONm=yC&O-ON%sVh?Cn7Ss#eWq?m@qnpYQYs%3sO8}-m(;HOsz=qh^bX69y7Hj z#S2V5mf}UGUXQhW{Pc&!w#X6kiPyoOU> zFU8j~_03Xz9jAV)6kpH0ZN}#p{{+ZYjQrb9}E9 z-^|qaN%1XAy+ev`W$Im0d>d2mk>cB#`T;4vgQ@pQ@dl=TNQyVI<{y#bO-%i$6mMqg z$E5g9rhZ(Cw=ne+QoNO^pOWIcnEHqm-_6udOYuFd`QuW2FH=7w#oL(rFH(FTQ=gLJ z?M(ewDc-@<&r9)6rhZY1cQN(LQoNfr|Ed)4Vd^tdd_PmaA;k|c^_x=sAXC33#e13h z9Vy<&)bC00LrndF6hF+=A4%~e+#jEp;{D9~Qz?Fw%l$JcKES*`m*U5m`b#N3$kbm; z@#9SW4=Fyx)Za?+6HNV2DSncvzn9{tnEFR4KFrkrlHwyw{gV_QW$ORK<*qbgsQYu> znM{47gTLRwGscEO!=SwX-a#3197@fnuVWRN6M8j zR)Rhl^0GB`gf^@x3HfWDH<@HQd z+UO%F&!COIjq(g)^vRTG5_7Z}yB@9Vwww`hp6{-3fok>ShcL_Gb1W>g&(sT!Oq##a zvXP|s<1H+?-=I@1C-v_DuxQo(3p6O6B}eD8D^EVVXxFog+Aa1hwst?e)}zlZ+QXu+ ze3|Aw!=I!1KM}Uu_SrPst@~`kcKbe?u-(GXCTzFyvkBX+{A|K@JHK2<(qR)t55`9MelISzymqectza;vM_$5)hT}|$bzwqOH{)Zd&6(*ch(3L*+z#^te z+V`=bml1S7N5g{a8dv_b5X+gSU+s%SEM#)7{tpqojD7BxTVBS#@&{dB#yOW!+A2KZ(S;OtUV3{mA}O5{sFpEf%8>&ajMW73Ij#M>JT<*h%i^mRRVp z0cPubg^4qms$qXnD5*Zq#A2q-x5dieY+^|h=5$GC0r7Hj&I-chxO9EoFDj(-GDfQJ zL9vl0*XbzLJ`?rwb$7lV#gZG?s)ieB#t(Zo=Dtv=Z z=lPb!4j8~>tJ1z>#j>VxW6N??oPIZf-4;||y<&A6bfxkQ^f9cLEtn+f8(A!98b5Zy z^tq^)Ew}tdt(R5jE^aqyLGAlnFIzNyZA-(>2IwV8FLXBOYZ7)4w!v_;zwO0Vn&oI7 z^UinXZg%7xFqqrNk@8l=!Q#^|y20YpFS^0v(=WQg=1CWQ+09d>@CKWwO5qJQPnE)U`x>2> zh6T2HgUwT=<_$JamBJfro+^bm*gWaNv>(`D^W+zOM*Nc2XT&dwJ|lif^cnF>qR)t5 z5`9MelIRBQTJg<2_6xfVYY}`Pk3Pl60w$M6U(n;P(y@%mr8zPiyEI3Nrc5=DOkY!9O3C{-y zSCEU*{u5Xj~Yl|$G)ZR+K`$Fo*tMA;W+vM& zt$yn`PCp~xi8qS0>;tQdvkR1b!a7OG`1MW%-x$n3f-dz|sPp!N;T011-3ImA6JArH zw^OQBc6{8>$yv7W^kcGdhAo^ZWo90W8i;3UM+A6T`lDn+DBF&b)H8VKZsWt%4KLNO6B{ak@rS^2(Nm0KaV(` zo4JSPLvCDN(dhd`pOdH*{Ae`t{>TULG7c-aT+Gg9=a3I?TGZM3Y@QPTP&D%6k)Oc* zBdF{(e6MneUMHk?28Lwhry?JYAr&uFY-VM6#qM7F5?U%Vj}JOVewr#`NKuME9*uk~ z@`=!^EdCE(igFr*`Ys&#S#&Kv!FVkGBKNos$Eb^(yf5qYbQUPzhRqsE2`oL)! z`T58%#GnViY1u?y++1j-E7cZP@HOioyvo$lDS;BSv z^nzr$4-H_{K73d%gIP!;hX%8Rl(Rjl8dff!i z_eRg-^~#0pO6zc;@L+XG|MKXwe5h3al4iLvDvWeLPa65-$me5`KZ^VbTINrM)xKdv zJN^oUL9Xo0N>Je#`bBvIIDW# zpJMQ1|BPX~R9G6%;tRQpwtp1XRUKzGAj%5LK6m2@#8{bsiTo=$Ui#RkV-HOQ;Rt@G zvz7JPMzrQLGsQw{Qq{|my_%hIU!*m?lqaly7mJYgy+nEP<#HPwO44;GCtTfLhobCq z$4hw#aep}eBpQrX!JkB{>q60xunupe%>k*4Aq<*mq#oJv10iEmbxG@#dW|3|rIli; zR$2p0ZIad?Q}xn1&D0ijzBaY1I!WuCbsiIYbept>m}-*N1*UdL>mpM-r8UgdbJ7}N zszq9(Og)d8OjAtFL%%U`L1^k4XX5XqFx9@!Xm)28 z*MXq?W2TXBl666&mMJH(*tu$5Bg@dlH<;>{)=jptM_RYoo@3Iw%{=6oV%`a9-C^D- zX{DJ;ZO|6w67RC?X=zO}byiw4Or4k3EK?Vxm1SyJT60W|N^72}%hI~X)VQ~!RUy*K zS@)?@SETiTjlCwV1?Jt5R-UuolGY-pPD!i4ytK5ISS};2Wu|7NRb;uWv{qPdURoul za?)Dm)Cbaf$h^F?)|e_t>k+3eOY1RHE7E#_sa0vc$kdv&o^X!G(t3$`FG}kvQ!h#D zt2p(mr1jOz`xIDhOnsxYzMjkdCTYEv%lH;) zeFL}4w@K@DOnry6zLBXnO6&DZy;)k{#5vv~t#4*6-zBYYVcz#h>swjwZPNNS=Dl57 z-_F!KrS%<5y<1vuVCws&^+u+CP+D(d>V49BGgCh-t?y)g@0ZqFnD+r`y_I<%l-74K z^&x3}H&Z_;t?yy#!_xX*ramgIw=wlGX?-7S`GmCI&b&`b>m5w}thCer<8gG~LpwBF0qXQlN%ramXFA7bjarS-#1{jRir zgsI<`*87?ILuvgeQ-3V24>0v7()ux`{+qNu$kZ34_2W$ag|t4z)L%*KCz$&0()vlJ z{zh6q#nj(P>%-hzUzFBInD-CT`Y2OhlGaai8~kr+eT=Dpme$9a`WI<^g5~~AT0g_o ze@N?-Dm7+F>%TA+kk-#KRVA%YsnTL0Y5g2i5o!Hbref0iG|Ne8{XA2(()tCaHc9Ij znW~r8FEO=6TEEOxgS38ysYYr2DpT8~^=nKuOY1XC?UL57D@$Xqkk)T-xx1zHS?0A$ z>o-|$ue3hL)P8CG7N@>aTEERyo3wt1sl(FxU6$*R*6%Tul-BPvbwpZ!z|>J`{UKAm z()uH&`lR*8tmU}0KF_?9()tsoUL~zRWokfL|BdBNOY6^=IxDR&aO!z!{W((?r1ck^ zIxMZfWNK7ef5p^gY5g_JjZ5plGjCE_|AVQk()t^wu1o80nYt;hzhmmQwEibkcck@2 zZneA8`g_(lEvb|u8H&YAJ`X{EaZNB#G)0w^u@l9^! zRh{kM$iw}!@@p~p;(uWZzW86cT=?RD<9fgs|2y;Gi~oZu_~I{f>Pxuli+Nv#cm2;S z&h$RQPlXfCI!trjhJXZ><#hGkwajwul zp~&IfVm2ImZN$RjD0Doxm@A#6pB89)JbN32?dVk*`^MPoW3g|DeG_e}^Ggf4nOte% zv5b97d9E6!wxzW@=7(-y> z$7A>b&JnF{xzb91<+cx7S*@9P!7V-=`{5XnjrYA%W8Tk75odirCxv8R^l2$-nEC}N zYB}|nq^M)+SESg)6n>17U6bX}W`KVnDMRlF zy%XD`*dKTUj^p@=UU3#~=|tqMl>EoEmeqgUgkSN{i2jq9m5d=6vDw{+P2MXO*3|wu z_GdAxE%v7|t6hm0!ba*BuFg~Er0PYm&dO-@PWP+bi1gZgrj4qIjV`)KTov40TO9>n}AB<2v+ z7+Ne%7KU>a3|K%z?T&pZh8ze9h$}MoPtk^$)e^%-U(z5%EJgj#GZMY^{Ys6*e~DqU z|EU-@`!Tm2Wb8lK%YGSA(pE&ES65o+agQR5cO!>tD7pRUL?9|S&LDMm*l`gL#H^lp z5HP6hW5g2H{gpaxQP=HaWTY;}pSaU+kPpW(*(CHnaY8Hccntr=6xdug0v|EtpVH?_ z59!wK0s-a#aBRK=LF;JcB@u3I<$Dtjx{W}eIYdCUeQ5DvVJ1V9&19yCfbE$_Gg&qF zgyRVW5gn5`avzRw=CH1sDbP+Bh^|U|`iO6hH^kyw;@hP7Y4I_Px_A>X>xyxQgyTCf zRdEJ5erxx(mLd2`xt?Z>gCnU0{I^R zRMGgmF7oc>T05oqM=tPHQv5Sh1IP{&Rd)Qv5BZqJ-`X;W7qe>wTQ38Jc^SyA-G8Cr z>$)u)%?I}1ba{@mBUeW0+03Hu&Yp`8!=1%PVAX((Uy4q~tX=WT{!%Lp?e7#u8#wIeH<9<c9${#=>eqrTgJ2TIZOp{1cYw!aKKa-Bv&l{AijWg zU_9?{Ai5ws_=uc2{;lYkBu4CQZW8Qzrr6lk3LTsqod_N1IL~OCeq74Z_mFKr57Aey z@8>l~uk41#`xv0s)o3Sq7kn9FPeoAQ6tfJ+vC-N}lacE(?P(K%<`nc2|E}{M)Ix@2CsK->}{}oikCWESutQ;v0xXj>vf()PTj3(^n<=hjW&C@@$K&zuj(@M|_-L}2At_p%URs<%&hHaeufAX1 zV9is}!<*voknz`r#;6hAg+_SyrqCGA?A~f5v7G#L{0Hi-syI%#LpXO{oxw!A$A4gz z#2%%h5E*}8{DIpQyJ&)D&J9N;lH`I2hxo6vF?n;Y$4+ zV!k|-KK=i4A#LOPa2!YMpNjt?rYHE!)x}KlG3}-o=Vknt$+3Pp{woz0W4Km5YNanw z(4UG)iZ09FRvw!)u_wFTR{Jcs8V-2GO>?!J!O z=#MIFLa&lBKnVS*Y-KnXpH024O@GEVeE|midA$`Sn?BDr{S{b$U2nxIZKBKRo7ZNb89}90A>LVw8RnGgB*e{e?yKj?kDp8LH*|e|l|sRmT4z{*Tn3U&1i}m;S%~ zO+`23dm%^{;nzD(OvTC0<`x!O!|{L7uf%Z^Xy+LJw`lxdDw-J651Y$s7hTjiLhtJr-xxD9A%P)5|smtIkGy7inyx#slk249Yf zn$xx9l!I$dA6#$|pQF#))4f)>w1-?=d2@r;l3K$Oo15A)`iMncEcZp_UWtv#+ZB=| zHYV?23c>a_C`3yH+uzJo2f+@)@8d_*_XQW;YN=K(zzcTo(|>wZsMN{W+hlhP)}xWu zX$0;&8pUWc8WY>govs>-mRh*mt*~06_F6yD)?@!cwHv*9RHNHk)=RQ8GdSqu48E>L zkM3XB)%cbysIX@!k7wu4EYey4-FyZwdX#%Xjb}Gi_4v7{JS)%R_>4R80*>vt$A_i( zSGAjyqu6XZ1*m(o!t%1S;HGYtavbx99Fr5C+t|Fl?0r(`aI3*RC_XK(#9+-;Ec?(R zYWIl0{@%p)6n7)iviAGg=36pgv87YUiT+nP@pp@_Uyu{o0znp@*2V-G5SFtt5aF~r zD8krMitrbA%P@_<4PK=ynS6E@8(AENa=YbaAkIn($cQ1SlvEDQ#X>%d_zD?R%km`| z*v!;Jyv#_GZAk6KK?G>d-PXnjhqKWfM!U30^zH~D&DZ0 zhnJ9nU7Y>vvHZ_1&Md6XX3Mu~E%U z7)-#KfUhR#Nq2M<$llny;!&GcOXN`ROz0mBLjH1X4) zia|zq{!;?}_%fmoD8c?y(E@Zw9i>+^1l_hz38(}8sb~bcWxuJ6dDXf01V!^XPLH`0 zegmiF?09|?=g7?atqpS8^+3x|sUEka%9wRl3^;*iZ8$;kfy|L%UGxnR=%UYp_?o7& zPFyT~`oky6{YD@2AXOEuNH^+JLPaam75bFGtw`U%@G7PY@F~3l33SUnC2+y&s}$wN zrVR|;XpeOIWQ0#6_nQp}`hwg6R${v zgZM2@tJSAq)i#*8I|;nM!vYCRGv!uS0?wRheU6LXQlTE(=3nEqZ53(QE`Em7w(Apy z(!8Q3jL&kxJ1csP#}?W4cP#RX3hj7H?-QJcvjTTW%oXq>hIEEbe^uRH#}?q_m3OlA zei=BRrnwrdr{B%IHW@g~JgleR#XMj`J(0= z-sh;q5%;6=mQA z^RVcA6;lsoV34Ut1P7>QIDiPyhL@i}1ZYpoPap!cwdE&IFKSk@tF?VNd2C}{z~5Q! z4K24Df2;X=6}72(ZC$A58|tk%qBb?JR#BUp*Ms#<^_HxR+R&E-eC-Q6(P~L4V%%!q zQ6a&Qu_q6jDtsDHZ+AG-baAY^99yb+3tRbCnDkxsRt;JCMz->M!FpT0Rco*kNP$gV zG-N6c8R!as%tiK;L|;hpnFDD1%yC0qH9yGayccD^uimO7bKcG7{0La@umAs_^(fM-fG9;!v0=rA1rp95UJwuAb+Vr(b%pN%o-hx}}21zRda{A_{+QxNg9ITTDm#LuQuFa?q1 z%L4ne>xObH&=i37l6>7wE3SJtUiTij$h{89XV<+8uX{ga9dJm2?z({yt{cAYhOs_i z13?R8pQjQc8vDT*AY{J>lY9wq{mF#QD+s?u!tVg*dxsPEQAw?QO_Pz>) zsm*}Bx-txGF6`G}l4-z%Ni>ZcRnYu{H2=b>|8qz&qxpl=1g0=NvB~i zz>EW05DTCdlMBG(!!+Ki@^Zlc7h{zAd+-*Nmj@owm}f%^Vbe&wg|JAnB50mhV9`SA zKM%!=slPAAs#E_!jDg34pG`!eB8d3e#4M&D;%7e_im6nqHHIg{Rd|xR)ISsz9?OK_ z0#OJe$z)JH?BemBWdmSx0eD(`61AR~dJrm#NsH!{+VV@`nQ=8rgDvmkV@+N&>Muh& zc4x75AXaERtLlYnzRnOjoM?N`deRWIdDm z$DmgD0SiBSc|^ig^OQo(-i?=aHuaB3p>vrKTpJ2OBpC&*&3>MSp=hofp4^^7lz!Ad z3ALWjw1SCItH#z?3+%^em{tudJlwBAt;48)Dr$ufbis?j1CHj6gv+3d@^8pM@oFL=C|g(sT9 zG{xIFizL~L-4X1P#092aI=d~PtAVCEh5tuXc>GD@z2M+E2w`V z#uickRTzU!`)kMNH2Jh>XW_XE3&z-|RP?pYgZZqZ;1MEq=?0aFn1v&jWaLB!AI7%-LESei7Y{_BzFQR=@1V~SPLDCz(RT%R1jySCm6GTvm+0{WX74qDuQ3MK#bfeb0$-oJoiGpE2kJY)NmS0v zjTk+7^3<^>35mQ{@?Om$b@N_>tBE_4BrHeOOI1u`iyD3-?@jQL%zF#`@bMDg0pEPQ zh+W{Xk9qII0xYcI-PC_KnrAQdFT>bASd@iF55S@5>URsB(L1!g@9!~(GJgu_NduD1`-u{CcA>YLCa54eQMC^bWO_T6Zy!0$7EHCoO`tXLz(4 ztUW`C5?FhNM`7*C4;VX=y>+s$4t~@yu57TaQKj zY&Hrl$L=IH1%)YgC$X6*o?;O{n|{I+M3QaXocf=^Q@{t-ySe*c6!JD<9?Su3!5BF8 z`Pp<8Dq@w)X00&ADw(~6V+tb4Cuu?bFQS_E;3bZBfpsr!_^lX)k#h$gWg{nhjYmbS zeXt2kOljH&j7?L~py#HXlMOXV7)`;;Fq=C9M9)h-2yb#(sH|`5L6|R^jR#>wO;!uf z05kBK-~~VVAdK}h@!*iugYfh<3lGBH0LhXto?nayN2VNPPk1HD(9`px(Nl-Tx0A?< z!Z;j_AMY@>Y+M=ao)8@i-oiL%-+jV(_^=lp4>QXEML2!zl;dGo!O| zqBEiw`$*f08(Lgbd_4m$Y>B7Rk)m6E^pc$DnCPW;_(_^KC-N=3gXcxV(fMqL1n|

    lJNjVs;RNpyXuPBNS7B(Bu#ZW_4=Pn0;BE7< z?C7IWc%pb2`-@dH+A}hg)!=I2d&XR9^65r{qf*V zIQX(dj%Eixz=N;R=)g#nUF#cg@J&0rmqY5Z<9qS=yEHl&kAp*06daxGD){V+17*l@#UDKW){8#XD& zQ!L_Vb90!2h@VY=VTz3zY!(btY|LPDQJ6{{GuWdcJf6e9$^9Y>CZ8hsUo`qVg8u{H z|2pJ&4$kJbP%T7~q}iio2>g54FIVyq7?XHo6t&@hKpo+VZQqk-mlwEYMCa~|23YWklHrCIJ+3mP|YFr@C@-r7Xc{-0pC|HMTk17W#G9B-T&=ls9g&ojsu9}9a0}5;*EjLUnQRb zviQm2a(S3q3H$>pK)|Rv7a;&RSq(gT{D_t~nc9sIqB%gca7Y7$h_^w_>=}FH;U|Y` z;9;t<*y9Vc1dQHu_|R^*O@U|zQw6^uLH?srLYT>|(}&r9jQ%*wIa?g`ds!|;5hmD10ER%!8Tw+*)0hx>xRH@9Ws{)SX4NiS86gy zYJITT)r6G_dVRQN@36(tJw3sDJyF|m?$9PK1}fdHSlpDNw%G@c)$ZKr`^jDdr^pUsdX z8ASYS;u}*C@v~34m`b%}%ce9?6V<#0McIrtFUle{D#nE5*$lSy*xr>xg7$kL0Nc=k zCzsOBHNWuKo7x}PyW!^??L9F02z#8uhJBNVjLWjYV?GaDNaGpo4GK!`kJ$U{0}#tO z06#Zre*`--!uEwQZhgYZ(!4P7MbY+WIpk#fbGV+)XDd{E?xo`H!>JhiOZzLP_iKxQ z>%ocfH(EJ|=DOckmi-+J98d)2s}Hk~MQp`zf@Y10{e%5u#Qxs?34Xkb&sW&Lz`J+q z+s%|7)5iCL*T5|MH|U6mPMFba0?Z?pjbvLR7O|PeigyQ*e+sq#u>Z`lf4Bd#$QiIC zdD{567<}EWgU_BeLJ$kKLY zqro>n25vaj_{ekl>5|0cW%7eY}X7ILRN}j|Iyj8Ix)EG z!ez|)c1oJ+>`!CI#$Xw5%@|DYQPj)5DVt}->iNh#O%q6HMQN8~{a=;g_iL;{PON^c zA@qC5*KG()Gj)JH1SV(2PD*oKtOtrU&WSaOLC@KNbcBr}VlZ6#2Q{X#W~{R6$C_KD z6U!;3u~wLKibXoJoF+7OI_8{V5jG(>s40!L#hi8)>B4eOrm>Eg)5#)TSxz$=I}3BV zSfm@vX-;F^G3RWHbWiX;?*qSX3(dC&%#g_&f#>m>>y;hr89NVdAbBkQWdPRKW97oW zYc*nhVICx<2z!FSGX1kjwb=R4ve`6#wb($mB&)M5&pS7nlD%2V9x{e|n*bTVi3a5W z*kEP#*Z=XEuvdorb!ZNlX_!U8wqQt$*{?GUG|;&ud>~=D?aM|CnKqVLB{niP3cjSo zMnk_5@0}7$WW~nh#KzJ`EYgz(YV&a~Ho+pjXaN1YW0Nfc6_fC^le&RH{DVJVLy%?| zO1(WQMjiglM`P1s(_z$!T@($+W*lzyCKs_|v-qx%X-*mM1LIRke817yY=<<8U1E{m zE*Hff-QpKTV{?%UrYmFf9nzQy_p?ZUi=1zf0Tvl(kqc<#%y3%<56(=nYwR$*)Uy2) z@a9#|zt|zAvFqW9FQrH8oUqGj>_!--Q#-wcBK*F&IXiY!?3SF^P1d*Y?S$5Qhh2TXCVLz=LQO}%MZ1>#M6 ze>TaFJpeZ?`-YPrd(a|-Y2>U(7iLcIe2P6{N4hwqY3zE745g7Cc;E>*u*xAPv)o}c z(i3ynK<-+HG-J8LX`~nC!kW(*todxta$z;@d6)~+gfW;VY{7Cz&`58D-U_)dIHV=Z zg;kY(Fn0&!zT}WrEO!)*^hFJ?Lhfr0Ifdnpw#XQZjJ3!(8tI2Z@513-4mp(_9&eEe zG}0gCz)Dv|+h3EHcv~vn+D4MP}2;AS?lscQKf}Ys*SN zi@ww%b7*8RKjs9YVJGO2cI?<(i_Ejgd>TMUSSKghVXbk?_^smg{^zfN)S*r;)VY%f zCyzR$ee4n%nZUh;90#)U9nvAPf(Fp{)`6!M)o-q};*NEyp{D8}R^pJ3Ow&cE=?KU= z(jlFg-;vU%B`wxZP*xk2)d9()9dahS{V#J7R{9R-I21k}gimltXC}PRBA3(1^JwW4 z;lN1_Ig8&U^7V;1+?7`NojIkbr3n;n>X0t6OHwYr!UfD}j)hx5;g$~R%1#OUp`G|&uV@DO_y4Yb5Wdm1hnmLhLk-h$3U#_WXFH^ua}K=rjDVFyLxzp2JFfRIuD>AOYgP23@Py>_ zaC*XK$WAYdTuUQ=pe_3VVPA)IXRY=+8aM+lWk9m6npAkDIv1d=27%;Yhnx)!g$A&p z41=uU4mpQ46t$r>NvN2SC_f4ck9NqpOgXi$XrK&ZAA-|G&Oa8pl?KoU&^bs0=(p#5 zOathQ=X^>7=s@RuP6O!l=6q?9+h_pY+?;P{0R7M$HpRdu_^9&(n)XK;KsPeyXN%lU z1M~63ztO-#jQwGeJ1lZ14P1$7pjk=-S7YK34P3`#`92!B0b>CgSb{Nlx4+9Gcf)Sk z{9Qjk+amW^)V&zd7<7M#K3hI%E*LIa=_WBfl|Zl{#c_WQ9fG6ENEc5}QYUGwzj=50hs+lg)3_ z{Fc1h^IKWuQ5tw0IZmU2Cou-Y${LKdp@DT6YexecG1h?wp2ZlvgVs@*6W4E7V0T9B zvw#tv0EaN_QxUs6WWkUzlwre7T1(Y7oXz_3RooT2dZMmgAb6fbhA~~OQCDBc>gSN* zOxNSE%`w*AKpNPLw1X&ZN87`bN&XNhIkYlw9Q;#vJ`5ve`DHY)74?mzv_I+_4f-y0 z$cX$hi#$OC+wk~!N{8U_iEw<9Lq@XWt7zaQJU)%mv3MNbl=5ddWE4C8Bn`ZR$7fSI z1&_lRkPl>gL?}PE~0cH9)vfUd{~w-h6z7K1MlMT z>nXhnk3+Yf58e7$Cj34gTtexccWF-o~-Ea_#Jxl|iU~DC&%TNH;K;*+3hzaZ@Yb{c4k#!bXPwAuli8+5Q z90o6giR^J;gGDx4%(_OJ-%*o5ZTM*}~gjsrCCGsZr$2n;dL zTV#txwoja<^GzyG3?b z;#92<(W>j~4jB3oskDEcF)zVQ*~A3sbt0KF#v1 zXt*HTrm!;Qq8PN-XZdYjkPEqa4w(Uu0dzAKj6s&;keRI17A#c=Sw#++#Y(-1rQj{1 z0Nx@lW~H`cDR_%0fVYU*P>S%^R)0ZlN_S%Uqv2p(hg`zSzk=oAGkyVl#=n%6-;TKO zI|k2U41UL8C&pmAz?U)Bm z_hM|gMLvXG=TQx81osBUMq6Z`MfO|dfJF{k1lrlh76EtJ11L2aHtfdOR2nQmxak)8 z)FPi*G4J3Km%8D;his6~X(_*ECoM z6IWT}8yY+Y6W7A-`KWWTMZSfJXgSjGn>Arscf5n31vm1crr;*9>&*_C%Z8fwupPkD zZ2>&p&SOIjJi;X1`Fz-7E5s{QEk#w}8ddKz*8C1)i(Cm=k2+)_%la6_Vg955=1(qX?ff$=^%P{SamW>{)E8K49b~O{ z$d#fd0&TOZ#d*y)~WwUgN^X`JCy#3$9KW;_Z)H^j1s|<@!)Ps|HFfO z;oyf3S9t`84>1P9^AhOTD^Pr-wq(cB;&gbu6#I|h%__!G^|;iFK&FL3Zzhup*t;)_!Q|8~gD>|iI9{Ez0^{9qx8 zh6`bz&$A6~5Zg?Et5R3459vqK4b6>j;6Usq0BKL zbF4$|U^2f_@;$#R3hU7zz91AfpuwRibD~9lqroyvG^V+APyx(d6vFJqolL>+iH%=f zg)JL@VGBMs6}AMqRt{Op#-=~uT`fL~%|Cv@_DAi8Oo1;8g>0X9IhCm#V>6|Nt+NZy zC~O0J+H+UrLikvhut_)0*QdN=vDwjzS?c&Kd|`(iP}MQb6~LgGRHNYYFvVP&;R7JQnyF$@mR0L19g+m>(j4A4aipn5sghTFQivF|6A)4DC zImf_(u@1SPPh!jNuwr3#!u3x;?eH912+y$(Fztg;`&7u9=8)x}9p0bGf7qI4T0WoU zgPnW=urV2CT}pH2;d$r6rstS9pTf&%5%d;?3oKud<__aMU*Q#?^Gb(22oDxMxQm9P z*fo%QtwSDSxnY`HhPmLSTL@mdD_Cw8%^iWcOCT3EcYK)TS~Pbg=E4L-AxuC#qE<}8 zGUtRV#%YK`n1(2XX^53jx3XN8lNWHzdp=P6u+rQzYY5FU=7(3Ngd(L6R_X;|o62#-^% zbfqiiU<#kZ(l8zr!g%ncuC$yhriELvGB1W)*z`?Q@D`MQ+aYUpr>j^9UbqWOzXzq?cSyOebi#%2!O}4N z6vFVcj=|MKIQU__!h;T3uY;?Y$1D5<6?_V%KXb?irl1ij_!6?da>z!Fg3^R){T3C# zNL2_U)zeG?zA6{O<8~oDZa6$4^(34Oux3d0uzAidJ8giwa;2EP^p`3sW!% z6*!QU?~tuJ1r^P&s0bAlL+NS`c|pV5q{6;MHL!F|D1C%OUSy}6ji;*xS+yOq4W4VF zqxn>MQC-M7#v$9;gmzMkY+6z2MaQGg6QFcGhwK2IwjXsigsc-C@{;BfPOj)|iW;MW zQYhWTAv-mfaB{`HT+|FpH;2+K9P%8odQ{>I^-3&1Tv3ztVQ4$lSF=UMSCx5 zgR0tsTsw!n%2YK(@{W+z$sw=l$Po{vZIXQ!fxDV5MXq4p=^iKl?v zRENCBRLw;jUIbY)9P&O>H4at5I-aEZY@X0GE_{Y=44sNinMy2l|0bP5uh=Y6OEW~7Q>M(Q9_a4RZ! z2(nf<-gcsUl|LhXC(d)digY%O}x zw&5%Mr|>3b--ie=h8DpX`WZVvPJkD|3<6Go7r~4HPJkD|jDKi4{9NsDTEw;~ql=2( zp~0K+6uW5fR*bz*gG({Cn+9_%Igzmh zkp%n;ljRb6yx&j`hQ}fp9=~LFd4t1oGe6-9=)X||e0DE_&+cC_=sW`@%ID-_A6HWB zkA{l_4*8lXiPGTH{0fS*ET2t-&tW3R^2KQIc}(P5K8Mz)OHLF;_P(saWwcQ=A8gPE5sW{aeW%xg?SBW@B@sU1V15!M`8VF3{TkvenJRi z;Mbg7SrzwxadUq66~hVe$PcWQ z;B<9y2O9hs0Xxy)7Z~eIgI{B;3k`mUF_=Na3De@UY48usJC_Fk##m1p!fj8B&!eFL z=JlZ=oVP3PM?*MiSA0GV*?4py4HaT+5Dk@JYzPhE{8jNV8mfzVWi*6-8pR`N=tRsL zO+$?_Him|pVQd@?wZzy28o~*W;z=}wyGRvJfnT3PLr2)~>aucx6ch_aD}Uc}flG_(U_&(YAU7=s`5 zDnvtWp`kZ0?*$rq8)MsO=zWasprH>jwv&bqVC)qd5>5Oy8u|?L-k_l`G4>V>eS@)g zXy|*4?V_PyF!nwT{f@ESH1rq7_R`S57~4m~IB8vcfQCbu_YnKOZuhHGN%2O1W)!cWxR%;%eme*p{r>X4sd1}rS@jz6gV zJRXFJxnh`@`-L4m4t4xT!}WNq8lmmoH~kDqwpEnT2?I=e)iC+76tcm<_Ql!0ybha_%tf+<#F%#-n3e*ngh=6 z)xdSz&sIXgj{1{#ru7^Hzm zq#*`rAQEYaK^mAu8e)(JDv{=VY}FU0SuDU;e~C2bV;d)nH0NVGM~O7&V>=v+H0NV` z9E&vPWBV41H0NWRI*K&sW7|54H0NX6GKw_kV~eXW&0>MZY+odi=6q~#K9S~pY<-kS zb3V2|pGb2)wnLvtb3V4eW=6q~BCz0lSY)2-M=6r0kC6VTQY?~yJ=6p>hABzPV zvu%s;IEz7A^064CB_E4HTJo_Nq$MAVL0a;$7^Ed1i$PlQu^6N!A72{Xn5|?+9u^BU zX4|lcH0NVGvWPV2V|%iQH0NWxvWPV2WBam*H0NVGvxqe3W1EhMH0NXckBBtqV>^(D zG`AmHiv=391)d_!`PjmBk>-4C>AFaBKDLfiq&Xj3buQAJk1ePa zY0k%1Pl`0>V@oGRn)9($%p%SC*dj?xvsj=pTM;SJoR2Ms6lu=K7Bh-8=VJ>LMVj-m zrFbIE`Ph0nk>-4Cm6}L%KDJIxq&Xj3sV35#kF7$(G>Ziqv*ALdIUgG^M4I!lAw#4& z9~(48n)9(?L!>z$8#qLo^Rb~rq&XiOJVcuFvEf6c8DA+|;m^}N2I)8-k3l-l$77I= z^YIv@<9s{@={O&cK|0RIV~~#X@ff7zd^`r}I3JG%O6B;$W0017ECy-G$6}C{d@KfO z$;V=lmV7J*Y01Z8kd}Nb25HI1Vvv@6EEXu0;|GsHTJo_Nq$MAVL0a;$7^Ed1i$PlQ zu^6N!AB#a+^064CB_E4HTJo`2pj3_@JO*jW$6}C{d@KfO$;WqoE0yC1kHK-t$6}C{ zd@KfO$;V=lmV7J*Y01Z8fl@hs@ED{eA0IzT<@mv4a9r~7@uO6ZA3O%fB_AI@O6B;$ zV{lyZ@$sWnjvqV*$0Z*hKT75J!DE3^IezdMq^12>4APR1#UL%~m&G70`B)6n(ta!k zY01Z8ke2piF-S{377LWh@q@=8E$zo*kd}Nb25HI1Vvv@6ECy-G$6}C{d@KfO$;V=l zmV7J*Y01Z8fl@hs@ED{eAB#a+^064CB_E4HTJo_Nq$MAVL0a;$7^Ed1i$PlQu^6N! zABzP_<@mv4kd}Nb25HI1Vvv@6ECy-G$6}C{d@KfO$;V=lmV7J*Y01Z8kd}Nb7ATeD z2aiEo^064CB_E4HTJo_Nq$MAVL0a;$7^Ed1i$PlQu^6N!AB#a+^08Q;i5x$84AOBv z9*d={=FO@OtI*wczhdi6v#P_qDeQTv_~K<%-^4TH?gV@hN>=sfY0l?4U%-22^{wnz zMJi4x7Jkx5C=zCeVP(Xu$i*zSgGL_5*iIVRg0WXH1{`*?WK`CzP(2EeKc|g#tzWP5WfFM^^a&|GR8ikk!vva z8I7#N*cUYNCdR&^k&iI;4UPPXvF|9gF!lqb6k|V8YGdpdN((Ud8>Q7S_6MakG4>aw zwJ`P%rFAj(AEl@8SP7x@42=0HeT+U1wkioy`V_{%U#}cv7Nu`sxg1J&V+_1pj=`gO zG`AVXY?|8Wx;1#G;b)zTG6~|7(10lu|7&pr*;Z(C}kbxYb)yD1*+NbU9*JCoYo zFm@KTu|<@0rS^rG*PYr^Fm?{L=U}V{wJ*b1FKS~Lcv}$CzdHcdaWEq zwFHT!r4jXFA@2d zif~TS@wp;@o(SiQ@Unz@UH}{mlhT(b>A6D4T`8`6kqEC6;ngC%MwGiYN&Y&KzgU#J zUZigj;f*4^NrX3x@D>p+5#g;u{x*@mU8L_2;hiE}D#E)&c((}e5pws6^fD3NC-UzX z;R7OEF2V;z_>c%!i11+%J|e=EB79VYkBRVc5k4WpRU&*+gsVmPlnB>|aIFZ-MYv9c z>l5tD+Sdk=zfpuwi|cwugwKlbIdOcG2sexT=S8?hgj~S%j~M@Kq7MCc@W6_=eznGvPe!etApezb(qWBf@t@{w@)|C&Kr|@ef3}TZDT= zxL1T9ig2Hh+b_}wM0ikyABp21i|`YX|EUN+6ZxNu@Cy-sDUN?7(qD`48xejh!tX@* zy$F9uxPDg8KMFlRiQ_+u^e-a)s|bG+;qM~+BjNu36ZHI*l>S?k`zPUi%-;Ws{QpFF zNIeeUi}-lu^NG+e!hi^a3FU#`7fMKjeqT5#ot2cflG51;+|X~qY8qwS^F_pYDcZ%C zE5baH9~Gf3!k7qQJsBGpeEA|Q5c!27EbqSa1P_n& z9mVz3!tvc#TZDB)c(kuBKYolzA1lJ+M0mUiPY_`}QNF%NHxTKDB7LF=PZD7x5jGZK zsR)~hu&IzgS%l3**jyZM;cLnHT8Z!!5uPf-(?oc>2+t5d ze-WN9!T};2D8dUwI7sLjEYd?nI8=ngL^xc8Wg;9Q!jU2zCBo4nyikN=L^xK2<3u=K zoOglUJ))6;e8^!UxW_`Jrl;R+Ex zEW$@bxKf0VitsUEzsE)T388Fn*<5l_`Kmp-%EsatzWO)_e!@0+eoOvs@1-?>gD_1sbB7UzudR4-1kwp z`t=%=`#$T_U=;`iO6I`75#sxoI9a~US-x*e=0Zl8!0HmsZf7PsK4Bxz@f|(>R%6*@;Qp7{*zFLT%g!bdRHk_ej=3L7l-ou z-JrnD&JfBU@?xn11u86|vfQA+^~n&*=c)QssB8(9;|2xJhgAwys91_hs9ZOwJYy)o z?WOvP6sVYla@?T6H?2x7r9u@*s6sa=aFa8H@>la>sba-a)g@Gk8&nNrC~pm2g{mo` zj&OrI(iqBL%ZsI|DVC}&q3XCn9c>Kd%~C2R7*FgR_0KiEcKL9eWysMQ{A9WGl%kIDHZB;33Y}W zRBLl6PnKGvSgMVLYU>8o&K$~4 z&8lLkHxx@`59mjZQ}gj(PR1ly0eO*4k(sdDxvOjgM$46Oz%EV)%URi zb&rI)*9~f!Ih3dBQ=#sYQ1`n*!A>uxmhuGksbZ<+66!%WsE5pdqm${fm5_5GqiJt?79yFoo=4&}*GD%2VY zwbl))+#Jf2rG8Z`wN655gZcv-dp*&UJ zAq8r)gnHf$3O1Rk(jHxf+A5)5aD#&VZmJT>?^i6fO+syVgMzJhsuU`qK)ocPcDg~m zY!3CRhsR-mt^)O%gnHc#3br9KwUj5QJO%1a3H6p6)Z0}I6;+_#kx=itLG7wyC|iMg zPeQ%#2K7M|L&X%R-4be#8`Rz^hEm+${2xlFeQr?us~Adke>)(d4!S{oRK-vQO7(p# zp+0eg`m~CnRIi}VB-H0_P+wFrlQ%w#Tc|v9G2A^{a&X%?%26>NAD<)5}xB5en2_66$X^ zsDI3%JhiDK6{vqD)PHVJhs>coS?Z`nr~rvW1$-`00lztvCri~*paK#q=mr%sh6-eP zX;bYKC`&?RyFulcLs2hKlNG3336LzPIV8g5Xq@u*?v8934l)Iz0A9VMY^xk1%7hdSB=6q&0)N#Egso9{6D z9eGnrd4ig!KuO=>fSd0y`!Q=%C@&u&$tDF#`VI%&e23XjTbn{P@=|@96{yCtO_jQ} zsV2ry-d3$C&Juy966$0(sAlF+UL2Z<3e{XfwQz%KX%6LS)tchL5ojf$PH}@e)f~!` zrBtZXB-H6{P-hrJ1=@IN=pQHzy{&|5=LXf@9IB%isC^2Q^oR|(dBn0GtTycux_E(7 zzV`*XN=tQfvs8CuC{L?F$R~=W&X!Q;xIvv;#Zbye$UqMX)zb~CR~18jrdaAc3Dw&T zs*gF;ATMo7`7#>lE1~+iLG?F=3JmZ9^_60&ffDKhH>g3zP<~IAQlSP*s3C4pL#r6- zTg6htB-C&>s4{aXFAmK_g_0hz0XL6W_FMCYou{{c>N~|!(jzwD<`K(&_TCiAQ=3wu zq(^MP%_BBY36EGW&Zp#i#ZuBEHsIzF8>oawtS3vUP|_nd;N}q81t@E63 z-X(adzMqupyG%kYaD!TC4&}uomZ(sdOQjrh5F_fp(wMkHmCDiq9P&XJu1#a?k_orIibA!6i9LkgD zzTy=WxL-m&;0Cqa9Lmcxx=)3AP(nTA2DQQ*%F9Du64b*I>Jc}nmF7^MsxPG6eUD10 z$K0SEH;3}_l;EQZ)DseFl^fKP=1^Xq5|W@+OQ@&Zpw^f}dFrQBORbeqS+n}j2qOm=1`vQzFeiDKPRC!xj}6Oc;^`aZpwkn2FJk0{zCDaZ#sF$i3s!(ZDJ0;Z1Zcy-(xQ0_f-r7`= z0`;nddd&^$^(ux^eDVTsNT@g6px&xtD8(l)@V10{#|`S;Duz;g@&dag)O&7F@WZZE z+NKnryub$%YPTEI9%CrK7yl`r;*%HHE1^DggW6{dRV$dPZJzTP~SrGA%Cf4D*YX%6M-#X*IVzQX}G-{C+de22YE?)%y(mXf~10XN^_KqY*K zJwYiRu|erO9CY&?4pzc<*vmY+Plb}c!$CLS;h?$iu(vLuePZ(W_)ib^Qk4J!6Og>ocRz8h45Ih3cn z?*heA(#tyN=4Bl;_p%Op@v`;}Q=p`mbwaohYGBa)WAQ4pr&}YL)`kL_#%ngF3m2p)OXSnn|eUZcr`Ep*&UJ zYz3;NglgpmbxIXOU7|ppDxpqugF3y6p)OUR&X7>8-Jsf3G1MFdO8TP*-Tcvm=Kkp3 zx&&o0Pq2fuR7W>Ub*f@ZDT{f6XG*BfZct}cG1Ppe`npJ{u5M7>su=1r1**G*I@=BE zoGOM=*7pU^l~6t0pn6s@)I!Bly(H9mZcx3e80vBbs*i-~>ju@&7|Pr1unN^*LY?mh zHNY6kTR(M$VkzmlA9VBF51M=KdxN@Cff_6=HN?$QLyaxvt@>1`VG?S%8&sJwls8K) zQYLXC8T8f6Z3p@%0=e{BV7jD#BN1~twcYJwN2IttW82{p+LYO*=hR4-7+D^SuO zJ?Q3-9yIqy56<)gb%FvlOIqq;H%rYnx74MXL(P#;bKRiknL}NcIn)9Pwa^Xfa&xFF zy+GAds!w`Z2i?4^gXUh=-WJ>|-}{2n%R1=hWgRs4vi9Wq?N_0smvzw1%Q{%aUe-xa zH_A43lUtj**|<%4a{W$%xy+EC$Ks_X@Z-ra+J#1WkelMSE{VLQW z5^ALz)T72w!NB^BoSF`wj=6@&Z+=K&_FMTI*)1a&t?q_X5>Kf!ZLU zHo8GQUByt%6sTt;)U$3-&s8y0a|LRXgxc%|^?VgWwNRk8NT{uDP%l(5R7(ZwMG3Xd z4QjhN)Jt9(`Y8&O^oR|*dBg_IJz|5ed4W1rfqGq9>J2wby=iW#x4l3qzAnLcB-FcZ zP`k{bJawKb)O!-@eK)8N%%S#pvDE2G^+`X^pqrm((A>{6c)$x(Ck5)DwA4p#mipM- zQlDlH^_hhF+zslB|0&d$66z~AsIUL0P~S+XZ{482Gl%lxeCk)*l=NN~<$7sT zT@*{@$?A)`RiABMeNN_3`4XzY4XV%_s@MxuSH)7*Bvf@bs1kFinwdjMPqUDlr&-9{ z(=1fm3sg77Qgx)Ij&`$DU2{tv>jkR20wwqJ47u&+88Y9`GvsA)wEt`cs)4jrLpMvE zXl^M_Q0FL6CrPMAZcvTQp_+K9zH=3*rV{F8H>hUDP~IM0dMQxNB~%MHsFuc1p;NqA z>O2KX`cH-2{HH?Z{!^jWUZ8p_Q1TbC=pw5%k*W0c7`j}T= zKQB<{D^SwcCFJJo5;FI730>d?YJdVYNLp&Jo27=BTWXjWsDTR9a0ylB1~tMQYLpi! zWsO5mN(P@#!lEHy-dnk1nnyFpDchnnUEYN!G= zT|!;t1~tPRYL*u$Wr<2i?kE^?+fguNzN4VGH)LgrO6XE)sX1osDt;e%N~lF{P*<5lUE{@4qZCVBE1|A)gIa73b%PhE(F&CG;0U>S zaD>b~I6_OjKq)JXLbpmw-R5Sg+s!R?rx&O(ilvrHsJq;t?lyIU_gIn)zgpe86#t0dHuZcwYup*+n` zO;n(sl2B{hpw^m0d756Fq(GHRsC8~o>&>Ayda1t23Y6T_EabMQS;%}(v(P3lP}3Bs z&C*iOyIE?Bv8B8@;HXerCDaRUP%j!og*;WC3bjo_ZFhs(VGgy^OZ81xs_$h9^@r>w3G?UGRMxk0^e4z=40 zl(J?tv`0eib%XlQ7|Pp3tO~VHLhW~hI$#dv=^L^NB|SJoZXO(=N_cR1noCfjK9QFC z)Xh?#nOn-!H)Iv+a|!i@8`PJ^P~P5Nhv2-_4;s`6Z}Oe@Li5-Jt$5hw?PRp+fyF zq5g4$`qvmLbjVAaQWo5Y<^EG)xBaKW=KD{1dmL7w0&z=)gD#c|hs-VI>3K?plD;ls zH(!^qxvxt&$4m7oy8whE(o)pTQn|*K^7fRVLP=kju$!+-*xc78=;%)X301=ls-`iNx2FUZN_qu_ z-MoUr=3YTzPhLUFb{Apk6%=;!3JRNh1$l!~wz~))BdhONx9U62xca<3x+vRSgpZd{ zC%8e?GlueJDHW=|glgah)v$`8ls!1YCrYT3(nI;ejlzw^Ue+B*0RAH+t6q%;>%+}g zLDXL|7yg9@4)`})PM<)LaEowD$YZfm(wZO73O6BVg2a4Q)(_b>WZ1+PZWTU7oZ%!W z$W(>utq-@>>!(-%^_N@%h5g}EK}nl%TcM;C@xy;WRj>Z~a3{T%A}k>(!{K(o=HqN3 zA0!ypnR=C7GEmu7uk!2+RGt$)S6p~S{nUs0>7&B;TTH_aIanx3JbJVXD)GxUQq^yg=|KyQ{I`V*v99zQ|6@<1(A-q1AABlJ2`&B7Z5 zH_Na@XlNSYVf+ju!=p%`{JG=9Qa;fuuyr;;rAkB~fe!s@~5q3YrF z;f4Cso{1&pY4gIf!OY~}+ za^@U)y*c6Qg_(20>;%lrIpG^1#;!Lfd?Uo-HNBXhJQf5I8w%S{%!UH2CEu#Qwmb9? zM%Q*{c&WYt-K$rMEjO_N#jnhgS7zar#T!t9t!a%rUeDGBdKb%6aCcN@dKuR6Nk_f_&h948c?V$a#&eE>8Cj7*97z)>gpJGu4 z6DXO({w2Oh%BYA6ZP7G*;Wgp48ingn;YLunu96C$4nLz&_#7&H9uz)TNrhX&TSfCv z7*E%RS3IdTSW2rS9el+AgyZuI;?5!+c!Pd1yiK&e3e`2%hF2P^Ch$O^sPxs+*6t3Y z+V$1e3hy)%uA>!x#Z36<@T;QMDsGE4;n&TCkI|m_O*7$RwZdCfq=K=KW^E4Yg-JXeNB3R`_Ex;ght&pPC6b(h7fW zCfryn{H2+2saE)FGvOv$;cv}^n`-O%do$sawZcD|2{+RU|7<4QTzk#GnhCcE|0eq| zKOY-IFx>p{n0A~<8d!a;w8DRx37?`B{>M!CRITuTX2PfGgtLg5@abA%znSnETH&CX zaBHnFJlhyN1NqwMT3D84)=1iFg>%e=+i8WVnQ(ipaGsfP2d%JeCfrdg?3f96(h3)t z37@GIE;1ADtZgLK%o@pATHz8i;VxR?nr6aXwZcc533t;9*D@3Ct`)9hCVaM5xUQM- zIa=Xk&4ka@b^2Myn>CUiTH$(T!acRZ4a|glX@yTT6FyHX+{jG0xAv|oH52ZmJ#$kt z;l5hoW@f_uw8AaSg!^lSTbT);uN6MkOn87+_;fSjfm-3#X2KU}h1;4557IW0_GZF^ zwP)^VCOkwde5RT3P_6J;X2Qd?!d=aThiiqqn+ca`h0ie)9-$TPVJ19ME8NRWc$8MS zx0�t#Ds6;S06G{mq2OXoUxu36IqZUtlIYPAfdvOnAKZmKDn_-HWR)`D?HUqc!pMZx|#4y zt?&#p;aOVYS!Ti)YlUZ<3D4G6)um>_muSyC*G%|Qt?+y^;W=921!lr?wZfO13D46S z>`F7?`C8$t%!Dt~Vqaq>yg(~_otf}LE%x0)j+yWZt?({0;fJ-t z@0$rfq7~k4CcIKByw^(h7fLCcH)~{GFNbTCMO8X2RuK;h)Te*J*`+F%w>|75>dkc!O5> z4>RG7TH(KpgtPwE`!VhC?#Gn%k6z`@3{?KBSNTc?D*wwmq;ueU-B_isZju9+Mf8+! z8mmn43A229m2YRD(yv$fZU!m?dX?{Gpfade`9TIML;4!uW2`c@#>0Bb4~@}CS;7VB01mx0P^T9sKO1C`bFD*YL#EYYhBW}vc$US&7~l{K|@ zPnKn_GUb`*2))Xj3{)PeS4lHad6ZsdUIr>_=~dbpsI0A5>13d?j$UO!1}cx%t1QYu zWnFz!uV$_?rN2Bzud*ZqmB;E;*33ZVae9?UW}xzTy~*-b2%|K;+ zy~<-VP}xBHXpnWhvC7m(gNAyQ^)gU-qF!Z#3{;+^S9xLvDjVrlHp)O{V|`ClYOFH# zk+oD$+0ukx%6RGzL^*);=|XXsUS&p>5s zy~=YkP}xSWvPT9g+v-*J%0Oj1y~^GhsBEuS**61~9rP;uXP~m9UgdxcRCdyMGc9ve{@C;OT(O>uoW0k4>Wmmn*Q5mT0rdN4k1}eMjRgTR- z<=J|b<1Q!EqfyxW?7k-Vg%G4Skq*r-e1}X>ZFZ_CAm8rHIqNlvkSY_&k57kfV+-$5e z^-IbyJ>?Q(m8q1&^(t@6KxLU;s8*D zfyxW@Dj&!|m}j&eW^il7Y%udX+C^pz>n9%552_oUK>6BLkI}=vD5_K;@-+m9J!=a*n>Kzh`FfS_WT5ggy~`dX?X0pz?aX${#XNd4pc%PZ_AZQLpls3{>8vSNU58DsR@S{38RE zx9C;=n`D+dMn8$Y&+{ttE~sh zt%tfpu-bZbwe{p`t2|I{ZCq__DzG*;D7Usu#|Nbtmf{ zwhJ|Pe$DnnwhbAu!?d+D+b8z=^~9W=lZJV#j(Ktlb41I04&O^M&dfIw&Q4_~XXsY& zWak>K;2~|a!cjBfwp!tsnQ%L;aK4#vd#!MxnQ*7~%mQzojPwiziFi-*ny|lt7nhBq$6>elE+&eq|+a-wxY-%7Z3|I>I{j^G&RZdBN zZ7sAgSHi0De61~787Kka0a}7n4TKXK(?Bi3=>|%G-~ugy`R}~&<~&HNq^$u#!etEB zD(PUZg#8S_P_2?QKRBawq=JMg8KzazxpE}KwMx?bPE9(JGOdzsl_MFURg&iCZFD58 znUB;eIoF(oDH)|z($icCtDVu=y)u( zRjcGeb0w_#PSak-SOX;>JY7pL-at6vGA`08N%Q+iiXjt}%+OxOWCIeojG0>Dsb<2n zw8GQPgfG?#&oC37tu@>%1K|Y2U7{tJZJ-1Ucd1tRQZwN>TKu^N!U_0uwFL7GlmPxb zt?&Xf;rUwO%gux@(>^aQG7uKmeg)t!)GE2Ua!M}O*21;sO4vYph1M2}4U~ZJmD*nT z1~cJBTH%|_gs;*H-(n_wwO066GvRBr2D{x%_*!k1nan*U+&I^13GOl@SggJFd(4Ed z*PeNqneYu-;rq>mZ`2AeHxs@|EBug|@XcD`hs}g<(F(6L6JDYfe#}hxR&71=A2K`a z52BIVv}b0)x|#3_t?-*>!VhbO-!>C|L@WHRnea-j@Ox&$k7|WKFcW@E zE4;@{_;Ic9hi1Z0XodHi39r%$A2btwQY-wineb|@@TX?NPicieHxpi?75>spc&%3W zYct_;t?;*I!t1oc-H*Hxu5a6>exI{GL|$Bs1anwb$I(O!xz>a1%4(-CE(3 z&4l-8g`1lR@6`&oG!y<%D}0KX@IGx-on|JyUwh^=%!ChUh1-}3AJhuBGZX$ud(9op zgg@2_cQOwb$I!O!zD9na?v5 z{#q;C$4vMet#Cgx;cvCV=bH(CrxhM(Cj7ltc#xU!589!2h=FjzgYA#n`W|MW1cut5 zwD@Ia!ar-zJkm_~7wwrxn+gA_6&_0$){_u!GBs|!%x6-X*=7rm$$0j&_+sqL=0B+vQ`@0+S{?56)eik@?Kb>=BzxKCXVQdwH37g|<7=?Xi#lvTC1OJ6e8a9z_EPP)R*=}V>>u1EU9 zNovndUvhcRe!==d_2T-aFP>tuK`v!gtpn1RT%O#5%hH!ky0oF`OQu?EO!~q}YDc9n zxxDA*oQKMDR+i^H4ofm%!Nt~!o?kAw@ZA+yExuRPg1b8$cq-=!SiIn8_j!E5MPMT? zxDb1cvt<^<=ksZMl_x9C;WE4D^J|5lG7}DHh1Z%12em!wIs;+RqryD0FQiqn!CVQr zq4>gDB~MpQNtRa0vz1d~X_aiMoRVzqSpU4a5^xgo#h z+6Tq$X2Q8z;g`&W^R(D6n+Zp?!mpYM+gjn*&4goG;Wy2M9j(FMHWSX*3cqV6T%g5% z&rG;bEBt|hu-zuSEN6F$3wC+VUL#?0TF!?W;r#}}#0jqoKPiOEU~wJv@uXJ0eqFcJ zTN8d_JBikNnw0i~2d$6RhM%av^&t|jzjYIohmyslCX@}7%z=M%N#Gw+oJIWYvvNL3 z=VDSz3>{8*ecDs5!&5e3r~Gtnc-{ZmDL17(<#Tw-lh`RgTN{4v|K^l-^_B%act|GWR_A;Nj}`S+=loo=JmgIbXsON%p^SIbVroljCx}hUM9~^e{I71#CEEL#Az4kAxy&aV-nP zwRCj5mdWEZBN$|JcN$jJ$i9V1x+vSY*|xK6U80tp3dI24D>i$oF9KNBFsg!s1B zE6>^AtwDLD+WJUIgTS+qn&pvN50UKd4a*~SH^IZt=E$-3QHjsoX^~xjl zYXG`VF8zaNOpSsmeqsO;*nON>LIlGAz0+AXpE6-T~w}uE-6F`NO8pR zP4ivuTNH6br_-JU4?!;!fNsZ^6)7O_kJBM5QuB~+3< zz~_g{c-uQ2QpF@3X%#sIZj~UZ899}l4rf?ItjKAQ7xGOZwIioP9=!Ydwnpr5OLiam zw(^#VKe?0bkJxs+Ri5G6D%+T~$^{-<}1|5&y3IDkdxsCWB!Q|7?lDzDyc&UAy;t*bX|-< zJBOh|y!MIVp>t)lU2`~XXGOY*!A50^bT5yjd_%Y{N!x|VY&|NY?fS!M>sc9XHy%z~ zugYk<`Ec6KtBkfKhtt-(GIe@elD3o<(x)=o?l_#bzLmMsrAgXST1dajuq{i{mU5;2 zE5r6clC~7K^DD#laFVtZwgHu4TbZOSg>7JE*d9;Pmcn*HWwfn2oVG!g(N=yqZG$VL zZR6pz4T%gD{oA*&cM5ys+5k^v!^Oi{;Y%9#9n8_-Y<}CLk4?+nXI+>RE^CyAI|2}6`OB1w)tjb zn{Td^%{N!h<`;RldE%L>M`lQy&rG!W46yl3Zu41@i?McnjiH^h_s*Z8$zED>L*z0) zfwuriEQA*__A;fIbc;PceUrO9v2kv()6d}ZH=Zk1#WAuVt!DKG*5Hb^AzOHD;cNKM@(%eqG8+b5r zy1NSM?rL6l*U0;2Jk%V!Gh0<`=>!$G81`|hS7T%3_QXArB6n_x-0dTqBlm8MJPf^B zu#N4GkPXnGuoWK86~0^ zG^6J$Glp;D=5=}F*&-X|m)N)YR>EEJVh!xuBF84o)y2qmdtmw=;_?JJJ~gwQ_lefl z#7<_rJuAJ2ol40Vxjuc-QA$yJSo)H$swIb{FUe+>)aQ`xG4e>-@@hW|MVF*48c`u) z2-NCy^(k` zy$(0i8~kQ^)9_~6mF{NRo&IJ@L@~IT_Gp{`K7I4wak%CmmrL9HcNjPS9p=q{hjH`Y zVIQXXtB-`hVA}klsA=ahtRyBaP&%-dlEcC??#qBEQ0wOozYUQ?BT3<12dma95;0 zSiNn0MQ__iSM;`eMHM@(@=7Cb!GCY_#?Kp}Z@KLIm#2GU{i8BZ5xWle6!E8Q(z~!7 zk^5Fh{_@2rcJoj)0-(wF>+b~iM zo_O|#G*22lnrQGmFnE+3+?ED^S9B@MWS0`q7<_MK44xH8Y~Xv1?Y*~B_THOd?`5(_ zS(dIxi5aJsTloG; zwebCwGkEpG8GL`Gy70uaH>4%f;58BrUIGTM!3|#1#o)Rw{6J+4p45dOFgEysN*Vk> z7o>y^l_`cO9_z(cIp3gT)k+0_-mLj z;`uC>Hy`*az=cjqeEaid^EZ%mYhOTrW811R%FY_hg2Yp0+YQq{B$R?+)3k!=S8UKy z^%Pe6Q#6N(d)Kp!O)smQ#j;OKUy_}r;*p*&K=4`QWM8)L44+lehJ54Vj{!b(Q%w9! z0M7;N(Y}RjLzVrO=)p<+HOdFQKVSlucz~x+lg{y*HJFGm+}_G}%;6Pi!iuK~p)MHDpC9N^cSo&m8e^HukD1QuHUF#I-#hGPcn7ugrYIBIPK-Ay-?IXH+{*& z^g?i&htmry-B8r6KUKRS?hfjUCbX&BWt+M^U7Kp{y-g*Y3EET}*{0eiwy8GIrrPp0 z)sD6olaIO3{jksKH?5|fp%%MTc<@208*|3(TA#V%(zEMJPuy(g4h_D~^j!FV;%MO5 zGt!%~ms--rskBi!?ZZ2DA1NUxkOtCS_eA19L>dr3_<2+~<%VQBltnvcSzG!4RmWVP z!)t1MRjfV5V+z`#oR z;JsQKK!2kt+=CSmZWUBX9oyUt4XF(rRbO zRy$L++F7#IUMyPeT3xH1eYonlw6fJP*Qh#*&8wsM|NZJHR;pv3td9AzIxdsdvB0f5 z7Ru`Q|G0Y(Fe!>AV0dQt61%s%v%7adBRR^Evy!7AlA|C=2@(VpB%^?W0hJ(!6 zzye1QML|U{qrB>?7*PqLf}$c{bx-P=o$lS^_4}Ux`F-AdXXu@-uCA)CuCA`Go@9)U zJ$Q6H8qbc*#}8#b9?N_(UdrWdT+2b}q7ML7Sw!thwwSQyGk%dBz zEWsSHjVp7y(+^<61!_r5bM|2;l~{V0PFYK>zAa;Y0|edrvE1s%Ezl2YdKG2;$b8Nn zO9kxI>F||qP#0lM>M>PC-M~LD0{>z%;EN^#zrq6F6O09leU;qIwO}c)x51lx;c9WM z0SL0O7oNEZxheGS6zAHj;?|wb`3SaG%W%->5gTW)eddWvhOA3KROCOLvRo4`Qkn89us8q`lrdAXt0R>Su?A-FgGN`x9Z8qunl4 z6+Q#IUC5V~qm35Va5?g-=5U?3-|EEuqE5uDPV4~12~i!&v_ze#99G^H+qyNBE8% zVa*-=w>tKQ)v-7Ej=cdLdy}>FmesMIhA37+qS!R7e07~YtCD$)@7Xcd-rN6H&yHI? zJHhwtIP~lUYw4YQgg&WlI8k#uGMf3Wm=p_A5E-|lKAG)VJY}BABUyXQH)0VkU4Fs^V1@A{_*v5ns13@eqwR@6wm2T zfYYZKr~hN|rnZvFHaHaBYaLJT(VBR-3DbMDgqd`QBl9!ChSq4UpO8_^2`#QDi6leL z;n7-UaT9LN;n6nhRK;YSs)$(k`Sr7oYYCjg|Fu~61<$(w0_(nDtot%Q^QxW_#6IN^ zXLO#nl$rayI##<;NVoW1nz5WtsGRu~ugkt-$bWtPWYS@q)6X{+nZD)8^bL^dTh`Kd z2|6}jwi^>SuZ~9@`=0OE_pG@y*WWQ6w%IW=Z41d{X2Q&n~c*eoox8Zee=O-apqDrjs~nbX}NU zvD!Na>l|t=ABKgnuHk8u5Y$66Er3e?i#MVtYxRZnWgECq;VP~mIrfCMG$+f#)vCKt zVX{^?0Tm{5R4}r%;0>aGEGl4UMnJLKgC70CTrP1$p2C55b7llo3p{3Oz-xoIm^w9K zccyafxiK}6B5E(qrM(9d3ef|J+DjL;SHPvc2eq3LuEX5%?RD0k3Dw5&IbfMMbnPo(%10CBnXh2z;qzz(1M@d}#~3L$Q># z!CM32ai?O5lhnt#_FNT9InyiV?F)JSAgh{Zr0EqCB@|Pikl6Y(#aW;N{8a>eUA&f0 zNOmB7XnuJ@7D~->k?E=50+n2nY|%7ifJ7B{B%aht3FkVD#FJV<;g={;01#!k@Jkw6 zWv5OHR1+tu0-S4zaBd@pj|lgq%pHc7zE5g7VwilM5a^w_b##swz7%JwhAn14oqr9v zU_MZfvQgQq?1%hsz10!wC~FUB2;JJ>kMqCLy?rYo93+9Om!7eM?(49voomV_oCD6> z4?@}EoVC~vMse`vc-1GACh)gLeNxR)pHRE0PkJ)cCp`UCJ);%P9JgOd3)F(myWpr?eVw52sxD{22Pv3kAOKCCG22Z_#^13G68=$yHrbLN1~ znd{lF&hbXoxoqC<0&0QkoF@5W|q!rPIZo_H0YrgP?qk=1U=Le%3w10mNNB_ zx0Jwp6=;KOQPS*ufJnj@Nm8R? z311|M5*JGNBJBYoxv_*Vk{k-e622&`EEWQd7x6{5hCL5*eGjMJ?9Y#~ zrCN6(hp<#FmCoN7<`5ywc&;zCxvrcuxvrcOaect`bDeLA1n#uBeizU6JAvzWF|H5H z&koEw$871`fe8%Cj|?Ts{}~vZA85|}pU#Y(fg$;Uw#CuYIB0k02imqo(1w}`iqfls zkK3;(spF6tfD)jq+a-FK`71`~!wj8K+AdM^x=J<@dBHFp$qRa}mL(K)mH@t{T@hI; zxmq=8#X!6G)M09_WJQQIbgp)@5Iokd)^Nb^@+?t22glIzlc&K%RQ1RCN4y~f_OV++%?YBcJIy~EVXszWf zP={FDy%1q_ha`3x>)IWXQ-MwfiWA8&2I|yiYe}H?1d`5CJA-7{D@?wJJGHR1hv4BV zKnk!m>m*WugXkkZ5yUc5h$3IuPEB%i8d}}%)N0FHpnP}X%Fd)`z?~BB0OraLS->V* zKW~>`?f3JERpCoX7!AT?rvzs@Yp1AV)EVkb@ZKF!KUV+ak9cc) zTY1~S^AYc2?=ov${)3WnO`XZYwN>!T>DM{XTN~)P9K9sP@Z=fJy!J|(^%GwKm!{g4 z^m^)GB?Hyt>&E}$X!KUa7gw1F;QlKD!W@dQ7>BH3+m%sjt~yNJ;Z@XJZ;rY%Ws$lg z<&e5PgDG`fV3?le4a#W#RX*`7{AA={4Lo%H=9AtJ zBY+|Buw_2YiA1VIY_j)+Hbyn68t^9!;`LLzBoJSyTt}%2{?UHplm9lf-i}e;tv!N8;JX(zr)5 zo@n$6pKe#(Ba4y>ta3)&?6E~xz1tLB_3pq*U38%=PPMMIM0fS|i>}_TDY|Pc(Ot`n z?ivu?wM=x^B`La_MWPG+7Uc9+c~p`R-R+j>dJIMQ8pzvDH$}KtVrV%PA@Wh|mFP5V zH}93*l7#j{_sS$QE-rAdEf>mbrd%km1#%6Q_FBAL-yzFZ`YIynwp#bM*_Qf zxqt-SP(WR=dyIvbpeV-(ikYm}7 zwbFjc3e~x6f1O_z?bm8Z(8OPgk8|MV>V7Rl2$Op5&7 zp;+$zc-*A}S|xFp=njiu1%5y(1w45VNLOOef?x%HKoZuEHOYabSK#|4JHak1@MFoX zzz=FsDGj+5`0?ac;0LvGQi!4z_(6#i8q@3`i&1iy5XJ?y5Dn2J(zN*dLZQqbzjOZ^&p#G=?b|cta4AlDmO)K2rJ}wV3q4t z*Ff33Y=t}*R=)4C6>=2H-lr>M&s8YcIps@px=#5rU|a(L zWpQR49B6|=I*FdV{^?rphdEt;Y)#knoQ|33EbuW**Kk4yS~_J-*YXwee?`;vS8mg_ zzCzvyD`Xy>B&O`IO;b*}W|(rXfF}LU&6Imt7B&QH+Q1q2T9TuHXuK;razbZ`TwIdg4R3s|weJtf&fz&69muRYB#BFM z*kjm`J0!_Hgr}xMvLGom9UPL0HahEYNHT5VCF`NE@}zj~jg!(twv?(}Oes~n1b#PG zpbV&|#xl;wGJZS6-7FP^ z=tGfW8;VO`)qY`Hl7N?^!bA_FBFmGKC7I6`W-JkM4RbOYh}MfIv_u;?kGR#K~4!t@di z&+V2!VM;Ep-$e?qDJAj|q?_&(V@L*wBw4>hi-zQO14%B%B)J(#a&aojYMPP}ECFSy z%FjxvU`Z%TQL92(DJV-<3qx6HD1(q(b+IM!i$xM&#?-cIZLqIE+bY}LB>oM_EX1^J zIVux|>a5@@>R24!kVK%wiNhO`fI{j-SCs}zTcy3l`zlnCw3qNc#pVDayncHVRUxn^ z9K4YczCIAXqOL-e?IuB$?IMEaT>nJL-yKDQl}!65Sec(FE5SrrnN5^cOx7t!jKmjD zyRq&~t%Xp(GuBmu^LwNCq57u8%^1g9Cms!=^qPHbY_9G%$vZAc-31s$7>I3y!PbB zYY!N&J=u7@-C|umW1@#V<*@j7xED?&8n3-M*7b>Jos|cd8y6=Mi8^nDozO-Ix%aQy zyeAO%`dZxUXK>HRFAgh%ouc8;|N6P7w{CK8fWPi!2L}N6;9LYu-gjBtdkH3Q zSmP+i+_?9S$(k*9A0$H_?|PG&CQdGT%n?-Wv`?@Ho-{#8Z#E*gq7QrD}{ zLuX$;lAIi2qsdBL@)3V`Z;J`ec6sFgtbNT(#B0c?MzMqGt4LJH#xtWIgg z#b46MVvEeDF!{E_+^c~LnkJ{%p}eLXh3BWrS>*zF#cQa|)m9J+)Y#L})5SC>)RKzt zno?R~PHR_dI3=upl5(?pip|oKVah8F*3b$z zONU^VUdd+ZqhJlKV%E@hu!dGMYv=-$t%0(1M!$vWx%R8T<8;!WmU?70)7UFm_KrtWj`mL%q4 z*+tHDF80@kVULcJewOrH{FzgaxXi`dlAMdTCpQ;=F4;@sG~DMHv+ZDd35JxSdm-l1 z4W|DO4IyT)>%mu_fy92!q?GO>_KCmy79zS1VDTS6V!>mv;5)J42Ru@zC9579=oI`2{yt6$&`@FTFy&6mj_x3T^yx&_Ey^K#T6Sd< zWA`c4^RV)a5MzIJYhpdkl7{hi$)|?>!su*$_mx%*z;WN1dMwDk2TvSYUVJWAX*`x+ zOB_VBPvC$s7b0IIr~JN_B+cNI-`7sD3Z7=>n3clU>i9QtUw+LSc&y|8DkxItTgloE zXR-NKqN?$dipBPuFjyX$l!#&8674!lf8G*Y&L=2OXRgpAPdB!t2?<#&<|%fKp&t>0-yu{@i0JClv*}GMeg4oWR&CD7}wtECw$+mLoq%j*A#^aMnFTsXSgkBse|?Ej7_f;u_*h zEa+0n1-A!6|qhAWv#8;71>lUQHzOQl=$5o^TNgToE3t0?Ibi?3ho ze^_5}aFlnIfM}h@uhpa<+3=X%n?qMh>X+)>>S@nW^()T>^=t1z^&9UQ_1n~@>UXIx ztKX+BQO~5`r~bg~;yGZZQoHyU%P#(v+Qr_YU<3a~?P6~l*u|HqUF?OltHI0EF7`s& z)!^^cE>1ZDWq&|ffs~h^>rnPLlm*hZLD^L(14n7b zQPZZ*I4ZKK|1oXq@bgN9Rtoil0Tb_C4JocRHMKt+)9_h|dWC72nvEfknXo^U!Vl!L zl1u>DopM$(F7XV0R=|yKHcgIrO_#XsmD-;{)#5|a}s@l zC--w~+H`kYQ_b#R!8u7@By0(tlg*sy6u`Lzu4X?d+K|ugrD3!B<{k1q7YhLu4#V#u zp4;an9xgwMZ8|5JOKA52E~9rC*@Ne`8^rvC=-E(|$L73bZA6bZCSvA!i3Nus-1HBEypUKTwC>v8smR=WxG2fDKKCG-0vkLi~;nUf| zPnj%Wu7;I;lFxCs#4!)-d@HQI1L!=@c})|-jx!F2_y` z00-&y12i+aY_WbpqDt`^`+~$T&g{#BFm)VCqRF2n%L?ir2;qTzQFe}&*DgwQ1)e2-PT)S^^+X9BC$!1?i&jJ^2QI4o7s**;JoivhV99x8^pz`go(()p_I}Nm=O$DpInT{+NqcTW<&yK<{3e;VxNiUE)H5y%ckCy< zBpG~EV8F>_I731egw7Bof|n%GHk^y&5?)+^esEoi8$O0m#pHYpmlG|;FC?k_F3TUY_F(daDhWvNAIsg%ocW6*ZR=zd|{6~n~c zks#`dB%&Xir&pwc&J1mL$ITVlIbpg*ALqEaBFW6<>bSWgS$JW`%@xUg4a|aK_~0$~ zD>CQ36MV8;=vS~d;n61;c$r``KBWu@wTtVfuB+LfY@RR;}`GC;ZgZV;-J7t|0B5{1<$hoXyt`i;#u~pB&ZNC1+Gdx zSk^3iHGhVC{p1YyYsnk#4U;q6uO(-=U&DgP#hZBV@jl>%Q}Nz|-gmt3oAZtGbUxxu zygmP)%r{KW>p@a~t4r0ZaEsYLp6}JG-mdC3KKvxq2=u6~mm7l~^?0X)ZlyO3rFw^h zZlyO3rFh?mGJ4Zcx_3X6(VK=K{3NA}sc%!ti1cj>Q{Q@Chu|j~vvSE@-?mDuZ#|xb z`qtrXf*Rr!_DJ`@mjnh6w?s9A212cQ=S=8Ux8MzrSCT)CIcGSpwyDEFU9{#@0>HRS zIDFAShcA=7)E>TUCWZ+PTKm*Q=(eQBBtVqqPBRq(e1^!m9m1N7%{q0SxRLcC<`g4HDjgNe#|?Y^4|^1vIKx8IqFRtCCWB*{n_wB~NFMA7a%j}G z(5Ndyqo;)iB(7s<)X>rBWyp*{C<}uS3tg9C5aKYXVPQ~1gh6i$1I(&WAB+Jok42k1 zSfxphI*VAPaTq4Ss=oe^aiRKVLzpHqVG8x|=w( zN22-b$PiIShN6yS{cjw}x(<$H<*y^d%*iS308CC1xCye^zR-wXbia{pvxG+GhQ@#q z5(|xwg{JNfO`}s*&JB5?#}p+NdJ^>TNcyjN=D*s~e?4RTEBG4y*AnAjp%DESB*fS2 z^{4-O(frrz^k1vlzk23|Huf|T$PI0yMu?u9CbT`?2F&byD6=!IyoXla2kO^Sc>yir zu-MoY3kFE@G-&96t6gKkg{;;NxIuQm4L|*|jD{v$Bgiv!*mcEW!95xWH{_hw_0_Bi z*YxYZ>FU?F;2w{ItHr@(#-<6^blJb->aw@sei#Qg?4*Mpk72?!qVhr~U8C|Wxc`ZR z8)4atIr?VivMEIuh5A7Pf$oL(ggzrdF4`|dLM+5flx2E$LD{cR z7V^9RWxqjL&utiLY$Qo;<5x6L}X z(s9_Qg~H0LL~DsSyRHy7`C7SGl`f$_90$Lk01keIu2?4YXE6EFbNBrXWn|2Ee@W)M zYq}mnH-j>g^~4hyN+G3)sbZ80G}BKJiHh;0vvJGhjO76K=$)kbqKYVKngYD> z0`^5U6?j9}L;X$ODE$Sz(LC3E&fRF;IOnc;&2#Qr3U|&OiZv(z5^HvDSBDg^0DXI< z2FAUc6cm=_`V=iw3cE{zmgbNGD4-~&TT-wcXiBA^Hj)CZfLIE&fGGtuBh3HIS{-wf zwLy!uA%nHGE!Nf+u~xH&-4A6OJ#|u}o!>7IyL_ z%=luZ6II+tr&dtHmm(%#cn2O}z2yJ)=+rxDvhW6rg+-GeoyD$ybn+x7T5)T1mf%Nc zaUgFAM&gnpVJU-zrHCX=RuaNeM0UVmBrHV)!cxj9ETvda%36b1AS~r2!jj`AEP(aA z|J%Z%chVG=N|vxxPF`55T)(jJBqmx_OIWJ$!crB;TaA&px=2`RAz`^evT>G#u-qWa zl_wIG8wA2q!znC~vJy0Y?L@-jvbSumYqa`q!U9;&`M)hJdM8a`Xwx$sLE7QJ?xyjkvEY7w|e%fzu_2v@f(5FVs=$x3=5&k+eKN3Bt;OYl1 zCOI%iLnO5Bw*N=#8BY?H zEQp}YT83Dhw+C2*)Efy0uQz~NSJjv0%oR=NZRSv(&*Dx-(jvUolu zSNFtsl$WbAN=>B>ma96~Cs!pbxvB$lHIntSG|1H`qo1uTxoRbntI_5(sMdg!WgZx5 zS7GNzPpe?WC|-kgO^SIKOdEry$C9#a^DB63wWK5riEfRqx5rJ0 zkBmYmQIl2TlQ_Ur@1i$`Na~__=M6*)OX?&_VDAu5WT07G$5)X%g zNd>kp%mvGhX@MtQDana6N;z|@S@%Pf;yBIin2N^D^y{}h_?Cz^!?Had;%$!^(AkGr zhaYzBu&IUk4wu#%NJRyAxODzHJoEZH%(q0eSyqQ1;X6DFI{OIg@S|3Tml&JTgUtOK zrgqW2OP(^4ARTl<5x#6KBv0JU(Vj9Kc?MY{e~?HX9<#`Er-5{p96kjfv@X6dMSIFA zjeP4>w8ssSRncbiBzqjlHJg!aj!81LlYZxrS`cK#eUrYFeR;PEfI< zkZw3osI25J6dLBQC#Z2K__DHiN~GV*O0)X#BcZG$Az?hL%i63~i<+!fi;7r1*JAZu z2CJ)LR+p76pW=DVHLq0F<{7-Ms?FzlJrDQ{HO<9d0U>uLp)>uLoN*B4t{7YsybewncOc(!xR!$4eO z4aB89+m`^#mom05Gfihm(5wed)yHh_&$GRP#2WEkb?W~LHYJqAcg|_cwP%gkB!T=_ z(E17q;aekXy^8jnG8}9rMX91a4{w(IKLVpVlYJZ;0^__?AV&3zI&^7$yZa8M{8j{63_#o}9^3c%zLN?`>%LoO5ET=aTsa1v?Vo~DRyPt(>~WU6eC>5qhDs+ovPumZ=+&zh24e9Wf7 zCQwb;)hUROHD!0B@o5@7H6=l!{^~A-tjQ7b4^zo0e~6UaI*X7rq8;Q-ou819wWJms z2r4E^Ey)~#<*SzL&Kg9PT9V0%o%l2&)cIs9o?4P9&Omz@A;w{SM z2ReoVhzu8gNtsFqEX~;fVbm@hu4Qvroo{kj6NogdDCd3k3wT&cWf@ z65|VVxVFR^M@f4;wWZcLFw2d@wI!oP$l=dmZi(^T0+O$XCd*~E;6&6b7Q-f&hCdf5m} z*>I1@;a=WK_U#~ezEwOrY%?-ti(!lsZ7Xk#Zh=;|vX-`)#;DrY*fIGM86+qpt*3<~ zO9Nd>WbQ&<-WC#3!0MxgbUn!Jy|~2QG9Ni_&9smht^VqCi)OL1R@q>=(pmJ;28k=( zLpNvm+e|zy7ze5Aa|3wL^~}hN!1bwTMpl%>x7BGoEpt?`3TY8mo)QXGyz#B0q%*#% zw#%?bt7;q447wv-C-%{cU^SRignSb$YX~oX$h|;xy zjkZ@Sk%lWsZYqRCR932jxO%q;>E`DzMDVndrIqFLUBH*JL#yCPHghM#)QIajvRcQsOe=|1jc4>$l1ay5%F@$RUQbJ=WC%OEYB3jaMLE1YVFh@p{hd8Dr_WUZCd=u${tN zK+hf2cM8>FCg;>*BF?>Rajvdm#&{BPZmcBR9UV0eo{W{)|Cn-PC5Kn(^1@;Nj@86# z1SjD8gyTH1sYgW8LzyiNYosT5kf`IN3OjZesLwVl@iEPZ*y(g`voh|` z-$Sf*-5jZ+w{Dmt^m+*JoV+4vPdn_=-opvodpJ>huVr4=`{(HZMoBsh_GYYqzAxHU zqK6~2BbBVP5d~@#Z9oQSl=m^vKOT55s=W>RM^zdr8QO6uOHp!^;@Sx)^D29x>>VgeQ&uUZw0EJ5 z-oIi*>SM8f&lEG|0MNz@!>An=vkzRw%s5)>?-28Mwn74Cv78;4;?7X$a(a||0t$1z zpT&A#5>TVP|Iq6FN38dNZros1RNw5h?ci*EQ1QNaOew4$RFv0l$%Ywq*?0N?F@OTm;O7PtDwKbxh?klU=pUkM@Yo@Jxbq7*3TkY?Dys*i~gQXvW?#h(zlZJ z3+0B(kwUR>#idHoec?*IND4_OJ`x}riIRf5!&Td@Q_8MW3a?YL*C~;8N@$&uxlSnn zM`z%`*1mA{UX^!;YrR})SGbN&+@0Y%vG7gN@Xd^lK&M>=3Uj|SjD{Q8zZBtqX&MbT zvwtbd{n9cTZe{;cocpCsG<>W5OG)mRcF}Nq`&yKx2wR7uCUYMKu=}qLroYK z=&3AmsaXu5huENVbkM$EW8vXC$`pAEa@?e;J%HL684ZuJX;4|G4$Xm4m=vZHO!!_> zn44e-A^Hq>7!D6<>FM0^Lzym1(^oMXzRzx_s@+ZzQiQcLmK5RIxq*ORi%w*;ois1s z%!~xh+;2BSGZ1Uv=4Nb%`rcSZ9-~agiJZLfgNl-y@nbCGd@SR)Xn2}I&gr`f)D~8m ziK@)9tJKx2Fu_T8VS%Z)m02t!ipfTkyKw4?zr-aB?0v)l4w7?|4xD3ex|p|cbO$v7 z%zf0RM*U>*d=vq(@qE;Y=VLaW4fBWRW2gzh^Kl!`#vGpZK(xDU(erWs5)f-3hLy+p zRC$!1vu!+^0z`v)E+t@URMfpSYFE6}OfX$OEIkX8j9-B3>@Hz|ir_k88ad^t9K-SwhK+I@x zDgDa}ZxP@yM+S>0e%Ww*ZHy`Qy~#1aw${+Om1Ez$p)VY#eTb_D|ElyzqVj4$tIA z9u^94Sm?yzfQt*LAx zdY)w&Gu0Nrdf$KrR^aUjmH?R-oHN7+HZr|kb+S8)Uqr05S^T0?=03E^+Xq1T=wDv= z69IWwxXBzhOEXhLztb+C-Q+dq~Nz(kgIlvU{{jE5nt8(Ei8n z(E#X8A^MjW{;!}%s}VsA1ms$L*i&E)(YzdBXYfSM1ExxcqkCJ82!r&L%IxCt+~N%L~_dw#N>^L8#a?Svb3A# zxB@1BZ{si=+V4XD^1?p}aM+~zIT^uB)(Ik8JA{?ZP7`A15OSB6vXmaAQ8odAsdONUQa=92*wRrh`J!jN9Vb==1Iq)Mh-sLwnGbSgF=LZLJgbqLF4(YOiCR5b@ZQnhxULg8t=2AZMv7 zrSja?3HhMZKBRa@MkBh%j=7E=$~UFY>r%q&RD|5Gl?zT7s02G+I>DXi1kw4>=kI3E(^`MmNfF5TlXuhR}dJ<#C

    n?Ad=bFH!?=a9jQ#aTxD0 z|DZUw)#dQ#T?a?e_rAR4LV8O3gB9(Xe9oH&>%_4~9 zfa~#s1>-6-6;?pkFDS<)p;RnlVgg3wRv}z=bf^OUx`*^@7`&xPX-13Yq%=p1GNg=7 zi)(P=6hj;+ff$XnunE4jFKOs3&g$aHlUj#pqU>`Jq z2!29xdY7^X2wt9)XS8Td%5$`+Kq|Ns{DdS6i@$m_(#9tEVl+gkscAbbU54wsNJqhJ z!fjqGjZ+z&;nZ!UyWRq~U=SI!AE9-MJ-dIT;T?)d4;_DM2Z8r3(?kFABE1EQ@uZds z;%6Ft@oNXUg8CRC1wFpQARagbKv4p7ZX`D{=JX{ua?GhnDw={yH$xw>iNj_EHjCcH zt5iCB4lyS(b~!~Fi(_=FIKo+Rv{^CZaMxaNr)b>HLF9gCm5F>6P+aj<9&lEf!Bsir zTNm?fiutx$^V%uieQiwhIdBUfwJjbdIUH>&5eQMxcS(e_fvlyRg#omA(ri2dZRR^G zE;K6&X|v2(<$1n}D{WRetE}Uy#M9=#4%*O3k_k^`0-+f|pbf+huJYHfLLflHh=-sl z0&-%JO?s99Uax*FJ&O~KY_^B_3;H0;*qj@od`>$M+0n~uh8TeiS0z;$8oNkUj!)G{ zHHJp!r&1pfMK{%sgQ3mU;y>& zllqK?pON|;4I7XKHVydzW91xkuDfIE*Vl3lNkazmzoa1tvJq({1bMUA9)yW9W=$#Q zv>SzAM!twfIJfIIT>^y~t}$uMko<}?=8$YcnlL1F4Hu+Zgh^Uc(v*Gu4Qa}K-HbG| zyMzb#dC9HcFt};>84Ee&dv{)T))zv>9a^@L6TkgBt$Zb3pzpzi+k#_9U z-$*;|)Appj4U*^LMJ>r|)0K;CcUM3-n!*7s3oc3y8lu>8fZJX;N~pPi$)Fr8Xm{9@ z>p&nl4<_l~Ne8Z*9Z5&Kn~WTtNGJB)71D|Ot~2Ruf5(&K7n#1Gog9n5zDbNaf9O$> zT?9-!Y5HEFle=_PAm0N5mpZg;t_r}eH=L_<({Q&zr2u3`%WTi%`P}hN-M79q(a1kGoA=sm?nb)NMxqMo#x>HNbhjH}Z0T;Np4$4fw}Nyj+n)9Qh!NiBdmkj1+uEn^{xr>1+P441A4I~43s=;@I$RPGzSu%+GZZH|Fe|H!z-eIT> zAw$@A<;f84ySvHV_IEr%^=km#*~_ROjaINxf6qoeUQ$vLm<0Zd$PuBYNUr*%6L)4c z=lA`^ysyc3Dn(*CXQrF-I+P4$l&VOEa+DfIV7X{I=|D|}F%qd-MF|q|NT*7?d1y02 zP1VE-J8m4LunjgT+j4BSeP9T@9hGmnaoj?e)HKKTU9^%7>mwbOkEr#8=i(HXy5ue!fu%8JTnR)Aiy{ ztDEZy=zbVJGZyV&cY!I*rn39V{jASz$o*WO$B}XFeGagAcz2x`Xm7O^aJ1$YLK1B+ zQfILF$s%E*(Jr<+`_x@0_7nlR!vfOB2J$&wvzVEr!9T&JkVY;fHO!V@?)^0KLPz`Y zqcSYXpNKgYmafy8qDF^50T*hI8LZ3PWDz;cpIV_T4OBF*c^gm0Gp-IG<2kNQAQN=0 z!mbm{i^85MzV%Oy@EgAVOFGGoE9oYZi45jYGLeHhiA+K;?_n^b(UCUMzd&FnlgSLs zXfl}tGlfh+V8$9?xT9UC5tylDDg!f~Oy$5lKpsF~CL3U;*w}oHz&uDEWMCd34{~6p zk!c7F?A`*QqS1$JFyDge>|~OAI+@O(%p}t}C^N_m1cluu$zR^67UBbs%*BvF?uW=j z4CZX|5C`*N@-Tuq$G~i^jTt?uFu}xZCYi~g%qKHBD6_~c1O@ha9fCj{vc(3lf!QPE z5e9QHd4z-cD0vjYTy9|Ytc}?ZfLTF+1P45#U>{J=fu5nh{$u1Z2J%Jn7zgrk@;C!& zrm43i{{}wl5X3a*zi4)NHkr*Jtt7KKNKcR_5OQndI$V^G-K8KiYa5uZN0>fIo@DSh zk|#O%Pm!nGF)ilA6f7@;jC05w25B>y!$F!$<|0fX0h5C@#d+44W0Z>;lSQGZC0L>v z+jkq?ZnNhnePkpHsw6XRI8eDZsB>|kfaN}kze_FU^fnpiwCEn(1sxg}2g3)>tEEw7 zjTQU?<=pM-aQrN~Q%8^nzgz^S=F-2sDBP*)78R(L;U&T~2srGK^{6ar9{hoOZTkG` zLLcpt3|cvd(>8g&;vB&yd&XxyM2MbLsIMH)4*UWFFm5$~des&@(-LAl!D%TD4iyF|B|{?6QKeZ^V+dTZm8sliQ>RSmavGK%VK@{_omi{g*y2 zn9~jt#j>4fc$f!VAUuQq1j5U$S1W0f1s9wd0znMUXMz}9i0g?xFL>QuNwV%{grTDD zvhJ=VNkhrj-LGPN#GA8mu98X;u`xVc=E*|P=XAio!&V>j$UHU&en94NbKrb3-=0m` zcTbb2*>@k2r@8MIkOkIvXk}Je;y}VuRymR9mETxaD@!gbz(Q785){LDBv5#jCB6}? z@G5I!)ks@_rTR1E8Ai2_$uk_)7LtWJ)m)b9m1ScCqJ9z$|IZQ)|H_i2saV%mmaf+= zQ?N3BK-)B-lQm(gI8HN0Kes2}k?k24WiLY6R~Uy~&q(4}OlHE{TNbLdbv;RJ`ad*I7P z!Y?7HAo`7^MSW^A)c#{E8-DyC6X9Gyp0f-F98ptTN4ageEWC8=yK6&X& zhvjxV`j;0KoV-+P^6PfffE3|S3+)}*IMi}Pa7T^fmte&D3cxA0H2Rkp{aIkd*5()V ziEyZcEIb>BI;bN+hl@ICDGq*UKZE||MK1|(sLL-{OdRCKYCR`2FWb!2(?Y?3Wa)1N z#_CN@0OnE3YrA}*WW?!aL<=yN&C`;&bFV8)8l@%gY-ajU+LBXg6PNB#9%%({LkAoZ}VLE;tV89@tBgFE?`rP%@S44Y6k54#CFB_=S*`M@c)2|Fn+_>%TE zbC&_%N&66)c}DyxtevdjT(zlW8PjU;(fX-rC1W#}WH z?OAOm2^PXCpqV6j7=<0&&-bsH#KOnjYbIIpP>U|BDuycwG(}?6OtyZcaFHZ6ldKn< zbhtyp4S8nhlnD$ZdbB939u+pHV6>2ILt|lX!Quc#BLrao!FRTWBz6Ha zu!U@gNnh~2NM2-wzL~tp5qbq#;ZEola+OFegOy|@gV=zq|JR~fO>Fz8SX}FC$y(NW zGqRRzeH~e6_7q}&fO+N&%JZ<5WO2tf3!_=h?WRk_HNBp!XHB;x>$#>kkPUj%EbIt+ zP2(S_slqpX3v)8N3!CnE$+FXD`bz0db88S9au=U1LT!yKetRX*nqO^$OFiory^ysF8_j2rSaT)7TxeJ61r$`^Hj<5u79Ge&jux8;9O8q3A@i^a zkbsL6+e|jII-SU7uFe*+g|EX!iUAcUrg6l)Rbpuw#O!SF@$fERIh~mDbx2#sIwZ^7 z(S{LFfdK$KK8$8{wdJFt4p%1&n59hEd7igR%=2zGnw1cmNrYIc?HwBzS>0`DRUF7c zq+wQ19=Q&Qk-OanR}CR2P}&`xH0)&)t41;aIynLKwgJ>)0DuXw8p=d2o6Zu~AT|X$ zOH>at=OEoo7K#?=thE$QuTI#qeT`^Vf4eJnlA%Qx$39Zl02@HPWB_z^0=Ux#aI=t@ z-6UtEaEH4|qV59 z(GaENc5n^qh`qLSSs5sf*2LWT76!1F3Mt_q>OkWW@u$et1*kK{pCY@wyX4A4ER)?6 zL>e8AW{oh!5+%Pmvt$7Da@yHu#%Tc10s+t` zBx#mEwKwv^j*MoFwh7WI-_Y)GQs-V9T5AMNFdy}CxCXQCv&nla1E5bQ0oV$)*Zh4Y z$G-50>nn}%#xRSC<&pXk_!EO_U#ZI;7CZ;x5B8RWXdkezOp?)r**9rT(N}VHj*}K0 z#XY2}VOXqXjpJ$3k2%cUEn*D2pCp!%uHeBM-AcAH%W?wQ%2}4%$To9PfWwdbu}uv3 zw(-7WKgsDHtX2DlmDxfP&^6UWTl#K8;+xFS>hFj`&zfWphW0u%It9j)d4R;Ng2_BU zBKXV!bHpif1DN)3C!=A9PqxwQ7}sfe;QgJ>F5AI@zRn1iVEo_Z)Q(ea4s?ZS4o;D0 z4ab*;sRJcvTIo3q=j~)rL2Rnvxo)7$w4$Es2l?SKP~zaqng(Cbz+X!OUeMe)P_*hD>jw<02c=TOXMXs_#Y-Oaf5$5+3r602Zoi0 zgaZ|ie)x2{@Kf4)y#HyCOj)6x4Pqzk+(!>{Ou~k?yQ&`~xg8e=9}JS1+*o}LO7>u) zI!Lma?u1!dNIh&^0io9jKlQ(5MG*{iJC$fSCg=mCA(!MbX_!TFIceBIcIeVTgDH?_ zX0T+SK~@Or?#QeLva4swF;)xkFvB+^dG^sZngq*=KC(ZsYEw|$*PPWcSfZwxDy0rM z&bNfRFhsTo#$QaW4v{nye4cg|+L#4s8vd()rPCZp_s$QIY_sT{N0cfmloVsqdBmP{ zx=rgJF$oa!xod>B!KI8LJPWUPM?M|5m4U5n&+RJ3ll(B64@)rJ8-IiLa-dh{Q;YiO3Tq#)-&o zvRfb`!)0+~h8C&eLM_V#5~>mI3#&d1&>HS^8ZI&7974jzI1-W(Nejt{B!q+|EfnYx zu2Wn;_@TjlVRsw)O*0`jEu;Sm<*S=0}V~`q^(JMxrxahvWJPu9I}TKlf7iG zKuqpQEGAj=tp3`Xgrf%6@r3k>WzFM0=je1#@?sLNOGYLwCL@y+6Ok^t&Ti{sBGD!z z^CKqrBo>qTwwT{*tlF+(@RZgLfb+4jQ^&qLOrmo13zZ_5L_X5CE+Ap*g6?xENPa zlBolQXpEEXPa((L{gRCeD&+X^@i>VaA2V>AG=2bP85q!>xa6zqxTGV<#%T#6$Xp`< z#%nSO1lrTMvzSP@^Q6K(K9N9B4FJ(OcSMB6TXHPI z%62gtXp>`{Cb>a=4IZ--B{20k)XY(QjS1{%tk{bIEAl*&$Bd-6Ngih;?IZhiBgv6V zU7e7$%XNHM`AdkdZ;hpi#<-nwH%@o%C;J(4C&+#dxdY??LoQQ4ft~ffen>XUzUj&b z+nW&TWi)9ToLB2*_V<5jLuW=w>C$A%r z5P9!79Q%O7kwdpYjE)~6M;OR+-5)VPzCqq#Ab%xqa3J3# zZz7Pt$AO&2V@VGi2alK_-y&}@kXOiC9LQtj7y@}U4&-#lL1X5gFwlIPyv<-uKFbVmPgZUBp2*E67bhfx{91jC1d*Kfbxn~WBu?%q~psP-jlMGx*a*_l0G5MGS zN5A`oe8RpfO+MkiJ4H^h@8S-^KO*7!f5?9rsIufg9H>vpr(BbH**6+JsA%_K1mn8i zg=}iy=w0}Xe8%8aA)j&ZJ|~}Z@MstQOa9Bgt499IefI_V0(BvpUEOYRB+LNTp!$-0 z$?Df6Uvl+Nlha%~dD(Cui(}|N&TA8wbMY`M+BZlUOJF$9Woh%-Y&~Wlm&mSXBRE+5|;79iOu(=L4YUokd`M0X}JRuQ?uL=%|rw9 z1tgOrquJbs?RH%R@&OIs0t(Q~-CLm7j(kH~fqWVO?Ezb?AXGSeS`OX2@&BV`P?a&r zRtB+5V5D_LLZGYdPm5*Gu$ZutA2-xc$bL9EfZ3DX0j_oe47E-Y;4F85Yxs~}Q;BgS z33-OrqOc%)@T^6u7Y2{9(?Ye&Zjj#*^e-=awqWqAOK{DmSlz8hhxBZO)+3Pvgr2ZR zGfeJ*o%Am+d#(VX4ZN*vj%JQ1VuUuL;3ONNjmW142+gw*+6TydNB{D&pAjImi8na& zh0tacJ8L7f8AVY5LJMt#4#0@+MgQ`$mkJQt!s~>5A+!}y!bWH-8i|0=G8>_nfjVdC zUtTucPh+pmxfyC3Z(f=RxhP=%_L^w+YNHL9j9<}pM^aqgh2-7t!!E~R-)zI_O2+}5 zX$vf%e|gy(1bv9{1_ks1rer&Fh;6b^zn*DNa97!>yLn@hR`(xutG+`}eGf7bXzP95 zs?&5kZmsW)tNxu^^#g+H`_Rb*Xg$=+tvYyMTw8zHG5>YPV4`(4u|lR4PZ{jc-415(|u4RC|`5f76S=(tM_wa#wU|0Ae=)G-By2}mb84=90E->HZ8OLA_c7qAadUrhG(7Nu+<|zLbFG~z2L=4l* zpS-b*JbN7dDT4Wr3(RA2c=mCDSr8WCHl7?e-{w)GV^f1P-Ek-t%;2kY>?oM&g3|Fg z0W0GM6PDsON<7SL7nmoU-PBsR!7ReVR+g>abcKfxmsI}_xt$7OZJz%m^%nfE89;QtIPhlQe%IC~oZq@7a)p-Imc0q~o zvO`fS*whVXD-q0FU0@dB6&{7@ui^%?g9v697nnCVNtfj|eHBC*KRMDBb<_Z^><#=n6!TiDvCgi$w$8)0#%<|4TA>=yGY!kuU z=>oF?50iQlKn}kn-!XZJk?%Np_?~=kItk&lEls;*@B4agl*NZyehc`lIPBdRZahQ! z&X6;#wLRnv*V+%{2fH;E$_I%3NPc9W=8+${Pk$mmnV%ZBVx1*t*?0TNS?;@Y_lKa-ys?M{%NIoe$$7kS!& zSpGtOVc)$=e&N3RmHcXcXNcu*e!T_)Ucp)vSe1NqMSC&Y@v)R+GkCS){1uAcc;d2QYx>6EJqZ zqi+a1FO+x@VXIiss`IA}Ei;14d}yrz3)0MQmIY(1C`q?FFK802Zdu=~CTsC~ zAaV*_i$gqGNHL@i9PY;qBh9VTg;HJWrrC9mv%2tqG?a?TV+M3AgLb+QdqbTr0KXM5 zJDqVDB7M=}VozO3tQcG?Td08D@H=M1G>HUj*ayIUtYJTWLk-gsKWaEyDANY^o(ZgA zQv+BgRLEq&g7ggm3qVPL0~X4T777`_K;S+wfkA{*p(v2go^9>5LLt})H)ma=N!n15 zHA(0jYBB^RAxD!%>?S{AO|s)Gg^Gg0)=zfi#0nL+_Y2@(C1K|SLROuLp*{5_Icd@5 z!SJQn4k}b8TBxi|(~pgAX@I)Mx>cUOp>BntBy4tzYPCW|E%|N`zkq=u6@eNN2JJ@r zhM+~EB#NLFx1cq2g_Z?1vKX`+`i7uoLrJy{?Hapssu1MAVuDr>eksUmRi$sJRv{=U zWY)qOw~^%fFRXDJNkav#J~eVxI)wp)!VJ6W^bKK01&i1eqDqI|r=EfCQ!fPZvxREg zyJWlt&{%S47@M<=rJ0i0b?4l9Dy^`QcOBifU8t^>&gWL}G!82d3+MLCnzpp#+lQ?l z#V2I;G?83Zj(gNZ5-aYn9@isH(4!FQQ4`5knQOyttxH2xcH?Ov~ z^igAeAD0@`G_`1)d!COiZY8Zk<8^@P&l@!Y-56 z{H_-hg?d6MkOezWY^#^y)`*ZZ{@d17)|NP z9%q^@Pg_aIw{Hy{g7Amf+E(Hsf?>!BK-&Z!JvQztLr*I+D%?)r5EZIGNfnz42HmJ# zSC!T1P2W(BYEV+mu7R-;yD0FuXsh)S3a-zJZ3oh6@ENDTXvzTACu-ZlybGM|L*EdF zHK3%1jiFJaCY07>HTuyvRHGJ@)Us>veY#DOO@))%+nkt&h|z9sfL)ulJAl5ScI!Y% z9lKqlMqMa{i3a+17kxuD>Oo08y9SSGJC;CRbXdWfrP?{i7tOZAXnGUCzKOLvh`ynA zZ-$ba^>(Qf$b#11xvdwv!GKmDYSd@YhR`pk=h;o1yJnwhwAyzk6Q66F?y z)EvHU&LEAVZwOKgC~2WX($|zU#Ry8u;^`*Y1)z>oIPk&gziu+WF>;T0(`pDc4ugwq z=5CVIh}fOqO=~WJYmJj`Jmqy~D;OXxfgUXxt?s37h*qtjq?Ju|dOX8|)}0S=p)X41Gh;T0==|8=7v)%>Z}pUgkA%X8!T?kSx|&<{xMB4Es7F(?0fXJ$NNxb&i^hZCK~-r*Eipw?fISah;L{4Cy^ezRU2PjX#fd&!n;L z>54VAMB6f~C(<{B^=(jc8;7-pt3`?1U13sNwH<>wnZ6;I?V+SS2a{RsGdMDU11uE3 z^y!r-r-X%4FIPFHMr#L#(p36}Q0fRJ9c`4T$Y~`s>ZP-Q_Lf*knKil3sI}{KGE=Ly z6KnfH`i9!>3?-fIwrx6CFz?{!FH6{{UE77hoKD{m%&t(<6~UxyX8>(xFplI|(EGSj zi(0SU81#qe8-m^)O1dNHUY%VQ%)YJ|Q~S0DgE^DFA(%a(q^Ay(YF!s9^m7$7YAxQ* zU_L_M5X@ds(#wWPw=JETe1L?jOyi=Z=K$Fy8Ay{4kY(McGX&D)1CrL{1CrC^16*lE z?d0B!R*%s)M5{ZX zlD-IsIaZ^0xk95>az6%b9(_a5`a?;7oBJS9hX2TdInWg*wSWgOm`~F;1oKWPxzmQ} zCQ*ZBRt}Pp!3nGgbl-w!uxu6OfGfSq#UXoxWqxc2+-AvG5rbXz30)=J#i;rWeM3|o z2qgn~zH?T@5LYXL+WvzWv_H|NNGKU;Bj;vMjF4zi&Yl>-uimV= zpRQU)v9@2NZ>a6jP%_$X+hr!b$5ro9>;7H_b0vL4Fze{OJMD>4t{77z`+f#m$pi$` zIiuX`3X_`E6B*2n^bNtB1SONCFz<7PNzLlX4CZF~hG0&Ck|`Wacc1)ru}^*so0)7Q zmPO29C~!iUW>qRgvx7JSI|}W#H<(Wu3vOz1Pi5%trEdt`2cYBu8(pIYHIyG@HTKar zRAU;HOfzd(E?;T}PiHj_&^J_L29(UOY8>Vb`*D(}IK1UMPU7<994_P7$hN7cyBw$) z{Sd?MW%`D&dl*U{*0E!8#Z;FuKLxduXR=DK&^J_R7L?4gx;?D+DYN*35PFg9WrH+F_kl`K){1_9$GHSL~ZSbRX=5EcueWTB0PQG=Rqi&%}1=o_lB z7)ln~H4xrO-!I^qs*Ms_t(+Hss;eMT18fOH?_>Ig&|3;6OHK5w{X=T}EMqlJ(Kl3M zIg~6nYgmFrO`B&~jZf(ts_`6@JZIP7N$@~|6((Z{wM?F8us^472=)t5@`4C(fBPSZD3V-=LFGHX~gqc+59R^w~>hH9*V zk~MY>o@Nh9q9i$4c#uDWVA)*M##qbR{Fc6LtMGC5G<>`iAh`4kgjhB5855 z91lu;M3q6ouWaD^0lFy%!hLM`rSVQp{W zERV8I@xl)*dNh`CwdZ!_1Mi#O|9C(5M^b8}G*4;ek7WIootYi-N3!qDo{>G%A1Pd_ zaLvMX{1H-xG$zg9`7~KY*7_qwo-eYkNUlFpv_a8!MLYT<#d;PST5NbDoMEer`O56$0{n&K^+(F}E;pjwD0uEE z_hz}b;rV5`i{*avN6P!l7cE}`p1)M^R!H+lDior}r9p0`&YR{b7$uByJX zI<#N?SoP1Ue*w>5Yoydj_eW|Lt68;X4S%HeYqdYB{RuqJ*1lRt@ki=3uG6tj7kFN% ztJL-SBX!@Z`)S?(`XluY)_bSk`~Jwy&)mG`=JoJAbMuv(|Mo}fSF7K&ehYYxum5QM z+3-A2|3v-w{E-IU23ZXX!Lw(Bp$&k(4W4VTwZV3HUTo-TnCg!-Y}v4T!`tCGr{N0? zSHkmr!)uK^{z#*n8r|Bcoj=mDVaxU{fu1b~wH()SqCe7VS*uO0w)!Kj+qCZ0x(_^` zYrVBKz`O16Z6DtDu|Lu-yIuKq75$MerMuMXQr92p`b5`fyS@O=&%2)QdeI;0c5AoZ z-TK1wXtz(ged>>NZ{EFY_a6R8j}ATh_qYq55A|5kW05~H;Ijee2K?-g3_3OF$3Z~v zA>R-AW5{3r$S~iq8-^A4M@Cc`(Rc*N)5vZk2ag=;kBpx*e)jmM{E-O-Csde_1J6Da zMozdFp4%oInsC@3ndq4qomdc_-6sy2I1HZaC+?lNAD(|r%A5r9I;q2?{*$2nNh>Gq zfJJa*(hrmVoCNYTx!UBWlYy?2ADX;iGT=4&>dt|B^XkmIW!|mu zTs3d!JdlfdC+2-I?<;s-o}WJ7=Z`$CJ{@@)JOe0$;N3%`WtpNm3^BL2vtC5yH! zddVMITzYZ6#r5I2aPh{)Tl|qFvzNTEWTii{)Vs9sQjp)Jot6$>3iMohcdGph*Xn|+E3Jlc zwx;Ns8f$99v%{K!Ylgt{=e4P8GyIXYrPtP53wWZ zqVPPu>GGy4{>bLVn>TL;{j&L|Ey@-cZ(APRvS17Fd&{3&LtBA zTVL}>wkg}Pw-ttGw{63=!T5e@`b!I6TH=pvPl3Be0PglK+wa~!9G+{p@7sRRAIbfD zM|ek;KeD6kj{ZCD@<(=7*x7Jr6L@~U^Ov2Vr*_rYb;~Z0hg~OjeZA{DeuL?Ye?R#+F40tZz z_tL%{@I1cnt9_s+_gCECcz-i^-m(AQ{bT=6d*=ZdMbU=gnN4!Zv-t#Jje5++;jZw@mEn^IezOn=KX~8ME(<4#}k82OgS+P zZPckBLF~ zQOpl9KiNV~O*^&f6xQw3u~X+yy=x0OJ@fS1)9X=QJAFG=vxUS~j%^W(^^F}8J1rK+ z6Z>}T)!1vc5aE?!jL;5WmV0lDqeA)$O=u8b`wzE8Kz!RPzU>=v?6!1@^z7mral$t^ z!q+eMfh%#QZ^M-1KiNw#6Y?Nt5?&qT!K)Kq6!7maO6Y5K+c%+$#n>fb3m1S>9tWzT zaa;Jst%GlH{s&%wg!PLbMt&?JVELg~^tFY-s4e8S@O`*OU7l#mbX#;386W2U>i7Af z8`kZ&{glZ3u=m@w@3nk6F?~g3QVej;l||@87lH8 z=fL+4o?aA4?!jFbcvcj86vwZhgGaUlHXb>1*=FC69lm~heIsJG#&5nMC9_dcp&ny*tl(VFtsYkve|2|u@M2SZ|TlyJG5j&G`DvD*;$-;=h zhj_cd!+p?yu3L>1vqQYuW76`Uz&m6nt<(4qyNPsFM3hM>^QL#P6tz=6cjk|i7w>K5 zM7fkSYxaXY5Jbf_yTq_la{&s~b#xr9U)fBUpTR7#0csGr6ZyMzCJri~I+QtIsL zXE;Ugu0y8Yz9Y^)sF-cJ?1;{f>{16}3{s ztm|h!)$H&;%>EspZz1ZWmf6>@K&skl{e@kmsF#}NTR-QiZpRH5gxN)d)He0{=}(nA zpKwQb+x4l&CDAA~u7Z9gQtbiUn~0jE)^*UYMXElCdlQswBATVmqt7( zTBP=+(JxP$IGB60S*x_LKKeCEGY4b{r8S~WT3IUna;2$*GAvm$(Jn15nSR;Q+=20F z#5)fW;W?uCk70b;i}q=40riWRCJ)Z{&O!#{;;j=F5*2lrVmp8%mBi4uW!0%@L&8h164)Ww7kChHBR#f>I&UESvXR3OY2Lk zU*5;!U|nxk#-DL{u8kBu9*0%duk>Scz^)7B_|*>R#xt_aCVD+C3$9=E$LgTnTv%(* zi$0Ij+UwWI|B==ZoSx_;pw%Y*ldyP=MMO0bR?@Hno&-UlAr3DEn&CNc1F z^#i>xJl2z-_lLK|;K$h?^gi*}PlVntz7<0rcfZj4hMEj~|H*YRDc=yolyk&?AI$aL zE;S){z95Gr66+hZE$)5)dLml+$=&Y_x_m`VitfvluJ@boxJ*ScQn}1sm(ibt)WqoA zh7*&>bw(@Kxz}~{?xQBh;6A1sP>3vE~)Ia!?X{wr}Ty%D?{Izw|z)ChR_Z z%p{f76;qX~-Jh%J-Azr}eYzVb&BAv$@so#8Jg^?nB<`)wDq^~Fy!&@Nz4NJw^T7Ey zar(E|TZx&zH6z3-{X^U(MHApy=-j_A1~>YY(d-~%|LAt74+5Ob9uKA0cseNj!~ z1NtH-(e5fAmeGf36pc zm4E(s|J3`an$U;fqnuFJQzv)+dQE+?RJrQIaaFy$s!4rF?#fAZec_kCuMzikAn(j# zx$@eF=e2szRTKLVJ(m+3_Y}mPY+FaHRDSz#{Z{Y0YH}a4?;8Bq;w@Gw|9$xWtM_3w z!Aao520xaa#2V$tN#nPHr&Y75$lvoC!I^{-C9j_61ugCYj+jvm1`%h zYwO)xO?DEyHz(Wmp2poDF&iQ_DlboZFV}mzn($=sbaQVXBsME=PabdAd%T+TWb$}Z zua{n8tMdBf^LoAKtBFrW&*#M7`@@|HKc&501wir!fIbM+Rc>KBY_)C2R z0zb#p{{Xpv6R}f8Me;|5J~Gq-Jc7vJ0=RC36F%Jcx*>L}Xm~WyppOW(2#+WtxCrqN z4aD-hd76*dtK#9&#e+U3)IvPMnBYR(dsBfcDh5afv0nwqqYV&!kf_CY#6j{8fpSm< z%A*eyeXytnNddv)Hej-d!zy4>M!@KUMlDJT2^x2SW6dOvs=!G(fuj!|wJ<3tc(^d$ zcmB@Jo$m-N5XV*Mq^!`cBV^f9LvE)~U`G4AS#H&ooEuDH|3o?5(A7JFPg*U!Zy z{GsBvR0yWN5Y&gETEJ8oh9;p{L7Z2im^wpIAC77fQ)xJI5%2vp;T;u^sW%?=F{u_Z z700A8F6)W+R9vR+xYWm{TFg`)n_Nu8U1d%2feO*oAENp&RSTL1!juc@bI;%X#rLN1 z=iM%-XiXc@s*hN;sA(i(xu~Xpt-GqYr~)_b1g<`K)xxHs;N`*^u8?bqPgLlptj+;ul#H0y>}xe@IM} z5}&DHou9&VBm%ImvFV$kF?Zv)l!8KoT zRV{ehU+{YtU33%Qs6~G~7X99Z&n~`I3;*~myle4q3tzSHoQ%impOYSX&l|4X5&tyfC~;dkh;k64gWT&Rd8JfNqMXFc zNnDO{TiBG7xSJrw@4YISE4@@cQTgPb!h#>Y){FbdZM5`J&Z3;eDyL05qJj!v@+y3{w?f-8NY%n?SWaey&^@NI8$nKptfv29Pcb z;rCPcNOgA^q_U98LJyK%U71KZkjg|JXCm`#^r{S2*+^xh2gyc!4Kq^w#}-v&sB$Fb zNDt~rMrU#;XHw4eU!3V)hsrC%l|w0q`mYYO}ZZPJ}Ke^W)zF!Os*Lly9C#$;>K^ zsWj&Dl!sNiQkiltmC7D!Dx-s1jfw0|YK#AcrKU1cWi*x19#$sP+i_(zvcIQ{2qGUFe(^O8==c0#McjYzZWGb&EOr1 zBT0vJZr%HV#Z)p>$uKDs-oM#)B}CUmQ%@6CClVUg!em!D`6_fR0%W1B+Pq~W(`?EB~6ty?>C_( zS3g(cRL-dqXNpRk3;_Kd`Z`%jUDhE|LG{xmmV;)^4tEfDx@~9{I zEjjzTa;b7sl}l4(E@dzzyc1eMR#VATCDY_gke-gkgc|p^EM=sup`2AYtEUc>-2D?A zR#cI-l*1~AO>PH#kn!$1?KxRTIjwTq2XUfD@tV7iyIIy#j;kE^Q8?j)j(OL4Ysm)6 zd6n}%s3Se9*WGpC$+D4hVCBG%$`Sv|xObg+fNY|iSUK^3ai&N4+PjWiOEy!EtQ`4K zI^%yG`>r!Lku8)nD`)<%4)v&Cf7hXF$yUmtl|w&jhkO|0>z%rda%$z&55uWaf{g^n z#>dX>lw&K$P6Cn1VeZFWC$A+t zD<@Y@{xF>@CD}@FbXiAsRgSJ4JtaBk!`_z!XUF5C-ITK{XMflZmeTCqb@+3#hjMu3 z@F~qflVX4FI{jwZOF6xA`lN8OlxXv=^3ygBMo zFTWb!v-Q4v2cJhyQo-Wc$m&N=htRCUf#E|Ml)J_%Ce z-8Tbj$>}O^RN$zpE%`YYOd%5uDc!;JV|hBS3#DxgG~1f&1`k@ zN!x3!VmWEn*L4C?0i;eq>Z6?p@B#g^H;v?6bskEZJf-q~+Mid~xkv@k1LPqUAZb59 z?q`kl=c6b&U!9MXTilP^s6OU?;yNd(KvL%P4_$5s$bd;%zJO07OV49+FouI&dIaBu5*+MD0Plfw@?qk2Mo7T z^W;)>s!EP?J?>;w8Y-W$nP>inh7U#ace zYFK==k4c|Y_2;o3a*aBVCH<5uw|+e5SpP2lIu&S-rxU2)Po8ymT{x;hQx}fv&5tDX z0o}X!>(xmud6Jtti9EjZ>^iZjU`xWJrULBo4KV%M-}~;!Msu@>Rpp$@&VmDC|lLZP9RrJtVGN0>b$4iA~m^hTG&_DxlaY3I`^rYy=3+Q{rN9S?o{VLb^c2W=akfT z46bva3czH}f2qw;)cz(-f;Rjx&^ozWoeGm?rj-Y)dHpvZaGefS5USImx+Q!>K5*}? z;a+tTMvzdAK4&qz%koEG=hb$V36 zs7{aSmhln$fS0ddtZ&e^xSxVO7e=)2!TA&8w$Az;k8%-!jP~kE*~_4&#m{7$%mY+y?S8%J;DWavRE%Vx*U!uzF<_qrAGJ#3)|7P{xX}-sy$a zJHHs`JqG1GF~NHe$}?i3_m?Pt6O(+Z3ad{GG1+G(%GF|u&oz{PiRUaOgw;}8OtoMf z%W5&ravtUTV!CfuVf8I2X83kQ`IMOH`vS^6VwUe0D8Cl7{qhK_Ur8~?uLsJ$Vy++d z&+mws=l3nj>tepOu&`PyiUrmID4!7vt@}`(7K^Muqx?-Q_OB+a{!PRZ|M4iNh^7AT zqWoMevxN$)ExlN7YmKtISYca?a)nrFdlls;;`so~dq7^XDxek0-ePsYL6m32nt+=q z{}5{fOA2dX9kDKOD$2#;g+R=E;2UCn;2*-8E}htrt~|;{Vq>~#C|8P2=`auJeiNI6 z@(OEEL9r#MKgv;JYtVL-d&IV&?}gPa#CCgKl&!@MJM7wLiJkTfD6fiL!I<~p%wl&i z_BXhX*b}?~wtVt>e5l)J=%kk3$lB@TvW6V}k;;!r5&Cv?0x z9Qr!SkHnEMo3Mu2#nCYMQ&9zK*lqj)1CT391y zh&MA}4l?{D&SiuzWUMC6XKahIqj)dl7Lp~-JL_@rb=Ln0Yc?sq$%bRdR$W}nUQ1ZBw-w)JpMi3f_%8c7lwXMNbLhu$|*8erTr*hmboh-<|#@(p3F`l-xcJ*Ti%FDjp?i8ZY`R~D%G3d)aV!P+>c+PP$*+8DQX z4_UbOLX?|ik=i(>+Bapy?(}>UBpMEz8%#an;)|E7UtIE7U(ME7t#6R;vH2 ztk@u%tkkfitla2hS*6jZvT|datkk%qtkAfVtkQIVtk4we+jNGk+Vlv@H)OTud4;uk zd0D+V>@`0kYqY@nwJ0HLwpfXBm#o#|cVTVmCu_GXgR-Km)AAb1zhvE3`GvJrMOm*^ zRg^7c{Z@$ARxii~tq{+xev%Da7ZldkRb->q$O)~-%f_vd+gr!VCT&IvYnxfJX`5px z-;&MR{3Wby?Xr2>mr-7nE!uY!*7k#BtM;o=?v<_EBgeGAA=`Aoesw4z+je*wONa`?f$l~cK<+j>v3Fm?|DRa>v>gJd;Tta^!ilx?EQ)C(Z^p{`(&2A z`})W}{k&!Geu(XU)n(uQPsn})^2@#hkShoDk^Kk2&j;+50|sEd2K*|Ye!7&fK3zi& ze0r!H^z;ZhXyAD{c+eAa(4bZ*56Wi--I7BFFO<&=J}HMhGh7ZG^1U1~G+YiIT15^U zdPWW%dR-10<|nMf0%i2DDkvMt5yRHXk;8tGqlVX(qlYh-&kp~e91~qdj*VU*tkFy5 zxM=uj^rv$Ch%jLtkzGz0F+@%rfq5PAhMY7K`E_KFoIDaSJ94(1GBOtB`|`O_$djY0 z$*H3jp zvXor-EVe&8MlO1GAIh_G@fhsGnCx=Nm}V$j%cWyxqFgSQjrme89}8a}TU@Rh3*Q(! zUalT{9OXH=W}Hn}$3@7s<9f>%#vPUG#|H@O_+YtVd=r!%<;L-IP%f04#($3Ty4*Y= zo3KvECAUl%jB=dZI$;mWgL2zMZ(*GnBDYV(d3j=Oxnm+?apF3;bK<)wFUZ{!k;^6p z$o-R$qbBu`2PQ3%2Pb_X4^4K;!;@RcqmviNlat?(F;hb1nJL}nOHDq4vpy-|+VN-jYzU2;<^wY0FXF0H1OUW#~G zI!7zB^t!Mv^U}&Ln}~9rR&Lp+TKVM#wF)aVt>Vh`TBViWXq8v_YE@Q8X;oK`&?>H; zr&U{hNvpmlhgNG{L9O<>54AckjMwU}zoOOKFiWeyv9#7;<7BPj#&@+wn(*8{=8c6{V!>K4pi0p9$2LHJ8(nm zf3UVT;NS}F>4Vp`frqMVgAU=E>d-N5@S!hJ{;Ul-{EIg9$W?9F(LgQwXlHHI@h#fu z6PL7SPae?5o_}A-1$OF*a74^dhbsGmI0${-)d^_lUxxp{OmKa-L`=8lV;` z2jSYdK5BN^6xYV}Pz#hr#W7JAHGdf*E{i&-`HG*V6tz(E_WDHlrFYoMi3qQ=B3pW= zs3_8V*8mF#%jjJQEIn8TM-W&9Smv->U>U$NIVOT-1dDX^2g?MOC4C97%wXBlN8(== zu&fbPz#_qNMC1p{3YI-$16Ve&sE9dW*}-yV*aMaWEO&+#U^&5ZWoiT#1(r8c8L(Vn zc{29_%MF%4a|^IMVEMAl0Lu$jAj?p&d|*#RhJxh>E0pCguqVI@Ms@}(09GWj9#}!J z!jU_{3V{`iTnbhgtZ3GnU`4=6WGxI<6s&l*F<`~ON@eQ>RvfHkw!>g0z{+G>2UZfS zboRf%N`aNjeif`VSlJwn!ODPD$Wa!oELiy*zkrnktCZt1Sb4CDIdgzj0IQNS5DY|A z&Up~55?HmIYrralRn7S&SQW4uIo|@S3RXR;KUg)eT2ZaRs)N;xdL66=Se>W?U^T&N zN8JFc1y(QW5?F1py1633>VP%KWd*AXRzJ4|tR7gST-U+sgEh=u5Uc@MlicaS8iF;> z^Bh2Wy%4Bv=cuHhDLLwFGOO zFC$nhuy*-;z*>W~Ei?|S4Osg^eZbm+Jz2OkSUa$eg|mY_3D%)-U$FLIoeQ@F>j2iN zFz2r$Sl7awzfNFX3SS264A!miD_~u~o+{!C))lNr;UB@C0_$G12v|3;UPUv4bqDKN z{6nxFV10_82I~pdyMzGi1=g?lHL%`beM>Y2>jO5RM0v2jVEs#af%O9$SmJxI{$Nj+ zyaYA?Y;eh!!JY;iRO)T8fnY;Q9RnK#_Dq>gV1vPim6-?j4A{^ze}WAGi!SpO*if+H zWvhV=0~=Yk0N8M_5oM==MT3nl`wZ9!uu)~tgN+0mQ}!s>D6nVCg@cU-8&^((JqtFr z9OG>a*o1P7x3OU3%k2gm2R5nPavn+`U+LP@Y0V6&<-j%I?*tdkQ2V33j zN3d03>zZ8zTMf3hd3&%mVC$RL0$U6ALi3AY>%cZPKLhpx*oGD@!PbLqZc!O*1K6gP zUBEViZEaZ}Y!ld)mW#kPgKcj)7HkXHwoccD|%`!xkS0Cs5T zOt6DsM~4mrI|O!QSU#}BV8@4rfgJ%mHtcDzqhKe8wFNr{c4Am8*m1B^!?uH+0E-#! z1Um^9J6wRpfSn%R3+xownc>aAPJ_KT{1{j)*xBJ5z+MD> z{aaw~jNsg#1ABYKHL&ww?~QmD>}{}jN0tG52ke89Il$fpdw(R)NAH1M7|HX|`(PiA z+y?dm*u{~Hz&-@~Xe8tO0@x=b8Rs8?eLN~B*hR3*qtbzW40dVMQ(&KfeKx8g*d?$} zXPyGP4EDv$tze&meLjo(@)_8dv$!vxgI$?Z2kZ;5t8<-Q4s++1VBgGP?)(bu z>p7o;T?PAg&g)=bgI$~J5B3e%_j7K5T?6}W9`pUTU_Z=dzW)yFfAgDweGm5I{BmIb z1G_#y8tezKpXYZ4yAJl#{54=dg8edoI@nKOH|F02`x)%l`JaN_0K2)M9@sBnw-%HD zy9xH&g0o=1g8i{zH`s4rzb|YDb_?vUg*Cu_2m5p3r(l18-Cp=A*q>m3FA5O>>Ho5o zlXBrrkw5+4qM{Uwc7fdn^IEh(ypV}7BIi18NqzPY)fu~`GNT_?FnWD3tUvb-T!FqmU`QLqrOu;mlMLczk9_XGFgXLVu{mTKCdmZ;LCs?j^e}YAU?4wfIR(E7SyPk0xJzxb|a6Y3|NJYJdUzp0WsYJ=6??gdr{tiiUcV0FRjZ(jsf53JGl5n%Pf8ty=RL^J?vvK{de z(GaZh4$gBUux2|r&yB&F?syTb30R9Ao4}faHQ$*YtQlCV9e;u~2Wz>rFIWq(Hai=G zwFGOuYYA8@uy(sffwcx}yPL<>2CV&V9$Q98LZphnqXbPp4wLltSeZLeL29M0_(o-7qD(%z4l!I z>kig)e;u$MV14!%0P6|Xd;eEpy}kQtjD9~CY~eBb{XDP*$Mb{D2U~nR2y6k^qT{cEEd*P7d?Eb*v6Abz+M2`5W{@69&GbT=Bo{0 zn_`%+HiB)9VZPb~wk0M8Y%|#Qm>0mdfNhKU32ZCa&Y1VXwt?+9#ayx-Z1*YVk{w{X zPQ44Z6KwCPLtwkW_MBc1wi|5!>8W6Q!1l#zV0*z1p8g7KAJ~Cd=Bxc+hhv$q4uBns zr5_vwI~q$rI0SYi_8Qn>u;a0Bf*k=nCd7+1Eu}4G@P0;w-+8~cg_hx2eEL^gc;Wx} z6fj@@Ck_RRLlH0TLQBgq7HSa^I*{*yh^+Cw{J+5~_&#PVS>r&1^aI&^T?5(tL}QbI(7}#PcLuV}vCU01P{#Npdc`=< z>3asUb53|1wo#(8*+6y-G%8`BL+%H9%{Wl3n}K$^A82R7KySMr=ndmQXWa}G>wciv zgn_jIa! zALt$9KnL9nG}rw=a}x&IqRi*UdD9FpFxErIKDFPs<)Usae`~ZHpUdytaw)f#zc*TrPu}-!xr1BFKNu}v@XYIaxV8MF z(eg*0S$^8B<)4j~FM4J<+O6eZjFvz4%<@FHmVY%`zT}zZnQkrLGFraundL=pE&pM( z{HbS_SGl$Pm(lWP9$5~3!mZ`o2FudVGs|_{SvS39ndR8f z7tJ$&1|Os4yq;Np#jRyuqvd>_S^m(iWvkKh6CPO(o9A|(ZAQ!eJ+r*Rt>r+Y=3X+pXnbqvctiS#IfeHbRY-7kXy7lUvIUqvb`OSsvila=6j*QqL^A z&2<^@zQDcF9e+QR=-ln*iqWyf?LKETT8_T~x^K%n-CE9Uv>boObKjQtxwRZ=v>bnn zbKjN^yS1FnXgU6#=DsbTaBDe-(XwaP&yHBPmZOZ8J-dE(yzJI;ZlmQhp84~6x0dr7 zEx+WM<@eoM&Tq8r=K9%Pq+E1sxq#8Ko9kzHman+AT*zqo70+hld$*R07%ji*ndO^q zEf+Ide$6w>x7}JUVYK|ZN0y!5ZY`HGT7JVb%Ykk!moZv?(=*FXx0cHpEx+ZN<&17E zS1?*W=b7a^ZY@_bSk}Tlvs}cjVt(yircM#~YNS+3&Nat)*944zr8>(+8D zqvedAS$2OnQO9UGlV_G&yWQt{M$4H!v)taTw=!DJ;hE(*ZY{SlTF&X2<)v;dw=-If z^33vDx0c%*E$8yg@>X~65@+aWw4B>B%U9jr4|XeMxs+Sq=wq~8%`?lD-R^Tgqvh(JS>Eo}@&Kdd z_>Ye7_pU8`k6X(Fjg|*{vd{h*24xs5WZVbqq4+47ACLRyJCb3P5VVU=)H6(g_7;I6 zov@2we2+F%IO4v4n;vBZ+8I&edu}2N%1D&iP~y8!A}30G2TbG^c||_-d_wq%0vMnW z%EBm%qAZ3Ik4EEv!J?EXBg*3Y3lq`OP)veaohJQ`ne>Y>>Gz3Azt2qiT{r1>!=&Hs z#QoBF8Twg*#s*Emzlm|{`?+9ywxK=W&|Yk4FE_MT8QSY~Z9jP;XkXBNmrtMuKLLv| zVK14m*G<^lLGL8kd*_b53nuKc3H#E7{hgTE0}_vGk1*+%*MyZZVRcOGbvI$djj#1P z7aSct(sjJ3#l>jw1QRwhcveEcS$F!aF=1PSw34UwI=;GB<>elC~?2gVkZ6SoAhgJ(yygSzqZDH z@{?>9@|L-)56}*>19byG>rZ-=yDRlYYld`kgZAcgCdOE5?5EMA&)b zITh?f6L!gjT`^(TOxSf3cGKw3828V_%%PbuYhrs2y9sleuuLZQa+|OcM&@_UQ7&=J zIO>_O=81jH(I&AEIocc717mbG>DR-gUq6#E2ARBesL5-mCiYXuEE9Y4P1q6>w$g+p zIxpbZHktI>Zro?|+hf8Gny^Io5_s(?lYTFmu-A;tw$|~M$y~i_?1wQfn6OJm278~I z*t=@d?^~08CigWCllvOSEfafxCAR0(jQ#x1Iem@Hw$^Dgd9BGcozvu+&KY5}hcU7k z8OF$N7z5W}&Rq9igE{k?ysnVpb+}e@7B}_-D`R9Bvx3QMtDE$zZPKrSNxvp0{SsZ1 zU|-r9UkldBgmp7vCfAZqlWR%m5aVlcywN86H`b)zM3a6d?+rLj-WzbvH?g-qz=xcfloOU|}>osiSsp+e%BJ`aOY1Z?3M}p zJ24CQHet94a_tLhao0!T&cw$SUN-Tug;z|x&*9Zf#@J=T_8XZz5pHts4L3RWruR;K z{!H($XBnc!O~3c7zIeB5J>JPWX?xFh!SS@b2IP!AFB*g3koM8vIu92f?2Ne;Ir&_;ms4~4I&Dt7Gt3#`%;L=M z%;n7QEaWWiEaR-;tnRGsY~XC-Z0T(0?Bwj`?CtFD9O8_2j&)9SPIb<7&UY?$u5hk) zu6J&B?m+y!gxI*|{Kk^JJ7v{kYNNq%`i+S0LxFDOXcK^WzO z?$WjcyKBSOnWSwW%-d#g1D>sywh`QbFH}j}RBW)H#P0Gfb7|iaa8%m2k!>c!#}(4P z2{k7^5|Q@xtl^^~X{&9iDs8Q8^<>~77z8kvskk&X-CxXEU9 zHfyno=U8OAhN$tm6Pd0BH?(FG4~xij9og){rV~wDJM3w?A#CEY44G~ewg&aGeJ|6E zp=_lbqWldBDo&|JX$-MFi5&`RhSvr)r?jH9rL@Od26duzrF5s`;H6$8x3$lL+}j@8Q_Jj0e)<95(BJk2C#|mI>>-PHtlRi zvl&cNO<^j4D;+YC%)u_{*__E{1e=-IT*M|_ARsH7%wYl9*sR8^G74gAMpN_Ie4es~ zvW@HjCMOinW6OYpY#yQlZMi9ASxPxdc}fLJMM@<~Wl9y=t4gUxsZOausY$6t zsZFWF&UGpEDD^1~C=Dr%D2*vjOF1E%aQ~W8no*ilT2NZjR4dk6Q`%74Qrc0Tq_l^K zN|Y*WcA#|R%Q~^v8R9?AQdP(PqR6Y zGKeym@(g7Nw+>}(7-cvmnlgeik}`^2Mzi)TWejC3WgIt*r%YgTB4rYrlUbX>X?l*e zsg!Ay>697VFq1NiGMh4oGM6%sGM}=5oflFTQ5I8{P?l1bQI=Cyu=7gF^ORMT)s!`q zwUl*~7ub0{Wdmg+WfNsHWea61WgEm&mg~En2eX50Ck^akZ8v2PWiMqPWk2Nr#fv_P zp9vQ7Ae)CMhasXWYe!hCPN~Lb1Z%(2m0zIzL0Lz6+S*)*M%E}HhEe{6NPmjeT11G+ zWF06&DY2B9lrEI+lou((Y3e#_BU$Um+9=9+HhZ$xpS5nRJw+Kz=|MR}8G)_R#x4ga zCn@_W9l3P?Xv%3QL#l!lc0lm-w>eMFh1v89Qy zRACL*iNZ3%GE#`&IN85bPSe0klv#Y)6v`$_4B1l33^q4Ywo|rHwo-Obmb1$c)^<^L zQZ`Ula>HuM8pS!Y0u8>D9tJJC@m=SDRU@`@mkAiORTV* zvAiUF|DgOy`HS+m?`=E)f|{SCXcRAsH^qlrEv)&n=Es_q;!m+r0w{r$bd(_Wvr~d8 zA!MO`VZzTraZA?*>DZMDYDSaq?Dg7w@DFfK~Y05y#Aj)9M zGn65ep_F0lJe(3u89^CI8ATaQd6qJUoyStfQN~jyP$p6)Q6^KSP@dy)Ol55vUpt+( z8I+lnS(Mq7Ih47Sd6fB-1(byl@hW0LIuI4oP6?xgk_EArjuOab0412s5Y#MdENdbD z9|=*;Z;=pRV}m$HxkUMea*gsW<$sh9@iOrdRN&1Di)#`pc?@>OaT%=s0dpJ>@#(XUa{=Ey|yi+Yl`m#9O2IQ2Z!1N;*m~ zC5#eI$wY~SXn9%7PKl!Aq2#9&rj($Rrj(=bmwCLaK(vCaaoxOYQR-3}P#RO3QCd>k zP@bf41+^lqb)j^l^rZBo45Dz|yhl;SQ6^KkQ1X8etvH*rC|ok{1(d~<6%;O+_Y0KG zlx-BQkyeVe0~9)(_X)}=%8Qh<6t0Z-dCL2g3lOa=Yg`oXFDPGAzNK(qytxYAzft~x z_y~#@M619W=iY~N?_;MpC>bc6ai5$NPP-2$(T7u_Rc5Uur3{7h>{E?Wo5G3pX-a8D z;dJ_RhG=nJy0h7b(w{PzGMqx^_Zdr>M43jJ4bf_{#`*JEN_n2LjC^sm-QhulW1+mb9Ej|#f z5o?@DOCW_aX$hq`DG`)R6waxIb85*Akz5&#b7jd#DZpk6N^44c3jI%`|7krboH~tD zrwxS2-&lKwGK?~UGMX};!kN@KliEzm9Lhq7q#tNYD9b6FQ*8}}KB;Y_Y@uwY?4sIM13Fh0dy7pnOcZO!=JhCFN_%w-kD;_9KPU zsd3shPP_Ie