diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index 2c27b07c..33ba6fdd 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -168,8 +168,8 @@ "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_tag_m", "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r" + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r" ] }, { diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 4a90db49..1adc1027 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -2685,1901 +2685,1901 @@ circuit el2_lsu_bus_buffer : obuf_wr_timer <= _T_1865 @[el2_lsu_bus_buffer.scala 419:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1866 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1867 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1868 = and(ibuf_valid, _T_1867) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1869 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:34] - node _T_1870 = and(io.lsu_busreq_r, _T_1869) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1871 = or(_T_1868, _T_1870) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1872 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1873 = and(io.ldst_dual_r, _T_1872) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1875 = eq(_T_1874, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1876 = and(_T_1866, _T_1875) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1877 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1878 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1879 = and(ibuf_valid, _T_1878) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1880 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:34] - node _T_1881 = and(io.lsu_busreq_r, _T_1880) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1882 = or(_T_1879, _T_1881) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1883 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1884 = and(io.ldst_dual_r, _T_1883) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1885 = or(_T_1882, _T_1884) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1886 = eq(_T_1885, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1887 = and(_T_1877, _T_1886) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1888 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1889 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1890 = and(ibuf_valid, _T_1889) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1891 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:34] - node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1893 = or(_T_1890, _T_1892) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1894 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1895 = and(io.ldst_dual_r, _T_1894) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1896 = or(_T_1893, _T_1895) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1898 = and(_T_1888, _T_1897) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1899 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1900 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1901 = and(ibuf_valid, _T_1900) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1902 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:34] - node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1904 = or(_T_1901, _T_1903) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1905 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1906 = and(io.ldst_dual_r, _T_1905) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1907 = or(_T_1904, _T_1906) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1908 = eq(_T_1907, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1909 = and(_T_1899, _T_1908) @[el2_lsu_bus_buffer.scala 422:70] + node _T_1866 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] + node _T_1867 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:103] + node _T_1868 = and(ibuf_valid, _T_1867) @[el2_lsu_bus_buffer.scala 422:92] + node _T_1869 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:35] + node _T_1870 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1871 = and(io.ldst_dual_r, _T_1870) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1872 = or(_T_1869, _T_1871) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1873 = and(io.lsu_busreq_r, _T_1872) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1874 = or(_T_1868, _T_1873) @[el2_lsu_bus_buffer.scala 422:112] + node _T_1875 = eq(_T_1874, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] + node _T_1876 = and(_T_1866, _T_1875) @[el2_lsu_bus_buffer.scala 422:76] + node _T_1877 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] + node _T_1878 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:103] + node _T_1879 = and(ibuf_valid, _T_1878) @[el2_lsu_bus_buffer.scala 422:92] + node _T_1880 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:35] + node _T_1881 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1882 = and(io.ldst_dual_r, _T_1881) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1883 = or(_T_1880, _T_1882) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1884 = and(io.lsu_busreq_r, _T_1883) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1885 = or(_T_1879, _T_1884) @[el2_lsu_bus_buffer.scala 422:112] + node _T_1886 = eq(_T_1885, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] + node _T_1887 = and(_T_1877, _T_1886) @[el2_lsu_bus_buffer.scala 422:76] + node _T_1888 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] + node _T_1889 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:103] + node _T_1890 = and(ibuf_valid, _T_1889) @[el2_lsu_bus_buffer.scala 422:92] + node _T_1891 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:35] + node _T_1892 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1893 = and(io.ldst_dual_r, _T_1892) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1894 = or(_T_1891, _T_1893) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1895 = and(io.lsu_busreq_r, _T_1894) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1896 = or(_T_1890, _T_1895) @[el2_lsu_bus_buffer.scala 422:112] + node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] + node _T_1898 = and(_T_1888, _T_1897) @[el2_lsu_bus_buffer.scala 422:76] + node _T_1899 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] + node _T_1900 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:103] + node _T_1901 = and(ibuf_valid, _T_1900) @[el2_lsu_bus_buffer.scala 422:92] + node _T_1902 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:35] + node _T_1903 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1904 = and(io.ldst_dual_r, _T_1903) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1905 = or(_T_1902, _T_1904) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1906 = and(io.lsu_busreq_r, _T_1905) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1907 = or(_T_1901, _T_1906) @[el2_lsu_bus_buffer.scala 422:112] + node _T_1908 = eq(_T_1907, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] + node _T_1909 = and(_T_1899, _T_1908) @[el2_lsu_bus_buffer.scala 422:76] node _T_1910 = mux(_T_1909, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1911 = mux(_T_1898, UInt<2>("h02"), _T_1910) @[Mux.scala 98:16] node _T_1912 = mux(_T_1887, UInt<1>("h01"), _T_1911) @[Mux.scala 98:16] node _T_1913 = mux(_T_1876, UInt<1>("h00"), _T_1912) @[Mux.scala 98:16] - WrPtr0_m <= _T_1913 @[el2_lsu_bus_buffer.scala 426:12] + WrPtr0_m <= _T_1913 @[el2_lsu_bus_buffer.scala 422:12] node _T_1914 = cat(buf_state[0], buf_state[1]) @[Cat.scala 29:58] node _T_1915 = cat(_T_1914, buf_state[2]) @[Cat.scala 29:58] node _T_1916 = cat(_T_1915, buf_state[3]) @[Cat.scala 29:58] - io.buf_state <= _T_1916 @[el2_lsu_bus_buffer.scala 427:16] - node _T_1917 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] - node _T_1918 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:106] - node _T_1919 = and(ibuf_valid, _T_1918) @[el2_lsu_bus_buffer.scala 429:95] - node _T_1920 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:33] - node _T_1921 = and(io.lsu_busreq_m, _T_1920) @[el2_lsu_bus_buffer.scala 430:22] - node _T_1922 = or(_T_1919, _T_1921) @[el2_lsu_bus_buffer.scala 429:115] - node _T_1923 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:36] - node _T_1924 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:34] - node _T_1925 = and(io.ldst_dual_r, _T_1924) @[el2_lsu_bus_buffer.scala 432:23] - node _T_1926 = or(_T_1923, _T_1925) @[el2_lsu_bus_buffer.scala 431:46] - node _T_1927 = and(io.lsu_busreq_r, _T_1926) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1928 = or(_T_1922, _T_1927) @[el2_lsu_bus_buffer.scala 430:42] - node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] - node _T_1930 = and(_T_1917, _T_1929) @[el2_lsu_bus_buffer.scala 429:79] - node _T_1931 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] - node _T_1932 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:106] - node _T_1933 = and(ibuf_valid, _T_1932) @[el2_lsu_bus_buffer.scala 429:95] - node _T_1934 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:33] - node _T_1935 = and(io.lsu_busreq_m, _T_1934) @[el2_lsu_bus_buffer.scala 430:22] - node _T_1936 = or(_T_1933, _T_1935) @[el2_lsu_bus_buffer.scala 429:115] - node _T_1937 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:36] - node _T_1938 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:34] - node _T_1939 = and(io.ldst_dual_r, _T_1938) @[el2_lsu_bus_buffer.scala 432:23] - node _T_1940 = or(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 431:46] - node _T_1941 = and(io.lsu_busreq_r, _T_1940) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1942 = or(_T_1936, _T_1941) @[el2_lsu_bus_buffer.scala 430:42] - node _T_1943 = eq(_T_1942, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] - node _T_1944 = and(_T_1931, _T_1943) @[el2_lsu_bus_buffer.scala 429:79] - node _T_1945 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] - node _T_1946 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:106] - node _T_1947 = and(ibuf_valid, _T_1946) @[el2_lsu_bus_buffer.scala 429:95] - node _T_1948 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:33] - node _T_1949 = and(io.lsu_busreq_m, _T_1948) @[el2_lsu_bus_buffer.scala 430:22] - node _T_1950 = or(_T_1947, _T_1949) @[el2_lsu_bus_buffer.scala 429:115] - node _T_1951 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:36] - node _T_1952 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:34] - node _T_1953 = and(io.ldst_dual_r, _T_1952) @[el2_lsu_bus_buffer.scala 432:23] - node _T_1954 = or(_T_1951, _T_1953) @[el2_lsu_bus_buffer.scala 431:46] - node _T_1955 = and(io.lsu_busreq_r, _T_1954) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1956 = or(_T_1950, _T_1955) @[el2_lsu_bus_buffer.scala 430:42] - node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] - node _T_1958 = and(_T_1945, _T_1957) @[el2_lsu_bus_buffer.scala 429:79] - node _T_1959 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] - node _T_1960 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:106] - node _T_1961 = and(ibuf_valid, _T_1960) @[el2_lsu_bus_buffer.scala 429:95] - node _T_1962 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:33] - node _T_1963 = and(io.lsu_busreq_m, _T_1962) @[el2_lsu_bus_buffer.scala 430:22] - node _T_1964 = or(_T_1961, _T_1963) @[el2_lsu_bus_buffer.scala 429:115] - node _T_1965 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:36] - node _T_1966 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:34] - node _T_1967 = and(io.ldst_dual_r, _T_1966) @[el2_lsu_bus_buffer.scala 432:23] - node _T_1968 = or(_T_1965, _T_1967) @[el2_lsu_bus_buffer.scala 431:46] - node _T_1969 = and(io.lsu_busreq_r, _T_1968) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1970 = or(_T_1964, _T_1969) @[el2_lsu_bus_buffer.scala 430:42] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] - node _T_1972 = and(_T_1959, _T_1971) @[el2_lsu_bus_buffer.scala 429:79] + io.buf_state <= _T_1916 @[el2_lsu_bus_buffer.scala 425:16] + node _T_1917 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] + node _T_1918 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:106] + node _T_1919 = and(ibuf_valid, _T_1918) @[el2_lsu_bus_buffer.scala 427:95] + node _T_1920 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:33] + node _T_1921 = and(io.lsu_busreq_m, _T_1920) @[el2_lsu_bus_buffer.scala 428:22] + node _T_1922 = or(_T_1919, _T_1921) @[el2_lsu_bus_buffer.scala 427:115] + node _T_1923 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:36] + node _T_1924 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:34] + node _T_1925 = and(io.ldst_dual_r, _T_1924) @[el2_lsu_bus_buffer.scala 430:23] + node _T_1926 = or(_T_1923, _T_1925) @[el2_lsu_bus_buffer.scala 429:46] + node _T_1927 = and(io.lsu_busreq_r, _T_1926) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1928 = or(_T_1922, _T_1927) @[el2_lsu_bus_buffer.scala 428:42] + node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] + node _T_1930 = and(_T_1917, _T_1929) @[el2_lsu_bus_buffer.scala 427:79] + node _T_1931 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] + node _T_1932 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:106] + node _T_1933 = and(ibuf_valid, _T_1932) @[el2_lsu_bus_buffer.scala 427:95] + node _T_1934 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 428:33] + node _T_1935 = and(io.lsu_busreq_m, _T_1934) @[el2_lsu_bus_buffer.scala 428:22] + node _T_1936 = or(_T_1933, _T_1935) @[el2_lsu_bus_buffer.scala 427:115] + node _T_1937 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:36] + node _T_1938 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:34] + node _T_1939 = and(io.ldst_dual_r, _T_1938) @[el2_lsu_bus_buffer.scala 430:23] + node _T_1940 = or(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 429:46] + node _T_1941 = and(io.lsu_busreq_r, _T_1940) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1942 = or(_T_1936, _T_1941) @[el2_lsu_bus_buffer.scala 428:42] + node _T_1943 = eq(_T_1942, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] + node _T_1944 = and(_T_1931, _T_1943) @[el2_lsu_bus_buffer.scala 427:79] + node _T_1945 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] + node _T_1946 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:106] + node _T_1947 = and(ibuf_valid, _T_1946) @[el2_lsu_bus_buffer.scala 427:95] + node _T_1948 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 428:33] + node _T_1949 = and(io.lsu_busreq_m, _T_1948) @[el2_lsu_bus_buffer.scala 428:22] + node _T_1950 = or(_T_1947, _T_1949) @[el2_lsu_bus_buffer.scala 427:115] + node _T_1951 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:36] + node _T_1952 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:34] + node _T_1953 = and(io.ldst_dual_r, _T_1952) @[el2_lsu_bus_buffer.scala 430:23] + node _T_1954 = or(_T_1951, _T_1953) @[el2_lsu_bus_buffer.scala 429:46] + node _T_1955 = and(io.lsu_busreq_r, _T_1954) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1956 = or(_T_1950, _T_1955) @[el2_lsu_bus_buffer.scala 428:42] + node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] + node _T_1958 = and(_T_1945, _T_1957) @[el2_lsu_bus_buffer.scala 427:79] + node _T_1959 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] + node _T_1960 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:106] + node _T_1961 = and(ibuf_valid, _T_1960) @[el2_lsu_bus_buffer.scala 427:95] + node _T_1962 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 428:33] + node _T_1963 = and(io.lsu_busreq_m, _T_1962) @[el2_lsu_bus_buffer.scala 428:22] + node _T_1964 = or(_T_1961, _T_1963) @[el2_lsu_bus_buffer.scala 427:115] + node _T_1965 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:36] + node _T_1966 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:34] + node _T_1967 = and(io.ldst_dual_r, _T_1966) @[el2_lsu_bus_buffer.scala 430:23] + node _T_1968 = or(_T_1965, _T_1967) @[el2_lsu_bus_buffer.scala 429:46] + node _T_1969 = and(io.lsu_busreq_r, _T_1968) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1970 = or(_T_1964, _T_1969) @[el2_lsu_bus_buffer.scala 428:42] + node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] + node _T_1972 = and(_T_1959, _T_1971) @[el2_lsu_bus_buffer.scala 427:79] node _T_1973 = mux(_T_1972, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1974 = mux(_T_1958, UInt<2>("h02"), _T_1973) @[Mux.scala 98:16] node _T_1975 = mux(_T_1944, UInt<1>("h01"), _T_1974) @[Mux.scala 98:16] node WrPtr1_m = mux(_T_1930, UInt<1>("h00"), _T_1975) @[Mux.scala 98:16] - io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 434:15] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 435:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] - node _T_1976 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 438:58] - node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_1978 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] - node _T_1979 = and(_T_1977, _T_1978) @[el2_lsu_bus_buffer.scala 438:63] - node _T_1980 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] - node _T_1981 = and(_T_1979, _T_1980) @[el2_lsu_bus_buffer.scala 438:88] - node _T_1982 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 438:58] - node _T_1983 = eq(_T_1982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_1984 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] - node _T_1985 = and(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 438:63] - node _T_1986 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] - node _T_1987 = and(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 438:88] - node _T_1988 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 438:58] - node _T_1989 = eq(_T_1988, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_1990 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] - node _T_1991 = and(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 438:63] - node _T_1992 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] - node _T_1993 = and(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 438:88] - node _T_1994 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 438:58] - node _T_1995 = eq(_T_1994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_1996 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] - node _T_1997 = and(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 438:63] - node _T_1998 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] - node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 438:88] + io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 432:15] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 433:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] + node _T_1976 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 436:58] + node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] + node _T_1978 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_1979 = and(_T_1977, _T_1978) @[el2_lsu_bus_buffer.scala 436:63] + node _T_1980 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] + node _T_1981 = and(_T_1979, _T_1980) @[el2_lsu_bus_buffer.scala 436:88] + node _T_1982 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 436:58] + node _T_1983 = eq(_T_1982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] + node _T_1984 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_1985 = and(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 436:63] + node _T_1986 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] + node _T_1987 = and(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 436:88] + node _T_1988 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 436:58] + node _T_1989 = eq(_T_1988, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] + node _T_1990 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_1991 = and(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 436:63] + node _T_1992 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] + node _T_1993 = and(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 436:88] + node _T_1994 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 436:58] + node _T_1995 = eq(_T_1994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] + node _T_1996 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_1997 = and(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 436:63] + node _T_1998 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] + node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 436:88] node _T_2000 = cat(_T_1999, _T_1993) @[Cat.scala 29:58] node _T_2001 = cat(_T_2000, _T_1987) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_2001, _T_1981) @[Cat.scala 29:58] - node _T_2002 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] - node _T_2003 = and(buf_age[0], _T_2002) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2004 = orr(_T_2003) @[el2_lsu_bus_buffer.scala 439:76] - node _T_2005 = eq(_T_2004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_2006 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2007 = eq(_T_2006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] - node _T_2008 = and(_T_2005, _T_2007) @[el2_lsu_bus_buffer.scala 439:81] - node _T_2009 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] - node _T_2010 = and(_T_2008, _T_2009) @[el2_lsu_bus_buffer.scala 439:98] - node _T_2011 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] - node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 439:123] - node _T_2013 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] - node _T_2014 = and(buf_age[1], _T_2013) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2015 = orr(_T_2014) @[el2_lsu_bus_buffer.scala 439:76] - node _T_2016 = eq(_T_2015, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_2017 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2018 = eq(_T_2017, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] - node _T_2019 = and(_T_2016, _T_2018) @[el2_lsu_bus_buffer.scala 439:81] - node _T_2020 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] - node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 439:98] - node _T_2022 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] - node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 439:123] - node _T_2024 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] - node _T_2025 = and(buf_age[2], _T_2024) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2026 = orr(_T_2025) @[el2_lsu_bus_buffer.scala 439:76] - node _T_2027 = eq(_T_2026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_2028 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2029 = eq(_T_2028, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] - node _T_2030 = and(_T_2027, _T_2029) @[el2_lsu_bus_buffer.scala 439:81] - node _T_2031 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] - node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 439:98] - node _T_2033 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] - node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 439:123] - node _T_2035 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] - node _T_2036 = and(buf_age[3], _T_2035) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2037 = orr(_T_2036) @[el2_lsu_bus_buffer.scala 439:76] - node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_2039 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2040 = eq(_T_2039, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] - node _T_2041 = and(_T_2038, _T_2040) @[el2_lsu_bus_buffer.scala 439:81] - node _T_2042 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] - node _T_2043 = and(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 439:98] - node _T_2044 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] - node _T_2045 = and(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 439:123] + node _T_2002 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] + node _T_2003 = and(buf_age[0], _T_2002) @[el2_lsu_bus_buffer.scala 437:59] + node _T_2004 = orr(_T_2003) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2005 = eq(_T_2004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2006 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 437:94] + node _T_2007 = eq(_T_2006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] + node _T_2008 = and(_T_2005, _T_2007) @[el2_lsu_bus_buffer.scala 437:81] + node _T_2009 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] + node _T_2010 = and(_T_2008, _T_2009) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2011 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] + node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 437:123] + node _T_2013 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] + node _T_2014 = and(buf_age[1], _T_2013) @[el2_lsu_bus_buffer.scala 437:59] + node _T_2015 = orr(_T_2014) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2016 = eq(_T_2015, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2017 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 437:94] + node _T_2018 = eq(_T_2017, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] + node _T_2019 = and(_T_2016, _T_2018) @[el2_lsu_bus_buffer.scala 437:81] + node _T_2020 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] + node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2022 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] + node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 437:123] + node _T_2024 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] + node _T_2025 = and(buf_age[2], _T_2024) @[el2_lsu_bus_buffer.scala 437:59] + node _T_2026 = orr(_T_2025) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2027 = eq(_T_2026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2028 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 437:94] + node _T_2029 = eq(_T_2028, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] + node _T_2030 = and(_T_2027, _T_2029) @[el2_lsu_bus_buffer.scala 437:81] + node _T_2031 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] + node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2033 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] + node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 437:123] + node _T_2035 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] + node _T_2036 = and(buf_age[3], _T_2035) @[el2_lsu_bus_buffer.scala 437:59] + node _T_2037 = orr(_T_2036) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2039 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 437:94] + node _T_2040 = eq(_T_2039, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] + node _T_2041 = and(_T_2038, _T_2040) @[el2_lsu_bus_buffer.scala 437:81] + node _T_2042 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] + node _T_2043 = and(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2044 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] + node _T_2045 = and(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 437:123] node _T_2046 = cat(_T_2045, _T_2034) @[Cat.scala 29:58] node _T_2047 = cat(_T_2046, _T_2023) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_2047, _T_2012) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 440:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] - node _T_2048 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 442:65] - node _T_2049 = eq(_T_2048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] - node _T_2050 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] - node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 442:70] - node _T_2052 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 442:65] - node _T_2053 = eq(_T_2052, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] - node _T_2054 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] - node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 442:70] - node _T_2056 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 442:65] - node _T_2057 = eq(_T_2056, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] - node _T_2058 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] - node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 442:70] - node _T_2060 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 442:65] - node _T_2061 = eq(_T_2060, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] - node _T_2062 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] - node _T_2063 = and(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 442:70] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 438:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] + node _T_2048 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 440:65] + node _T_2049 = eq(_T_2048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] + node _T_2050 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 440:70] + node _T_2052 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 440:65] + node _T_2053 = eq(_T_2052, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] + node _T_2054 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 440:70] + node _T_2056 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 440:65] + node _T_2057 = eq(_T_2056, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] + node _T_2058 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 440:70] + node _T_2060 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 440:65] + node _T_2061 = eq(_T_2060, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] + node _T_2062 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2063 = and(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 440:70] node _T_2064 = cat(_T_2063, _T_2059) @[Cat.scala 29:58] node _T_2065 = cat(_T_2064, _T_2055) @[Cat.scala 29:58] node RspPtrDec = cat(_T_2065, _T_2051) @[Cat.scala 29:58] - node _T_2066 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 443:31] - found_cmdptr0 <= _T_2066 @[el2_lsu_bus_buffer.scala 443:17] - node _T_2067 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 444:31] - found_cmdptr1 <= _T_2067 @[el2_lsu_bus_buffer.scala 444:17] - io.Cmdptr0 <= CmdPtr0 @[el2_lsu_bus_buffer.scala 449:14] + node _T_2066 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 441:31] + found_cmdptr0 <= _T_2066 @[el2_lsu_bus_buffer.scala 441:17] + node _T_2067 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 442:31] + found_cmdptr1 <= _T_2067 @[el2_lsu_bus_buffer.scala 442:17] + io.Cmdptr0 <= CmdPtr0 @[el2_lsu_bus_buffer.scala 447:14] wire CmdPtr1 : UInt<2> CmdPtr1 <= UInt<1>("h00") wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") node _T_2068 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2069 = cat(_T_2068, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_2070 = bits(_T_2069, 4, 4) @[el2_lsu_bus_buffer.scala 446:39] - node _T_2071 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 446:45] - node _T_2072 = or(_T_2070, _T_2071) @[el2_lsu_bus_buffer.scala 446:42] - node _T_2073 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 446:51] - node _T_2074 = or(_T_2072, _T_2073) @[el2_lsu_bus_buffer.scala 446:48] - node _T_2075 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 446:57] - node _T_2076 = or(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2077 = bits(_T_2069, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] - node _T_2078 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 446:70] - node _T_2079 = or(_T_2077, _T_2078) @[el2_lsu_bus_buffer.scala 446:67] - node _T_2080 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 446:76] - node _T_2081 = or(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2082 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 446:82] - node _T_2083 = or(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 446:79] - node _T_2084 = bits(_T_2069, 1, 1) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2085 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 446:95] - node _T_2086 = or(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 446:92] - node _T_2087 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 446:101] - node _T_2088 = or(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 446:98] - node _T_2089 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 446:107] - node _T_2090 = or(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2070 = bits(_T_2069, 4, 4) @[el2_lsu_bus_buffer.scala 444:39] + node _T_2071 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 444:45] + node _T_2072 = or(_T_2070, _T_2071) @[el2_lsu_bus_buffer.scala 444:42] + node _T_2073 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 444:51] + node _T_2074 = or(_T_2072, _T_2073) @[el2_lsu_bus_buffer.scala 444:48] + node _T_2075 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 444:57] + node _T_2076 = or(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 444:54] + node _T_2077 = bits(_T_2069, 2, 2) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2078 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 444:70] + node _T_2079 = or(_T_2077, _T_2078) @[el2_lsu_bus_buffer.scala 444:67] + node _T_2080 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 444:76] + node _T_2081 = or(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2082 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 444:82] + node _T_2083 = or(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 444:79] + node _T_2084 = bits(_T_2069, 1, 1) @[el2_lsu_bus_buffer.scala 444:89] + node _T_2085 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 444:95] + node _T_2086 = or(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2087 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 444:101] + node _T_2088 = or(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 444:98] + node _T_2089 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 444:107] + node _T_2090 = or(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 444:104] node _T_2091 = cat(_T_2076, _T_2083) @[Cat.scala 29:58] node _T_2092 = cat(_T_2091, _T_2090) @[Cat.scala 29:58] - CmdPtr0 <= _T_2092 @[el2_lsu_bus_buffer.scala 452:11] + CmdPtr0 <= _T_2092 @[el2_lsu_bus_buffer.scala 450:11] node _T_2093 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2094 = cat(_T_2093, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2095 = bits(_T_2094, 4, 4) @[el2_lsu_bus_buffer.scala 446:39] - node _T_2096 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 446:45] - node _T_2097 = or(_T_2095, _T_2096) @[el2_lsu_bus_buffer.scala 446:42] - node _T_2098 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 446:51] - node _T_2099 = or(_T_2097, _T_2098) @[el2_lsu_bus_buffer.scala 446:48] - node _T_2100 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 446:57] - node _T_2101 = or(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2102 = bits(_T_2094, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] - node _T_2103 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 446:70] - node _T_2104 = or(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 446:67] - node _T_2105 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 446:76] - node _T_2106 = or(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2107 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 446:82] - node _T_2108 = or(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 446:79] - node _T_2109 = bits(_T_2094, 1, 1) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2110 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 446:95] - node _T_2111 = or(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 446:92] - node _T_2112 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 446:101] - node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 446:98] - node _T_2114 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 446:107] - node _T_2115 = or(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2095 = bits(_T_2094, 4, 4) @[el2_lsu_bus_buffer.scala 444:39] + node _T_2096 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 444:45] + node _T_2097 = or(_T_2095, _T_2096) @[el2_lsu_bus_buffer.scala 444:42] + node _T_2098 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 444:51] + node _T_2099 = or(_T_2097, _T_2098) @[el2_lsu_bus_buffer.scala 444:48] + node _T_2100 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 444:57] + node _T_2101 = or(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 444:54] + node _T_2102 = bits(_T_2094, 2, 2) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2103 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 444:70] + node _T_2104 = or(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 444:67] + node _T_2105 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 444:76] + node _T_2106 = or(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2107 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 444:82] + node _T_2108 = or(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 444:79] + node _T_2109 = bits(_T_2094, 1, 1) @[el2_lsu_bus_buffer.scala 444:89] + node _T_2110 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 444:95] + node _T_2111 = or(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2112 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 444:101] + node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 444:98] + node _T_2114 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 444:107] + node _T_2115 = or(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 444:104] node _T_2116 = cat(_T_2101, _T_2108) @[Cat.scala 29:58] node _T_2117 = cat(_T_2116, _T_2115) @[Cat.scala 29:58] - CmdPtr1 <= _T_2117 @[el2_lsu_bus_buffer.scala 454:11] + CmdPtr1 <= _T_2117 @[el2_lsu_bus_buffer.scala 452:11] node _T_2118 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2119 = cat(_T_2118, RspPtrDec) @[Cat.scala 29:58] - node _T_2120 = bits(_T_2119, 4, 4) @[el2_lsu_bus_buffer.scala 446:39] - node _T_2121 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 446:45] - node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 446:42] - node _T_2123 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 446:51] - node _T_2124 = or(_T_2122, _T_2123) @[el2_lsu_bus_buffer.scala 446:48] - node _T_2125 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 446:57] - node _T_2126 = or(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2127 = bits(_T_2119, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] - node _T_2128 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 446:70] - node _T_2129 = or(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 446:67] - node _T_2130 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 446:76] - node _T_2131 = or(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2132 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 446:82] - node _T_2133 = or(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 446:79] - node _T_2134 = bits(_T_2119, 1, 1) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2135 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 446:95] - node _T_2136 = or(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 446:92] - node _T_2137 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 446:101] - node _T_2138 = or(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 446:98] - node _T_2139 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 446:107] - node _T_2140 = or(_T_2138, _T_2139) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2120 = bits(_T_2119, 4, 4) @[el2_lsu_bus_buffer.scala 444:39] + node _T_2121 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 444:45] + node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 444:42] + node _T_2123 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 444:51] + node _T_2124 = or(_T_2122, _T_2123) @[el2_lsu_bus_buffer.scala 444:48] + node _T_2125 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 444:57] + node _T_2126 = or(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 444:54] + node _T_2127 = bits(_T_2119, 2, 2) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2128 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 444:70] + node _T_2129 = or(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 444:67] + node _T_2130 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 444:76] + node _T_2131 = or(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2132 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 444:82] + node _T_2133 = or(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 444:79] + node _T_2134 = bits(_T_2119, 1, 1) @[el2_lsu_bus_buffer.scala 444:89] + node _T_2135 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 444:95] + node _T_2136 = or(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2137 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 444:101] + node _T_2138 = or(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 444:98] + node _T_2139 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 444:107] + node _T_2140 = or(_T_2138, _T_2139) @[el2_lsu_bus_buffer.scala 444:104] node _T_2141 = cat(_T_2126, _T_2133) @[Cat.scala 29:58] node _T_2142 = cat(_T_2141, _T_2140) @[Cat.scala 29:58] - RspPtr <= _T_2142 @[el2_lsu_bus_buffer.scala 455:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 456:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 458:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 460:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 462:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 464:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] - node _T_2143 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2144 = and(_T_2143, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2145 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2146 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2147 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2149 = or(_T_2145, _T_2148) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2150 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2151 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2152 = and(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2153 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2155 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2156 = and(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2157 = or(_T_2149, _T_2156) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2158 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2159 = and(_T_2158, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2160 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2162 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2163 = and(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2164 = or(_T_2157, _T_2163) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2165 = and(_T_2144, _T_2164) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2166 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2167 = or(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2168 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2169 = and(_T_2168, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2170 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2171 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2172 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2174 = or(_T_2170, _T_2173) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2175 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2176 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2177 = and(_T_2175, _T_2176) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2178 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2180 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2181 = and(_T_2179, _T_2180) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2182 = or(_T_2174, _T_2181) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2183 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2184 = and(_T_2183, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2185 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2187 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2188 = and(_T_2186, _T_2187) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2189 = or(_T_2182, _T_2188) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2190 = and(_T_2169, _T_2189) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2191 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2192 = or(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2193 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2194 = and(_T_2193, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2195 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2196 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2197 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2199 = or(_T_2195, _T_2198) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2200 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2201 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2202 = and(_T_2200, _T_2201) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2203 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2205 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2206 = and(_T_2204, _T_2205) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2207 = or(_T_2199, _T_2206) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2208 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2209 = and(_T_2208, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2210 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2212 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2214 = or(_T_2207, _T_2213) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2215 = and(_T_2194, _T_2214) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2216 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2217 = or(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2218 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2219 = and(_T_2218, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2220 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2221 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2222 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2224 = or(_T_2220, _T_2223) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2225 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2226 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2227 = and(_T_2225, _T_2226) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2228 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2230 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2231 = and(_T_2229, _T_2230) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2232 = or(_T_2224, _T_2231) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2233 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2234 = and(_T_2233, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2235 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2237 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2239 = or(_T_2232, _T_2238) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2240 = and(_T_2219, _T_2239) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2241 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2242 = or(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 470:97] + RspPtr <= _T_2142 @[el2_lsu_bus_buffer.scala 453:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 454:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 456:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 458:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 460:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 462:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] + node _T_2143 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2144 = and(_T_2143, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2145 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2146 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2147 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2149 = or(_T_2145, _T_2148) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2150 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2151 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2152 = and(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2153 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2155 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2156 = and(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2157 = or(_T_2149, _T_2156) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2158 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2159 = and(_T_2158, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2160 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2162 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2163 = and(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2164 = or(_T_2157, _T_2163) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2165 = and(_T_2144, _T_2164) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2166 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2167 = or(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2168 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2169 = and(_T_2168, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2170 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2171 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2172 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2174 = or(_T_2170, _T_2173) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2175 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2176 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2177 = and(_T_2175, _T_2176) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2178 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2180 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2181 = and(_T_2179, _T_2180) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2182 = or(_T_2174, _T_2181) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2183 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2184 = and(_T_2183, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2185 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2187 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2188 = and(_T_2186, _T_2187) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2189 = or(_T_2182, _T_2188) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2190 = and(_T_2169, _T_2189) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2191 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2192 = or(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2193 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2194 = and(_T_2193, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2195 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2196 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2197 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2199 = or(_T_2195, _T_2198) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2200 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2201 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2202 = and(_T_2200, _T_2201) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2203 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2205 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2206 = and(_T_2204, _T_2205) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2207 = or(_T_2199, _T_2206) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2208 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2209 = and(_T_2208, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2210 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2212 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2214 = or(_T_2207, _T_2213) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2215 = and(_T_2194, _T_2214) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2216 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2217 = or(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2218 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2219 = and(_T_2218, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2220 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2221 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2222 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2224 = or(_T_2220, _T_2223) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2225 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2226 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2227 = and(_T_2225, _T_2226) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2228 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2230 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2231 = and(_T_2229, _T_2230) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2232 = or(_T_2224, _T_2231) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2233 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2234 = and(_T_2233, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2235 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2237 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2239 = or(_T_2232, _T_2238) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2240 = and(_T_2219, _T_2239) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2241 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2242 = or(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 468:97] node _T_2243 = cat(_T_2242, _T_2217) @[Cat.scala 29:58] node _T_2244 = cat(_T_2243, _T_2192) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2244, _T_2167) @[Cat.scala 29:58] - node _T_2245 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2246 = and(_T_2245, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2247 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2248 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2249 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2251 = or(_T_2247, _T_2250) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2252 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2253 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2254 = and(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2255 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2257 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2258 = and(_T_2256, _T_2257) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2259 = or(_T_2251, _T_2258) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2260 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2261 = and(_T_2260, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2262 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2264 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2265 = and(_T_2263, _T_2264) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2266 = or(_T_2259, _T_2265) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2267 = and(_T_2246, _T_2266) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2268 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2269 = or(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2271 = and(_T_2270, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2272 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2273 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2274 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2276 = or(_T_2272, _T_2275) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2277 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2278 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2279 = and(_T_2277, _T_2278) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2280 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2282 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2283 = and(_T_2281, _T_2282) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2284 = or(_T_2276, _T_2283) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2285 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2286 = and(_T_2285, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2287 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2289 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2290 = and(_T_2288, _T_2289) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2291 = or(_T_2284, _T_2290) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2292 = and(_T_2271, _T_2291) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2293 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2294 = or(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2295 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2296 = and(_T_2295, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2297 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2298 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2299 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2301 = or(_T_2297, _T_2300) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2302 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2303 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2304 = and(_T_2302, _T_2303) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2305 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2307 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2308 = and(_T_2306, _T_2307) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2309 = or(_T_2301, _T_2308) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2310 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2311 = and(_T_2310, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2312 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2314 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2316 = or(_T_2309, _T_2315) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2317 = and(_T_2296, _T_2316) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2318 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2319 = or(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2320 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2321 = and(_T_2320, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2322 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2323 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2324 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2326 = or(_T_2322, _T_2325) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2327 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2328 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2329 = and(_T_2327, _T_2328) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2330 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2332 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2333 = and(_T_2331, _T_2332) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2334 = or(_T_2326, _T_2333) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2335 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2336 = and(_T_2335, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2337 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2339 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2341 = or(_T_2334, _T_2340) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2342 = and(_T_2321, _T_2341) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2343 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2344 = or(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2245 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2246 = and(_T_2245, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2247 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2248 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2249 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2251 = or(_T_2247, _T_2250) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2252 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2253 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2254 = and(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2255 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2257 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2258 = and(_T_2256, _T_2257) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2259 = or(_T_2251, _T_2258) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2260 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2261 = and(_T_2260, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2262 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2264 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2265 = and(_T_2263, _T_2264) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2266 = or(_T_2259, _T_2265) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2267 = and(_T_2246, _T_2266) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2268 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2269 = or(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2271 = and(_T_2270, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2272 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2273 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2274 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2276 = or(_T_2272, _T_2275) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2277 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2278 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2279 = and(_T_2277, _T_2278) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2280 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2282 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2283 = and(_T_2281, _T_2282) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2284 = or(_T_2276, _T_2283) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2285 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2286 = and(_T_2285, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2287 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2289 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2290 = and(_T_2288, _T_2289) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2291 = or(_T_2284, _T_2290) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2292 = and(_T_2271, _T_2291) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2293 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2294 = or(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2295 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2296 = and(_T_2295, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2297 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2298 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2299 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2301 = or(_T_2297, _T_2300) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2302 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2303 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2304 = and(_T_2302, _T_2303) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2305 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2307 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2308 = and(_T_2306, _T_2307) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2309 = or(_T_2301, _T_2308) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2310 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2311 = and(_T_2310, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2312 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2314 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2316 = or(_T_2309, _T_2315) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2317 = and(_T_2296, _T_2316) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2318 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2319 = or(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2320 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2321 = and(_T_2320, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2322 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2323 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2324 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2326 = or(_T_2322, _T_2325) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2327 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2328 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2329 = and(_T_2327, _T_2328) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2330 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2332 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2333 = and(_T_2331, _T_2332) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2334 = or(_T_2326, _T_2333) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2335 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2336 = and(_T_2335, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2337 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2339 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2341 = or(_T_2334, _T_2340) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2342 = and(_T_2321, _T_2341) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2343 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2344 = or(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 468:97] node _T_2345 = cat(_T_2344, _T_2319) @[Cat.scala 29:58] node _T_2346 = cat(_T_2345, _T_2294) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2346, _T_2269) @[Cat.scala 29:58] - node _T_2347 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2348 = and(_T_2347, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2349 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2350 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2351 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2353 = or(_T_2349, _T_2352) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2354 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2355 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2356 = and(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2357 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2359 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2360 = and(_T_2358, _T_2359) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2361 = or(_T_2353, _T_2360) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2362 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2363 = and(_T_2362, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2364 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2366 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2367 = and(_T_2365, _T_2366) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2368 = or(_T_2361, _T_2367) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2369 = and(_T_2348, _T_2368) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2370 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2371 = or(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2372 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2373 = and(_T_2372, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2374 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2375 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2376 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2378 = or(_T_2374, _T_2377) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2379 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2380 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2381 = and(_T_2379, _T_2380) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2382 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2384 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2385 = and(_T_2383, _T_2384) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2386 = or(_T_2378, _T_2385) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2387 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2388 = and(_T_2387, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2389 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2391 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2392 = and(_T_2390, _T_2391) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2393 = or(_T_2386, _T_2392) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2394 = and(_T_2373, _T_2393) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2395 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2396 = or(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2397 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2398 = and(_T_2397, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2399 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2400 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2401 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2403 = or(_T_2399, _T_2402) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2404 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2405 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2406 = and(_T_2404, _T_2405) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2407 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2409 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2410 = and(_T_2408, _T_2409) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2411 = or(_T_2403, _T_2410) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2412 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2413 = and(_T_2412, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2414 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2415 = and(_T_2413, _T_2414) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2416 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2417 = and(_T_2415, _T_2416) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2418 = or(_T_2411, _T_2417) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2419 = and(_T_2398, _T_2418) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2420 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2421 = or(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2422 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2423 = and(_T_2422, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2424 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2425 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2426 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2428 = or(_T_2424, _T_2427) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2429 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2430 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2431 = and(_T_2429, _T_2430) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2432 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2434 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2435 = and(_T_2433, _T_2434) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2436 = or(_T_2428, _T_2435) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2437 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2438 = and(_T_2437, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2439 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2440 = and(_T_2438, _T_2439) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2441 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2442 = and(_T_2440, _T_2441) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2443 = or(_T_2436, _T_2442) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2444 = and(_T_2423, _T_2443) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2445 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2446 = or(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2347 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2348 = and(_T_2347, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2349 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2350 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2351 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2353 = or(_T_2349, _T_2352) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2354 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2355 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2356 = and(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2357 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2359 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2360 = and(_T_2358, _T_2359) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2361 = or(_T_2353, _T_2360) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2362 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2363 = and(_T_2362, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2364 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2366 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2367 = and(_T_2365, _T_2366) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2368 = or(_T_2361, _T_2367) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2369 = and(_T_2348, _T_2368) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2370 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2371 = or(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2372 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2373 = and(_T_2372, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2374 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2375 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2376 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2378 = or(_T_2374, _T_2377) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2379 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2380 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2381 = and(_T_2379, _T_2380) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2382 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2384 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2385 = and(_T_2383, _T_2384) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2386 = or(_T_2378, _T_2385) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2387 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2388 = and(_T_2387, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2389 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2391 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2392 = and(_T_2390, _T_2391) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2393 = or(_T_2386, _T_2392) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2394 = and(_T_2373, _T_2393) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2395 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2396 = or(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2397 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2398 = and(_T_2397, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2399 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2400 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2401 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2403 = or(_T_2399, _T_2402) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2404 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2405 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2406 = and(_T_2404, _T_2405) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2407 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2409 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2410 = and(_T_2408, _T_2409) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2411 = or(_T_2403, _T_2410) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2412 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2413 = and(_T_2412, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2414 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2415 = and(_T_2413, _T_2414) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2416 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2417 = and(_T_2415, _T_2416) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2418 = or(_T_2411, _T_2417) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2419 = and(_T_2398, _T_2418) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2420 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2421 = or(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2422 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2423 = and(_T_2422, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2424 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2425 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2426 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2428 = or(_T_2424, _T_2427) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2429 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2430 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2431 = and(_T_2429, _T_2430) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2432 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2434 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2435 = and(_T_2433, _T_2434) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2436 = or(_T_2428, _T_2435) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2437 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2438 = and(_T_2437, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2439 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2440 = and(_T_2438, _T_2439) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2441 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2442 = and(_T_2440, _T_2441) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2443 = or(_T_2436, _T_2442) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2444 = and(_T_2423, _T_2443) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2445 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2446 = or(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 468:97] node _T_2447 = cat(_T_2446, _T_2421) @[Cat.scala 29:58] node _T_2448 = cat(_T_2447, _T_2396) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2448, _T_2371) @[Cat.scala 29:58] - node _T_2449 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2450 = and(_T_2449, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2451 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2452 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2455 = or(_T_2451, _T_2454) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2456 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2457 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2458 = and(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2459 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2460 = and(_T_2458, _T_2459) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2461 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2462 = and(_T_2460, _T_2461) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2463 = or(_T_2455, _T_2462) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2464 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2465 = and(_T_2464, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2466 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2467 = and(_T_2465, _T_2466) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2468 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2469 = and(_T_2467, _T_2468) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2470 = or(_T_2463, _T_2469) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2471 = and(_T_2450, _T_2470) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2472 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2473 = or(_T_2471, _T_2472) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2474 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2475 = and(_T_2474, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2476 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2477 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2478 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2479 = and(_T_2477, _T_2478) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2480 = or(_T_2476, _T_2479) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2481 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2482 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2483 = and(_T_2481, _T_2482) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2484 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2485 = and(_T_2483, _T_2484) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2486 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2487 = and(_T_2485, _T_2486) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2488 = or(_T_2480, _T_2487) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2489 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2490 = and(_T_2489, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2491 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2492 = and(_T_2490, _T_2491) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2493 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2494 = and(_T_2492, _T_2493) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2495 = or(_T_2488, _T_2494) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2496 = and(_T_2475, _T_2495) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2497 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2498 = or(_T_2496, _T_2497) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2499 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2500 = and(_T_2499, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2501 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2502 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2503 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2504 = and(_T_2502, _T_2503) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2505 = or(_T_2501, _T_2504) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2506 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2507 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2508 = and(_T_2506, _T_2507) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2509 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2510 = and(_T_2508, _T_2509) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2511 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2512 = and(_T_2510, _T_2511) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2513 = or(_T_2505, _T_2512) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2514 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2515 = and(_T_2514, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2516 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2518 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2519 = and(_T_2517, _T_2518) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2520 = or(_T_2513, _T_2519) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2521 = and(_T_2500, _T_2520) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2522 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2523 = or(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2524 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2525 = and(_T_2524, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2526 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2527 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2528 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2530 = or(_T_2526, _T_2529) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2531 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2532 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2533 = and(_T_2531, _T_2532) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2534 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2536 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2537 = and(_T_2535, _T_2536) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2538 = or(_T_2530, _T_2537) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2539 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2540 = and(_T_2539, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2541 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2543 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2545 = or(_T_2538, _T_2544) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2546 = and(_T_2525, _T_2545) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2547 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2548 = or(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2449 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2450 = and(_T_2449, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2451 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2452 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2455 = or(_T_2451, _T_2454) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2456 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2457 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2458 = and(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2459 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2460 = and(_T_2458, _T_2459) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2461 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2462 = and(_T_2460, _T_2461) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2463 = or(_T_2455, _T_2462) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2464 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2465 = and(_T_2464, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2466 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2467 = and(_T_2465, _T_2466) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2468 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2469 = and(_T_2467, _T_2468) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2470 = or(_T_2463, _T_2469) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2471 = and(_T_2450, _T_2470) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2472 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2473 = or(_T_2471, _T_2472) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2474 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2475 = and(_T_2474, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2476 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2477 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2478 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2479 = and(_T_2477, _T_2478) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2480 = or(_T_2476, _T_2479) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2481 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2482 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2483 = and(_T_2481, _T_2482) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2484 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2485 = and(_T_2483, _T_2484) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2486 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2487 = and(_T_2485, _T_2486) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2488 = or(_T_2480, _T_2487) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2489 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2490 = and(_T_2489, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2491 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2492 = and(_T_2490, _T_2491) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2493 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2494 = and(_T_2492, _T_2493) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2495 = or(_T_2488, _T_2494) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2496 = and(_T_2475, _T_2495) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2497 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2498 = or(_T_2496, _T_2497) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2499 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2500 = and(_T_2499, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2501 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2502 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2503 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2504 = and(_T_2502, _T_2503) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2505 = or(_T_2501, _T_2504) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2506 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2507 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2508 = and(_T_2506, _T_2507) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2509 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2510 = and(_T_2508, _T_2509) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2511 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2512 = and(_T_2510, _T_2511) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2513 = or(_T_2505, _T_2512) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2514 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2515 = and(_T_2514, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2516 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2518 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2519 = and(_T_2517, _T_2518) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2520 = or(_T_2513, _T_2519) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2521 = and(_T_2500, _T_2520) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2522 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2523 = or(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2524 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2525 = and(_T_2524, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2526 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2527 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2528 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2530 = or(_T_2526, _T_2529) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2531 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2532 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2533 = and(_T_2531, _T_2532) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2534 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2536 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2537 = and(_T_2535, _T_2536) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2538 = or(_T_2530, _T_2537) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2539 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2540 = and(_T_2539, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2541 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2543 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2545 = or(_T_2538, _T_2544) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2546 = and(_T_2525, _T_2545) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2547 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2548 = or(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 468:97] node _T_2549 = cat(_T_2548, _T_2523) @[Cat.scala 29:58] node _T_2550 = cat(_T_2549, _T_2498) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2550, _T_2473) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 471:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] - node _T_2551 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2552 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2555 = and(_T_2551, _T_2554) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2556 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2557 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2558 = and(_T_2557, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2559 = eq(_T_2558, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2560 = and(_T_2556, _T_2559) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2561 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2562 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2563 = and(_T_2562, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2564 = eq(_T_2563, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2565 = and(_T_2561, _T_2564) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2566 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2567 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2568 = and(_T_2567, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2570 = and(_T_2566, _T_2569) @[el2_lsu_bus_buffer.scala 473:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 469:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] + node _T_2551 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2552 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2555 = and(_T_2551, _T_2554) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2556 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2557 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2558 = and(_T_2557, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2559 = eq(_T_2558, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2560 = and(_T_2556, _T_2559) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2561 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2562 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2563 = and(_T_2562, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2564 = eq(_T_2563, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2565 = and(_T_2561, _T_2564) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2566 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2567 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2568 = and(_T_2567, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2570 = and(_T_2566, _T_2569) @[el2_lsu_bus_buffer.scala 471:78] node _T_2571 = cat(_T_2570, _T_2565) @[Cat.scala 29:58] node _T_2572 = cat(_T_2571, _T_2560) @[Cat.scala 29:58] node _T_2573 = cat(_T_2572, _T_2555) @[Cat.scala 29:58] - node _T_2574 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2575 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2578 = and(_T_2574, _T_2577) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2579 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2580 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2581 = and(_T_2580, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2582 = eq(_T_2581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2583 = and(_T_2579, _T_2582) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2584 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2585 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2586 = and(_T_2585, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2587 = eq(_T_2586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2588 = and(_T_2584, _T_2587) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2589 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2593 = and(_T_2589, _T_2592) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2574 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2575 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2578 = and(_T_2574, _T_2577) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2579 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2580 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2581 = and(_T_2580, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2582 = eq(_T_2581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2583 = and(_T_2579, _T_2582) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2584 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2585 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2586 = and(_T_2585, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2587 = eq(_T_2586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2588 = and(_T_2584, _T_2587) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2589 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2593 = and(_T_2589, _T_2592) @[el2_lsu_bus_buffer.scala 471:78] node _T_2594 = cat(_T_2593, _T_2588) @[Cat.scala 29:58] node _T_2595 = cat(_T_2594, _T_2583) @[Cat.scala 29:58] node _T_2596 = cat(_T_2595, _T_2578) @[Cat.scala 29:58] - node _T_2597 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2598 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2601 = and(_T_2597, _T_2600) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2602 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2603 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2604 = and(_T_2603, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2606 = and(_T_2602, _T_2605) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2607 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2608 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2609 = and(_T_2608, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2610 = eq(_T_2609, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2611 = and(_T_2607, _T_2610) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2612 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2613 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2614 = and(_T_2613, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2615 = eq(_T_2614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2616 = and(_T_2612, _T_2615) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2597 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2598 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2601 = and(_T_2597, _T_2600) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2602 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2603 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2604 = and(_T_2603, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2606 = and(_T_2602, _T_2605) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2607 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2608 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2609 = and(_T_2608, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2610 = eq(_T_2609, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2611 = and(_T_2607, _T_2610) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2612 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2613 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2614 = and(_T_2613, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2615 = eq(_T_2614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2616 = and(_T_2612, _T_2615) @[el2_lsu_bus_buffer.scala 471:78] node _T_2617 = cat(_T_2616, _T_2611) @[Cat.scala 29:58] node _T_2618 = cat(_T_2617, _T_2606) @[Cat.scala 29:58] node _T_2619 = cat(_T_2618, _T_2601) @[Cat.scala 29:58] - node _T_2620 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2621 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2624 = and(_T_2620, _T_2623) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2625 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2626 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2627 = and(_T_2626, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2629 = and(_T_2625, _T_2628) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2630 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2631 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2632 = and(_T_2631, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2633 = eq(_T_2632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2634 = and(_T_2630, _T_2633) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2635 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2636 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2637 = and(_T_2636, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2639 = and(_T_2635, _T_2638) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2620 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2621 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2624 = and(_T_2620, _T_2623) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2625 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2626 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2627 = and(_T_2626, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2629 = and(_T_2625, _T_2628) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2630 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2631 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2632 = and(_T_2631, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2633 = eq(_T_2632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2634 = and(_T_2630, _T_2633) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2635 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2636 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2637 = and(_T_2636, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2639 = and(_T_2635, _T_2638) @[el2_lsu_bus_buffer.scala 471:78] node _T_2640 = cat(_T_2639, _T_2634) @[Cat.scala 29:58] node _T_2641 = cat(_T_2640, _T_2629) @[Cat.scala 29:58] node _T_2642 = cat(_T_2641, _T_2624) @[Cat.scala 29:58] - buf_age[0] <= _T_2573 @[el2_lsu_bus_buffer.scala 473:13] - buf_age[1] <= _T_2596 @[el2_lsu_bus_buffer.scala 473:13] - buf_age[2] <= _T_2619 @[el2_lsu_bus_buffer.scala 473:13] - buf_age[3] <= _T_2642 @[el2_lsu_bus_buffer.scala 473:13] - node _T_2643 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2644 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2645 = eq(_T_2644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2646 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2648 = mux(_T_2643, UInt<1>("h00"), _T_2647) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2649 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2650 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2652 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2655 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2656 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2658 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2661 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2662 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2664 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 474:74] + buf_age[0] <= _T_2573 @[el2_lsu_bus_buffer.scala 471:13] + buf_age[1] <= _T_2596 @[el2_lsu_bus_buffer.scala 471:13] + buf_age[2] <= _T_2619 @[el2_lsu_bus_buffer.scala 471:13] + buf_age[3] <= _T_2642 @[el2_lsu_bus_buffer.scala 471:13] + node _T_2643 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2644 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2645 = eq(_T_2644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2646 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2648 = mux(_T_2643, UInt<1>("h00"), _T_2647) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2649 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2650 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2652 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2655 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2656 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2658 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2661 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2662 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2664 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 472:74] node _T_2667 = cat(_T_2666, _T_2660) @[Cat.scala 29:58] node _T_2668 = cat(_T_2667, _T_2654) @[Cat.scala 29:58] node _T_2669 = cat(_T_2668, _T_2648) @[Cat.scala 29:58] - node _T_2670 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2671 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2672 = eq(_T_2671, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2673 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2675 = mux(_T_2670, UInt<1>("h00"), _T_2674) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2676 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2677 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2679 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2682 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2683 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2685 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2688 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2689 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2691 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2670 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2671 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2672 = eq(_T_2671, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2673 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2675 = mux(_T_2670, UInt<1>("h00"), _T_2674) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2676 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2677 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2679 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2682 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2683 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2685 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2688 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2689 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2691 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 472:74] node _T_2694 = cat(_T_2693, _T_2687) @[Cat.scala 29:58] node _T_2695 = cat(_T_2694, _T_2681) @[Cat.scala 29:58] node _T_2696 = cat(_T_2695, _T_2675) @[Cat.scala 29:58] - node _T_2697 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2698 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2699 = eq(_T_2698, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2700 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2702 = mux(_T_2697, UInt<1>("h00"), _T_2701) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2703 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2704 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2706 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2709 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2710 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2712 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2715 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2716 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2718 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2697 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2698 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2699 = eq(_T_2698, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2700 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2702 = mux(_T_2697, UInt<1>("h00"), _T_2701) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2703 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2704 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2706 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2709 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2710 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2712 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2715 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2716 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2718 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 472:74] node _T_2721 = cat(_T_2720, _T_2714) @[Cat.scala 29:58] node _T_2722 = cat(_T_2721, _T_2708) @[Cat.scala 29:58] node _T_2723 = cat(_T_2722, _T_2702) @[Cat.scala 29:58] - node _T_2724 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2725 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2726 = eq(_T_2725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2727 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2728 = and(_T_2726, _T_2727) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2729 = mux(_T_2724, UInt<1>("h00"), _T_2728) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2730 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2731 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2733 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2735 = mux(_T_2730, UInt<1>("h00"), _T_2734) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2736 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2737 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2738 = eq(_T_2737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2739 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2741 = mux(_T_2736, UInt<1>("h00"), _T_2740) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2742 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2743 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2745 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2747 = mux(_T_2742, UInt<1>("h00"), _T_2746) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2724 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2725 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2726 = eq(_T_2725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2727 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2728 = and(_T_2726, _T_2727) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2729 = mux(_T_2724, UInt<1>("h00"), _T_2728) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2730 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2731 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2733 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2735 = mux(_T_2730, UInt<1>("h00"), _T_2734) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2736 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2737 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2738 = eq(_T_2737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2739 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2741 = mux(_T_2736, UInt<1>("h00"), _T_2740) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2742 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2743 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2745 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2747 = mux(_T_2742, UInt<1>("h00"), _T_2746) @[el2_lsu_bus_buffer.scala 472:74] node _T_2748 = cat(_T_2747, _T_2741) @[Cat.scala 29:58] node _T_2749 = cat(_T_2748, _T_2735) @[Cat.scala 29:58] node _T_2750 = cat(_T_2749, _T_2729) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2669 @[el2_lsu_bus_buffer.scala 474:21] - buf_age_younger[1] <= _T_2696 @[el2_lsu_bus_buffer.scala 474:21] - buf_age_younger[2] <= _T_2723 @[el2_lsu_bus_buffer.scala 474:21] - buf_age_younger[3] <= _T_2750 @[el2_lsu_bus_buffer.scala 474:21] - node _T_2751 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2754 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2757 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2760 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 475:89] + buf_age_younger[0] <= _T_2669 @[el2_lsu_bus_buffer.scala 472:21] + buf_age_younger[1] <= _T_2696 @[el2_lsu_bus_buffer.scala 472:21] + buf_age_younger[2] <= _T_2723 @[el2_lsu_bus_buffer.scala 472:21] + buf_age_younger[3] <= _T_2750 @[el2_lsu_bus_buffer.scala 472:21] + node _T_2751 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2754 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2757 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2760 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 473:89] node _T_2763 = cat(_T_2762, _T_2759) @[Cat.scala 29:58] node _T_2764 = cat(_T_2763, _T_2756) @[Cat.scala 29:58] node _T_2765 = cat(_T_2764, _T_2753) @[Cat.scala 29:58] - node _T_2766 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2769 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2772 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2775 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2766 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2769 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2772 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2775 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 473:89] node _T_2778 = cat(_T_2777, _T_2774) @[Cat.scala 29:58] node _T_2779 = cat(_T_2778, _T_2771) @[Cat.scala 29:58] node _T_2780 = cat(_T_2779, _T_2768) @[Cat.scala 29:58] - node _T_2781 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2784 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2787 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2790 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2781 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2784 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2787 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2790 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 473:89] node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58] node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58] node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58] - node _T_2796 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2797 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2799 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2800 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2802 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2803 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2805 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2806 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2796 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2797 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2799 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2800 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2802 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2803 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2805 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2806 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 473:89] node _T_2808 = cat(_T_2807, _T_2804) @[Cat.scala 29:58] node _T_2809 = cat(_T_2808, _T_2801) @[Cat.scala 29:58] node _T_2810 = cat(_T_2809, _T_2798) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2765 @[el2_lsu_bus_buffer.scala 475:21] - buf_rsp_pickage[1] <= _T_2780 @[el2_lsu_bus_buffer.scala 475:21] - buf_rsp_pickage[2] <= _T_2795 @[el2_lsu_bus_buffer.scala 475:21] - buf_rsp_pickage[3] <= _T_2810 @[el2_lsu_bus_buffer.scala 475:21] - node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2812 = and(_T_2811, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2813 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2814 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2815 = or(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2819 = and(_T_2817, _T_2818) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2821 = and(_T_2819, _T_2820) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2822 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2823 = and(_T_2821, _T_2822) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2824 = or(_T_2816, _T_2823) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2826 = and(_T_2825, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2829 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2831 = or(_T_2824, _T_2830) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2832 = and(_T_2812, _T_2831) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2834 = and(_T_2833, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2835 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2836 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2837 = or(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2841 = and(_T_2839, _T_2840) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2843 = and(_T_2841, _T_2842) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2844 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2846 = or(_T_2838, _T_2845) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2848 = and(_T_2847, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2851 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2853 = or(_T_2846, _T_2852) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2854 = and(_T_2834, _T_2853) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2855 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2856 = and(_T_2855, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2857 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2858 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2859 = or(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2860 = eq(_T_2859, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2861 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2862 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2863 = and(_T_2861, _T_2862) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2864 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2865 = and(_T_2863, _T_2864) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2866 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2868 = or(_T_2860, _T_2867) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2869 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2870 = and(_T_2869, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2871 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2873 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2875 = or(_T_2868, _T_2874) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2876 = and(_T_2856, _T_2875) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2877 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2878 = and(_T_2877, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2879 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2880 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2881 = or(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2882 = eq(_T_2881, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2883 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2884 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2885 = and(_T_2883, _T_2884) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2886 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2887 = and(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2888 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2889 = and(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2890 = or(_T_2882, _T_2889) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2891 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2892 = and(_T_2891, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2893 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2895 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2896 = and(_T_2894, _T_2895) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2897 = or(_T_2890, _T_2896) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2898 = and(_T_2878, _T_2897) @[el2_lsu_bus_buffer.scala 477:114] + buf_rsp_pickage[0] <= _T_2765 @[el2_lsu_bus_buffer.scala 473:21] + buf_rsp_pickage[1] <= _T_2780 @[el2_lsu_bus_buffer.scala 473:21] + buf_rsp_pickage[2] <= _T_2795 @[el2_lsu_bus_buffer.scala 473:21] + buf_rsp_pickage[3] <= _T_2810 @[el2_lsu_bus_buffer.scala 473:21] + node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2812 = and(_T_2811, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2813 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2814 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2815 = or(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2819 = and(_T_2817, _T_2818) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2821 = and(_T_2819, _T_2820) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2822 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2823 = and(_T_2821, _T_2822) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2824 = or(_T_2816, _T_2823) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2826 = and(_T_2825, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2829 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2831 = or(_T_2824, _T_2830) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2832 = and(_T_2812, _T_2831) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2834 = and(_T_2833, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2835 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2836 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2837 = or(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2841 = and(_T_2839, _T_2840) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2843 = and(_T_2841, _T_2842) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2844 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2846 = or(_T_2838, _T_2845) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2848 = and(_T_2847, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2851 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2853 = or(_T_2846, _T_2852) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2854 = and(_T_2834, _T_2853) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2855 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2856 = and(_T_2855, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2857 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2858 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2859 = or(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2860 = eq(_T_2859, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2861 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2862 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2863 = and(_T_2861, _T_2862) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2864 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2865 = and(_T_2863, _T_2864) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2866 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2868 = or(_T_2860, _T_2867) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2869 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2870 = and(_T_2869, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2871 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2873 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2875 = or(_T_2868, _T_2874) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2876 = and(_T_2856, _T_2875) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2877 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2878 = and(_T_2877, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2879 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2880 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2881 = or(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2882 = eq(_T_2881, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2883 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2884 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2885 = and(_T_2883, _T_2884) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2886 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2887 = and(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2888 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2889 = and(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2890 = or(_T_2882, _T_2889) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2891 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2892 = and(_T_2891, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2893 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2895 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2896 = and(_T_2894, _T_2895) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2897 = or(_T_2890, _T_2896) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2898 = and(_T_2878, _T_2897) @[el2_lsu_bus_buffer.scala 475:114] node _T_2899 = cat(_T_2898, _T_2876) @[Cat.scala 29:58] node _T_2900 = cat(_T_2899, _T_2854) @[Cat.scala 29:58] node _T_2901 = cat(_T_2900, _T_2832) @[Cat.scala 29:58] - node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2903 = and(_T_2902, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2904 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2905 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2906 = or(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2910 = and(_T_2908, _T_2909) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2912 = and(_T_2910, _T_2911) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2913 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2914 = and(_T_2912, _T_2913) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2915 = or(_T_2907, _T_2914) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2917 = and(_T_2916, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2920 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2922 = or(_T_2915, _T_2921) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2923 = and(_T_2903, _T_2922) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2925 = and(_T_2924, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2926 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2927 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2928 = or(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2932 = and(_T_2930, _T_2931) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2934 = and(_T_2932, _T_2933) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2935 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2937 = or(_T_2929, _T_2936) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2939 = and(_T_2938, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2942 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2944 = or(_T_2937, _T_2943) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2945 = and(_T_2925, _T_2944) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2946 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2947 = and(_T_2946, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2948 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2949 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2950 = or(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2951 = eq(_T_2950, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2952 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2953 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2954 = and(_T_2952, _T_2953) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2955 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2956 = and(_T_2954, _T_2955) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2957 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2959 = or(_T_2951, _T_2958) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2960 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2961 = and(_T_2960, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2962 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2964 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2966 = or(_T_2959, _T_2965) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2967 = and(_T_2947, _T_2966) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2968 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2969 = and(_T_2968, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2970 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2971 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2972 = or(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2973 = eq(_T_2972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2974 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2975 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2976 = and(_T_2974, _T_2975) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2977 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2978 = and(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2979 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2980 = and(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2981 = or(_T_2973, _T_2980) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2982 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2983 = and(_T_2982, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2984 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2986 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2987 = and(_T_2985, _T_2986) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2988 = or(_T_2981, _T_2987) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2989 = and(_T_2969, _T_2988) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2903 = and(_T_2902, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2904 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2905 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2906 = or(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2910 = and(_T_2908, _T_2909) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2912 = and(_T_2910, _T_2911) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2913 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2914 = and(_T_2912, _T_2913) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2915 = or(_T_2907, _T_2914) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2917 = and(_T_2916, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2920 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2922 = or(_T_2915, _T_2921) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2923 = and(_T_2903, _T_2922) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2925 = and(_T_2924, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2926 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2927 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2928 = or(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2932 = and(_T_2930, _T_2931) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2934 = and(_T_2932, _T_2933) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2935 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2937 = or(_T_2929, _T_2936) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2939 = and(_T_2938, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2942 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2944 = or(_T_2937, _T_2943) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2945 = and(_T_2925, _T_2944) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2946 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2947 = and(_T_2946, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2948 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2949 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2950 = or(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2951 = eq(_T_2950, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2952 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2953 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2954 = and(_T_2952, _T_2953) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2955 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2956 = and(_T_2954, _T_2955) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2957 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2959 = or(_T_2951, _T_2958) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2960 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2961 = and(_T_2960, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2962 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2964 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2966 = or(_T_2959, _T_2965) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2967 = and(_T_2947, _T_2966) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2968 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2969 = and(_T_2968, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2970 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2971 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2972 = or(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2973 = eq(_T_2972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2974 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2975 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2976 = and(_T_2974, _T_2975) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2977 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2978 = and(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2979 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2980 = and(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2981 = or(_T_2973, _T_2980) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2982 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2983 = and(_T_2982, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2984 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2986 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2987 = and(_T_2985, _T_2986) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2988 = or(_T_2981, _T_2987) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2989 = and(_T_2969, _T_2988) @[el2_lsu_bus_buffer.scala 475:114] node _T_2990 = cat(_T_2989, _T_2967) @[Cat.scala 29:58] node _T_2991 = cat(_T_2990, _T_2945) @[Cat.scala 29:58] node _T_2992 = cat(_T_2991, _T_2923) @[Cat.scala 29:58] - node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2994 = and(_T_2993, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2995 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2996 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2997 = or(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3001 = and(_T_2999, _T_3000) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3003 = and(_T_3001, _T_3002) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3004 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3005 = and(_T_3003, _T_3004) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3006 = or(_T_2998, _T_3005) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3008 = and(_T_3007, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3011 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3013 = or(_T_3006, _T_3012) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3014 = and(_T_2994, _T_3013) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3016 = and(_T_3015, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3017 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3018 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3019 = or(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3023 = and(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3025 = and(_T_3023, _T_3024) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3026 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3027 = and(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3028 = or(_T_3020, _T_3027) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3030 = and(_T_3029, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3033 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3035 = or(_T_3028, _T_3034) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3036 = and(_T_3016, _T_3035) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3037 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3038 = and(_T_3037, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3039 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3040 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3041 = or(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3042 = eq(_T_3041, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3043 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3044 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3045 = and(_T_3043, _T_3044) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3046 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3047 = and(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3048 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3049 = and(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3050 = or(_T_3042, _T_3049) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3051 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3052 = and(_T_3051, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3053 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3055 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3057 = or(_T_3050, _T_3056) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3058 = and(_T_3038, _T_3057) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3059 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3060 = and(_T_3059, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3061 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3062 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3063 = or(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3064 = eq(_T_3063, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3065 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3066 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3067 = and(_T_3065, _T_3066) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3068 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3069 = and(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3070 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3071 = and(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3072 = or(_T_3064, _T_3071) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3073 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3074 = and(_T_3073, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3075 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3076 = and(_T_3074, _T_3075) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3077 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3078 = and(_T_3076, _T_3077) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3079 = or(_T_3072, _T_3078) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3080 = and(_T_3060, _T_3079) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2994 = and(_T_2993, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2995 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2996 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2997 = or(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3001 = and(_T_2999, _T_3000) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3003 = and(_T_3001, _T_3002) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3004 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3005 = and(_T_3003, _T_3004) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3006 = or(_T_2998, _T_3005) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3008 = and(_T_3007, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3011 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3013 = or(_T_3006, _T_3012) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3014 = and(_T_2994, _T_3013) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3016 = and(_T_3015, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3017 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3018 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3019 = or(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3023 = and(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3025 = and(_T_3023, _T_3024) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3026 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3027 = and(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3028 = or(_T_3020, _T_3027) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3030 = and(_T_3029, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3033 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3035 = or(_T_3028, _T_3034) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3036 = and(_T_3016, _T_3035) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3037 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3038 = and(_T_3037, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3039 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3040 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3041 = or(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3042 = eq(_T_3041, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3043 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3044 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3045 = and(_T_3043, _T_3044) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3046 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3047 = and(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3048 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3049 = and(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3050 = or(_T_3042, _T_3049) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3051 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3052 = and(_T_3051, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3053 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3055 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3057 = or(_T_3050, _T_3056) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3058 = and(_T_3038, _T_3057) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3059 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3060 = and(_T_3059, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3061 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3062 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3063 = or(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3064 = eq(_T_3063, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3065 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3066 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3067 = and(_T_3065, _T_3066) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3068 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3069 = and(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3070 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3071 = and(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3072 = or(_T_3064, _T_3071) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3073 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3074 = and(_T_3073, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3075 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3076 = and(_T_3074, _T_3075) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3077 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3078 = and(_T_3076, _T_3077) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3079 = or(_T_3072, _T_3078) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3080 = and(_T_3060, _T_3079) @[el2_lsu_bus_buffer.scala 475:114] node _T_3081 = cat(_T_3080, _T_3058) @[Cat.scala 29:58] node _T_3082 = cat(_T_3081, _T_3036) @[Cat.scala 29:58] node _T_3083 = cat(_T_3082, _T_3014) @[Cat.scala 29:58] - node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3085 = and(_T_3084, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3086 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3087 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3088 = or(_T_3086, _T_3087) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3092 = and(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3094 = and(_T_3092, _T_3093) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3095 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3096 = and(_T_3094, _T_3095) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3097 = or(_T_3089, _T_3096) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3099 = and(_T_3098, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3101 = and(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3102 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3103 = and(_T_3101, _T_3102) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3104 = or(_T_3097, _T_3103) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3105 = and(_T_3085, _T_3104) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3107 = and(_T_3106, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3108 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3109 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3114 = and(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3116 = and(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3117 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3118 = and(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3119 = or(_T_3111, _T_3118) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3121 = and(_T_3120, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3123 = and(_T_3121, _T_3122) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3124 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3125 = and(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3126 = or(_T_3119, _T_3125) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3127 = and(_T_3107, _T_3126) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3128 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3129 = and(_T_3128, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3130 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3131 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3134 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3135 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3136 = and(_T_3134, _T_3135) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3137 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3138 = and(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3139 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3140 = and(_T_3138, _T_3139) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3141 = or(_T_3133, _T_3140) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3142 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3143 = and(_T_3142, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3144 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3145 = and(_T_3143, _T_3144) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3146 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3147 = and(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3148 = or(_T_3141, _T_3147) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3149 = and(_T_3129, _T_3148) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3150 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3151 = and(_T_3150, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3152 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3153 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3154 = or(_T_3152, _T_3153) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3155 = eq(_T_3154, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3158 = and(_T_3156, _T_3157) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3159 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3160 = and(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3161 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3162 = and(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3163 = or(_T_3155, _T_3162) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3165 = and(_T_3164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3166 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3167 = and(_T_3165, _T_3166) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3168 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3169 = and(_T_3167, _T_3168) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3170 = or(_T_3163, _T_3169) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3171 = and(_T_3151, _T_3170) @[el2_lsu_bus_buffer.scala 477:114] + node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3085 = and(_T_3084, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3086 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3087 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3088 = or(_T_3086, _T_3087) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3092 = and(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3094 = and(_T_3092, _T_3093) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3095 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3096 = and(_T_3094, _T_3095) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3097 = or(_T_3089, _T_3096) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3099 = and(_T_3098, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3101 = and(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3102 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3103 = and(_T_3101, _T_3102) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3104 = or(_T_3097, _T_3103) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3105 = and(_T_3085, _T_3104) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3107 = and(_T_3106, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3108 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3109 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3114 = and(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3116 = and(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3117 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3118 = and(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3119 = or(_T_3111, _T_3118) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3121 = and(_T_3120, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3123 = and(_T_3121, _T_3122) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3124 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3125 = and(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3126 = or(_T_3119, _T_3125) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3127 = and(_T_3107, _T_3126) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3128 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3129 = and(_T_3128, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3130 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3131 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3134 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3135 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3136 = and(_T_3134, _T_3135) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3137 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3138 = and(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3139 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3140 = and(_T_3138, _T_3139) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3141 = or(_T_3133, _T_3140) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3142 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3143 = and(_T_3142, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3144 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3145 = and(_T_3143, _T_3144) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3146 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3147 = and(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3148 = or(_T_3141, _T_3147) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3149 = and(_T_3129, _T_3148) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3150 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3151 = and(_T_3150, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3152 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3153 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3154 = or(_T_3152, _T_3153) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3155 = eq(_T_3154, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3158 = and(_T_3156, _T_3157) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3159 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3160 = and(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3161 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3162 = and(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3163 = or(_T_3155, _T_3162) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3165 = and(_T_3164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3166 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3167 = and(_T_3165, _T_3166) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3168 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3169 = and(_T_3167, _T_3168) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3170 = or(_T_3163, _T_3169) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3171 = and(_T_3151, _T_3170) @[el2_lsu_bus_buffer.scala 475:114] node _T_3172 = cat(_T_3171, _T_3149) @[Cat.scala 29:58] node _T_3173 = cat(_T_3172, _T_3127) @[Cat.scala 29:58] node _T_3174 = cat(_T_3173, _T_3105) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2901 @[el2_lsu_bus_buffer.scala 477:20] - buf_rspage_set[1] <= _T_2992 @[el2_lsu_bus_buffer.scala 477:20] - buf_rspage_set[2] <= _T_3083 @[el2_lsu_bus_buffer.scala 477:20] - buf_rspage_set[3] <= _T_3174 @[el2_lsu_bus_buffer.scala 477:20] - node _T_3175 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3176 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3178 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3179 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3181 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3182 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3184 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3185 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 481:90] + buf_rspage_set[0] <= _T_2901 @[el2_lsu_bus_buffer.scala 475:20] + buf_rspage_set[1] <= _T_2992 @[el2_lsu_bus_buffer.scala 475:20] + buf_rspage_set[2] <= _T_3083 @[el2_lsu_bus_buffer.scala 475:20] + buf_rspage_set[3] <= _T_3174 @[el2_lsu_bus_buffer.scala 475:20] + node _T_3175 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3176 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3178 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3179 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3181 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3182 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3184 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3185 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 479:90] node _T_3187 = cat(_T_3186, _T_3183) @[Cat.scala 29:58] node _T_3188 = cat(_T_3187, _T_3180) @[Cat.scala 29:58] node _T_3189 = cat(_T_3188, _T_3177) @[Cat.scala 29:58] - node _T_3190 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3191 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3193 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3194 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3196 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3197 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3199 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3200 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3190 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3191 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3193 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3194 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3196 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3197 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3199 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3200 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 479:90] node _T_3202 = cat(_T_3201, _T_3198) @[Cat.scala 29:58] node _T_3203 = cat(_T_3202, _T_3195) @[Cat.scala 29:58] node _T_3204 = cat(_T_3203, _T_3192) @[Cat.scala 29:58] - node _T_3205 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3206 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3208 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3209 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3211 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3212 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3214 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3215 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3205 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3206 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3208 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3209 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3211 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3212 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3214 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3215 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 479:90] node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58] node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58] node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58] - node _T_3220 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3221 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3223 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3224 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3226 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3227 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3229 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3230 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3220 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3221 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3223 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3224 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3226 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3227 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3229 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3230 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 479:90] node _T_3232 = cat(_T_3231, _T_3228) @[Cat.scala 29:58] node _T_3233 = cat(_T_3232, _T_3225) @[Cat.scala 29:58] node _T_3234 = cat(_T_3233, _T_3222) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3189 @[el2_lsu_bus_buffer.scala 481:19] - buf_rspage_in[1] <= _T_3204 @[el2_lsu_bus_buffer.scala 481:19] - buf_rspage_in[2] <= _T_3219 @[el2_lsu_bus_buffer.scala 481:19] - buf_rspage_in[3] <= _T_3234 @[el2_lsu_bus_buffer.scala 481:19] - node _T_3235 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3236 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3237 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3238 = or(_T_3236, _T_3237) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3240 = and(_T_3235, _T_3239) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3241 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3242 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3243 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3247 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3248 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3249 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3253 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3254 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3255 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 482:84] + buf_rspage_in[0] <= _T_3189 @[el2_lsu_bus_buffer.scala 479:19] + buf_rspage_in[1] <= _T_3204 @[el2_lsu_bus_buffer.scala 479:19] + buf_rspage_in[2] <= _T_3219 @[el2_lsu_bus_buffer.scala 479:19] + buf_rspage_in[3] <= _T_3234 @[el2_lsu_bus_buffer.scala 479:19] + node _T_3235 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3236 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3237 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3238 = or(_T_3236, _T_3237) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3240 = and(_T_3235, _T_3239) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3241 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3242 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3243 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3247 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3248 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3249 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3253 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3254 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3255 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 480:84] node _T_3259 = cat(_T_3258, _T_3252) @[Cat.scala 29:58] node _T_3260 = cat(_T_3259, _T_3246) @[Cat.scala 29:58] node _T_3261 = cat(_T_3260, _T_3240) @[Cat.scala 29:58] - node _T_3262 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3263 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3264 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3265 = or(_T_3263, _T_3264) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3267 = and(_T_3262, _T_3266) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3268 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3269 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3274 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3275 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3276 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3280 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3281 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3282 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3262 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3263 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3264 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3265 = or(_T_3263, _T_3264) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3267 = and(_T_3262, _T_3266) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3268 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3269 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3274 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3275 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3276 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3280 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3281 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3282 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 480:84] node _T_3286 = cat(_T_3285, _T_3279) @[Cat.scala 29:58] node _T_3287 = cat(_T_3286, _T_3273) @[Cat.scala 29:58] node _T_3288 = cat(_T_3287, _T_3267) @[Cat.scala 29:58] - node _T_3289 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3292 = or(_T_3290, _T_3291) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3294 = and(_T_3289, _T_3293) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3295 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3296 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3297 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3301 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3302 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3303 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3307 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3308 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3309 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3289 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3292 = or(_T_3290, _T_3291) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3294 = and(_T_3289, _T_3293) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3295 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3296 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3297 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3301 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3302 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3303 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3307 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3308 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3309 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 480:84] node _T_3313 = cat(_T_3312, _T_3306) @[Cat.scala 29:58] node _T_3314 = cat(_T_3313, _T_3300) @[Cat.scala 29:58] node _T_3315 = cat(_T_3314, _T_3294) @[Cat.scala 29:58] - node _T_3316 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3317 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3318 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3319 = or(_T_3317, _T_3318) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3320 = eq(_T_3319, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3321 = and(_T_3316, _T_3320) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3322 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3323 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3324 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3325 = or(_T_3323, _T_3324) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3326 = eq(_T_3325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3327 = and(_T_3322, _T_3326) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3328 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3329 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3330 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3331 = or(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3332 = eq(_T_3331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3333 = and(_T_3328, _T_3332) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3334 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3335 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3336 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3337 = or(_T_3335, _T_3336) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3338 = eq(_T_3337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3339 = and(_T_3334, _T_3338) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3316 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3317 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3318 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3319 = or(_T_3317, _T_3318) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3320 = eq(_T_3319, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3321 = and(_T_3316, _T_3320) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3322 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3323 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3324 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3325 = or(_T_3323, _T_3324) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3326 = eq(_T_3325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3327 = and(_T_3322, _T_3326) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3328 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3329 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3330 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3331 = or(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3332 = eq(_T_3331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3333 = and(_T_3328, _T_3332) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3334 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3335 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3336 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3337 = or(_T_3335, _T_3336) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3338 = eq(_T_3337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3339 = and(_T_3334, _T_3338) @[el2_lsu_bus_buffer.scala 480:84] node _T_3340 = cat(_T_3339, _T_3333) @[Cat.scala 29:58] node _T_3341 = cat(_T_3340, _T_3327) @[Cat.scala 29:58] node _T_3342 = cat(_T_3341, _T_3321) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3261 @[el2_lsu_bus_buffer.scala 482:16] - buf_rspage[1] <= _T_3288 @[el2_lsu_bus_buffer.scala 482:16] - buf_rspage[2] <= _T_3315 @[el2_lsu_bus_buffer.scala 482:16] - buf_rspage[3] <= _T_3342 @[el2_lsu_bus_buffer.scala 482:16] - node _T_3343 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3344 = and(ibuf_drain_vld, _T_3343) @[el2_lsu_bus_buffer.scala 487:65] - node _T_3345 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3346 = and(ibuf_drain_vld, _T_3345) @[el2_lsu_bus_buffer.scala 487:65] - node _T_3347 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3348 = and(ibuf_drain_vld, _T_3347) @[el2_lsu_bus_buffer.scala 487:65] - node _T_3349 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3350 = and(ibuf_drain_vld, _T_3349) @[el2_lsu_bus_buffer.scala 487:65] + buf_rspage[0] <= _T_3261 @[el2_lsu_bus_buffer.scala 480:16] + buf_rspage[1] <= _T_3288 @[el2_lsu_bus_buffer.scala 480:16] + buf_rspage[2] <= _T_3315 @[el2_lsu_bus_buffer.scala 480:16] + buf_rspage[3] <= _T_3342 @[el2_lsu_bus_buffer.scala 480:16] + node _T_3343 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:77] + node _T_3344 = and(ibuf_drain_vld, _T_3343) @[el2_lsu_bus_buffer.scala 485:65] + node _T_3345 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 485:77] + node _T_3346 = and(ibuf_drain_vld, _T_3345) @[el2_lsu_bus_buffer.scala 485:65] + node _T_3347 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 485:77] + node _T_3348 = and(ibuf_drain_vld, _T_3347) @[el2_lsu_bus_buffer.scala 485:65] + node _T_3349 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 485:77] + node _T_3350 = and(ibuf_drain_vld, _T_3349) @[el2_lsu_bus_buffer.scala 485:65] node _T_3351 = cat(_T_3350, _T_3348) @[Cat.scala 29:58] node _T_3352 = cat(_T_3351, _T_3346) @[Cat.scala 29:58] node _T_3353 = cat(_T_3352, _T_3344) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3353 @[el2_lsu_bus_buffer.scala 487:23] - node _T_3354 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3355 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] - node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] - node _T_3357 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 489:37] - node _T_3359 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3360 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] - node _T_3361 = mux(_T_3358, _T_3359, _T_3360) @[el2_lsu_bus_buffer.scala 489:10] - node _T_3362 = mux(_T_3354, _T_3355, _T_3361) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3363 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3364 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] - node _T_3365 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] - node _T_3366 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3367 = and(_T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 489:37] - node _T_3368 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3369 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] - node _T_3370 = mux(_T_3367, _T_3368, _T_3369) @[el2_lsu_bus_buffer.scala 489:10] - node _T_3371 = mux(_T_3363, _T_3364, _T_3370) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3372 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3373 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] - node _T_3374 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] - node _T_3375 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3376 = and(_T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 489:37] - node _T_3377 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3378 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] - node _T_3379 = mux(_T_3376, _T_3377, _T_3378) @[el2_lsu_bus_buffer.scala 489:10] - node _T_3380 = mux(_T_3372, _T_3373, _T_3379) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3381 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3382 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] - node _T_3383 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] - node _T_3384 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3385 = and(_T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 489:37] - node _T_3386 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3387 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] - node _T_3388 = mux(_T_3385, _T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 489:10] - node _T_3389 = mux(_T_3381, _T_3382, _T_3388) @[el2_lsu_bus_buffer.scala 488:48] - buf_byteen_in[0] <= _T_3362 @[el2_lsu_bus_buffer.scala 488:19] - buf_byteen_in[1] <= _T_3371 @[el2_lsu_bus_buffer.scala 488:19] - buf_byteen_in[2] <= _T_3380 @[el2_lsu_bus_buffer.scala 488:19] - buf_byteen_in[3] <= _T_3389 @[el2_lsu_bus_buffer.scala 488:19] - node _T_3390 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:64] - node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] - node _T_3392 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:121] - node _T_3393 = and(_T_3391, _T_3392) @[el2_lsu_bus_buffer.scala 490:110] - node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] - node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3396 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:64] - node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] - node _T_3398 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 490:121] - node _T_3399 = and(_T_3397, _T_3398) @[el2_lsu_bus_buffer.scala 490:110] - node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] - node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3402 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:64] - node _T_3403 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] - node _T_3404 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 490:121] - node _T_3405 = and(_T_3403, _T_3404) @[el2_lsu_bus_buffer.scala 490:110] - node _T_3406 = mux(_T_3405, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] - node _T_3407 = mux(_T_3402, ibuf_addr, _T_3406) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:64] - node _T_3409 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] - node _T_3410 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 490:121] - node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 490:110] - node _T_3412 = mux(_T_3411, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] - node _T_3413 = mux(_T_3408, ibuf_addr, _T_3412) @[el2_lsu_bus_buffer.scala 490:46] - buf_addr_in[0] <= _T_3395 @[el2_lsu_bus_buffer.scala 490:17] - buf_addr_in[1] <= _T_3401 @[el2_lsu_bus_buffer.scala 490:17] - buf_addr_in[2] <= _T_3407 @[el2_lsu_bus_buffer.scala 490:17] - buf_addr_in[3] <= _T_3413 @[el2_lsu_bus_buffer.scala 490:17] - node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:65] - node _T_3415 = mux(_T_3414, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3416 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:65] - node _T_3417 = mux(_T_3416, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3418 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:65] - node _T_3419 = mux(_T_3418, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3420 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:65] - node _T_3421 = mux(_T_3420, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] + ibuf_drainvec_vld <= _T_3353 @[el2_lsu_bus_buffer.scala 485:23] + node _T_3354 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:66] + node _T_3355 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] + node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] + node _T_3357 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 487:37] + node _T_3359 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] + node _T_3360 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] + node _T_3361 = mux(_T_3358, _T_3359, _T_3360) @[el2_lsu_bus_buffer.scala 487:10] + node _T_3362 = mux(_T_3354, _T_3355, _T_3361) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3363 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:66] + node _T_3364 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] + node _T_3365 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] + node _T_3366 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3367 = and(_T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 487:37] + node _T_3368 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] + node _T_3369 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] + node _T_3370 = mux(_T_3367, _T_3368, _T_3369) @[el2_lsu_bus_buffer.scala 487:10] + node _T_3371 = mux(_T_3363, _T_3364, _T_3370) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3372 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:66] + node _T_3373 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] + node _T_3374 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] + node _T_3375 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3376 = and(_T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 487:37] + node _T_3377 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] + node _T_3378 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] + node _T_3379 = mux(_T_3376, _T_3377, _T_3378) @[el2_lsu_bus_buffer.scala 487:10] + node _T_3380 = mux(_T_3372, _T_3373, _T_3379) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3381 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:66] + node _T_3382 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] + node _T_3383 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] + node _T_3384 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3385 = and(_T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 487:37] + node _T_3386 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] + node _T_3387 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] + node _T_3388 = mux(_T_3385, _T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 487:10] + node _T_3389 = mux(_T_3381, _T_3382, _T_3388) @[el2_lsu_bus_buffer.scala 486:48] + buf_byteen_in[0] <= _T_3362 @[el2_lsu_bus_buffer.scala 486:19] + buf_byteen_in[1] <= _T_3371 @[el2_lsu_bus_buffer.scala 486:19] + buf_byteen_in[2] <= _T_3380 @[el2_lsu_bus_buffer.scala 486:19] + buf_byteen_in[3] <= _T_3389 @[el2_lsu_bus_buffer.scala 486:19] + node _T_3390 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:64] + node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] + node _T_3392 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:121] + node _T_3393 = and(_T_3391, _T_3392) @[el2_lsu_bus_buffer.scala 488:110] + node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] + node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[el2_lsu_bus_buffer.scala 488:46] + node _T_3396 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:64] + node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] + node _T_3398 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 488:121] + node _T_3399 = and(_T_3397, _T_3398) @[el2_lsu_bus_buffer.scala 488:110] + node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] + node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[el2_lsu_bus_buffer.scala 488:46] + node _T_3402 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:64] + node _T_3403 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] + node _T_3404 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 488:121] + node _T_3405 = and(_T_3403, _T_3404) @[el2_lsu_bus_buffer.scala 488:110] + node _T_3406 = mux(_T_3405, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] + node _T_3407 = mux(_T_3402, ibuf_addr, _T_3406) @[el2_lsu_bus_buffer.scala 488:46] + node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:64] + node _T_3409 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] + node _T_3410 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 488:121] + node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 488:110] + node _T_3412 = mux(_T_3411, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] + node _T_3413 = mux(_T_3408, ibuf_addr, _T_3412) @[el2_lsu_bus_buffer.scala 488:46] + buf_addr_in[0] <= _T_3395 @[el2_lsu_bus_buffer.scala 488:17] + buf_addr_in[1] <= _T_3401 @[el2_lsu_bus_buffer.scala 488:17] + buf_addr_in[2] <= _T_3407 @[el2_lsu_bus_buffer.scala 488:17] + buf_addr_in[3] <= _T_3413 @[el2_lsu_bus_buffer.scala 488:17] + node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:65] + node _T_3415 = mux(_T_3414, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3416 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:65] + node _T_3417 = mux(_T_3416, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3418 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:65] + node _T_3419 = mux(_T_3418, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3420 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:65] + node _T_3421 = mux(_T_3420, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] node _T_3422 = cat(_T_3421, _T_3419) @[Cat.scala 29:58] node _T_3423 = cat(_T_3422, _T_3417) @[Cat.scala 29:58] node _T_3424 = cat(_T_3423, _T_3415) @[Cat.scala 29:58] - buf_dual_in <= _T_3424 @[el2_lsu_bus_buffer.scala 491:17] - node _T_3425 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3426 = mux(_T_3425, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] - node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3428 = mux(_T_3427, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] - node _T_3429 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3430 = mux(_T_3429, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] - node _T_3431 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3432 = mux(_T_3431, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] + buf_dual_in <= _T_3424 @[el2_lsu_bus_buffer.scala 489:17] + node _T_3425 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:67] + node _T_3426 = mux(_T_3425, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:67] + node _T_3428 = mux(_T_3427, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3429 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:67] + node _T_3430 = mux(_T_3429, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3431 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:67] + node _T_3432 = mux(_T_3431, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] node _T_3433 = cat(_T_3432, _T_3430) @[Cat.scala 29:58] node _T_3434 = cat(_T_3433, _T_3428) @[Cat.scala 29:58] node _T_3435 = cat(_T_3434, _T_3426) @[Cat.scala 29:58] - buf_samedw_in <= _T_3435 @[el2_lsu_bus_buffer.scala 492:19] - node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3437 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] - node _T_3438 = mux(_T_3436, _T_3437, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] - node _T_3439 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3440 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] - node _T_3441 = mux(_T_3439, _T_3440, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] - node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] - node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] - node _T_3445 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3446 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] - node _T_3447 = mux(_T_3445, _T_3446, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] + buf_samedw_in <= _T_3435 @[el2_lsu_bus_buffer.scala 490:19] + node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3437 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] + node _T_3438 = mux(_T_3436, _T_3437, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] + node _T_3439 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3440 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] + node _T_3441 = mux(_T_3439, _T_3440, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] + node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] + node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] + node _T_3445 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3446 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] + node _T_3447 = mux(_T_3445, _T_3446, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] node _T_3448 = cat(_T_3447, _T_3444) @[Cat.scala 29:58] node _T_3449 = cat(_T_3448, _T_3441) @[Cat.scala 29:58] node _T_3450 = cat(_T_3449, _T_3438) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3450 @[el2_lsu_bus_buffer.scala 493:20] - node _T_3451 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] - node _T_3453 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:120] - node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 494:109] - node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3456 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3457 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] - node _T_3458 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:120] - node _T_3459 = and(_T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 494:109] - node _T_3460 = mux(_T_3456, ibuf_dual, _T_3459) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3461 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] - node _T_3463 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 494:120] - node _T_3464 = and(_T_3462, _T_3463) @[el2_lsu_bus_buffer.scala 494:109] - node _T_3465 = mux(_T_3461, ibuf_dual, _T_3464) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3466 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3467 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] - node _T_3468 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 494:120] - node _T_3469 = and(_T_3467, _T_3468) @[el2_lsu_bus_buffer.scala 494:109] - node _T_3470 = mux(_T_3466, ibuf_dual, _T_3469) @[el2_lsu_bus_buffer.scala 494:49] + buf_nomerge_in <= _T_3450 @[el2_lsu_bus_buffer.scala 491:20] + node _T_3451 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] + node _T_3453 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:120] + node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 492:109] + node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[el2_lsu_bus_buffer.scala 492:49] + node _T_3456 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3457 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] + node _T_3458 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 492:120] + node _T_3459 = and(_T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 492:109] + node _T_3460 = mux(_T_3456, ibuf_dual, _T_3459) @[el2_lsu_bus_buffer.scala 492:49] + node _T_3461 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] + node _T_3463 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 492:120] + node _T_3464 = and(_T_3462, _T_3463) @[el2_lsu_bus_buffer.scala 492:109] + node _T_3465 = mux(_T_3461, ibuf_dual, _T_3464) @[el2_lsu_bus_buffer.scala 492:49] + node _T_3466 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3467 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] + node _T_3468 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 492:120] + node _T_3469 = and(_T_3467, _T_3468) @[el2_lsu_bus_buffer.scala 492:109] + node _T_3470 = mux(_T_3466, ibuf_dual, _T_3469) @[el2_lsu_bus_buffer.scala 492:49] node _T_3471 = cat(_T_3470, _T_3465) @[Cat.scala 29:58] node _T_3472 = cat(_T_3471, _T_3460) @[Cat.scala 29:58] node _T_3473 = cat(_T_3472, _T_3455) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3473 @[el2_lsu_bus_buffer.scala 494:19] - node _T_3474 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] - node _T_3476 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:127] - node _T_3477 = and(_T_3475, _T_3476) @[el2_lsu_bus_buffer.scala 495:116] - node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] - node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3480 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] - node _T_3482 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:127] - node _T_3483 = and(_T_3481, _T_3482) @[el2_lsu_bus_buffer.scala 495:116] - node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] - node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3486 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] - node _T_3488 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 495:127] - node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 495:116] - node _T_3490 = mux(_T_3489, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] - node _T_3491 = mux(_T_3486, ibuf_dualtag, _T_3490) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3493 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] - node _T_3494 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 495:127] - node _T_3495 = and(_T_3493, _T_3494) @[el2_lsu_bus_buffer.scala 495:116] - node _T_3496 = mux(_T_3495, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] - node _T_3497 = mux(_T_3492, ibuf_dualtag, _T_3496) @[el2_lsu_bus_buffer.scala 495:49] - buf_dualtag_in[0] <= _T_3479 @[el2_lsu_bus_buffer.scala 495:20] - buf_dualtag_in[1] <= _T_3485 @[el2_lsu_bus_buffer.scala 495:20] - buf_dualtag_in[2] <= _T_3491 @[el2_lsu_bus_buffer.scala 495:20] - buf_dualtag_in[3] <= _T_3497 @[el2_lsu_bus_buffer.scala 495:20] - node _T_3498 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 496:71] - node _T_3499 = mux(_T_3498, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] - node _T_3500 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 496:71] - node _T_3501 = mux(_T_3500, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] - node _T_3502 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 496:71] - node _T_3503 = mux(_T_3502, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] - node _T_3504 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 496:71] - node _T_3505 = mux(_T_3504, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] + buf_dualhi_in <= _T_3473 @[el2_lsu_bus_buffer.scala 492:19] + node _T_3474 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] + node _T_3476 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:127] + node _T_3477 = and(_T_3475, _T_3476) @[el2_lsu_bus_buffer.scala 493:116] + node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] + node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3480 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] + node _T_3482 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 493:127] + node _T_3483 = and(_T_3481, _T_3482) @[el2_lsu_bus_buffer.scala 493:116] + node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] + node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3486 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] + node _T_3488 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 493:127] + node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 493:116] + node _T_3490 = mux(_T_3489, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] + node _T_3491 = mux(_T_3486, ibuf_dualtag, _T_3490) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3493 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] + node _T_3494 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 493:127] + node _T_3495 = and(_T_3493, _T_3494) @[el2_lsu_bus_buffer.scala 493:116] + node _T_3496 = mux(_T_3495, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] + node _T_3497 = mux(_T_3492, ibuf_dualtag, _T_3496) @[el2_lsu_bus_buffer.scala 493:49] + buf_dualtag_in[0] <= _T_3479 @[el2_lsu_bus_buffer.scala 493:20] + buf_dualtag_in[1] <= _T_3485 @[el2_lsu_bus_buffer.scala 493:20] + buf_dualtag_in[2] <= _T_3491 @[el2_lsu_bus_buffer.scala 493:20] + buf_dualtag_in[3] <= _T_3497 @[el2_lsu_bus_buffer.scala 493:20] + node _T_3498 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3499 = mux(_T_3498, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3500 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3501 = mux(_T_3500, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3502 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3503 = mux(_T_3502, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3504 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3505 = mux(_T_3504, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] node _T_3506 = cat(_T_3505, _T_3503) @[Cat.scala 29:58] node _T_3507 = cat(_T_3506, _T_3501) @[Cat.scala 29:58] node _T_3508 = cat(_T_3507, _T_3499) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3508 @[el2_lsu_bus_buffer.scala 496:23] - node _T_3509 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 497:67] - node _T_3510 = mux(_T_3509, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] - node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 497:67] - node _T_3512 = mux(_T_3511, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] - node _T_3513 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 497:67] - node _T_3514 = mux(_T_3513, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] - node _T_3515 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 497:67] - node _T_3516 = mux(_T_3515, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] + buf_sideeffect_in <= _T_3508 @[el2_lsu_bus_buffer.scala 494:23] + node _T_3509 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3510 = mux(_T_3509, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] + node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3512 = mux(_T_3511, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] + node _T_3513 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3514 = mux(_T_3513, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] + node _T_3515 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3516 = mux(_T_3515, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] node _T_3517 = cat(_T_3516, _T_3514) @[Cat.scala 29:58] node _T_3518 = cat(_T_3517, _T_3512) @[Cat.scala 29:58] node _T_3519 = cat(_T_3518, _T_3510) @[Cat.scala 29:58] - buf_unsign_in <= _T_3519 @[el2_lsu_bus_buffer.scala 497:19] - node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 498:62] + buf_unsign_in <= _T_3519 @[el2_lsu_bus_buffer.scala 495:19] + node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 496:62] node _T_3521 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3522 = mux(_T_3520, ibuf_sz, _T_3521) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3523 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 498:62] + node _T_3522 = mux(_T_3520, ibuf_sz, _T_3521) @[el2_lsu_bus_buffer.scala 496:44] + node _T_3523 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 496:62] node _T_3524 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3525 = mux(_T_3523, ibuf_sz, _T_3524) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3526 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 498:62] + node _T_3525 = mux(_T_3523, ibuf_sz, _T_3524) @[el2_lsu_bus_buffer.scala 496:44] + node _T_3526 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 496:62] node _T_3527 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3529 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 498:62] + node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 496:44] + node _T_3529 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 496:62] node _T_3530 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3531 = mux(_T_3529, ibuf_sz, _T_3530) @[el2_lsu_bus_buffer.scala 498:44] - buf_sz_in[0] <= _T_3522 @[el2_lsu_bus_buffer.scala 498:15] - buf_sz_in[1] <= _T_3525 @[el2_lsu_bus_buffer.scala 498:15] - buf_sz_in[2] <= _T_3528 @[el2_lsu_bus_buffer.scala 498:15] - buf_sz_in[3] <= _T_3531 @[el2_lsu_bus_buffer.scala 498:15] - node _T_3532 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3533 = mux(_T_3532, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3534 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3535 = mux(_T_3534, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3536 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3537 = mux(_T_3536, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3538 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3539 = mux(_T_3538, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3531 = mux(_T_3529, ibuf_sz, _T_3530) @[el2_lsu_bus_buffer.scala 496:44] + buf_sz_in[0] <= _T_3522 @[el2_lsu_bus_buffer.scala 496:15] + buf_sz_in[1] <= _T_3525 @[el2_lsu_bus_buffer.scala 496:15] + buf_sz_in[2] <= _T_3528 @[el2_lsu_bus_buffer.scala 496:15] + buf_sz_in[3] <= _T_3531 @[el2_lsu_bus_buffer.scala 496:15] + node _T_3532 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 497:66] + node _T_3533 = mux(_T_3532, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] + node _T_3534 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 497:66] + node _T_3535 = mux(_T_3534, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] + node _T_3536 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 497:66] + node _T_3537 = mux(_T_3536, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] + node _T_3538 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 497:66] + node _T_3539 = mux(_T_3538, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] node _T_3540 = cat(_T_3539, _T_3537) @[Cat.scala 29:58] node _T_3541 = cat(_T_3540, _T_3535) @[Cat.scala 29:58] node _T_3542 = cat(_T_3541, _T_3533) @[Cat.scala 29:58] - buf_write_in <= _T_3542 @[el2_lsu_bus_buffer.scala 499:18] + buf_write_in <= _T_3542 @[el2_lsu_bus_buffer.scala 497:18] node _T_3543 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3543 : @[Conditional.scala 40:58] - node _T_3544 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] - node _T_3545 = mux(_T_3544, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3546 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] - node _T_3547 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3548 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] - node _T_3549 = and(_T_3547, _T_3548) @[el2_lsu_bus_buffer.scala 505:95] - node _T_3550 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] - node _T_3551 = and(_T_3549, _T_3550) @[el2_lsu_bus_buffer.scala 505:112] - node _T_3552 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] - node _T_3553 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] - node _T_3554 = and(_T_3552, _T_3553) @[el2_lsu_bus_buffer.scala 505:161] - node _T_3555 = or(_T_3551, _T_3554) @[el2_lsu_bus_buffer.scala 505:132] - node _T_3556 = and(_T_3546, _T_3555) @[el2_lsu_bus_buffer.scala 505:63] - node _T_3557 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] - node _T_3558 = and(ibuf_drain_vld, _T_3557) @[el2_lsu_bus_buffer.scala 505:201] - node _T_3559 = or(_T_3556, _T_3558) @[el2_lsu_bus_buffer.scala 505:183] - buf_state_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 505:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 506:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 507:24] - node _T_3560 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] - node _T_3561 = and(ibuf_drain_vld, _T_3560) @[el2_lsu_bus_buffer.scala 508:47] - node _T_3562 = bits(_T_3561, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] - node _T_3563 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3564 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] - node _T_3565 = mux(_T_3562, _T_3563, _T_3564) @[el2_lsu_bus_buffer.scala 508:30] - buf_data_in[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 508:24] + node _T_3544 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] + node _T_3545 = mux(_T_3544, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3546 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] + node _T_3547 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] + node _T_3548 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] + node _T_3549 = and(_T_3547, _T_3548) @[el2_lsu_bus_buffer.scala 503:95] + node _T_3550 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] + node _T_3551 = and(_T_3549, _T_3550) @[el2_lsu_bus_buffer.scala 503:112] + node _T_3552 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] + node _T_3553 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] + node _T_3554 = and(_T_3552, _T_3553) @[el2_lsu_bus_buffer.scala 503:161] + node _T_3555 = or(_T_3551, _T_3554) @[el2_lsu_bus_buffer.scala 503:132] + node _T_3556 = and(_T_3546, _T_3555) @[el2_lsu_bus_buffer.scala 503:63] + node _T_3557 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] + node _T_3558 = and(ibuf_drain_vld, _T_3557) @[el2_lsu_bus_buffer.scala 503:201] + node _T_3559 = or(_T_3556, _T_3558) @[el2_lsu_bus_buffer.scala 503:183] + buf_state_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 503:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 504:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 505:24] + node _T_3560 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] + node _T_3561 = and(ibuf_drain_vld, _T_3560) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3562 = bits(_T_3561, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] + node _T_3563 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] + node _T_3564 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] + node _T_3565 = mux(_T_3562, _T_3563, _T_3564) @[el2_lsu_bus_buffer.scala 506:30] + buf_data_in[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 506:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3566 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3566 : @[Conditional.scala 39:67] - node _T_3567 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3568 = mux(_T_3567, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[0] <= _T_3568 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3569 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] - buf_state_en[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3567 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] + node _T_3568 = mux(_T_3567, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] + buf_nxtstate[0] <= _T_3568 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3569 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] + buf_state_en[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3570 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3570 : @[Conditional.scala 39:67] - node _T_3571 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] - node _T_3572 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] - node _T_3573 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] - node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 515:104] - node _T_3575 = mux(_T_3574, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] - node _T_3576 = mux(_T_3571, UInt<3>("h00"), _T_3575) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 515:25] - node _T_3577 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 516:48] - node _T_3578 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 516:104] - node _T_3579 = and(obuf_merge, _T_3578) @[el2_lsu_bus_buffer.scala 516:91] - node _T_3580 = or(_T_3577, _T_3579) @[el2_lsu_bus_buffer.scala 516:77] - node _T_3581 = and(_T_3580, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] - node _T_3582 = and(_T_3581, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] - buf_cmd_state_bus_en[0] <= _T_3582 @[el2_lsu_bus_buffer.scala 516:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 517:29] - node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] - node _T_3584 = or(_T_3583, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] - buf_state_en[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - node _T_3585 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 520:56] - node _T_3586 = eq(_T_3585, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_3587 = and(buf_state_en[0], _T_3586) @[el2_lsu_bus_buffer.scala 520:44] - node _T_3588 = and(_T_3587, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] - node _T_3589 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] - node _T_3590 = and(_T_3588, _T_3589) @[el2_lsu_bus_buffer.scala 520:74] - buf_ldfwd_en[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 520:25] - node _T_3591 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] - buf_ldfwdtag_in[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 521:28] - node _T_3592 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] - node _T_3593 = and(_T_3592, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] - node _T_3594 = and(_T_3593, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] - buf_data_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 522:24] - node _T_3595 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] - node _T_3596 = and(_T_3595, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] - node _T_3597 = and(_T_3596, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] - buf_error_en[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 523:25] - node _T_3598 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] - node _T_3599 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] - node _T_3600 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] - node _T_3601 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] - node _T_3602 = mux(_T_3599, _T_3600, _T_3601) @[el2_lsu_bus_buffer.scala 524:73] - node _T_3603 = mux(buf_error_en[0], _T_3598, _T_3602) @[el2_lsu_bus_buffer.scala 524:30] - buf_data_in[0] <= _T_3603 @[el2_lsu_bus_buffer.scala 524:24] + node _T_3571 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3572 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] + node _T_3573 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] + node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 513:104] + node _T_3575 = mux(_T_3574, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3576 = mux(_T_3571, UInt<3>("h00"), _T_3575) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3577 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3578 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3579 = and(obuf_merge, _T_3578) @[el2_lsu_bus_buffer.scala 514:91] + node _T_3580 = or(_T_3577, _T_3579) @[el2_lsu_bus_buffer.scala 514:77] + node _T_3581 = and(_T_3580, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] + node _T_3582 = and(_T_3581, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] + buf_cmd_state_bus_en[0] <= _T_3582 @[el2_lsu_bus_buffer.scala 514:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 515:29] + node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3584 = or(_T_3583, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + node _T_3585 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:56] + node _T_3586 = eq(_T_3585, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] + node _T_3587 = and(buf_state_en[0], _T_3586) @[el2_lsu_bus_buffer.scala 518:44] + node _T_3588 = and(_T_3587, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] + node _T_3589 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] + node _T_3590 = and(_T_3588, _T_3589) @[el2_lsu_bus_buffer.scala 518:74] + buf_ldfwd_en[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 518:25] + node _T_3591 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] + buf_ldfwdtag_in[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 519:28] + node _T_3592 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] + node _T_3593 = and(_T_3592, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] + node _T_3594 = and(_T_3593, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] + buf_data_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 520:24] + node _T_3595 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] + node _T_3596 = and(_T_3595, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] + node _T_3597 = and(_T_3596, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] + buf_error_en[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 521:25] + node _T_3598 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] + node _T_3599 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] + node _T_3600 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] + node _T_3601 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] + node _T_3602 = mux(_T_3599, _T_3600, _T_3601) @[el2_lsu_bus_buffer.scala 522:73] + node _T_3603 = mux(buf_error_en[0], _T_3598, _T_3602) @[el2_lsu_bus_buffer.scala 522:30] + buf_data_in[0] <= _T_3603 @[el2_lsu_bus_buffer.scala 522:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3604 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3604 : @[Conditional.scala 39:67] - node _T_3605 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 527:67] - node _T_3606 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] - node _T_3607 = eq(_T_3606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] - node _T_3608 = and(_T_3605, _T_3607) @[el2_lsu_bus_buffer.scala 527:71] - node _T_3609 = or(io.dec_tlu_force_halt, _T_3608) @[el2_lsu_bus_buffer.scala 527:55] - node _T_3610 = bits(_T_3609, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] - node _T_3611 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] - node _T_3612 = and(buf_dual[0], _T_3611) @[el2_lsu_bus_buffer.scala 528:28] - node _T_3613 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 528:57] - node _T_3614 = eq(_T_3613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] - node _T_3615 = and(_T_3612, _T_3614) @[el2_lsu_bus_buffer.scala 528:45] - node _T_3616 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] - node _T_3617 = and(_T_3615, _T_3616) @[el2_lsu_bus_buffer.scala 528:61] - node _T_3618 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 529:27] - node _T_3619 = or(_T_3618, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] - node _T_3620 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] - node _T_3621 = and(buf_dual[0], _T_3620) @[el2_lsu_bus_buffer.scala 529:68] - node _T_3622 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 529:97] - node _T_3623 = eq(_T_3622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] - node _T_3624 = and(_T_3621, _T_3623) @[el2_lsu_bus_buffer.scala 529:85] + node _T_3605 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 525:67] + node _T_3606 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] + node _T_3607 = eq(_T_3606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] + node _T_3608 = and(_T_3605, _T_3607) @[el2_lsu_bus_buffer.scala 525:71] + node _T_3609 = or(io.dec_tlu_force_halt, _T_3608) @[el2_lsu_bus_buffer.scala 525:55] + node _T_3610 = bits(_T_3609, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] + node _T_3611 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] + node _T_3612 = and(buf_dual[0], _T_3611) @[el2_lsu_bus_buffer.scala 526:28] + node _T_3613 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 526:57] + node _T_3614 = eq(_T_3613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] + node _T_3615 = and(_T_3612, _T_3614) @[el2_lsu_bus_buffer.scala 526:45] + node _T_3616 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] + node _T_3617 = and(_T_3615, _T_3616) @[el2_lsu_bus_buffer.scala 526:61] + node _T_3618 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 527:27] + node _T_3619 = or(_T_3618, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] + node _T_3620 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] + node _T_3621 = and(buf_dual[0], _T_3620) @[el2_lsu_bus_buffer.scala 527:68] + node _T_3622 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 527:97] + node _T_3623 = eq(_T_3622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] + node _T_3624 = and(_T_3621, _T_3623) @[el2_lsu_bus_buffer.scala 527:85] node _T_3625 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] node _T_3626 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] node _T_3627 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] @@ -4597,265 +4597,265 @@ circuit el2_lsu_bus_buffer : node _T_3639 = or(_T_3638, _T_3636) @[Mux.scala 27:72] wire _T_3640 : UInt<1> @[Mux.scala 27:72] _T_3640 <= _T_3639 @[Mux.scala 27:72] - node _T_3641 = and(_T_3624, _T_3640) @[el2_lsu_bus_buffer.scala 529:101] - node _T_3642 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] - node _T_3643 = and(_T_3641, _T_3642) @[el2_lsu_bus_buffer.scala 529:138] - node _T_3644 = and(_T_3643, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] - node _T_3645 = or(_T_3619, _T_3644) @[el2_lsu_bus_buffer.scala 529:53] - node _T_3646 = mux(_T_3645, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] - node _T_3647 = mux(_T_3617, UInt<3>("h04"), _T_3646) @[el2_lsu_bus_buffer.scala 528:14] - node _T_3648 = mux(_T_3610, UInt<3>("h00"), _T_3647) @[el2_lsu_bus_buffer.scala 527:31] - buf_nxtstate[0] <= _T_3648 @[el2_lsu_bus_buffer.scala 527:25] - node _T_3649 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 530:73] - node _T_3650 = and(bus_rsp_write, _T_3649) @[el2_lsu_bus_buffer.scala 530:52] - node _T_3651 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 531:46] - node _T_3652 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 532:23] - node _T_3653 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 532:47] - node _T_3654 = and(_T_3652, _T_3653) @[el2_lsu_bus_buffer.scala 532:27] - node _T_3655 = or(_T_3651, _T_3654) @[el2_lsu_bus_buffer.scala 531:77] - node _T_3656 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 533:26] - node _T_3657 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 533:54] - node _T_3658 = not(_T_3657) @[el2_lsu_bus_buffer.scala 533:44] - node _T_3659 = and(_T_3656, _T_3658) @[el2_lsu_bus_buffer.scala 533:42] - node _T_3660 = and(_T_3659, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_3661 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:94] - node _T_3662 = and(_T_3660, _T_3661) @[el2_lsu_bus_buffer.scala 533:74] - node _T_3663 = or(_T_3655, _T_3662) @[el2_lsu_bus_buffer.scala 532:71] - node _T_3664 = and(bus_rsp_read, _T_3663) @[el2_lsu_bus_buffer.scala 531:25] - node _T_3665 = or(_T_3650, _T_3664) @[el2_lsu_bus_buffer.scala 530:105] - buf_resp_state_bus_en[0] <= _T_3665 @[el2_lsu_bus_buffer.scala 530:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 534:29] - node _T_3666 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_3667 = or(_T_3666, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[0] <= _T_3667 @[el2_lsu_bus_buffer.scala 535:25] - node _T_3668 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] - node _T_3669 = and(_T_3668, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] - buf_data_en[0] <= _T_3669 @[el2_lsu_bus_buffer.scala 536:24] - node _T_3670 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] - node _T_3671 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:111] - node _T_3672 = and(bus_rsp_read_error, _T_3671) @[el2_lsu_bus_buffer.scala 537:91] - node _T_3673 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 538:42] - node _T_3674 = and(bus_rsp_read_error, _T_3673) @[el2_lsu_bus_buffer.scala 538:31] - node _T_3675 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 538:66] - node _T_3676 = and(_T_3674, _T_3675) @[el2_lsu_bus_buffer.scala 538:46] - node _T_3677 = or(_T_3672, _T_3676) @[el2_lsu_bus_buffer.scala 537:143] - node _T_3678 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] - node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 539:74] - node _T_3680 = and(_T_3678, _T_3679) @[el2_lsu_bus_buffer.scala 539:53] - node _T_3681 = or(_T_3677, _T_3680) @[el2_lsu_bus_buffer.scala 538:88] - node _T_3682 = and(_T_3670, _T_3681) @[el2_lsu_bus_buffer.scala 537:68] - buf_error_en[0] <= _T_3682 @[el2_lsu_bus_buffer.scala 537:25] - node _T_3683 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] - node _T_3684 = and(buf_state_en[0], _T_3683) @[el2_lsu_bus_buffer.scala 540:48] - node _T_3685 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] - node _T_3686 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] - node _T_3687 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] - node _T_3688 = mux(_T_3685, _T_3686, _T_3687) @[el2_lsu_bus_buffer.scala 540:72] - node _T_3689 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] - node _T_3690 = mux(_T_3684, _T_3688, _T_3689) @[el2_lsu_bus_buffer.scala 540:30] - buf_data_in[0] <= _T_3690 @[el2_lsu_bus_buffer.scala 540:24] + node _T_3641 = and(_T_3624, _T_3640) @[el2_lsu_bus_buffer.scala 527:101] + node _T_3642 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] + node _T_3643 = and(_T_3641, _T_3642) @[el2_lsu_bus_buffer.scala 527:138] + node _T_3644 = and(_T_3643, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] + node _T_3645 = or(_T_3619, _T_3644) @[el2_lsu_bus_buffer.scala 527:53] + node _T_3646 = mux(_T_3645, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] + node _T_3647 = mux(_T_3617, UInt<3>("h04"), _T_3646) @[el2_lsu_bus_buffer.scala 526:14] + node _T_3648 = mux(_T_3610, UInt<3>("h00"), _T_3647) @[el2_lsu_bus_buffer.scala 525:31] + buf_nxtstate[0] <= _T_3648 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3649 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 528:73] + node _T_3650 = and(bus_rsp_write, _T_3649) @[el2_lsu_bus_buffer.scala 528:52] + node _T_3651 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:46] + node _T_3652 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 530:23] + node _T_3653 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 530:47] + node _T_3654 = and(_T_3652, _T_3653) @[el2_lsu_bus_buffer.scala 530:27] + node _T_3655 = or(_T_3651, _T_3654) @[el2_lsu_bus_buffer.scala 529:77] + node _T_3656 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 531:26] + node _T_3657 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 531:54] + node _T_3658 = not(_T_3657) @[el2_lsu_bus_buffer.scala 531:44] + node _T_3659 = and(_T_3656, _T_3658) @[el2_lsu_bus_buffer.scala 531:42] + node _T_3660 = and(_T_3659, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 531:58] + node _T_3661 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 531:94] + node _T_3662 = and(_T_3660, _T_3661) @[el2_lsu_bus_buffer.scala 531:74] + node _T_3663 = or(_T_3655, _T_3662) @[el2_lsu_bus_buffer.scala 530:71] + node _T_3664 = and(bus_rsp_read, _T_3663) @[el2_lsu_bus_buffer.scala 529:25] + node _T_3665 = or(_T_3650, _T_3664) @[el2_lsu_bus_buffer.scala 528:105] + buf_resp_state_bus_en[0] <= _T_3665 @[el2_lsu_bus_buffer.scala 528:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 532:29] + node _T_3666 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] + node _T_3667 = or(_T_3666, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] + buf_state_en[0] <= _T_3667 @[el2_lsu_bus_buffer.scala 533:25] + node _T_3668 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] + node _T_3669 = and(_T_3668, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] + buf_data_en[0] <= _T_3669 @[el2_lsu_bus_buffer.scala 534:24] + node _T_3670 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] + node _T_3671 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 535:111] + node _T_3672 = and(bus_rsp_read_error, _T_3671) @[el2_lsu_bus_buffer.scala 535:91] + node _T_3673 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 536:42] + node _T_3674 = and(bus_rsp_read_error, _T_3673) @[el2_lsu_bus_buffer.scala 536:31] + node _T_3675 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_3676 = and(_T_3674, _T_3675) @[el2_lsu_bus_buffer.scala 536:46] + node _T_3677 = or(_T_3672, _T_3676) @[el2_lsu_bus_buffer.scala 535:143] + node _T_3678 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] + node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:74] + node _T_3680 = and(_T_3678, _T_3679) @[el2_lsu_bus_buffer.scala 537:53] + node _T_3681 = or(_T_3677, _T_3680) @[el2_lsu_bus_buffer.scala 536:88] + node _T_3682 = and(_T_3670, _T_3681) @[el2_lsu_bus_buffer.scala 535:68] + buf_error_en[0] <= _T_3682 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3683 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] + node _T_3684 = and(buf_state_en[0], _T_3683) @[el2_lsu_bus_buffer.scala 538:48] + node _T_3685 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] + node _T_3686 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] + node _T_3687 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] + node _T_3688 = mux(_T_3685, _T_3686, _T_3687) @[el2_lsu_bus_buffer.scala 538:72] + node _T_3689 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] + node _T_3690 = mux(_T_3684, _T_3688, _T_3689) @[el2_lsu_bus_buffer.scala 538:30] + buf_data_in[0] <= _T_3690 @[el2_lsu_bus_buffer.scala 538:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3691 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3691 : @[Conditional.scala 39:67] - node _T_3692 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] - node _T_3693 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 543:86] - node _T_3694 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 543:101] - node _T_3695 = bits(_T_3694, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] - node _T_3696 = or(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 543:90] - node _T_3697 = or(_T_3696, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] - node _T_3698 = mux(_T_3697, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] - node _T_3699 = mux(_T_3692, UInt<3>("h00"), _T_3698) @[el2_lsu_bus_buffer.scala 543:31] - buf_nxtstate[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 543:25] - node _T_3700 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 544:66] - node _T_3701 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 545:21] - node _T_3702 = bits(_T_3701, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] - node _T_3703 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 545:58] - node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 545:38] - node _T_3705 = or(_T_3700, _T_3704) @[el2_lsu_bus_buffer.scala 544:95] - node _T_3706 = and(bus_rsp_read, _T_3705) @[el2_lsu_bus_buffer.scala 544:45] - buf_state_bus_en[0] <= _T_3706 @[el2_lsu_bus_buffer.scala 544:29] - node _T_3707 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] - node _T_3708 = or(_T_3707, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] - buf_state_en[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 546:25] + node _T_3692 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_3693 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 541:86] + node _T_3694 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 541:101] + node _T_3695 = bits(_T_3694, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] + node _T_3696 = or(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 541:90] + node _T_3697 = or(_T_3696, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] + node _T_3698 = mux(_T_3697, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] + node _T_3699 = mux(_T_3692, UInt<3>("h00"), _T_3698) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 541:25] + node _T_3700 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 542:66] + node _T_3701 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 543:21] + node _T_3702 = bits(_T_3701, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] + node _T_3703 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 543:58] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 543:38] + node _T_3705 = or(_T_3700, _T_3704) @[el2_lsu_bus_buffer.scala 542:95] + node _T_3706 = and(bus_rsp_read, _T_3705) @[el2_lsu_bus_buffer.scala 542:45] + buf_state_bus_en[0] <= _T_3706 @[el2_lsu_bus_buffer.scala 542:29] + node _T_3707 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] + node _T_3708 = or(_T_3707, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] + buf_state_en[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 544:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3709 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3709 : @[Conditional.scala 39:67] - node _T_3710 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] - node _T_3711 = mux(_T_3710, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] - buf_nxtstate[0] <= _T_3711 @[el2_lsu_bus_buffer.scala 549:25] - node _T_3712 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 550:37] - node _T_3713 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] - node _T_3714 = and(buf_dual[0], _T_3713) @[el2_lsu_bus_buffer.scala 550:80] - node _T_3715 = or(_T_3712, _T_3714) @[el2_lsu_bus_buffer.scala 550:65] - node _T_3716 = or(_T_3715, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] - buf_state_en[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 550:25] + node _T_3710 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] + node _T_3711 = mux(_T_3710, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] + buf_nxtstate[0] <= _T_3711 @[el2_lsu_bus_buffer.scala 547:25] + node _T_3712 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 548:37] + node _T_3713 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] + node _T_3714 = and(buf_dual[0], _T_3713) @[el2_lsu_bus_buffer.scala 548:80] + node _T_3715 = or(_T_3712, _T_3714) @[el2_lsu_bus_buffer.scala 548:65] + node _T_3716 = or(_T_3715, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] + buf_state_en[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3717 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3717 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 557:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 555:25] skip @[Conditional.scala 39:67] - node _T_3718 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + node _T_3718 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] reg _T_3719 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3718 : @[Reg.scala 28:19] _T_3719 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3719 @[el2_lsu_bus_buffer.scala 560:18] - reg _T_3720 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] - _T_3720 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 561:60] - buf_ageQ[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 561:17] - reg _T_3721 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] - _T_3721 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 562:63] - buf_rspageQ[0] <= _T_3721 @[el2_lsu_bus_buffer.scala 562:20] - node _T_3722 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + buf_state[0] <= _T_3719 @[el2_lsu_bus_buffer.scala 558:18] + reg _T_3720 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] + _T_3720 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 559:60] + buf_ageQ[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 559:17] + reg _T_3721 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] + _T_3721 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 560:63] + buf_rspageQ[0] <= _T_3721 @[el2_lsu_bus_buffer.scala 560:20] + node _T_3722 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] reg _T_3723 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3722 : @[Reg.scala 28:19] _T_3723 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3723 @[el2_lsu_bus_buffer.scala 563:20] - node _T_3724 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:74] - node _T_3725 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] + buf_dualtag[0] <= _T_3723 @[el2_lsu_bus_buffer.scala 561:20] + node _T_3724 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:74] + node _T_3725 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] reg _T_3726 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3725 : @[Reg.scala 28:19] _T_3726 <= _T_3724 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 564:17] - node _T_3727 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:78] - node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] + buf_dual[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 562:17] + node _T_3727 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:78] + node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] reg _T_3729 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3728 : @[Reg.scala 28:19] _T_3729 <= _T_3727 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 565:19] - node _T_3730 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 566:80] - node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] + buf_samedw[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 563:19] + node _T_3730 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:80] + node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] reg _T_3732 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3731 : @[Reg.scala 28:19] _T_3732 <= _T_3730 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 566:20] - node _T_3733 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 567:78] - node _T_3734 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + buf_nomerge[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 564:20] + node _T_3733 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:78] + node _T_3734 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_3735 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3734 : @[Reg.scala 28:19] _T_3735 <= _T_3733 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3735 @[el2_lsu_bus_buffer.scala 567:19] + buf_dualhi[0] <= _T_3735 @[el2_lsu_bus_buffer.scala 565:19] node _T_3736 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3736 : @[Conditional.scala 40:58] - node _T_3737 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] - node _T_3738 = mux(_T_3737, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3739 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] - node _T_3740 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3741 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] - node _T_3742 = and(_T_3740, _T_3741) @[el2_lsu_bus_buffer.scala 505:95] - node _T_3743 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] - node _T_3744 = and(_T_3742, _T_3743) @[el2_lsu_bus_buffer.scala 505:112] - node _T_3745 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] - node _T_3746 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] - node _T_3747 = and(_T_3745, _T_3746) @[el2_lsu_bus_buffer.scala 505:161] - node _T_3748 = or(_T_3744, _T_3747) @[el2_lsu_bus_buffer.scala 505:132] - node _T_3749 = and(_T_3739, _T_3748) @[el2_lsu_bus_buffer.scala 505:63] - node _T_3750 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] - node _T_3751 = and(ibuf_drain_vld, _T_3750) @[el2_lsu_bus_buffer.scala 505:201] - node _T_3752 = or(_T_3749, _T_3751) @[el2_lsu_bus_buffer.scala 505:183] - buf_state_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 505:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 506:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 507:24] - node _T_3753 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] - node _T_3754 = and(ibuf_drain_vld, _T_3753) @[el2_lsu_bus_buffer.scala 508:47] - node _T_3755 = bits(_T_3754, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] - node _T_3756 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3757 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] - node _T_3758 = mux(_T_3755, _T_3756, _T_3757) @[el2_lsu_bus_buffer.scala 508:30] - buf_data_in[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 508:24] + node _T_3737 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] + node _T_3738 = mux(_T_3737, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3739 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] + node _T_3740 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] + node _T_3741 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] + node _T_3742 = and(_T_3740, _T_3741) @[el2_lsu_bus_buffer.scala 503:95] + node _T_3743 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] + node _T_3744 = and(_T_3742, _T_3743) @[el2_lsu_bus_buffer.scala 503:112] + node _T_3745 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] + node _T_3746 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] + node _T_3747 = and(_T_3745, _T_3746) @[el2_lsu_bus_buffer.scala 503:161] + node _T_3748 = or(_T_3744, _T_3747) @[el2_lsu_bus_buffer.scala 503:132] + node _T_3749 = and(_T_3739, _T_3748) @[el2_lsu_bus_buffer.scala 503:63] + node _T_3750 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] + node _T_3751 = and(ibuf_drain_vld, _T_3750) @[el2_lsu_bus_buffer.scala 503:201] + node _T_3752 = or(_T_3749, _T_3751) @[el2_lsu_bus_buffer.scala 503:183] + buf_state_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 503:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 504:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 505:24] + node _T_3753 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] + node _T_3754 = and(ibuf_drain_vld, _T_3753) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3755 = bits(_T_3754, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] + node _T_3756 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] + node _T_3757 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] + node _T_3758 = mux(_T_3755, _T_3756, _T_3757) @[el2_lsu_bus_buffer.scala 506:30] + buf_data_in[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 506:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3759 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3759 : @[Conditional.scala 39:67] - node _T_3760 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3761 = mux(_T_3760, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[1] <= _T_3761 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3762 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] - buf_state_en[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3760 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] + node _T_3761 = mux(_T_3760, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] + buf_nxtstate[1] <= _T_3761 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3762 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] + buf_state_en[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3763 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3763 : @[Conditional.scala 39:67] - node _T_3764 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] - node _T_3765 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] - node _T_3766 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] - node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 515:104] - node _T_3768 = mux(_T_3767, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] - node _T_3769 = mux(_T_3764, UInt<3>("h00"), _T_3768) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 515:25] - node _T_3770 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 516:48] - node _T_3771 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 516:104] - node _T_3772 = and(obuf_merge, _T_3771) @[el2_lsu_bus_buffer.scala 516:91] - node _T_3773 = or(_T_3770, _T_3772) @[el2_lsu_bus_buffer.scala 516:77] - node _T_3774 = and(_T_3773, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] - node _T_3775 = and(_T_3774, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] - buf_cmd_state_bus_en[1] <= _T_3775 @[el2_lsu_bus_buffer.scala 516:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 517:29] - node _T_3776 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] - node _T_3777 = or(_T_3776, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] - buf_state_en[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - node _T_3778 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 520:56] - node _T_3779 = eq(_T_3778, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_3780 = and(buf_state_en[1], _T_3779) @[el2_lsu_bus_buffer.scala 520:44] - node _T_3781 = and(_T_3780, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] - node _T_3782 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] - node _T_3783 = and(_T_3781, _T_3782) @[el2_lsu_bus_buffer.scala 520:74] - buf_ldfwd_en[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 520:25] - node _T_3784 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] - buf_ldfwdtag_in[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 521:28] - node _T_3785 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] - node _T_3786 = and(_T_3785, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] - node _T_3787 = and(_T_3786, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] - buf_data_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 522:24] - node _T_3788 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] - node _T_3789 = and(_T_3788, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] - node _T_3790 = and(_T_3789, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] - buf_error_en[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 523:25] - node _T_3791 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] - node _T_3792 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] - node _T_3793 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] - node _T_3794 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] - node _T_3795 = mux(_T_3792, _T_3793, _T_3794) @[el2_lsu_bus_buffer.scala 524:73] - node _T_3796 = mux(buf_error_en[1], _T_3791, _T_3795) @[el2_lsu_bus_buffer.scala 524:30] - buf_data_in[1] <= _T_3796 @[el2_lsu_bus_buffer.scala 524:24] + node _T_3764 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3765 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] + node _T_3766 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] + node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 513:104] + node _T_3768 = mux(_T_3767, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3769 = mux(_T_3764, UInt<3>("h00"), _T_3768) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3770 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3771 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3772 = and(obuf_merge, _T_3771) @[el2_lsu_bus_buffer.scala 514:91] + node _T_3773 = or(_T_3770, _T_3772) @[el2_lsu_bus_buffer.scala 514:77] + node _T_3774 = and(_T_3773, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] + node _T_3775 = and(_T_3774, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] + buf_cmd_state_bus_en[1] <= _T_3775 @[el2_lsu_bus_buffer.scala 514:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 515:29] + node _T_3776 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3777 = or(_T_3776, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + node _T_3778 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:56] + node _T_3779 = eq(_T_3778, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] + node _T_3780 = and(buf_state_en[1], _T_3779) @[el2_lsu_bus_buffer.scala 518:44] + node _T_3781 = and(_T_3780, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] + node _T_3782 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] + node _T_3783 = and(_T_3781, _T_3782) @[el2_lsu_bus_buffer.scala 518:74] + buf_ldfwd_en[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 518:25] + node _T_3784 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] + buf_ldfwdtag_in[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 519:28] + node _T_3785 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] + node _T_3786 = and(_T_3785, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] + node _T_3787 = and(_T_3786, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] + buf_data_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 520:24] + node _T_3788 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] + node _T_3789 = and(_T_3788, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] + node _T_3790 = and(_T_3789, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] + buf_error_en[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 521:25] + node _T_3791 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] + node _T_3792 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] + node _T_3793 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] + node _T_3794 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] + node _T_3795 = mux(_T_3792, _T_3793, _T_3794) @[el2_lsu_bus_buffer.scala 522:73] + node _T_3796 = mux(buf_error_en[1], _T_3791, _T_3795) @[el2_lsu_bus_buffer.scala 522:30] + buf_data_in[1] <= _T_3796 @[el2_lsu_bus_buffer.scala 522:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3797 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3797 : @[Conditional.scala 39:67] - node _T_3798 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 527:67] - node _T_3799 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] - node _T_3800 = eq(_T_3799, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] - node _T_3801 = and(_T_3798, _T_3800) @[el2_lsu_bus_buffer.scala 527:71] - node _T_3802 = or(io.dec_tlu_force_halt, _T_3801) @[el2_lsu_bus_buffer.scala 527:55] - node _T_3803 = bits(_T_3802, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] - node _T_3804 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] - node _T_3805 = and(buf_dual[1], _T_3804) @[el2_lsu_bus_buffer.scala 528:28] - node _T_3806 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 528:57] - node _T_3807 = eq(_T_3806, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] - node _T_3808 = and(_T_3805, _T_3807) @[el2_lsu_bus_buffer.scala 528:45] - node _T_3809 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] - node _T_3810 = and(_T_3808, _T_3809) @[el2_lsu_bus_buffer.scala 528:61] - node _T_3811 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 529:27] - node _T_3812 = or(_T_3811, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] - node _T_3813 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] - node _T_3814 = and(buf_dual[1], _T_3813) @[el2_lsu_bus_buffer.scala 529:68] - node _T_3815 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 529:97] - node _T_3816 = eq(_T_3815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] - node _T_3817 = and(_T_3814, _T_3816) @[el2_lsu_bus_buffer.scala 529:85] + node _T_3798 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 525:67] + node _T_3799 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] + node _T_3800 = eq(_T_3799, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] + node _T_3801 = and(_T_3798, _T_3800) @[el2_lsu_bus_buffer.scala 525:71] + node _T_3802 = or(io.dec_tlu_force_halt, _T_3801) @[el2_lsu_bus_buffer.scala 525:55] + node _T_3803 = bits(_T_3802, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] + node _T_3804 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] + node _T_3805 = and(buf_dual[1], _T_3804) @[el2_lsu_bus_buffer.scala 526:28] + node _T_3806 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 526:57] + node _T_3807 = eq(_T_3806, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] + node _T_3808 = and(_T_3805, _T_3807) @[el2_lsu_bus_buffer.scala 526:45] + node _T_3809 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] + node _T_3810 = and(_T_3808, _T_3809) @[el2_lsu_bus_buffer.scala 526:61] + node _T_3811 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 527:27] + node _T_3812 = or(_T_3811, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] + node _T_3813 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] + node _T_3814 = and(buf_dual[1], _T_3813) @[el2_lsu_bus_buffer.scala 527:68] + node _T_3815 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 527:97] + node _T_3816 = eq(_T_3815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] + node _T_3817 = and(_T_3814, _T_3816) @[el2_lsu_bus_buffer.scala 527:85] node _T_3818 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] node _T_3819 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] node _T_3820 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] @@ -4873,265 +4873,265 @@ circuit el2_lsu_bus_buffer : node _T_3832 = or(_T_3831, _T_3829) @[Mux.scala 27:72] wire _T_3833 : UInt<1> @[Mux.scala 27:72] _T_3833 <= _T_3832 @[Mux.scala 27:72] - node _T_3834 = and(_T_3817, _T_3833) @[el2_lsu_bus_buffer.scala 529:101] - node _T_3835 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] - node _T_3836 = and(_T_3834, _T_3835) @[el2_lsu_bus_buffer.scala 529:138] - node _T_3837 = and(_T_3836, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] - node _T_3838 = or(_T_3812, _T_3837) @[el2_lsu_bus_buffer.scala 529:53] - node _T_3839 = mux(_T_3838, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] - node _T_3840 = mux(_T_3810, UInt<3>("h04"), _T_3839) @[el2_lsu_bus_buffer.scala 528:14] - node _T_3841 = mux(_T_3803, UInt<3>("h00"), _T_3840) @[el2_lsu_bus_buffer.scala 527:31] - buf_nxtstate[1] <= _T_3841 @[el2_lsu_bus_buffer.scala 527:25] - node _T_3842 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 530:73] - node _T_3843 = and(bus_rsp_write, _T_3842) @[el2_lsu_bus_buffer.scala 530:52] - node _T_3844 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 531:46] - node _T_3845 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 532:23] - node _T_3846 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 532:47] - node _T_3847 = and(_T_3845, _T_3846) @[el2_lsu_bus_buffer.scala 532:27] - node _T_3848 = or(_T_3844, _T_3847) @[el2_lsu_bus_buffer.scala 531:77] - node _T_3849 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 533:26] - node _T_3850 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 533:54] - node _T_3851 = not(_T_3850) @[el2_lsu_bus_buffer.scala 533:44] - node _T_3852 = and(_T_3849, _T_3851) @[el2_lsu_bus_buffer.scala 533:42] - node _T_3853 = and(_T_3852, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_3854 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:94] - node _T_3855 = and(_T_3853, _T_3854) @[el2_lsu_bus_buffer.scala 533:74] - node _T_3856 = or(_T_3848, _T_3855) @[el2_lsu_bus_buffer.scala 532:71] - node _T_3857 = and(bus_rsp_read, _T_3856) @[el2_lsu_bus_buffer.scala 531:25] - node _T_3858 = or(_T_3843, _T_3857) @[el2_lsu_bus_buffer.scala 530:105] - buf_resp_state_bus_en[1] <= _T_3858 @[el2_lsu_bus_buffer.scala 530:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 534:29] - node _T_3859 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_3860 = or(_T_3859, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[1] <= _T_3860 @[el2_lsu_bus_buffer.scala 535:25] - node _T_3861 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] - node _T_3862 = and(_T_3861, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] - buf_data_en[1] <= _T_3862 @[el2_lsu_bus_buffer.scala 536:24] - node _T_3863 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] - node _T_3864 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 537:111] - node _T_3865 = and(bus_rsp_read_error, _T_3864) @[el2_lsu_bus_buffer.scala 537:91] - node _T_3866 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 538:42] - node _T_3867 = and(bus_rsp_read_error, _T_3866) @[el2_lsu_bus_buffer.scala 538:31] - node _T_3868 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 538:66] - node _T_3869 = and(_T_3867, _T_3868) @[el2_lsu_bus_buffer.scala 538:46] - node _T_3870 = or(_T_3865, _T_3869) @[el2_lsu_bus_buffer.scala 537:143] - node _T_3871 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] - node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:74] - node _T_3873 = and(_T_3871, _T_3872) @[el2_lsu_bus_buffer.scala 539:53] - node _T_3874 = or(_T_3870, _T_3873) @[el2_lsu_bus_buffer.scala 538:88] - node _T_3875 = and(_T_3863, _T_3874) @[el2_lsu_bus_buffer.scala 537:68] - buf_error_en[1] <= _T_3875 @[el2_lsu_bus_buffer.scala 537:25] - node _T_3876 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] - node _T_3877 = and(buf_state_en[1], _T_3876) @[el2_lsu_bus_buffer.scala 540:48] - node _T_3878 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] - node _T_3879 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] - node _T_3880 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] - node _T_3881 = mux(_T_3878, _T_3879, _T_3880) @[el2_lsu_bus_buffer.scala 540:72] - node _T_3882 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] - node _T_3883 = mux(_T_3877, _T_3881, _T_3882) @[el2_lsu_bus_buffer.scala 540:30] - buf_data_in[1] <= _T_3883 @[el2_lsu_bus_buffer.scala 540:24] + node _T_3834 = and(_T_3817, _T_3833) @[el2_lsu_bus_buffer.scala 527:101] + node _T_3835 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] + node _T_3836 = and(_T_3834, _T_3835) @[el2_lsu_bus_buffer.scala 527:138] + node _T_3837 = and(_T_3836, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] + node _T_3838 = or(_T_3812, _T_3837) @[el2_lsu_bus_buffer.scala 527:53] + node _T_3839 = mux(_T_3838, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] + node _T_3840 = mux(_T_3810, UInt<3>("h04"), _T_3839) @[el2_lsu_bus_buffer.scala 526:14] + node _T_3841 = mux(_T_3803, UInt<3>("h00"), _T_3840) @[el2_lsu_bus_buffer.scala 525:31] + buf_nxtstate[1] <= _T_3841 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3842 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 528:73] + node _T_3843 = and(bus_rsp_write, _T_3842) @[el2_lsu_bus_buffer.scala 528:52] + node _T_3844 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:46] + node _T_3845 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 530:23] + node _T_3846 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 530:47] + node _T_3847 = and(_T_3845, _T_3846) @[el2_lsu_bus_buffer.scala 530:27] + node _T_3848 = or(_T_3844, _T_3847) @[el2_lsu_bus_buffer.scala 529:77] + node _T_3849 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 531:26] + node _T_3850 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 531:54] + node _T_3851 = not(_T_3850) @[el2_lsu_bus_buffer.scala 531:44] + node _T_3852 = and(_T_3849, _T_3851) @[el2_lsu_bus_buffer.scala 531:42] + node _T_3853 = and(_T_3852, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 531:58] + node _T_3854 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 531:94] + node _T_3855 = and(_T_3853, _T_3854) @[el2_lsu_bus_buffer.scala 531:74] + node _T_3856 = or(_T_3848, _T_3855) @[el2_lsu_bus_buffer.scala 530:71] + node _T_3857 = and(bus_rsp_read, _T_3856) @[el2_lsu_bus_buffer.scala 529:25] + node _T_3858 = or(_T_3843, _T_3857) @[el2_lsu_bus_buffer.scala 528:105] + buf_resp_state_bus_en[1] <= _T_3858 @[el2_lsu_bus_buffer.scala 528:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 532:29] + node _T_3859 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] + node _T_3860 = or(_T_3859, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] + buf_state_en[1] <= _T_3860 @[el2_lsu_bus_buffer.scala 533:25] + node _T_3861 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] + node _T_3862 = and(_T_3861, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] + buf_data_en[1] <= _T_3862 @[el2_lsu_bus_buffer.scala 534:24] + node _T_3863 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] + node _T_3864 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 535:111] + node _T_3865 = and(bus_rsp_read_error, _T_3864) @[el2_lsu_bus_buffer.scala 535:91] + node _T_3866 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 536:42] + node _T_3867 = and(bus_rsp_read_error, _T_3866) @[el2_lsu_bus_buffer.scala 536:31] + node _T_3868 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_3869 = and(_T_3867, _T_3868) @[el2_lsu_bus_buffer.scala 536:46] + node _T_3870 = or(_T_3865, _T_3869) @[el2_lsu_bus_buffer.scala 535:143] + node _T_3871 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] + node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 537:74] + node _T_3873 = and(_T_3871, _T_3872) @[el2_lsu_bus_buffer.scala 537:53] + node _T_3874 = or(_T_3870, _T_3873) @[el2_lsu_bus_buffer.scala 536:88] + node _T_3875 = and(_T_3863, _T_3874) @[el2_lsu_bus_buffer.scala 535:68] + buf_error_en[1] <= _T_3875 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3876 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] + node _T_3877 = and(buf_state_en[1], _T_3876) @[el2_lsu_bus_buffer.scala 538:48] + node _T_3878 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] + node _T_3879 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] + node _T_3880 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] + node _T_3881 = mux(_T_3878, _T_3879, _T_3880) @[el2_lsu_bus_buffer.scala 538:72] + node _T_3882 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] + node _T_3883 = mux(_T_3877, _T_3881, _T_3882) @[el2_lsu_bus_buffer.scala 538:30] + buf_data_in[1] <= _T_3883 @[el2_lsu_bus_buffer.scala 538:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3884 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3884 : @[Conditional.scala 39:67] - node _T_3885 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] - node _T_3886 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 543:86] - node _T_3887 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 543:101] - node _T_3888 = bits(_T_3887, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] - node _T_3889 = or(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 543:90] - node _T_3890 = or(_T_3889, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] - node _T_3891 = mux(_T_3890, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] - node _T_3892 = mux(_T_3885, UInt<3>("h00"), _T_3891) @[el2_lsu_bus_buffer.scala 543:31] - buf_nxtstate[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 543:25] - node _T_3893 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 544:66] - node _T_3894 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 545:21] - node _T_3895 = bits(_T_3894, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] - node _T_3896 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 545:58] - node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 545:38] - node _T_3898 = or(_T_3893, _T_3897) @[el2_lsu_bus_buffer.scala 544:95] - node _T_3899 = and(bus_rsp_read, _T_3898) @[el2_lsu_bus_buffer.scala 544:45] - buf_state_bus_en[1] <= _T_3899 @[el2_lsu_bus_buffer.scala 544:29] - node _T_3900 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] - node _T_3901 = or(_T_3900, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] - buf_state_en[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 546:25] + node _T_3885 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_3886 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 541:86] + node _T_3887 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 541:101] + node _T_3888 = bits(_T_3887, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] + node _T_3889 = or(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 541:90] + node _T_3890 = or(_T_3889, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] + node _T_3891 = mux(_T_3890, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] + node _T_3892 = mux(_T_3885, UInt<3>("h00"), _T_3891) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 541:25] + node _T_3893 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 542:66] + node _T_3894 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 543:21] + node _T_3895 = bits(_T_3894, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] + node _T_3896 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 543:58] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 543:38] + node _T_3898 = or(_T_3893, _T_3897) @[el2_lsu_bus_buffer.scala 542:95] + node _T_3899 = and(bus_rsp_read, _T_3898) @[el2_lsu_bus_buffer.scala 542:45] + buf_state_bus_en[1] <= _T_3899 @[el2_lsu_bus_buffer.scala 542:29] + node _T_3900 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] + node _T_3901 = or(_T_3900, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] + buf_state_en[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 544:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3902 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3902 : @[Conditional.scala 39:67] - node _T_3903 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] - node _T_3904 = mux(_T_3903, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] - buf_nxtstate[1] <= _T_3904 @[el2_lsu_bus_buffer.scala 549:25] - node _T_3905 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 550:37] - node _T_3906 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] - node _T_3907 = and(buf_dual[1], _T_3906) @[el2_lsu_bus_buffer.scala 550:80] - node _T_3908 = or(_T_3905, _T_3907) @[el2_lsu_bus_buffer.scala 550:65] - node _T_3909 = or(_T_3908, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] - buf_state_en[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 550:25] + node _T_3903 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] + node _T_3904 = mux(_T_3903, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] + buf_nxtstate[1] <= _T_3904 @[el2_lsu_bus_buffer.scala 547:25] + node _T_3905 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 548:37] + node _T_3906 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] + node _T_3907 = and(buf_dual[1], _T_3906) @[el2_lsu_bus_buffer.scala 548:80] + node _T_3908 = or(_T_3905, _T_3907) @[el2_lsu_bus_buffer.scala 548:65] + node _T_3909 = or(_T_3908, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] + buf_state_en[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3910 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3910 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 557:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 555:25] skip @[Conditional.scala 39:67] - node _T_3911 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + node _T_3911 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] reg _T_3912 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3911 : @[Reg.scala 28:19] _T_3912 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3912 @[el2_lsu_bus_buffer.scala 560:18] - reg _T_3913 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] - _T_3913 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 561:60] - buf_ageQ[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 561:17] - reg _T_3914 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] - _T_3914 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 562:63] - buf_rspageQ[1] <= _T_3914 @[el2_lsu_bus_buffer.scala 562:20] - node _T_3915 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + buf_state[1] <= _T_3912 @[el2_lsu_bus_buffer.scala 558:18] + reg _T_3913 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] + _T_3913 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 559:60] + buf_ageQ[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 559:17] + reg _T_3914 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] + _T_3914 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 560:63] + buf_rspageQ[1] <= _T_3914 @[el2_lsu_bus_buffer.scala 560:20] + node _T_3915 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] reg _T_3916 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3915 : @[Reg.scala 28:19] _T_3916 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3916 @[el2_lsu_bus_buffer.scala 563:20] - node _T_3917 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:74] - node _T_3918 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] + buf_dualtag[1] <= _T_3916 @[el2_lsu_bus_buffer.scala 561:20] + node _T_3917 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:74] + node _T_3918 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] reg _T_3919 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3918 : @[Reg.scala 28:19] _T_3919 <= _T_3917 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 564:17] - node _T_3920 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:78] - node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] + buf_dual[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 562:17] + node _T_3920 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:78] + node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] reg _T_3922 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3921 : @[Reg.scala 28:19] _T_3922 <= _T_3920 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 565:19] - node _T_3923 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 566:80] - node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] + buf_samedw[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 563:19] + node _T_3923 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:80] + node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] reg _T_3925 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3924 : @[Reg.scala 28:19] _T_3925 <= _T_3923 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 566:20] - node _T_3926 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 567:78] - node _T_3927 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + buf_nomerge[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 564:20] + node _T_3926 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:78] + node _T_3927 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_3928 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3927 : @[Reg.scala 28:19] _T_3928 <= _T_3926 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3928 @[el2_lsu_bus_buffer.scala 567:19] + buf_dualhi[1] <= _T_3928 @[el2_lsu_bus_buffer.scala 565:19] node _T_3929 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3929 : @[Conditional.scala 40:58] - node _T_3930 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] - node _T_3931 = mux(_T_3930, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3932 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] - node _T_3933 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3934 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] - node _T_3935 = and(_T_3933, _T_3934) @[el2_lsu_bus_buffer.scala 505:95] - node _T_3936 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] - node _T_3937 = and(_T_3935, _T_3936) @[el2_lsu_bus_buffer.scala 505:112] - node _T_3938 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] - node _T_3939 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] - node _T_3940 = and(_T_3938, _T_3939) @[el2_lsu_bus_buffer.scala 505:161] - node _T_3941 = or(_T_3937, _T_3940) @[el2_lsu_bus_buffer.scala 505:132] - node _T_3942 = and(_T_3932, _T_3941) @[el2_lsu_bus_buffer.scala 505:63] - node _T_3943 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] - node _T_3944 = and(ibuf_drain_vld, _T_3943) @[el2_lsu_bus_buffer.scala 505:201] - node _T_3945 = or(_T_3942, _T_3944) @[el2_lsu_bus_buffer.scala 505:183] - buf_state_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 505:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 506:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 507:24] - node _T_3946 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] - node _T_3947 = and(ibuf_drain_vld, _T_3946) @[el2_lsu_bus_buffer.scala 508:47] - node _T_3948 = bits(_T_3947, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] - node _T_3949 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3950 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] - node _T_3951 = mux(_T_3948, _T_3949, _T_3950) @[el2_lsu_bus_buffer.scala 508:30] - buf_data_in[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 508:24] + node _T_3930 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] + node _T_3931 = mux(_T_3930, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3932 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] + node _T_3933 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] + node _T_3934 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] + node _T_3935 = and(_T_3933, _T_3934) @[el2_lsu_bus_buffer.scala 503:95] + node _T_3936 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] + node _T_3937 = and(_T_3935, _T_3936) @[el2_lsu_bus_buffer.scala 503:112] + node _T_3938 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] + node _T_3939 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] + node _T_3940 = and(_T_3938, _T_3939) @[el2_lsu_bus_buffer.scala 503:161] + node _T_3941 = or(_T_3937, _T_3940) @[el2_lsu_bus_buffer.scala 503:132] + node _T_3942 = and(_T_3932, _T_3941) @[el2_lsu_bus_buffer.scala 503:63] + node _T_3943 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] + node _T_3944 = and(ibuf_drain_vld, _T_3943) @[el2_lsu_bus_buffer.scala 503:201] + node _T_3945 = or(_T_3942, _T_3944) @[el2_lsu_bus_buffer.scala 503:183] + buf_state_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 503:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 504:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 505:24] + node _T_3946 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] + node _T_3947 = and(ibuf_drain_vld, _T_3946) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3948 = bits(_T_3947, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] + node _T_3949 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] + node _T_3950 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] + node _T_3951 = mux(_T_3948, _T_3949, _T_3950) @[el2_lsu_bus_buffer.scala 506:30] + buf_data_in[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 506:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3952 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3952 : @[Conditional.scala 39:67] - node _T_3953 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3954 = mux(_T_3953, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[2] <= _T_3954 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3955 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] - buf_state_en[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3953 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] + node _T_3954 = mux(_T_3953, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] + buf_nxtstate[2] <= _T_3954 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3955 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] + buf_state_en[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3956 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3956 : @[Conditional.scala 39:67] - node _T_3957 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] - node _T_3958 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] - node _T_3959 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] - node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 515:104] - node _T_3961 = mux(_T_3960, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] - node _T_3962 = mux(_T_3957, UInt<3>("h00"), _T_3961) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 515:25] - node _T_3963 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 516:48] - node _T_3964 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 516:104] - node _T_3965 = and(obuf_merge, _T_3964) @[el2_lsu_bus_buffer.scala 516:91] - node _T_3966 = or(_T_3963, _T_3965) @[el2_lsu_bus_buffer.scala 516:77] - node _T_3967 = and(_T_3966, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] - node _T_3968 = and(_T_3967, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] - buf_cmd_state_bus_en[2] <= _T_3968 @[el2_lsu_bus_buffer.scala 516:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 517:29] - node _T_3969 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] - node _T_3970 = or(_T_3969, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] - buf_state_en[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - node _T_3971 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 520:56] - node _T_3972 = eq(_T_3971, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_3973 = and(buf_state_en[2], _T_3972) @[el2_lsu_bus_buffer.scala 520:44] - node _T_3974 = and(_T_3973, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] - node _T_3975 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] - node _T_3976 = and(_T_3974, _T_3975) @[el2_lsu_bus_buffer.scala 520:74] - buf_ldfwd_en[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 520:25] - node _T_3977 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] - buf_ldfwdtag_in[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 521:28] - node _T_3978 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] - node _T_3979 = and(_T_3978, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] - node _T_3980 = and(_T_3979, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] - buf_data_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 522:24] - node _T_3981 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] - node _T_3982 = and(_T_3981, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] - node _T_3983 = and(_T_3982, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] - buf_error_en[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 523:25] - node _T_3984 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] - node _T_3985 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] - node _T_3986 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] - node _T_3987 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] - node _T_3988 = mux(_T_3985, _T_3986, _T_3987) @[el2_lsu_bus_buffer.scala 524:73] - node _T_3989 = mux(buf_error_en[2], _T_3984, _T_3988) @[el2_lsu_bus_buffer.scala 524:30] - buf_data_in[2] <= _T_3989 @[el2_lsu_bus_buffer.scala 524:24] + node _T_3957 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3958 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] + node _T_3959 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] + node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 513:104] + node _T_3961 = mux(_T_3960, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3962 = mux(_T_3957, UInt<3>("h00"), _T_3961) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3963 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3964 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3965 = and(obuf_merge, _T_3964) @[el2_lsu_bus_buffer.scala 514:91] + node _T_3966 = or(_T_3963, _T_3965) @[el2_lsu_bus_buffer.scala 514:77] + node _T_3967 = and(_T_3966, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] + node _T_3968 = and(_T_3967, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] + buf_cmd_state_bus_en[2] <= _T_3968 @[el2_lsu_bus_buffer.scala 514:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 515:29] + node _T_3969 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3970 = or(_T_3969, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + node _T_3971 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:56] + node _T_3972 = eq(_T_3971, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] + node _T_3973 = and(buf_state_en[2], _T_3972) @[el2_lsu_bus_buffer.scala 518:44] + node _T_3974 = and(_T_3973, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] + node _T_3975 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] + node _T_3976 = and(_T_3974, _T_3975) @[el2_lsu_bus_buffer.scala 518:74] + buf_ldfwd_en[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 518:25] + node _T_3977 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] + buf_ldfwdtag_in[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 519:28] + node _T_3978 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] + node _T_3979 = and(_T_3978, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] + node _T_3980 = and(_T_3979, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] + buf_data_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 520:24] + node _T_3981 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] + node _T_3982 = and(_T_3981, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] + node _T_3983 = and(_T_3982, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] + buf_error_en[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 521:25] + node _T_3984 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] + node _T_3985 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] + node _T_3986 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] + node _T_3987 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] + node _T_3988 = mux(_T_3985, _T_3986, _T_3987) @[el2_lsu_bus_buffer.scala 522:73] + node _T_3989 = mux(buf_error_en[2], _T_3984, _T_3988) @[el2_lsu_bus_buffer.scala 522:30] + buf_data_in[2] <= _T_3989 @[el2_lsu_bus_buffer.scala 522:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3990 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3990 : @[Conditional.scala 39:67] - node _T_3991 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 527:67] - node _T_3992 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] - node _T_3993 = eq(_T_3992, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] - node _T_3994 = and(_T_3991, _T_3993) @[el2_lsu_bus_buffer.scala 527:71] - node _T_3995 = or(io.dec_tlu_force_halt, _T_3994) @[el2_lsu_bus_buffer.scala 527:55] - node _T_3996 = bits(_T_3995, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] - node _T_3997 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] - node _T_3998 = and(buf_dual[2], _T_3997) @[el2_lsu_bus_buffer.scala 528:28] - node _T_3999 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 528:57] - node _T_4000 = eq(_T_3999, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] - node _T_4001 = and(_T_3998, _T_4000) @[el2_lsu_bus_buffer.scala 528:45] - node _T_4002 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] - node _T_4003 = and(_T_4001, _T_4002) @[el2_lsu_bus_buffer.scala 528:61] - node _T_4004 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 529:27] - node _T_4005 = or(_T_4004, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] - node _T_4006 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] - node _T_4007 = and(buf_dual[2], _T_4006) @[el2_lsu_bus_buffer.scala 529:68] - node _T_4008 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4009 = eq(_T_4008, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] - node _T_4010 = and(_T_4007, _T_4009) @[el2_lsu_bus_buffer.scala 529:85] + node _T_3991 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 525:67] + node _T_3992 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] + node _T_3993 = eq(_T_3992, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] + node _T_3994 = and(_T_3991, _T_3993) @[el2_lsu_bus_buffer.scala 525:71] + node _T_3995 = or(io.dec_tlu_force_halt, _T_3994) @[el2_lsu_bus_buffer.scala 525:55] + node _T_3996 = bits(_T_3995, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] + node _T_3997 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] + node _T_3998 = and(buf_dual[2], _T_3997) @[el2_lsu_bus_buffer.scala 526:28] + node _T_3999 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 526:57] + node _T_4000 = eq(_T_3999, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] + node _T_4001 = and(_T_3998, _T_4000) @[el2_lsu_bus_buffer.scala 526:45] + node _T_4002 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] + node _T_4003 = and(_T_4001, _T_4002) @[el2_lsu_bus_buffer.scala 526:61] + node _T_4004 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 527:27] + node _T_4005 = or(_T_4004, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] + node _T_4006 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] + node _T_4007 = and(buf_dual[2], _T_4006) @[el2_lsu_bus_buffer.scala 527:68] + node _T_4008 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 527:97] + node _T_4009 = eq(_T_4008, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] + node _T_4010 = and(_T_4007, _T_4009) @[el2_lsu_bus_buffer.scala 527:85] node _T_4011 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] node _T_4012 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] node _T_4013 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] @@ -5149,265 +5149,265 @@ circuit el2_lsu_bus_buffer : node _T_4025 = or(_T_4024, _T_4022) @[Mux.scala 27:72] wire _T_4026 : UInt<1> @[Mux.scala 27:72] _T_4026 <= _T_4025 @[Mux.scala 27:72] - node _T_4027 = and(_T_4010, _T_4026) @[el2_lsu_bus_buffer.scala 529:101] - node _T_4028 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] - node _T_4029 = and(_T_4027, _T_4028) @[el2_lsu_bus_buffer.scala 529:138] - node _T_4030 = and(_T_4029, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] - node _T_4031 = or(_T_4005, _T_4030) @[el2_lsu_bus_buffer.scala 529:53] - node _T_4032 = mux(_T_4031, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] - node _T_4033 = mux(_T_4003, UInt<3>("h04"), _T_4032) @[el2_lsu_bus_buffer.scala 528:14] - node _T_4034 = mux(_T_3996, UInt<3>("h00"), _T_4033) @[el2_lsu_bus_buffer.scala 527:31] - buf_nxtstate[2] <= _T_4034 @[el2_lsu_bus_buffer.scala 527:25] - node _T_4035 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 530:73] - node _T_4036 = and(bus_rsp_write, _T_4035) @[el2_lsu_bus_buffer.scala 530:52] - node _T_4037 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 531:46] - node _T_4038 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 532:23] - node _T_4039 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 532:47] - node _T_4040 = and(_T_4038, _T_4039) @[el2_lsu_bus_buffer.scala 532:27] - node _T_4041 = or(_T_4037, _T_4040) @[el2_lsu_bus_buffer.scala 531:77] - node _T_4042 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 533:26] - node _T_4043 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 533:54] - node _T_4044 = not(_T_4043) @[el2_lsu_bus_buffer.scala 533:44] - node _T_4045 = and(_T_4042, _T_4044) @[el2_lsu_bus_buffer.scala 533:42] - node _T_4046 = and(_T_4045, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_4047 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:94] - node _T_4048 = and(_T_4046, _T_4047) @[el2_lsu_bus_buffer.scala 533:74] - node _T_4049 = or(_T_4041, _T_4048) @[el2_lsu_bus_buffer.scala 532:71] - node _T_4050 = and(bus_rsp_read, _T_4049) @[el2_lsu_bus_buffer.scala 531:25] - node _T_4051 = or(_T_4036, _T_4050) @[el2_lsu_bus_buffer.scala 530:105] - buf_resp_state_bus_en[2] <= _T_4051 @[el2_lsu_bus_buffer.scala 530:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 534:29] - node _T_4052 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_4053 = or(_T_4052, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[2] <= _T_4053 @[el2_lsu_bus_buffer.scala 535:25] - node _T_4054 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] - node _T_4055 = and(_T_4054, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] - buf_data_en[2] <= _T_4055 @[el2_lsu_bus_buffer.scala 536:24] - node _T_4056 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] - node _T_4057 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:111] - node _T_4058 = and(bus_rsp_read_error, _T_4057) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4059 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 538:42] - node _T_4060 = and(bus_rsp_read_error, _T_4059) @[el2_lsu_bus_buffer.scala 538:31] - node _T_4061 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 538:66] - node _T_4062 = and(_T_4060, _T_4061) @[el2_lsu_bus_buffer.scala 538:46] - node _T_4063 = or(_T_4058, _T_4062) @[el2_lsu_bus_buffer.scala 537:143] - node _T_4064 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] - node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4066 = and(_T_4064, _T_4065) @[el2_lsu_bus_buffer.scala 539:53] - node _T_4067 = or(_T_4063, _T_4066) @[el2_lsu_bus_buffer.scala 538:88] - node _T_4068 = and(_T_4056, _T_4067) @[el2_lsu_bus_buffer.scala 537:68] - buf_error_en[2] <= _T_4068 @[el2_lsu_bus_buffer.scala 537:25] - node _T_4069 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] - node _T_4070 = and(buf_state_en[2], _T_4069) @[el2_lsu_bus_buffer.scala 540:48] - node _T_4071 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] - node _T_4072 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4073 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] - node _T_4074 = mux(_T_4071, _T_4072, _T_4073) @[el2_lsu_bus_buffer.scala 540:72] - node _T_4075 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] - node _T_4076 = mux(_T_4070, _T_4074, _T_4075) @[el2_lsu_bus_buffer.scala 540:30] - buf_data_in[2] <= _T_4076 @[el2_lsu_bus_buffer.scala 540:24] + node _T_4027 = and(_T_4010, _T_4026) @[el2_lsu_bus_buffer.scala 527:101] + node _T_4028 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] + node _T_4029 = and(_T_4027, _T_4028) @[el2_lsu_bus_buffer.scala 527:138] + node _T_4030 = and(_T_4029, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] + node _T_4031 = or(_T_4005, _T_4030) @[el2_lsu_bus_buffer.scala 527:53] + node _T_4032 = mux(_T_4031, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] + node _T_4033 = mux(_T_4003, UInt<3>("h04"), _T_4032) @[el2_lsu_bus_buffer.scala 526:14] + node _T_4034 = mux(_T_3996, UInt<3>("h00"), _T_4033) @[el2_lsu_bus_buffer.scala 525:31] + buf_nxtstate[2] <= _T_4034 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4035 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 528:73] + node _T_4036 = and(bus_rsp_write, _T_4035) @[el2_lsu_bus_buffer.scala 528:52] + node _T_4037 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:46] + node _T_4038 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 530:23] + node _T_4039 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 530:47] + node _T_4040 = and(_T_4038, _T_4039) @[el2_lsu_bus_buffer.scala 530:27] + node _T_4041 = or(_T_4037, _T_4040) @[el2_lsu_bus_buffer.scala 529:77] + node _T_4042 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 531:26] + node _T_4043 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 531:54] + node _T_4044 = not(_T_4043) @[el2_lsu_bus_buffer.scala 531:44] + node _T_4045 = and(_T_4042, _T_4044) @[el2_lsu_bus_buffer.scala 531:42] + node _T_4046 = and(_T_4045, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 531:58] + node _T_4047 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 531:94] + node _T_4048 = and(_T_4046, _T_4047) @[el2_lsu_bus_buffer.scala 531:74] + node _T_4049 = or(_T_4041, _T_4048) @[el2_lsu_bus_buffer.scala 530:71] + node _T_4050 = and(bus_rsp_read, _T_4049) @[el2_lsu_bus_buffer.scala 529:25] + node _T_4051 = or(_T_4036, _T_4050) @[el2_lsu_bus_buffer.scala 528:105] + buf_resp_state_bus_en[2] <= _T_4051 @[el2_lsu_bus_buffer.scala 528:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 532:29] + node _T_4052 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] + node _T_4053 = or(_T_4052, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] + buf_state_en[2] <= _T_4053 @[el2_lsu_bus_buffer.scala 533:25] + node _T_4054 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] + node _T_4055 = and(_T_4054, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] + buf_data_en[2] <= _T_4055 @[el2_lsu_bus_buffer.scala 534:24] + node _T_4056 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] + node _T_4057 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 535:111] + node _T_4058 = and(bus_rsp_read_error, _T_4057) @[el2_lsu_bus_buffer.scala 535:91] + node _T_4059 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 536:42] + node _T_4060 = and(bus_rsp_read_error, _T_4059) @[el2_lsu_bus_buffer.scala 536:31] + node _T_4061 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_4062 = and(_T_4060, _T_4061) @[el2_lsu_bus_buffer.scala 536:46] + node _T_4063 = or(_T_4058, _T_4062) @[el2_lsu_bus_buffer.scala 535:143] + node _T_4064 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] + node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:74] + node _T_4066 = and(_T_4064, _T_4065) @[el2_lsu_bus_buffer.scala 537:53] + node _T_4067 = or(_T_4063, _T_4066) @[el2_lsu_bus_buffer.scala 536:88] + node _T_4068 = and(_T_4056, _T_4067) @[el2_lsu_bus_buffer.scala 535:68] + buf_error_en[2] <= _T_4068 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4069 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] + node _T_4070 = and(buf_state_en[2], _T_4069) @[el2_lsu_bus_buffer.scala 538:48] + node _T_4071 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] + node _T_4072 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] + node _T_4073 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] + node _T_4074 = mux(_T_4071, _T_4072, _T_4073) @[el2_lsu_bus_buffer.scala 538:72] + node _T_4075 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] + node _T_4076 = mux(_T_4070, _T_4074, _T_4075) @[el2_lsu_bus_buffer.scala 538:30] + buf_data_in[2] <= _T_4076 @[el2_lsu_bus_buffer.scala 538:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] - node _T_4079 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 543:86] - node _T_4080 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 543:101] - node _T_4081 = bits(_T_4080, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] - node _T_4082 = or(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 543:90] - node _T_4083 = or(_T_4082, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] - node _T_4084 = mux(_T_4083, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] - node _T_4085 = mux(_T_4078, UInt<3>("h00"), _T_4084) @[el2_lsu_bus_buffer.scala 543:31] - buf_nxtstate[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 543:25] - node _T_4086 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 544:66] - node _T_4087 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 545:21] - node _T_4088 = bits(_T_4087, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] - node _T_4089 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 545:58] - node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 545:38] - node _T_4091 = or(_T_4086, _T_4090) @[el2_lsu_bus_buffer.scala 544:95] - node _T_4092 = and(bus_rsp_read, _T_4091) @[el2_lsu_bus_buffer.scala 544:45] - buf_state_bus_en[2] <= _T_4092 @[el2_lsu_bus_buffer.scala 544:29] - node _T_4093 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] - node _T_4094 = or(_T_4093, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] - buf_state_en[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 546:25] + node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_4079 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4080 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 541:101] + node _T_4081 = bits(_T_4080, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] + node _T_4082 = or(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 541:90] + node _T_4083 = or(_T_4082, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] + node _T_4084 = mux(_T_4083, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] + node _T_4085 = mux(_T_4078, UInt<3>("h00"), _T_4084) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 541:25] + node _T_4086 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 542:66] + node _T_4087 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 543:21] + node _T_4088 = bits(_T_4087, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] + node _T_4089 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 543:58] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 543:38] + node _T_4091 = or(_T_4086, _T_4090) @[el2_lsu_bus_buffer.scala 542:95] + node _T_4092 = and(bus_rsp_read, _T_4091) @[el2_lsu_bus_buffer.scala 542:45] + buf_state_bus_en[2] <= _T_4092 @[el2_lsu_bus_buffer.scala 542:29] + node _T_4093 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] + node _T_4094 = or(_T_4093, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] + buf_state_en[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 544:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4095 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4095 : @[Conditional.scala 39:67] - node _T_4096 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] - node _T_4097 = mux(_T_4096, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] - buf_nxtstate[2] <= _T_4097 @[el2_lsu_bus_buffer.scala 549:25] - node _T_4098 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 550:37] - node _T_4099 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] - node _T_4100 = and(buf_dual[2], _T_4099) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4101 = or(_T_4098, _T_4100) @[el2_lsu_bus_buffer.scala 550:65] - node _T_4102 = or(_T_4101, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] - buf_state_en[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 550:25] + node _T_4096 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4097 = mux(_T_4096, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] + buf_nxtstate[2] <= _T_4097 @[el2_lsu_bus_buffer.scala 547:25] + node _T_4098 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 548:37] + node _T_4099 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] + node _T_4100 = and(buf_dual[2], _T_4099) @[el2_lsu_bus_buffer.scala 548:80] + node _T_4101 = or(_T_4098, _T_4100) @[el2_lsu_bus_buffer.scala 548:65] + node _T_4102 = or(_T_4101, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] + buf_state_en[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4103 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4103 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 557:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 555:25] skip @[Conditional.scala 39:67] - node _T_4104 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4104 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] reg _T_4105 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4104 : @[Reg.scala 28:19] _T_4105 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4105 @[el2_lsu_bus_buffer.scala 560:18] - reg _T_4106 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] - _T_4106 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 561:60] - buf_ageQ[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 561:17] - reg _T_4107 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] - _T_4107 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 562:63] - buf_rspageQ[2] <= _T_4107 @[el2_lsu_bus_buffer.scala 562:20] - node _T_4108 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + buf_state[2] <= _T_4105 @[el2_lsu_bus_buffer.scala 558:18] + reg _T_4106 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] + _T_4106 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 559:60] + buf_ageQ[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 559:17] + reg _T_4107 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] + _T_4107 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 560:63] + buf_rspageQ[2] <= _T_4107 @[el2_lsu_bus_buffer.scala 560:20] + node _T_4108 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] reg _T_4109 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4108 : @[Reg.scala 28:19] _T_4109 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4109 @[el2_lsu_bus_buffer.scala 563:20] - node _T_4110 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:74] - node _T_4111 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] + buf_dualtag[2] <= _T_4109 @[el2_lsu_bus_buffer.scala 561:20] + node _T_4110 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:74] + node _T_4111 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] reg _T_4112 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4111 : @[Reg.scala 28:19] _T_4112 <= _T_4110 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 564:17] - node _T_4113 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] + buf_dual[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 562:17] + node _T_4113 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] reg _T_4115 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4114 : @[Reg.scala 28:19] _T_4115 <= _T_4113 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 565:19] - node _T_4116 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 566:80] - node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] + buf_samedw[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 563:19] + node _T_4116 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:80] + node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] reg _T_4118 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4117 : @[Reg.scala 28:19] _T_4118 <= _T_4116 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 566:20] - node _T_4119 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 567:78] - node _T_4120 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + buf_nomerge[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 564:20] + node _T_4119 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:78] + node _T_4120 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_4121 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4120 : @[Reg.scala 28:19] _T_4121 <= _T_4119 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4121 @[el2_lsu_bus_buffer.scala 567:19] + buf_dualhi[2] <= _T_4121 @[el2_lsu_bus_buffer.scala 565:19] node _T_4122 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4122 : @[Conditional.scala 40:58] - node _T_4123 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] - node _T_4124 = mux(_T_4123, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 504:25] - node _T_4125 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] - node _T_4126 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] - node _T_4127 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] - node _T_4128 = and(_T_4126, _T_4127) @[el2_lsu_bus_buffer.scala 505:95] - node _T_4129 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] - node _T_4130 = and(_T_4128, _T_4129) @[el2_lsu_bus_buffer.scala 505:112] - node _T_4131 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] - node _T_4132 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] - node _T_4133 = and(_T_4131, _T_4132) @[el2_lsu_bus_buffer.scala 505:161] - node _T_4134 = or(_T_4130, _T_4133) @[el2_lsu_bus_buffer.scala 505:132] - node _T_4135 = and(_T_4125, _T_4134) @[el2_lsu_bus_buffer.scala 505:63] - node _T_4136 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] - node _T_4137 = and(ibuf_drain_vld, _T_4136) @[el2_lsu_bus_buffer.scala 505:201] - node _T_4138 = or(_T_4135, _T_4137) @[el2_lsu_bus_buffer.scala 505:183] - buf_state_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 505:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 506:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 507:24] - node _T_4139 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] - node _T_4140 = and(ibuf_drain_vld, _T_4139) @[el2_lsu_bus_buffer.scala 508:47] - node _T_4141 = bits(_T_4140, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] - node _T_4142 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] - node _T_4143 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] - node _T_4144 = mux(_T_4141, _T_4142, _T_4143) @[el2_lsu_bus_buffer.scala 508:30] - buf_data_in[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 508:24] + node _T_4123 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] + node _T_4124 = mux(_T_4123, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 502:25] + node _T_4125 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] + node _T_4126 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] + node _T_4127 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] + node _T_4128 = and(_T_4126, _T_4127) @[el2_lsu_bus_buffer.scala 503:95] + node _T_4129 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] + node _T_4130 = and(_T_4128, _T_4129) @[el2_lsu_bus_buffer.scala 503:112] + node _T_4131 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] + node _T_4132 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] + node _T_4133 = and(_T_4131, _T_4132) @[el2_lsu_bus_buffer.scala 503:161] + node _T_4134 = or(_T_4130, _T_4133) @[el2_lsu_bus_buffer.scala 503:132] + node _T_4135 = and(_T_4125, _T_4134) @[el2_lsu_bus_buffer.scala 503:63] + node _T_4136 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] + node _T_4137 = and(ibuf_drain_vld, _T_4136) @[el2_lsu_bus_buffer.scala 503:201] + node _T_4138 = or(_T_4135, _T_4137) @[el2_lsu_bus_buffer.scala 503:183] + buf_state_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 503:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 504:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 505:24] + node _T_4139 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] + node _T_4140 = and(ibuf_drain_vld, _T_4139) @[el2_lsu_bus_buffer.scala 506:47] + node _T_4141 = bits(_T_4140, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] + node _T_4142 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] + node _T_4143 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] + node _T_4144 = mux(_T_4141, _T_4142, _T_4143) @[el2_lsu_bus_buffer.scala 506:30] + buf_data_in[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 506:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4145 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4145 : @[Conditional.scala 39:67] - node _T_4146 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_4147 = mux(_T_4146, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[3] <= _T_4147 @[el2_lsu_bus_buffer.scala 511:25] - node _T_4148 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] - buf_state_en[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 512:25] + node _T_4146 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] + node _T_4147 = mux(_T_4146, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] + buf_nxtstate[3] <= _T_4147 @[el2_lsu_bus_buffer.scala 509:25] + node _T_4148 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] + buf_state_en[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4149 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4149 : @[Conditional.scala 39:67] - node _T_4150 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] - node _T_4151 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] - node _T_4152 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] - node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 515:104] - node _T_4154 = mux(_T_4153, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] - node _T_4155 = mux(_T_4150, UInt<3>("h00"), _T_4154) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 515:25] - node _T_4156 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 516:48] - node _T_4157 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 516:104] - node _T_4158 = and(obuf_merge, _T_4157) @[el2_lsu_bus_buffer.scala 516:91] - node _T_4159 = or(_T_4156, _T_4158) @[el2_lsu_bus_buffer.scala 516:77] - node _T_4160 = and(_T_4159, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] - node _T_4161 = and(_T_4160, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] - buf_cmd_state_bus_en[3] <= _T_4161 @[el2_lsu_bus_buffer.scala 516:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 517:29] - node _T_4162 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] - node _T_4163 = or(_T_4162, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] - buf_state_en[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - node _T_4164 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 520:56] - node _T_4165 = eq(_T_4164, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_4166 = and(buf_state_en[3], _T_4165) @[el2_lsu_bus_buffer.scala 520:44] - node _T_4167 = and(_T_4166, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] - node _T_4168 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] - node _T_4169 = and(_T_4167, _T_4168) @[el2_lsu_bus_buffer.scala 520:74] - buf_ldfwd_en[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 520:25] - node _T_4170 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] - buf_ldfwdtag_in[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 521:28] - node _T_4171 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] - node _T_4172 = and(_T_4171, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] - node _T_4173 = and(_T_4172, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] - buf_data_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 522:24] - node _T_4174 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] - node _T_4175 = and(_T_4174, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] - node _T_4176 = and(_T_4175, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] - buf_error_en[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 523:25] - node _T_4177 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] - node _T_4178 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] - node _T_4179 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] - node _T_4180 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] - node _T_4181 = mux(_T_4178, _T_4179, _T_4180) @[el2_lsu_bus_buffer.scala 524:73] - node _T_4182 = mux(buf_error_en[3], _T_4177, _T_4181) @[el2_lsu_bus_buffer.scala 524:30] - buf_data_in[3] <= _T_4182 @[el2_lsu_bus_buffer.scala 524:24] + node _T_4150 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_4151 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] + node _T_4152 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] + node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 513:104] + node _T_4154 = mux(_T_4153, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_4155 = mux(_T_4150, UInt<3>("h00"), _T_4154) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 513:25] + node _T_4156 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:48] + node _T_4157 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:104] + node _T_4158 = and(obuf_merge, _T_4157) @[el2_lsu_bus_buffer.scala 514:91] + node _T_4159 = or(_T_4156, _T_4158) @[el2_lsu_bus_buffer.scala 514:77] + node _T_4160 = and(_T_4159, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] + node _T_4161 = and(_T_4160, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] + buf_cmd_state_bus_en[3] <= _T_4161 @[el2_lsu_bus_buffer.scala 514:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 515:29] + node _T_4162 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_4163 = or(_T_4162, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + node _T_4164 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:56] + node _T_4165 = eq(_T_4164, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] + node _T_4166 = and(buf_state_en[3], _T_4165) @[el2_lsu_bus_buffer.scala 518:44] + node _T_4167 = and(_T_4166, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] + node _T_4168 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] + node _T_4169 = and(_T_4167, _T_4168) @[el2_lsu_bus_buffer.scala 518:74] + buf_ldfwd_en[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 518:25] + node _T_4170 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] + buf_ldfwdtag_in[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 519:28] + node _T_4171 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] + node _T_4172 = and(_T_4171, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] + node _T_4173 = and(_T_4172, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] + buf_data_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 520:24] + node _T_4174 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] + node _T_4175 = and(_T_4174, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] + node _T_4176 = and(_T_4175, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] + buf_error_en[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 521:25] + node _T_4177 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] + node _T_4178 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] + node _T_4179 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] + node _T_4180 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] + node _T_4181 = mux(_T_4178, _T_4179, _T_4180) @[el2_lsu_bus_buffer.scala 522:73] + node _T_4182 = mux(buf_error_en[3], _T_4177, _T_4181) @[el2_lsu_bus_buffer.scala 522:30] + buf_data_in[3] <= _T_4182 @[el2_lsu_bus_buffer.scala 522:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4183 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4183 : @[Conditional.scala 39:67] - node _T_4184 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 527:67] - node _T_4185 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] - node _T_4186 = eq(_T_4185, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] - node _T_4187 = and(_T_4184, _T_4186) @[el2_lsu_bus_buffer.scala 527:71] - node _T_4188 = or(io.dec_tlu_force_halt, _T_4187) @[el2_lsu_bus_buffer.scala 527:55] - node _T_4189 = bits(_T_4188, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] - node _T_4190 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] - node _T_4191 = and(buf_dual[3], _T_4190) @[el2_lsu_bus_buffer.scala 528:28] - node _T_4192 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 528:57] - node _T_4193 = eq(_T_4192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] - node _T_4194 = and(_T_4191, _T_4193) @[el2_lsu_bus_buffer.scala 528:45] - node _T_4195 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] - node _T_4196 = and(_T_4194, _T_4195) @[el2_lsu_bus_buffer.scala 528:61] - node _T_4197 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 529:27] - node _T_4198 = or(_T_4197, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] - node _T_4199 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] - node _T_4200 = and(buf_dual[3], _T_4199) @[el2_lsu_bus_buffer.scala 529:68] - node _T_4201 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4202 = eq(_T_4201, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] - node _T_4203 = and(_T_4200, _T_4202) @[el2_lsu_bus_buffer.scala 529:85] + node _T_4184 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 525:67] + node _T_4185 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] + node _T_4186 = eq(_T_4185, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] + node _T_4187 = and(_T_4184, _T_4186) @[el2_lsu_bus_buffer.scala 525:71] + node _T_4188 = or(io.dec_tlu_force_halt, _T_4187) @[el2_lsu_bus_buffer.scala 525:55] + node _T_4189 = bits(_T_4188, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] + node _T_4190 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] + node _T_4191 = and(buf_dual[3], _T_4190) @[el2_lsu_bus_buffer.scala 526:28] + node _T_4192 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 526:57] + node _T_4193 = eq(_T_4192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] + node _T_4194 = and(_T_4191, _T_4193) @[el2_lsu_bus_buffer.scala 526:45] + node _T_4195 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] + node _T_4196 = and(_T_4194, _T_4195) @[el2_lsu_bus_buffer.scala 526:61] + node _T_4197 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 527:27] + node _T_4198 = or(_T_4197, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] + node _T_4199 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] + node _T_4200 = and(buf_dual[3], _T_4199) @[el2_lsu_bus_buffer.scala 527:68] + node _T_4201 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 527:97] + node _T_4202 = eq(_T_4201, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] + node _T_4203 = and(_T_4200, _T_4202) @[el2_lsu_bus_buffer.scala 527:85] node _T_4204 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] node _T_4205 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] node _T_4206 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] @@ -5425,172 +5425,172 @@ circuit el2_lsu_bus_buffer : node _T_4218 = or(_T_4217, _T_4215) @[Mux.scala 27:72] wire _T_4219 : UInt<1> @[Mux.scala 27:72] _T_4219 <= _T_4218 @[Mux.scala 27:72] - node _T_4220 = and(_T_4203, _T_4219) @[el2_lsu_bus_buffer.scala 529:101] - node _T_4221 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] - node _T_4222 = and(_T_4220, _T_4221) @[el2_lsu_bus_buffer.scala 529:138] - node _T_4223 = and(_T_4222, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] - node _T_4224 = or(_T_4198, _T_4223) @[el2_lsu_bus_buffer.scala 529:53] - node _T_4225 = mux(_T_4224, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] - node _T_4226 = mux(_T_4196, UInt<3>("h04"), _T_4225) @[el2_lsu_bus_buffer.scala 528:14] - node _T_4227 = mux(_T_4189, UInt<3>("h00"), _T_4226) @[el2_lsu_bus_buffer.scala 527:31] - buf_nxtstate[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 527:25] - node _T_4228 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 530:73] - node _T_4229 = and(bus_rsp_write, _T_4228) @[el2_lsu_bus_buffer.scala 530:52] - node _T_4230 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 531:46] - node _T_4231 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 532:23] - node _T_4232 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 532:47] - node _T_4233 = and(_T_4231, _T_4232) @[el2_lsu_bus_buffer.scala 532:27] - node _T_4234 = or(_T_4230, _T_4233) @[el2_lsu_bus_buffer.scala 531:77] - node _T_4235 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 533:26] - node _T_4236 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 533:54] - node _T_4237 = not(_T_4236) @[el2_lsu_bus_buffer.scala 533:44] - node _T_4238 = and(_T_4235, _T_4237) @[el2_lsu_bus_buffer.scala 533:42] - node _T_4239 = and(_T_4238, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_4240 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:94] - node _T_4241 = and(_T_4239, _T_4240) @[el2_lsu_bus_buffer.scala 533:74] - node _T_4242 = or(_T_4234, _T_4241) @[el2_lsu_bus_buffer.scala 532:71] - node _T_4243 = and(bus_rsp_read, _T_4242) @[el2_lsu_bus_buffer.scala 531:25] - node _T_4244 = or(_T_4229, _T_4243) @[el2_lsu_bus_buffer.scala 530:105] - buf_resp_state_bus_en[3] <= _T_4244 @[el2_lsu_bus_buffer.scala 530:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 534:29] - node _T_4245 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_4246 = or(_T_4245, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[3] <= _T_4246 @[el2_lsu_bus_buffer.scala 535:25] - node _T_4247 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] - node _T_4248 = and(_T_4247, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] - buf_data_en[3] <= _T_4248 @[el2_lsu_bus_buffer.scala 536:24] - node _T_4249 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] - node _T_4250 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 537:111] - node _T_4251 = and(bus_rsp_read_error, _T_4250) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4252 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 538:42] - node _T_4253 = and(bus_rsp_read_error, _T_4252) @[el2_lsu_bus_buffer.scala 538:31] - node _T_4254 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 538:66] - node _T_4255 = and(_T_4253, _T_4254) @[el2_lsu_bus_buffer.scala 538:46] - node _T_4256 = or(_T_4251, _T_4255) @[el2_lsu_bus_buffer.scala 537:143] - node _T_4257 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] - node _T_4258 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4259 = and(_T_4257, _T_4258) @[el2_lsu_bus_buffer.scala 539:53] - node _T_4260 = or(_T_4256, _T_4259) @[el2_lsu_bus_buffer.scala 538:88] - node _T_4261 = and(_T_4249, _T_4260) @[el2_lsu_bus_buffer.scala 537:68] - buf_error_en[3] <= _T_4261 @[el2_lsu_bus_buffer.scala 537:25] - node _T_4262 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] - node _T_4263 = and(buf_state_en[3], _T_4262) @[el2_lsu_bus_buffer.scala 540:48] - node _T_4264 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] - node _T_4265 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4266 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] - node _T_4267 = mux(_T_4264, _T_4265, _T_4266) @[el2_lsu_bus_buffer.scala 540:72] - node _T_4268 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] - node _T_4269 = mux(_T_4263, _T_4267, _T_4268) @[el2_lsu_bus_buffer.scala 540:30] - buf_data_in[3] <= _T_4269 @[el2_lsu_bus_buffer.scala 540:24] + node _T_4220 = and(_T_4203, _T_4219) @[el2_lsu_bus_buffer.scala 527:101] + node _T_4221 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] + node _T_4222 = and(_T_4220, _T_4221) @[el2_lsu_bus_buffer.scala 527:138] + node _T_4223 = and(_T_4222, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] + node _T_4224 = or(_T_4198, _T_4223) @[el2_lsu_bus_buffer.scala 527:53] + node _T_4225 = mux(_T_4224, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] + node _T_4226 = mux(_T_4196, UInt<3>("h04"), _T_4225) @[el2_lsu_bus_buffer.scala 526:14] + node _T_4227 = mux(_T_4189, UInt<3>("h00"), _T_4226) @[el2_lsu_bus_buffer.scala 525:31] + buf_nxtstate[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4228 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 528:73] + node _T_4229 = and(bus_rsp_write, _T_4228) @[el2_lsu_bus_buffer.scala 528:52] + node _T_4230 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:46] + node _T_4231 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 530:23] + node _T_4232 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 530:47] + node _T_4233 = and(_T_4231, _T_4232) @[el2_lsu_bus_buffer.scala 530:27] + node _T_4234 = or(_T_4230, _T_4233) @[el2_lsu_bus_buffer.scala 529:77] + node _T_4235 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 531:26] + node _T_4236 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 531:54] + node _T_4237 = not(_T_4236) @[el2_lsu_bus_buffer.scala 531:44] + node _T_4238 = and(_T_4235, _T_4237) @[el2_lsu_bus_buffer.scala 531:42] + node _T_4239 = and(_T_4238, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 531:58] + node _T_4240 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 531:94] + node _T_4241 = and(_T_4239, _T_4240) @[el2_lsu_bus_buffer.scala 531:74] + node _T_4242 = or(_T_4234, _T_4241) @[el2_lsu_bus_buffer.scala 530:71] + node _T_4243 = and(bus_rsp_read, _T_4242) @[el2_lsu_bus_buffer.scala 529:25] + node _T_4244 = or(_T_4229, _T_4243) @[el2_lsu_bus_buffer.scala 528:105] + buf_resp_state_bus_en[3] <= _T_4244 @[el2_lsu_bus_buffer.scala 528:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 532:29] + node _T_4245 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] + node _T_4246 = or(_T_4245, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] + buf_state_en[3] <= _T_4246 @[el2_lsu_bus_buffer.scala 533:25] + node _T_4247 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] + node _T_4248 = and(_T_4247, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] + buf_data_en[3] <= _T_4248 @[el2_lsu_bus_buffer.scala 534:24] + node _T_4249 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] + node _T_4250 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 535:111] + node _T_4251 = and(bus_rsp_read_error, _T_4250) @[el2_lsu_bus_buffer.scala 535:91] + node _T_4252 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 536:42] + node _T_4253 = and(bus_rsp_read_error, _T_4252) @[el2_lsu_bus_buffer.scala 536:31] + node _T_4254 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_4255 = and(_T_4253, _T_4254) @[el2_lsu_bus_buffer.scala 536:46] + node _T_4256 = or(_T_4251, _T_4255) @[el2_lsu_bus_buffer.scala 535:143] + node _T_4257 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] + node _T_4258 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 537:74] + node _T_4259 = and(_T_4257, _T_4258) @[el2_lsu_bus_buffer.scala 537:53] + node _T_4260 = or(_T_4256, _T_4259) @[el2_lsu_bus_buffer.scala 536:88] + node _T_4261 = and(_T_4249, _T_4260) @[el2_lsu_bus_buffer.scala 535:68] + buf_error_en[3] <= _T_4261 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4262 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] + node _T_4263 = and(buf_state_en[3], _T_4262) @[el2_lsu_bus_buffer.scala 538:48] + node _T_4264 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] + node _T_4265 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] + node _T_4266 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] + node _T_4267 = mux(_T_4264, _T_4265, _T_4266) @[el2_lsu_bus_buffer.scala 538:72] + node _T_4268 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] + node _T_4269 = mux(_T_4263, _T_4267, _T_4268) @[el2_lsu_bus_buffer.scala 538:30] + buf_data_in[3] <= _T_4269 @[el2_lsu_bus_buffer.scala 538:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4270 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4270 : @[Conditional.scala 39:67] - node _T_4271 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] - node _T_4272 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 543:86] - node _T_4273 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 543:101] - node _T_4274 = bits(_T_4273, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] - node _T_4275 = or(_T_4272, _T_4274) @[el2_lsu_bus_buffer.scala 543:90] - node _T_4276 = or(_T_4275, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] - node _T_4277 = mux(_T_4276, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] - node _T_4278 = mux(_T_4271, UInt<3>("h00"), _T_4277) @[el2_lsu_bus_buffer.scala 543:31] - buf_nxtstate[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 543:25] - node _T_4279 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 544:66] - node _T_4280 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 545:21] - node _T_4281 = bits(_T_4280, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] - node _T_4282 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 545:58] - node _T_4283 = and(_T_4281, _T_4282) @[el2_lsu_bus_buffer.scala 545:38] - node _T_4284 = or(_T_4279, _T_4283) @[el2_lsu_bus_buffer.scala 544:95] - node _T_4285 = and(bus_rsp_read, _T_4284) @[el2_lsu_bus_buffer.scala 544:45] - buf_state_bus_en[3] <= _T_4285 @[el2_lsu_bus_buffer.scala 544:29] - node _T_4286 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] - node _T_4287 = or(_T_4286, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] - buf_state_en[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 546:25] + node _T_4271 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_4272 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4273 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 541:101] + node _T_4274 = bits(_T_4273, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] + node _T_4275 = or(_T_4272, _T_4274) @[el2_lsu_bus_buffer.scala 541:90] + node _T_4276 = or(_T_4275, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] + node _T_4277 = mux(_T_4276, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] + node _T_4278 = mux(_T_4271, UInt<3>("h00"), _T_4277) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 541:25] + node _T_4279 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 542:66] + node _T_4280 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 543:21] + node _T_4281 = bits(_T_4280, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] + node _T_4282 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 543:58] + node _T_4283 = and(_T_4281, _T_4282) @[el2_lsu_bus_buffer.scala 543:38] + node _T_4284 = or(_T_4279, _T_4283) @[el2_lsu_bus_buffer.scala 542:95] + node _T_4285 = and(bus_rsp_read, _T_4284) @[el2_lsu_bus_buffer.scala 542:45] + buf_state_bus_en[3] <= _T_4285 @[el2_lsu_bus_buffer.scala 542:29] + node _T_4286 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] + node _T_4287 = or(_T_4286, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] + buf_state_en[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 544:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4288 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4288 : @[Conditional.scala 39:67] - node _T_4289 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] - node _T_4290 = mux(_T_4289, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] - buf_nxtstate[3] <= _T_4290 @[el2_lsu_bus_buffer.scala 549:25] - node _T_4291 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 550:37] - node _T_4292 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] - node _T_4293 = and(buf_dual[3], _T_4292) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4294 = or(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 550:65] - node _T_4295 = or(_T_4294, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] - buf_state_en[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 550:25] + node _T_4289 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4290 = mux(_T_4289, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] + buf_nxtstate[3] <= _T_4290 @[el2_lsu_bus_buffer.scala 547:25] + node _T_4291 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 548:37] + node _T_4292 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] + node _T_4293 = and(buf_dual[3], _T_4292) @[el2_lsu_bus_buffer.scala 548:80] + node _T_4294 = or(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 548:65] + node _T_4295 = or(_T_4294, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] + buf_state_en[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4296 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4296 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 557:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 555:25] skip @[Conditional.scala 39:67] - node _T_4297 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4297 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] reg _T_4298 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4297 : @[Reg.scala 28:19] _T_4298 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4298 @[el2_lsu_bus_buffer.scala 560:18] - reg _T_4299 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] - _T_4299 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 561:60] - buf_ageQ[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 561:17] - reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] - _T_4300 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 562:63] - buf_rspageQ[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 562:20] - node _T_4301 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + buf_state[3] <= _T_4298 @[el2_lsu_bus_buffer.scala 558:18] + reg _T_4299 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] + _T_4299 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 559:60] + buf_ageQ[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 559:17] + reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] + _T_4300 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 560:63] + buf_rspageQ[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 560:20] + node _T_4301 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] reg _T_4302 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4301 : @[Reg.scala 28:19] _T_4302 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4302 @[el2_lsu_bus_buffer.scala 563:20] - node _T_4303 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:74] - node _T_4304 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] + buf_dualtag[3] <= _T_4302 @[el2_lsu_bus_buffer.scala 561:20] + node _T_4303 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:74] + node _T_4304 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4304 : @[Reg.scala 28:19] _T_4305 <= _T_4303 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 564:17] - node _T_4306 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] + buf_dual[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 562:17] + node _T_4306 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] reg _T_4308 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4307 : @[Reg.scala 28:19] _T_4308 <= _T_4306 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 565:19] - node _T_4309 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 566:80] - node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] + buf_samedw[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 563:19] + node _T_4309 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:80] + node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4310 : @[Reg.scala 28:19] _T_4311 <= _T_4309 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 566:20] - node _T_4312 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 567:78] - node _T_4313 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + buf_nomerge[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 564:20] + node _T_4312 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:78] + node _T_4313 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4313 : @[Reg.scala 28:19] _T_4314 <= _T_4312 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 567:19] - node _T_4315 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + buf_dualhi[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 565:19] + node _T_4315 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] reg _T_4316 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4315 : @[Reg.scala 28:19] _T_4316 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4317 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + node _T_4317 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] reg _T_4318 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4317 : @[Reg.scala 28:19] _T_4318 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4319 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + node _T_4319 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4319 : @[Reg.scala 28:19] _T_4320 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4321 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + node _T_4321 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4321 : @[Reg.scala 28:19] _T_4322 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5598,51 +5598,51 @@ circuit el2_lsu_bus_buffer : node _T_4323 = cat(_T_4322, _T_4320) @[Cat.scala 29:58] node _T_4324 = cat(_T_4323, _T_4318) @[Cat.scala 29:58] node _T_4325 = cat(_T_4324, _T_4316) @[Cat.scala 29:58] - buf_ldfwd <= _T_4325 @[el2_lsu_bus_buffer.scala 570:15] - node _T_4326 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + buf_ldfwd <= _T_4325 @[el2_lsu_bus_buffer.scala 568:15] + node _T_4326 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] reg _T_4327 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4326 : @[Reg.scala 28:19] _T_4327 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4328 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + node _T_4328 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] reg _T_4329 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4328 : @[Reg.scala 28:19] _T_4329 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4330 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + node _T_4330 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] reg _T_4331 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4330 : @[Reg.scala 28:19] _T_4331 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4332 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + node _T_4332 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] reg _T_4333 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4332 : @[Reg.scala 28:19] _T_4333 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4327 @[el2_lsu_bus_buffer.scala 571:18] - buf_ldfwdtag[1] <= _T_4329 @[el2_lsu_bus_buffer.scala 571:18] - buf_ldfwdtag[2] <= _T_4331 @[el2_lsu_bus_buffer.scala 571:18] - buf_ldfwdtag[3] <= _T_4333 @[el2_lsu_bus_buffer.scala 571:18] - node _T_4334 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 572:107] - node _T_4335 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] + buf_ldfwdtag[0] <= _T_4327 @[el2_lsu_bus_buffer.scala 569:18] + buf_ldfwdtag[1] <= _T_4329 @[el2_lsu_bus_buffer.scala 569:18] + buf_ldfwdtag[2] <= _T_4331 @[el2_lsu_bus_buffer.scala 569:18] + buf_ldfwdtag[3] <= _T_4333 @[el2_lsu_bus_buffer.scala 569:18] + node _T_4334 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 570:107] + node _T_4335 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4335 : @[Reg.scala 28:19] _T_4336 <= _T_4334 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4337 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 572:107] - node _T_4338 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] + node _T_4337 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 570:107] + node _T_4338 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4338 : @[Reg.scala 28:19] _T_4339 <= _T_4337 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4340 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 572:107] - node _T_4341 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] + node _T_4340 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 570:107] + node _T_4341 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4341 : @[Reg.scala 28:19] _T_4342 <= _T_4340 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4343 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 572:107] - node _T_4344 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] + node _T_4343 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 570:107] + node _T_4344 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4344 : @[Reg.scala 28:19] _T_4345 <= _T_4343 @[Reg.scala 28:23] @@ -5650,27 +5650,27 @@ circuit el2_lsu_bus_buffer : node _T_4346 = cat(_T_4345, _T_4342) @[Cat.scala 29:58] node _T_4347 = cat(_T_4346, _T_4339) @[Cat.scala 29:58] node _T_4348 = cat(_T_4347, _T_4336) @[Cat.scala 29:58] - buf_sideeffect <= _T_4348 @[el2_lsu_bus_buffer.scala 572:20] - node _T_4349 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 573:99] - node _T_4350 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] + buf_sideeffect <= _T_4348 @[el2_lsu_bus_buffer.scala 570:20] + node _T_4349 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 571:99] + node _T_4350 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4350 : @[Reg.scala 28:19] _T_4351 <= _T_4349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4352 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 573:99] - node _T_4353 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] + node _T_4352 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 571:99] + node _T_4353 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4353 : @[Reg.scala 28:19] _T_4354 <= _T_4352 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4355 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 573:99] - node _T_4356 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] + node _T_4355 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 571:99] + node _T_4356 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4356 : @[Reg.scala 28:19] _T_4357 <= _T_4355 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4358 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 573:99] - node _T_4359 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] + node _T_4358 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 571:99] + node _T_4359 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= _T_4358 @[Reg.scala 28:23] @@ -5678,27 +5678,27 @@ circuit el2_lsu_bus_buffer : node _T_4361 = cat(_T_4360, _T_4357) @[Cat.scala 29:58] node _T_4362 = cat(_T_4361, _T_4354) @[Cat.scala 29:58] node _T_4363 = cat(_T_4362, _T_4351) @[Cat.scala 29:58] - buf_unsign <= _T_4363 @[el2_lsu_bus_buffer.scala 573:16] - node _T_4364 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 574:97] - node _T_4365 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] + buf_unsign <= _T_4363 @[el2_lsu_bus_buffer.scala 571:16] + node _T_4364 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 572:97] + node _T_4365 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] reg _T_4366 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= _T_4364 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4367 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 574:97] - node _T_4368 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] + node _T_4367 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 572:97] + node _T_4368 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] reg _T_4369 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4368 : @[Reg.scala 28:19] _T_4369 <= _T_4367 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4370 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 574:97] - node _T_4371 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] + node _T_4370 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 572:97] + node _T_4371 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] reg _T_4372 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4371 : @[Reg.scala 28:19] _T_4372 <= _T_4370 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4373 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 574:97] - node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] + node _T_4373 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 572:97] + node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] reg _T_4375 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4374 : @[Reg.scala 28:19] _T_4375 <= _T_4373 @[Reg.scala 28:23] @@ -5706,32 +5706,32 @@ circuit el2_lsu_bus_buffer : node _T_4376 = cat(_T_4375, _T_4372) @[Cat.scala 29:58] node _T_4377 = cat(_T_4376, _T_4369) @[Cat.scala 29:58] node _T_4378 = cat(_T_4377, _T_4366) @[Cat.scala 29:58] - buf_write <= _T_4378 @[el2_lsu_bus_buffer.scala 574:15] - node _T_4379 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + buf_write <= _T_4378 @[el2_lsu_bus_buffer.scala 572:15] + node _T_4379 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] reg _T_4380 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4379 : @[Reg.scala 28:19] _T_4380 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4381 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + node _T_4381 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] reg _T_4382 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4381 : @[Reg.scala 28:19] _T_4382 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4383 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + node _T_4383 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] reg _T_4384 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4383 : @[Reg.scala 28:19] _T_4384 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4385 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + node _T_4385 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] reg _T_4386 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4385 : @[Reg.scala 28:19] _T_4386 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4380 @[el2_lsu_bus_buffer.scala 575:12] - buf_sz[1] <= _T_4382 @[el2_lsu_bus_buffer.scala 575:12] - buf_sz[2] <= _T_4384 @[el2_lsu_bus_buffer.scala 575:12] - buf_sz[3] <= _T_4386 @[el2_lsu_bus_buffer.scala 575:12] - node _T_4387 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] + buf_sz[0] <= _T_4380 @[el2_lsu_bus_buffer.scala 573:12] + buf_sz[1] <= _T_4382 @[el2_lsu_bus_buffer.scala 573:12] + buf_sz[2] <= _T_4384 @[el2_lsu_bus_buffer.scala 573:12] + buf_sz[3] <= _T_4386 @[el2_lsu_bus_buffer.scala 573:12] + node _T_4387 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5740,7 +5740,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4388 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4388 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4389 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] + node _T_4389 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5749,7 +5749,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4390 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4390 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4391 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] + node _T_4391 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5758,7 +5758,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4392 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4392 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4393 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] + node _T_4393 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5767,34 +5767,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4394 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4394 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4388 @[el2_lsu_bus_buffer.scala 576:14] - buf_addr[1] <= _T_4390 @[el2_lsu_bus_buffer.scala 576:14] - buf_addr[2] <= _T_4392 @[el2_lsu_bus_buffer.scala 576:14] - buf_addr[3] <= _T_4394 @[el2_lsu_bus_buffer.scala 576:14] - node _T_4395 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + buf_addr[0] <= _T_4388 @[el2_lsu_bus_buffer.scala 574:14] + buf_addr[1] <= _T_4390 @[el2_lsu_bus_buffer.scala 574:14] + buf_addr[2] <= _T_4392 @[el2_lsu_bus_buffer.scala 574:14] + buf_addr[3] <= _T_4394 @[el2_lsu_bus_buffer.scala 574:14] + node _T_4395 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] reg _T_4396 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4395 : @[Reg.scala 28:19] _T_4396 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4397 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + node _T_4397 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] reg _T_4398 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4397 : @[Reg.scala 28:19] _T_4398 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4399 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + node _T_4399 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] reg _T_4400 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4399 : @[Reg.scala 28:19] _T_4400 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4401 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + node _T_4401 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] reg _T_4402 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4401 : @[Reg.scala 28:19] _T_4402 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4396 @[el2_lsu_bus_buffer.scala 577:16] - buf_byteen[1] <= _T_4398 @[el2_lsu_bus_buffer.scala 577:16] - buf_byteen[2] <= _T_4400 @[el2_lsu_bus_buffer.scala 577:16] - buf_byteen[3] <= _T_4402 @[el2_lsu_bus_buffer.scala 577:16] + buf_byteen[0] <= _T_4396 @[el2_lsu_bus_buffer.scala 575:16] + buf_byteen[1] <= _T_4398 @[el2_lsu_bus_buffer.scala 575:16] + buf_byteen[2] <= _T_4400 @[el2_lsu_bus_buffer.scala 575:16] + buf_byteen[3] <= _T_4402 @[el2_lsu_bus_buffer.scala 575:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5827,171 +5827,171 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4406 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4406 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4403 @[el2_lsu_bus_buffer.scala 578:14] - buf_data[1] <= _T_4404 @[el2_lsu_bus_buffer.scala 578:14] - buf_data[2] <= _T_4405 @[el2_lsu_bus_buffer.scala 578:14] - buf_data[3] <= _T_4406 @[el2_lsu_bus_buffer.scala 578:14] - node _T_4407 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 579:121] - node _T_4408 = mux(buf_error_en[0], UInt<1>("h01"), _T_4407) @[el2_lsu_bus_buffer.scala 579:86] - node _T_4409 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] - node _T_4410 = and(_T_4408, _T_4409) @[el2_lsu_bus_buffer.scala 579:126] - reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] - _T_4411 <= _T_4410 @[el2_lsu_bus_buffer.scala 579:82] - node _T_4412 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 579:121] - node _T_4413 = mux(buf_error_en[1], UInt<1>("h01"), _T_4412) @[el2_lsu_bus_buffer.scala 579:86] - node _T_4414 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] - node _T_4415 = and(_T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 579:126] - reg _T_4416 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] - _T_4416 <= _T_4415 @[el2_lsu_bus_buffer.scala 579:82] - node _T_4417 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 579:121] - node _T_4418 = mux(buf_error_en[2], UInt<1>("h01"), _T_4417) @[el2_lsu_bus_buffer.scala 579:86] - node _T_4419 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] - node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 579:126] - reg _T_4421 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] - _T_4421 <= _T_4420 @[el2_lsu_bus_buffer.scala 579:82] - node _T_4422 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 579:121] - node _T_4423 = mux(buf_error_en[3], UInt<1>("h01"), _T_4422) @[el2_lsu_bus_buffer.scala 579:86] - node _T_4424 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] - node _T_4425 = and(_T_4423, _T_4424) @[el2_lsu_bus_buffer.scala 579:126] - reg _T_4426 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] - _T_4426 <= _T_4425 @[el2_lsu_bus_buffer.scala 579:82] + buf_data[0] <= _T_4403 @[el2_lsu_bus_buffer.scala 576:14] + buf_data[1] <= _T_4404 @[el2_lsu_bus_buffer.scala 576:14] + buf_data[2] <= _T_4405 @[el2_lsu_bus_buffer.scala 576:14] + buf_data[3] <= _T_4406 @[el2_lsu_bus_buffer.scala 576:14] + node _T_4407 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 577:121] + node _T_4408 = mux(buf_error_en[0], UInt<1>("h01"), _T_4407) @[el2_lsu_bus_buffer.scala 577:86] + node _T_4409 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] + node _T_4410 = and(_T_4408, _T_4409) @[el2_lsu_bus_buffer.scala 577:126] + reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] + _T_4411 <= _T_4410 @[el2_lsu_bus_buffer.scala 577:82] + node _T_4412 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 577:121] + node _T_4413 = mux(buf_error_en[1], UInt<1>("h01"), _T_4412) @[el2_lsu_bus_buffer.scala 577:86] + node _T_4414 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] + node _T_4415 = and(_T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 577:126] + reg _T_4416 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] + _T_4416 <= _T_4415 @[el2_lsu_bus_buffer.scala 577:82] + node _T_4417 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 577:121] + node _T_4418 = mux(buf_error_en[2], UInt<1>("h01"), _T_4417) @[el2_lsu_bus_buffer.scala 577:86] + node _T_4419 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] + node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 577:126] + reg _T_4421 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] + _T_4421 <= _T_4420 @[el2_lsu_bus_buffer.scala 577:82] + node _T_4422 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 577:121] + node _T_4423 = mux(buf_error_en[3], UInt<1>("h01"), _T_4422) @[el2_lsu_bus_buffer.scala 577:86] + node _T_4424 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] + node _T_4425 = and(_T_4423, _T_4424) @[el2_lsu_bus_buffer.scala 577:126] + reg _T_4426 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] + _T_4426 <= _T_4425 @[el2_lsu_bus_buffer.scala 577:82] node _T_4427 = cat(_T_4426, _T_4421) @[Cat.scala 29:58] node _T_4428 = cat(_T_4427, _T_4416) @[Cat.scala 29:58] node _T_4429 = cat(_T_4428, _T_4411) @[Cat.scala 29:58] - buf_error <= _T_4429 @[el2_lsu_bus_buffer.scala 579:15] + buf_error <= _T_4429 @[el2_lsu_bus_buffer.scala 577:15] node _T_4430 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] node _T_4431 = cat(_T_4430, buf_data_en[1]) @[Cat.scala 29:58] node _T_4432 = cat(_T_4431, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4432 @[el2_lsu_bus_buffer.scala 580:14] - node _T_4433 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4434 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4435 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4436 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4437 = add(_T_4436, _T_4435) @[el2_lsu_bus_buffer.scala 582:96] - node _T_4438 = add(_T_4437, _T_4434) @[el2_lsu_bus_buffer.scala 582:96] - node buf_numvld_any = add(_T_4438, _T_4433) @[el2_lsu_bus_buffer.scala 582:96] - node _T_4439 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 583:60] - node _T_4440 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] - node _T_4441 = and(_T_4439, _T_4440) @[el2_lsu_bus_buffer.scala 583:64] - node _T_4442 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] - node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 583:89] - node _T_4444 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 583:60] - node _T_4445 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] - node _T_4446 = and(_T_4444, _T_4445) @[el2_lsu_bus_buffer.scala 583:64] - node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] - node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 583:89] - node _T_4449 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 583:60] - node _T_4450 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] - node _T_4451 = and(_T_4449, _T_4450) @[el2_lsu_bus_buffer.scala 583:64] - node _T_4452 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] - node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 583:89] - node _T_4454 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 583:60] - node _T_4455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] - node _T_4456 = and(_T_4454, _T_4455) @[el2_lsu_bus_buffer.scala 583:64] - node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] - node _T_4458 = and(_T_4456, _T_4457) @[el2_lsu_bus_buffer.scala 583:89] - node _T_4459 = add(_T_4458, _T_4453) @[el2_lsu_bus_buffer.scala 583:142] - node _T_4460 = add(_T_4459, _T_4448) @[el2_lsu_bus_buffer.scala 583:142] - node _T_4461 = add(_T_4460, _T_4443) @[el2_lsu_bus_buffer.scala 583:142] - buf_numvld_wrcmd_any <= _T_4461 @[el2_lsu_bus_buffer.scala 583:24] - node _T_4462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4463 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] - node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4465 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4466 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] - node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4468 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4469 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] - node _T_4470 = and(_T_4468, _T_4469) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4471 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4472 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] - node _T_4473 = and(_T_4471, _T_4472) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4474 = add(_T_4473, _T_4470) @[el2_lsu_bus_buffer.scala 584:126] - node _T_4475 = add(_T_4474, _T_4467) @[el2_lsu_bus_buffer.scala 584:126] - node _T_4476 = add(_T_4475, _T_4464) @[el2_lsu_bus_buffer.scala 584:126] - buf_numvld_cmd_any <= _T_4476 @[el2_lsu_bus_buffer.scala 584:22] - node _T_4477 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4478 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] - node _T_4479 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] - node _T_4480 = and(_T_4478, _T_4479) @[el2_lsu_bus_buffer.scala 585:100] - node _T_4481 = or(_T_4477, _T_4480) @[el2_lsu_bus_buffer.scala 585:74] - node _T_4482 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4483 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] - node _T_4484 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] - node _T_4485 = and(_T_4483, _T_4484) @[el2_lsu_bus_buffer.scala 585:100] - node _T_4486 = or(_T_4482, _T_4485) @[el2_lsu_bus_buffer.scala 585:74] - node _T_4487 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4488 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] - node _T_4489 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] - node _T_4490 = and(_T_4488, _T_4489) @[el2_lsu_bus_buffer.scala 585:100] - node _T_4491 = or(_T_4487, _T_4490) @[el2_lsu_bus_buffer.scala 585:74] - node _T_4492 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] - node _T_4494 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] - node _T_4495 = and(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 585:100] - node _T_4496 = or(_T_4492, _T_4495) @[el2_lsu_bus_buffer.scala 585:74] - node _T_4497 = add(_T_4496, _T_4491) @[el2_lsu_bus_buffer.scala 585:154] - node _T_4498 = add(_T_4497, _T_4486) @[el2_lsu_bus_buffer.scala 585:154] - node _T_4499 = add(_T_4498, _T_4481) @[el2_lsu_bus_buffer.scala 585:154] - buf_numvld_pend_any <= _T_4499 @[el2_lsu_bus_buffer.scala 585:23] - node _T_4500 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] - node _T_4501 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] - node _T_4502 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] - node _T_4503 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] - node _T_4504 = or(_T_4503, _T_4502) @[el2_lsu_bus_buffer.scala 586:93] - node _T_4505 = or(_T_4504, _T_4501) @[el2_lsu_bus_buffer.scala 586:93] - node _T_4506 = or(_T_4505, _T_4500) @[el2_lsu_bus_buffer.scala 586:93] - any_done_wait_state <= _T_4506 @[el2_lsu_bus_buffer.scala 586:23] - node _T_4507 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 587:53] - io.lsu_bus_buffer_pend_any <= _T_4507 @[el2_lsu_bus_buffer.scala 587:30] - node _T_4508 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 588:52] - node _T_4509 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 588:92] - node _T_4510 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 588:119] - node _T_4511 = mux(_T_4508, _T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 588:36] - io.lsu_bus_buffer_full_any <= _T_4511 @[el2_lsu_bus_buffer.scala 588:30] - node _T_4512 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 589:52] - node _T_4513 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 589:52] - node _T_4514 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 589:52] - node _T_4515 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 589:52] - node _T_4516 = or(_T_4512, _T_4513) @[el2_lsu_bus_buffer.scala 589:65] - node _T_4517 = or(_T_4516, _T_4514) @[el2_lsu_bus_buffer.scala 589:65] - node _T_4518 = or(_T_4517, _T_4515) @[el2_lsu_bus_buffer.scala 589:65] - node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:34] - node _T_4520 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:72] - node _T_4521 = and(_T_4519, _T_4520) @[el2_lsu_bus_buffer.scala 589:70] - node _T_4522 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:86] - node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 589:84] - io.lsu_bus_buffer_empty_any <= _T_4523 @[el2_lsu_bus_buffer.scala 589:31] - node _T_4524 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 591:51] - node _T_4525 = and(_T_4524, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 591:72] - node _T_4526 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:94] - node _T_4527 = and(_T_4525, _T_4526) @[el2_lsu_bus_buffer.scala 591:92] - node _T_4528 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:111] - node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 591:109] - io.lsu_nonblock_load_valid_m <= _T_4529 @[el2_lsu_bus_buffer.scala 591:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 592:30] + io.data_en <= _T_4432 @[el2_lsu_bus_buffer.scala 578:14] + node _T_4433 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:60] + node _T_4434 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:60] + node _T_4435 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:60] + node _T_4436 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:60] + node _T_4437 = add(_T_4436, _T_4435) @[el2_lsu_bus_buffer.scala 580:96] + node _T_4438 = add(_T_4437, _T_4434) @[el2_lsu_bus_buffer.scala 580:96] + node buf_numvld_any = add(_T_4438, _T_4433) @[el2_lsu_bus_buffer.scala 580:96] + node _T_4439 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 581:60] + node _T_4440 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] + node _T_4441 = and(_T_4439, _T_4440) @[el2_lsu_bus_buffer.scala 581:64] + node _T_4442 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] + node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 581:89] + node _T_4444 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 581:60] + node _T_4445 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] + node _T_4446 = and(_T_4444, _T_4445) @[el2_lsu_bus_buffer.scala 581:64] + node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] + node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 581:89] + node _T_4449 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 581:60] + node _T_4450 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] + node _T_4451 = and(_T_4449, _T_4450) @[el2_lsu_bus_buffer.scala 581:64] + node _T_4452 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] + node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 581:89] + node _T_4454 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 581:60] + node _T_4455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] + node _T_4456 = and(_T_4454, _T_4455) @[el2_lsu_bus_buffer.scala 581:64] + node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] + node _T_4458 = and(_T_4456, _T_4457) @[el2_lsu_bus_buffer.scala 581:89] + node _T_4459 = add(_T_4458, _T_4453) @[el2_lsu_bus_buffer.scala 581:142] + node _T_4460 = add(_T_4459, _T_4448) @[el2_lsu_bus_buffer.scala 581:142] + node _T_4461 = add(_T_4460, _T_4443) @[el2_lsu_bus_buffer.scala 581:142] + buf_numvld_wrcmd_any <= _T_4461 @[el2_lsu_bus_buffer.scala 581:24] + node _T_4462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] + node _T_4463 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] + node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 582:73] + node _T_4465 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] + node _T_4466 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] + node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 582:73] + node _T_4468 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] + node _T_4469 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] + node _T_4470 = and(_T_4468, _T_4469) @[el2_lsu_bus_buffer.scala 582:73] + node _T_4471 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] + node _T_4472 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] + node _T_4473 = and(_T_4471, _T_4472) @[el2_lsu_bus_buffer.scala 582:73] + node _T_4474 = add(_T_4473, _T_4470) @[el2_lsu_bus_buffer.scala 582:126] + node _T_4475 = add(_T_4474, _T_4467) @[el2_lsu_bus_buffer.scala 582:126] + node _T_4476 = add(_T_4475, _T_4464) @[el2_lsu_bus_buffer.scala 582:126] + buf_numvld_cmd_any <= _T_4476 @[el2_lsu_bus_buffer.scala 582:22] + node _T_4477 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4478 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] + node _T_4479 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] + node _T_4480 = and(_T_4478, _T_4479) @[el2_lsu_bus_buffer.scala 583:100] + node _T_4481 = or(_T_4477, _T_4480) @[el2_lsu_bus_buffer.scala 583:74] + node _T_4482 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4483 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] + node _T_4484 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] + node _T_4485 = and(_T_4483, _T_4484) @[el2_lsu_bus_buffer.scala 583:100] + node _T_4486 = or(_T_4482, _T_4485) @[el2_lsu_bus_buffer.scala 583:74] + node _T_4487 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4488 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] + node _T_4489 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] + node _T_4490 = and(_T_4488, _T_4489) @[el2_lsu_bus_buffer.scala 583:100] + node _T_4491 = or(_T_4487, _T_4490) @[el2_lsu_bus_buffer.scala 583:74] + node _T_4492 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] + node _T_4494 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] + node _T_4495 = and(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 583:100] + node _T_4496 = or(_T_4492, _T_4495) @[el2_lsu_bus_buffer.scala 583:74] + node _T_4497 = add(_T_4496, _T_4491) @[el2_lsu_bus_buffer.scala 583:154] + node _T_4498 = add(_T_4497, _T_4486) @[el2_lsu_bus_buffer.scala 583:154] + node _T_4499 = add(_T_4498, _T_4481) @[el2_lsu_bus_buffer.scala 583:154] + buf_numvld_pend_any <= _T_4499 @[el2_lsu_bus_buffer.scala 583:23] + node _T_4500 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] + node _T_4501 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] + node _T_4502 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] + node _T_4503 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] + node _T_4504 = or(_T_4503, _T_4502) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4505 = or(_T_4504, _T_4501) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4506 = or(_T_4505, _T_4500) @[el2_lsu_bus_buffer.scala 584:93] + any_done_wait_state <= _T_4506 @[el2_lsu_bus_buffer.scala 584:23] + node _T_4507 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 585:53] + io.lsu_bus_buffer_pend_any <= _T_4507 @[el2_lsu_bus_buffer.scala 585:30] + node _T_4508 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 586:52] + node _T_4509 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4510 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 586:119] + node _T_4511 = mux(_T_4508, _T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 586:36] + io.lsu_bus_buffer_full_any <= _T_4511 @[el2_lsu_bus_buffer.scala 586:30] + node _T_4512 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 587:52] + node _T_4513 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 587:52] + node _T_4514 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 587:52] + node _T_4515 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 587:52] + node _T_4516 = or(_T_4512, _T_4513) @[el2_lsu_bus_buffer.scala 587:65] + node _T_4517 = or(_T_4516, _T_4514) @[el2_lsu_bus_buffer.scala 587:65] + node _T_4518 = or(_T_4517, _T_4515) @[el2_lsu_bus_buffer.scala 587:65] + node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:34] + node _T_4520 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:72] + node _T_4521 = and(_T_4519, _T_4520) @[el2_lsu_bus_buffer.scala 587:70] + node _T_4522 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:86] + node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 587:84] + io.lsu_bus_buffer_empty_any <= _T_4523 @[el2_lsu_bus_buffer.scala 587:31] + node _T_4524 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 589:51] + node _T_4525 = and(_T_4524, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 589:72] + node _T_4526 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:94] + node _T_4527 = and(_T_4525, _T_4526) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4528 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:111] + node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 589:109] + io.lsu_nonblock_load_valid_m <= _T_4529 @[el2_lsu_bus_buffer.scala 589:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 590:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4530 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:61] - node _T_4531 = and(lsu_nonblock_load_valid_r, _T_4530) @[el2_lsu_bus_buffer.scala 594:59] - io.lsu_nonblock_load_inv_r <= _T_4531 @[el2_lsu_bus_buffer.scala 594:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 595:34] - node _T_4532 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4533 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 596:127] - node _T_4534 = and(UInt<1>("h01"), _T_4533) @[el2_lsu_bus_buffer.scala 596:116] - node _T_4535 = eq(_T_4534, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] - node _T_4536 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4537 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 596:127] - node _T_4538 = and(UInt<1>("h01"), _T_4537) @[el2_lsu_bus_buffer.scala 596:116] - node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] - node _T_4540 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4541 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 596:127] - node _T_4542 = and(UInt<1>("h01"), _T_4541) @[el2_lsu_bus_buffer.scala 596:116] - node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] - node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 596:127] - node _T_4546 = and(UInt<1>("h01"), _T_4545) @[el2_lsu_bus_buffer.scala 596:116] - node _T_4547 = eq(_T_4546, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] + node _T_4530 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:61] + node _T_4531 = and(lsu_nonblock_load_valid_r, _T_4530) @[el2_lsu_bus_buffer.scala 592:59] + io.lsu_nonblock_load_inv_r <= _T_4531 @[el2_lsu_bus_buffer.scala 592:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 593:34] + node _T_4532 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] + node _T_4533 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 594:127] + node _T_4534 = and(UInt<1>("h01"), _T_4533) @[el2_lsu_bus_buffer.scala 594:116] + node _T_4535 = eq(_T_4534, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] + node _T_4536 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] + node _T_4537 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 594:127] + node _T_4538 = and(UInt<1>("h01"), _T_4537) @[el2_lsu_bus_buffer.scala 594:116] + node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] + node _T_4540 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] + node _T_4541 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 594:127] + node _T_4542 = and(UInt<1>("h01"), _T_4541) @[el2_lsu_bus_buffer.scala 594:116] + node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] + node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] + node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 594:127] + node _T_4546 = and(UInt<1>("h01"), _T_4545) @[el2_lsu_bus_buffer.scala 594:116] + node _T_4547 = eq(_T_4546, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] node _T_4548 = mux(_T_4532, _T_4535, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4549 = mux(_T_4536, _T_4539, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4550 = mux(_T_4540, _T_4543, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6001,26 +6001,26 @@ circuit el2_lsu_bus_buffer : node _T_4554 = or(_T_4553, _T_4551) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4554 @[Mux.scala 27:72] - node _T_4555 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4556 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 597:104] - node _T_4557 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 597:120] - node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] - node _T_4559 = and(_T_4556, _T_4558) @[el2_lsu_bus_buffer.scala 597:108] - node _T_4560 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4561 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 597:104] - node _T_4562 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 597:120] - node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] - node _T_4564 = and(_T_4561, _T_4563) @[el2_lsu_bus_buffer.scala 597:108] - node _T_4565 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4566 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 597:104] - node _T_4567 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 597:120] - node _T_4568 = eq(_T_4567, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] - node _T_4569 = and(_T_4566, _T_4568) @[el2_lsu_bus_buffer.scala 597:108] - node _T_4570 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4571 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 597:104] - node _T_4572 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 597:120] - node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] - node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4555 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4556 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 595:104] + node _T_4557 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 595:120] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] + node _T_4559 = and(_T_4556, _T_4558) @[el2_lsu_bus_buffer.scala 595:108] + node _T_4560 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4561 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 595:104] + node _T_4562 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 595:120] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] + node _T_4564 = and(_T_4561, _T_4563) @[el2_lsu_bus_buffer.scala 595:108] + node _T_4565 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4566 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 595:104] + node _T_4567 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 595:120] + node _T_4568 = eq(_T_4567, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] + node _T_4569 = and(_T_4566, _T_4568) @[el2_lsu_bus_buffer.scala 595:108] + node _T_4570 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4571 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 595:104] + node _T_4572 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 595:120] + node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] + node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 595:108] node _T_4575 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4576 = mux(_T_4560, _T_4564, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4577 = mux(_T_4565, _T_4569, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6030,39 +6030,39 @@ circuit el2_lsu_bus_buffer : node _T_4581 = or(_T_4580, _T_4578) @[Mux.scala 27:72] wire _T_4582 : UInt<1> @[Mux.scala 27:72] _T_4582 <= _T_4581 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4582 @[el2_lsu_bus_buffer.scala 597:35] - node _T_4583 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] - node _T_4584 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 598:102] - node _T_4585 = eq(_T_4584, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] - node _T_4586 = and(_T_4583, _T_4585) @[el2_lsu_bus_buffer.scala 598:90] - node _T_4587 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] - node _T_4588 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] - node _T_4589 = or(_T_4587, _T_4588) @[el2_lsu_bus_buffer.scala 598:122] - node _T_4590 = and(_T_4586, _T_4589) @[el2_lsu_bus_buffer.scala 598:106] - node _T_4591 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] - node _T_4592 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 598:102] - node _T_4593 = eq(_T_4592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] - node _T_4594 = and(_T_4591, _T_4593) @[el2_lsu_bus_buffer.scala 598:90] - node _T_4595 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] - node _T_4596 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] - node _T_4597 = or(_T_4595, _T_4596) @[el2_lsu_bus_buffer.scala 598:122] - node _T_4598 = and(_T_4594, _T_4597) @[el2_lsu_bus_buffer.scala 598:106] - node _T_4599 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] - node _T_4600 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 598:102] - node _T_4601 = eq(_T_4600, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] - node _T_4602 = and(_T_4599, _T_4601) @[el2_lsu_bus_buffer.scala 598:90] - node _T_4603 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] - node _T_4604 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] - node _T_4605 = or(_T_4603, _T_4604) @[el2_lsu_bus_buffer.scala 598:122] - node _T_4606 = and(_T_4602, _T_4605) @[el2_lsu_bus_buffer.scala 598:106] - node _T_4607 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] - node _T_4608 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 598:102] - node _T_4609 = eq(_T_4608, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] - node _T_4610 = and(_T_4607, _T_4609) @[el2_lsu_bus_buffer.scala 598:90] - node _T_4611 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] - node _T_4612 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] - node _T_4613 = or(_T_4611, _T_4612) @[el2_lsu_bus_buffer.scala 598:122] - node _T_4614 = and(_T_4610, _T_4613) @[el2_lsu_bus_buffer.scala 598:106] + io.lsu_nonblock_load_data_error <= _T_4582 @[el2_lsu_bus_buffer.scala 595:35] + node _T_4583 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] + node _T_4584 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 596:102] + node _T_4585 = eq(_T_4584, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] + node _T_4586 = and(_T_4583, _T_4585) @[el2_lsu_bus_buffer.scala 596:90] + node _T_4587 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] + node _T_4588 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] + node _T_4589 = or(_T_4587, _T_4588) @[el2_lsu_bus_buffer.scala 596:122] + node _T_4590 = and(_T_4586, _T_4589) @[el2_lsu_bus_buffer.scala 596:106] + node _T_4591 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] + node _T_4592 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 596:102] + node _T_4593 = eq(_T_4592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] + node _T_4594 = and(_T_4591, _T_4593) @[el2_lsu_bus_buffer.scala 596:90] + node _T_4595 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] + node _T_4596 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] + node _T_4597 = or(_T_4595, _T_4596) @[el2_lsu_bus_buffer.scala 596:122] + node _T_4598 = and(_T_4594, _T_4597) @[el2_lsu_bus_buffer.scala 596:106] + node _T_4599 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] + node _T_4600 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 596:102] + node _T_4601 = eq(_T_4600, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] + node _T_4602 = and(_T_4599, _T_4601) @[el2_lsu_bus_buffer.scala 596:90] + node _T_4603 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] + node _T_4604 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] + node _T_4605 = or(_T_4603, _T_4604) @[el2_lsu_bus_buffer.scala 596:122] + node _T_4606 = and(_T_4602, _T_4605) @[el2_lsu_bus_buffer.scala 596:106] + node _T_4607 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] + node _T_4608 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 596:102] + node _T_4609 = eq(_T_4608, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] + node _T_4610 = and(_T_4607, _T_4609) @[el2_lsu_bus_buffer.scala 596:90] + node _T_4611 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] + node _T_4612 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] + node _T_4613 = or(_T_4611, _T_4612) @[el2_lsu_bus_buffer.scala 596:122] + node _T_4614 = and(_T_4610, _T_4613) @[el2_lsu_bus_buffer.scala 596:106] node _T_4615 = mux(_T_4590, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4616 = mux(_T_4598, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4617 = mux(_T_4606, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -6072,39 +6072,39 @@ circuit el2_lsu_bus_buffer : node _T_4621 = or(_T_4620, _T_4618) @[Mux.scala 27:72] wire _T_4622 : UInt<2> @[Mux.scala 27:72] _T_4622 <= _T_4621 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4622 @[el2_lsu_bus_buffer.scala 598:33] - node _T_4623 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4624 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4625 = eq(_T_4624, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4626 = and(_T_4623, _T_4625) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4627 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] - node _T_4628 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] - node _T_4629 = or(_T_4627, _T_4628) @[el2_lsu_bus_buffer.scala 599:121] - node _T_4630 = and(_T_4626, _T_4629) @[el2_lsu_bus_buffer.scala 599:105] - node _T_4631 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4632 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4633 = eq(_T_4632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4634 = and(_T_4631, _T_4633) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4635 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] - node _T_4636 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] - node _T_4637 = or(_T_4635, _T_4636) @[el2_lsu_bus_buffer.scala 599:121] - node _T_4638 = and(_T_4634, _T_4637) @[el2_lsu_bus_buffer.scala 599:105] - node _T_4639 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4640 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4641 = eq(_T_4640, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4642 = and(_T_4639, _T_4641) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4643 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] - node _T_4644 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] - node _T_4645 = or(_T_4643, _T_4644) @[el2_lsu_bus_buffer.scala 599:121] - node _T_4646 = and(_T_4642, _T_4645) @[el2_lsu_bus_buffer.scala 599:105] - node _T_4647 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4648 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4649 = eq(_T_4648, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4650 = and(_T_4647, _T_4649) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4651 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] - node _T_4652 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] - node _T_4653 = or(_T_4651, _T_4652) @[el2_lsu_bus_buffer.scala 599:121] - node _T_4654 = and(_T_4650, _T_4653) @[el2_lsu_bus_buffer.scala 599:105] + io.lsu_nonblock_load_data_tag <= _T_4622 @[el2_lsu_bus_buffer.scala 596:33] + node _T_4623 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] + node _T_4624 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 597:101] + node _T_4625 = eq(_T_4624, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] + node _T_4626 = and(_T_4623, _T_4625) @[el2_lsu_bus_buffer.scala 597:89] + node _T_4627 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4628 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] + node _T_4629 = or(_T_4627, _T_4628) @[el2_lsu_bus_buffer.scala 597:121] + node _T_4630 = and(_T_4626, _T_4629) @[el2_lsu_bus_buffer.scala 597:105] + node _T_4631 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] + node _T_4632 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 597:101] + node _T_4633 = eq(_T_4632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] + node _T_4634 = and(_T_4631, _T_4633) @[el2_lsu_bus_buffer.scala 597:89] + node _T_4635 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4636 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] + node _T_4637 = or(_T_4635, _T_4636) @[el2_lsu_bus_buffer.scala 597:121] + node _T_4638 = and(_T_4634, _T_4637) @[el2_lsu_bus_buffer.scala 597:105] + node _T_4639 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] + node _T_4640 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 597:101] + node _T_4641 = eq(_T_4640, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] + node _T_4642 = and(_T_4639, _T_4641) @[el2_lsu_bus_buffer.scala 597:89] + node _T_4643 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4644 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] + node _T_4645 = or(_T_4643, _T_4644) @[el2_lsu_bus_buffer.scala 597:121] + node _T_4646 = and(_T_4642, _T_4645) @[el2_lsu_bus_buffer.scala 597:105] + node _T_4647 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] + node _T_4648 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 597:101] + node _T_4649 = eq(_T_4648, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] + node _T_4650 = and(_T_4647, _T_4649) @[el2_lsu_bus_buffer.scala 597:89] + node _T_4651 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4652 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] + node _T_4653 = or(_T_4651, _T_4652) @[el2_lsu_bus_buffer.scala 597:121] + node _T_4654 = and(_T_4650, _T_4653) @[el2_lsu_bus_buffer.scala 597:105] node _T_4655 = mux(_T_4630, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4656 = mux(_T_4638, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4657 = mux(_T_4646, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6114,30 +6114,30 @@ circuit el2_lsu_bus_buffer : node _T_4661 = or(_T_4660, _T_4658) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4661 @[Mux.scala 27:72] - node _T_4662 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4663 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4664 = eq(_T_4663, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4665 = and(_T_4662, _T_4664) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4666 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 600:120] - node _T_4667 = and(_T_4665, _T_4666) @[el2_lsu_bus_buffer.scala 600:105] - node _T_4668 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4669 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4670 = eq(_T_4669, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4671 = and(_T_4668, _T_4670) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4672 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 600:120] - node _T_4673 = and(_T_4671, _T_4672) @[el2_lsu_bus_buffer.scala 600:105] - node _T_4674 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4675 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4676 = eq(_T_4675, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4677 = and(_T_4674, _T_4676) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4678 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 600:120] - node _T_4679 = and(_T_4677, _T_4678) @[el2_lsu_bus_buffer.scala 600:105] - node _T_4680 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4681 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4682 = eq(_T_4681, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4683 = and(_T_4680, _T_4682) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4684 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 600:120] - node _T_4685 = and(_T_4683, _T_4684) @[el2_lsu_bus_buffer.scala 600:105] + node _T_4662 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4663 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4664 = eq(_T_4663, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4665 = and(_T_4662, _T_4664) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4666 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 598:120] + node _T_4667 = and(_T_4665, _T_4666) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4668 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4669 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4670 = eq(_T_4669, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4671 = and(_T_4668, _T_4670) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4672 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 598:120] + node _T_4673 = and(_T_4671, _T_4672) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4674 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4675 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4676 = eq(_T_4675, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4677 = and(_T_4674, _T_4676) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4678 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 598:120] + node _T_4679 = and(_T_4677, _T_4678) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4680 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4681 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4682 = eq(_T_4681, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4683 = and(_T_4680, _T_4682) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4684 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 598:120] + node _T_4685 = and(_T_4683, _T_4684) @[el2_lsu_bus_buffer.scala 598:105] node _T_4686 = mux(_T_4667, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4687 = mux(_T_4673, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4688 = mux(_T_4679, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6160,7 +6160,7 @@ circuit el2_lsu_bus_buffer : node _T_4703 = or(_T_4702, _T_4700) @[Mux.scala 27:72] wire _T_4704 : UInt<32> @[Mux.scala 27:72] _T_4704 <= _T_4703 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4704, 1, 0) @[el2_lsu_bus_buffer.scala 601:83] + node lsu_nonblock_addr_offset = bits(_T_4704, 1, 0) @[el2_lsu_bus_buffer.scala 599:83] node _T_4705 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] node _T_4706 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] node _T_4707 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] @@ -6212,38 +6212,38 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4748 @[Mux.scala 27:72] node _T_4749 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4750 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 605:121] - node lsu_nonblock_data_unalgn = dshr(_T_4749, _T_4750) @[el2_lsu_bus_buffer.scala 605:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 606:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 607:14] - node _T_4751 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:69] - node _T_4752 = and(lsu_nonblock_load_data_ready, _T_4751) @[el2_lsu_bus_buffer.scala 608:67] - io.lsu_nonblock_load_data_valid <= _T_4752 @[el2_lsu_bus_buffer.scala 608:35] - node _T_4753 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 609:81] - node _T_4754 = and(lsu_nonblock_unsign, _T_4753) @[el2_lsu_bus_buffer.scala 609:63] - node _T_4755 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 609:131] + node _T_4750 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 603:121] + node lsu_nonblock_data_unalgn = dshr(_T_4749, _T_4750) @[el2_lsu_bus_buffer.scala 603:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 604:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 605:14] + node _T_4751 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:69] + node _T_4752 = and(lsu_nonblock_load_data_ready, _T_4751) @[el2_lsu_bus_buffer.scala 606:67] + io.lsu_nonblock_load_data_valid <= _T_4752 @[el2_lsu_bus_buffer.scala 606:35] + node _T_4753 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:81] + node _T_4754 = and(lsu_nonblock_unsign, _T_4753) @[el2_lsu_bus_buffer.scala 607:63] + node _T_4755 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 607:131] node _T_4756 = cat(UInt<24>("h00"), _T_4755) @[Cat.scala 29:58] - node _T_4757 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 610:45] - node _T_4758 = and(lsu_nonblock_unsign, _T_4757) @[el2_lsu_bus_buffer.scala 610:26] - node _T_4759 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 610:95] + node _T_4757 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 608:45] + node _T_4758 = and(lsu_nonblock_unsign, _T_4757) @[el2_lsu_bus_buffer.scala 608:26] + node _T_4759 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 608:95] node _T_4760 = cat(UInt<16>("h00"), _T_4759) @[Cat.scala 29:58] - node _T_4761 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:6] - node _T_4762 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:45] - node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 611:27] - node _T_4764 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 611:93] + node _T_4761 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 609:6] + node _T_4762 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 609:45] + node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 609:27] + node _T_4764 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 609:93] node _T_4765 = bits(_T_4764, 0, 0) @[Bitwise.scala 72:15] node _T_4766 = mux(_T_4765, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4767 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 611:123] + node _T_4767 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 609:123] node _T_4768 = cat(_T_4766, _T_4767) @[Cat.scala 29:58] - node _T_4769 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 612:6] - node _T_4770 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 612:45] - node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 612:27] - node _T_4772 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 612:93] + node _T_4769 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 610:6] + node _T_4770 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 610:45] + node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 610:27] + node _T_4772 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 610:93] node _T_4773 = bits(_T_4772, 0, 0) @[Bitwise.scala 72:15] node _T_4774 = mux(_T_4773, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4775 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 612:124] + node _T_4775 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 610:124] node _T_4776 = cat(_T_4774, _T_4775) @[Cat.scala 29:58] - node _T_4777 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 613:21] + node _T_4777 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 611:21] node _T_4778 = mux(_T_4754, _T_4756, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4779 = mux(_T_4758, _T_4760, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4780 = mux(_T_4763, _T_4768, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6255,75 +6255,75 @@ circuit el2_lsu_bus_buffer : node _T_4786 = or(_T_4785, _T_4782) @[Mux.scala 27:72] wire _T_4787 : UInt<64> @[Mux.scala 27:72] _T_4787 <= _T_4786 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4787 @[el2_lsu_bus_buffer.scala 609:29] - node _T_4788 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] - node _T_4789 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 614:89] - node _T_4790 = and(_T_4788, _T_4789) @[el2_lsu_bus_buffer.scala 614:73] - node _T_4791 = and(_T_4790, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] - node _T_4792 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] - node _T_4793 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 614:89] - node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 614:73] - node _T_4795 = and(_T_4794, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] - node _T_4796 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] - node _T_4797 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 614:89] - node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 614:73] - node _T_4799 = and(_T_4798, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] - node _T_4800 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] - node _T_4801 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 614:89] - node _T_4802 = and(_T_4800, _T_4801) @[el2_lsu_bus_buffer.scala 614:73] - node _T_4803 = and(_T_4802, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] - node _T_4804 = or(_T_4791, _T_4795) @[el2_lsu_bus_buffer.scala 614:141] - node _T_4805 = or(_T_4804, _T_4799) @[el2_lsu_bus_buffer.scala 614:141] - node _T_4806 = or(_T_4805, _T_4803) @[el2_lsu_bus_buffer.scala 614:141] - bus_sideeffect_pend <= _T_4806 @[el2_lsu_bus_buffer.scala 614:23] - node _T_4807 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] - node _T_4808 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] - node _T_4809 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] - node _T_4810 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] - node _T_4811 = eq(_T_4809, _T_4810) @[el2_lsu_bus_buffer.scala 616:56] - node _T_4812 = and(_T_4808, _T_4811) @[el2_lsu_bus_buffer.scala 616:38] - node _T_4813 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:92] - node _T_4814 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:126] - node _T_4815 = and(obuf_merge, _T_4814) @[el2_lsu_bus_buffer.scala 616:114] - node _T_4816 = or(_T_4813, _T_4815) @[el2_lsu_bus_buffer.scala 616:100] - node _T_4817 = eq(_T_4816, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] - node _T_4818 = and(_T_4812, _T_4817) @[el2_lsu_bus_buffer.scala 616:78] - node _T_4819 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] - node _T_4820 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] - node _T_4821 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] - node _T_4822 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] - node _T_4823 = eq(_T_4821, _T_4822) @[el2_lsu_bus_buffer.scala 616:56] - node _T_4824 = and(_T_4820, _T_4823) @[el2_lsu_bus_buffer.scala 616:38] - node _T_4825 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 616:92] - node _T_4826 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 616:126] - node _T_4827 = and(obuf_merge, _T_4826) @[el2_lsu_bus_buffer.scala 616:114] - node _T_4828 = or(_T_4825, _T_4827) @[el2_lsu_bus_buffer.scala 616:100] - node _T_4829 = eq(_T_4828, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] - node _T_4830 = and(_T_4824, _T_4829) @[el2_lsu_bus_buffer.scala 616:78] - node _T_4831 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] - node _T_4832 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] - node _T_4833 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] - node _T_4834 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] - node _T_4835 = eq(_T_4833, _T_4834) @[el2_lsu_bus_buffer.scala 616:56] - node _T_4836 = and(_T_4832, _T_4835) @[el2_lsu_bus_buffer.scala 616:38] - node _T_4837 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 616:92] - node _T_4838 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 616:126] - node _T_4839 = and(obuf_merge, _T_4838) @[el2_lsu_bus_buffer.scala 616:114] - node _T_4840 = or(_T_4837, _T_4839) @[el2_lsu_bus_buffer.scala 616:100] - node _T_4841 = eq(_T_4840, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] - node _T_4842 = and(_T_4836, _T_4841) @[el2_lsu_bus_buffer.scala 616:78] - node _T_4843 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] - node _T_4844 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] - node _T_4845 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] - node _T_4846 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] - node _T_4847 = eq(_T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 616:56] - node _T_4848 = and(_T_4844, _T_4847) @[el2_lsu_bus_buffer.scala 616:38] - node _T_4849 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 616:92] - node _T_4850 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 616:126] - node _T_4851 = and(obuf_merge, _T_4850) @[el2_lsu_bus_buffer.scala 616:114] - node _T_4852 = or(_T_4849, _T_4851) @[el2_lsu_bus_buffer.scala 616:100] - node _T_4853 = eq(_T_4852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] - node _T_4854 = and(_T_4848, _T_4853) @[el2_lsu_bus_buffer.scala 616:78] + io.lsu_nonblock_load_data <= _T_4787 @[el2_lsu_bus_buffer.scala 607:29] + node _T_4788 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] + node _T_4789 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 612:89] + node _T_4790 = and(_T_4788, _T_4789) @[el2_lsu_bus_buffer.scala 612:73] + node _T_4791 = and(_T_4790, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] + node _T_4792 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] + node _T_4793 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 612:89] + node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 612:73] + node _T_4795 = and(_T_4794, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] + node _T_4796 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] + node _T_4797 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 612:89] + node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 612:73] + node _T_4799 = and(_T_4798, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] + node _T_4800 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] + node _T_4801 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 612:89] + node _T_4802 = and(_T_4800, _T_4801) @[el2_lsu_bus_buffer.scala 612:73] + node _T_4803 = and(_T_4802, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] + node _T_4804 = or(_T_4791, _T_4795) @[el2_lsu_bus_buffer.scala 612:141] + node _T_4805 = or(_T_4804, _T_4799) @[el2_lsu_bus_buffer.scala 612:141] + node _T_4806 = or(_T_4805, _T_4803) @[el2_lsu_bus_buffer.scala 612:141] + bus_sideeffect_pend <= _T_4806 @[el2_lsu_bus_buffer.scala 612:23] + node _T_4807 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] + node _T_4808 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] + node _T_4809 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] + node _T_4810 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] + node _T_4811 = eq(_T_4809, _T_4810) @[el2_lsu_bus_buffer.scala 614:56] + node _T_4812 = and(_T_4808, _T_4811) @[el2_lsu_bus_buffer.scala 614:38] + node _T_4813 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:92] + node _T_4814 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:126] + node _T_4815 = and(obuf_merge, _T_4814) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4816 = or(_T_4813, _T_4815) @[el2_lsu_bus_buffer.scala 614:100] + node _T_4817 = eq(_T_4816, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] + node _T_4818 = and(_T_4812, _T_4817) @[el2_lsu_bus_buffer.scala 614:78] + node _T_4819 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] + node _T_4820 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] + node _T_4821 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] + node _T_4822 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] + node _T_4823 = eq(_T_4821, _T_4822) @[el2_lsu_bus_buffer.scala 614:56] + node _T_4824 = and(_T_4820, _T_4823) @[el2_lsu_bus_buffer.scala 614:38] + node _T_4825 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 614:92] + node _T_4826 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 614:126] + node _T_4827 = and(obuf_merge, _T_4826) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4828 = or(_T_4825, _T_4827) @[el2_lsu_bus_buffer.scala 614:100] + node _T_4829 = eq(_T_4828, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] + node _T_4830 = and(_T_4824, _T_4829) @[el2_lsu_bus_buffer.scala 614:78] + node _T_4831 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] + node _T_4832 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] + node _T_4833 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] + node _T_4834 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] + node _T_4835 = eq(_T_4833, _T_4834) @[el2_lsu_bus_buffer.scala 614:56] + node _T_4836 = and(_T_4832, _T_4835) @[el2_lsu_bus_buffer.scala 614:38] + node _T_4837 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 614:92] + node _T_4838 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 614:126] + node _T_4839 = and(obuf_merge, _T_4838) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4840 = or(_T_4837, _T_4839) @[el2_lsu_bus_buffer.scala 614:100] + node _T_4841 = eq(_T_4840, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] + node _T_4842 = and(_T_4836, _T_4841) @[el2_lsu_bus_buffer.scala 614:78] + node _T_4843 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] + node _T_4844 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] + node _T_4845 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] + node _T_4846 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] + node _T_4847 = eq(_T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 614:56] + node _T_4848 = and(_T_4844, _T_4847) @[el2_lsu_bus_buffer.scala 614:38] + node _T_4849 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 614:92] + node _T_4850 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 614:126] + node _T_4851 = and(obuf_merge, _T_4850) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4852 = or(_T_4849, _T_4851) @[el2_lsu_bus_buffer.scala 614:100] + node _T_4853 = eq(_T_4852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] + node _T_4854 = and(_T_4848, _T_4853) @[el2_lsu_bus_buffer.scala 614:78] node _T_4855 = mux(_T_4807, _T_4818, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4856 = mux(_T_4819, _T_4830, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4857 = mux(_T_4831, _T_4842, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6333,117 +6333,117 @@ circuit el2_lsu_bus_buffer : node _T_4861 = or(_T_4860, _T_4858) @[Mux.scala 27:72] wire _T_4862 : UInt<1> @[Mux.scala 27:72] _T_4862 <= _T_4861 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4862 @[el2_lsu_bus_buffer.scala 615:26] - node _T_4863 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 618:54] - node _T_4864 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 618:75] - node _T_4865 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 618:150] - node _T_4866 = mux(_T_4863, _T_4864, _T_4865) @[el2_lsu_bus_buffer.scala 618:39] - node _T_4867 = mux(obuf_write, _T_4866, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 618:23] - bus_cmd_ready <= _T_4867 @[el2_lsu_bus_buffer.scala 618:17] - node _T_4868 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 619:39] - bus_wcmd_sent <= _T_4868 @[el2_lsu_bus_buffer.scala 619:17] - node _T_4869 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 620:39] - bus_wdata_sent <= _T_4869 @[el2_lsu_bus_buffer.scala 620:18] - node _T_4870 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 621:35] - node _T_4871 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 621:70] - node _T_4872 = and(_T_4870, _T_4871) @[el2_lsu_bus_buffer.scala 621:52] - node _T_4873 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 621:111] - node _T_4874 = or(_T_4872, _T_4873) @[el2_lsu_bus_buffer.scala 621:89] - bus_cmd_sent <= _T_4874 @[el2_lsu_bus_buffer.scala 621:16] - node _T_4875 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 622:37] - bus_rsp_read <= _T_4875 @[el2_lsu_bus_buffer.scala 622:16] - node _T_4876 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 623:38] - bus_rsp_write <= _T_4876 @[el2_lsu_bus_buffer.scala 623:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 624:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 625:21] - node _T_4877 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 626:60] - node _T_4878 = and(bus_rsp_write, _T_4877) @[el2_lsu_bus_buffer.scala 626:40] - bus_rsp_write_error <= _T_4878 @[el2_lsu_bus_buffer.scala 626:23] - node _T_4879 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:58] - node _T_4880 = and(bus_rsp_read, _T_4879) @[el2_lsu_bus_buffer.scala 627:38] - bus_rsp_read_error <= _T_4880 @[el2_lsu_bus_buffer.scala 627:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 628:17] - node _T_4881 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 631:36] - node _T_4882 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:51] - node _T_4883 = and(_T_4881, _T_4882) @[el2_lsu_bus_buffer.scala 631:49] - node _T_4884 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:68] - node _T_4885 = and(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 631:66] - io.lsu_axi_awvalid <= _T_4885 @[el2_lsu_bus_buffer.scala 631:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 632:19] - node _T_4886 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 633:69] + bus_addr_match_pending <= _T_4862 @[el2_lsu_bus_buffer.scala 613:26] + node _T_4863 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 616:54] + node _T_4864 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 616:75] + node _T_4865 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 616:150] + node _T_4866 = mux(_T_4863, _T_4864, _T_4865) @[el2_lsu_bus_buffer.scala 616:39] + node _T_4867 = mux(obuf_write, _T_4866, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 616:23] + bus_cmd_ready <= _T_4867 @[el2_lsu_bus_buffer.scala 616:17] + node _T_4868 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 617:39] + bus_wcmd_sent <= _T_4868 @[el2_lsu_bus_buffer.scala 617:17] + node _T_4869 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 618:39] + bus_wdata_sent <= _T_4869 @[el2_lsu_bus_buffer.scala 618:18] + node _T_4870 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 619:35] + node _T_4871 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 619:70] + node _T_4872 = and(_T_4870, _T_4871) @[el2_lsu_bus_buffer.scala 619:52] + node _T_4873 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 619:111] + node _T_4874 = or(_T_4872, _T_4873) @[el2_lsu_bus_buffer.scala 619:89] + bus_cmd_sent <= _T_4874 @[el2_lsu_bus_buffer.scala 619:16] + node _T_4875 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 620:37] + bus_rsp_read <= _T_4875 @[el2_lsu_bus_buffer.scala 620:16] + node _T_4876 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 621:38] + bus_rsp_write <= _T_4876 @[el2_lsu_bus_buffer.scala 621:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 622:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 623:21] + node _T_4877 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 624:60] + node _T_4878 = and(bus_rsp_write, _T_4877) @[el2_lsu_bus_buffer.scala 624:40] + bus_rsp_write_error <= _T_4878 @[el2_lsu_bus_buffer.scala 624:23] + node _T_4879 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 625:58] + node _T_4880 = and(bus_rsp_read, _T_4879) @[el2_lsu_bus_buffer.scala 625:38] + bus_rsp_read_error <= _T_4880 @[el2_lsu_bus_buffer.scala 625:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 626:17] + node _T_4881 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 629:36] + node _T_4882 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 629:51] + node _T_4883 = and(_T_4881, _T_4882) @[el2_lsu_bus_buffer.scala 629:49] + node _T_4884 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 629:68] + node _T_4885 = and(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 629:66] + io.lsu_axi_awvalid <= _T_4885 @[el2_lsu_bus_buffer.scala 629:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 630:19] + node _T_4886 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 631:69] node _T_4887 = cat(_T_4886, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4888 = mux(obuf_sideeffect, obuf_addr, _T_4887) @[el2_lsu_bus_buffer.scala 633:27] - io.lsu_axi_awaddr <= _T_4888 @[el2_lsu_bus_buffer.scala 633:21] + node _T_4888 = mux(obuf_sideeffect, obuf_addr, _T_4887) @[el2_lsu_bus_buffer.scala 631:27] + io.lsu_axi_awaddr <= _T_4888 @[el2_lsu_bus_buffer.scala 631:21] node _T_4889 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4890 = mux(obuf_sideeffect, _T_4889, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 634:27] - io.lsu_axi_awsize <= _T_4890 @[el2_lsu_bus_buffer.scala 634:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 635:21] - node _T_4891 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 636:28] - io.lsu_axi_awcache <= _T_4891 @[el2_lsu_bus_buffer.scala 636:22] - node _T_4892 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 637:35] - io.lsu_axi_awregion <= _T_4892 @[el2_lsu_bus_buffer.scala 637:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 638:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 639:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 640:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 641:21] - node _T_4893 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 643:35] - node _T_4894 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 643:50] - node _T_4895 = and(_T_4893, _T_4894) @[el2_lsu_bus_buffer.scala 643:48] - node _T_4896 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 643:68] - node _T_4897 = and(_T_4895, _T_4896) @[el2_lsu_bus_buffer.scala 643:66] - io.lsu_axi_wvalid <= _T_4897 @[el2_lsu_bus_buffer.scala 643:21] + node _T_4890 = mux(obuf_sideeffect, _T_4889, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 632:27] + io.lsu_axi_awsize <= _T_4890 @[el2_lsu_bus_buffer.scala 632:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 633:21] + node _T_4891 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 634:28] + io.lsu_axi_awcache <= _T_4891 @[el2_lsu_bus_buffer.scala 634:22] + node _T_4892 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 635:35] + io.lsu_axi_awregion <= _T_4892 @[el2_lsu_bus_buffer.scala 635:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 636:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 637:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 638:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 639:21] + node _T_4893 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 641:35] + node _T_4894 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 641:50] + node _T_4895 = and(_T_4893, _T_4894) @[el2_lsu_bus_buffer.scala 641:48] + node _T_4896 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 641:68] + node _T_4897 = and(_T_4895, _T_4896) @[el2_lsu_bus_buffer.scala 641:66] + io.lsu_axi_wvalid <= _T_4897 @[el2_lsu_bus_buffer.scala 641:21] node _T_4898 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4899 = mux(_T_4898, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4900 = and(obuf_byteen, _T_4899) @[el2_lsu_bus_buffer.scala 644:35] - io.lsu_axi_wstrb <= _T_4900 @[el2_lsu_bus_buffer.scala 644:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 645:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 646:20] - node _T_4901 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:38] - node _T_4902 = and(obuf_valid, _T_4901) @[el2_lsu_bus_buffer.scala 648:36] - node _T_4903 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:52] - node _T_4904 = and(_T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 648:50] - node _T_4905 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:67] - node _T_4906 = and(_T_4904, _T_4905) @[el2_lsu_bus_buffer.scala 648:65] - io.lsu_axi_arvalid <= _T_4906 @[el2_lsu_bus_buffer.scala 648:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 649:19] - node _T_4907 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 650:69] + node _T_4900 = and(obuf_byteen, _T_4899) @[el2_lsu_bus_buffer.scala 642:35] + io.lsu_axi_wstrb <= _T_4900 @[el2_lsu_bus_buffer.scala 642:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 643:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 644:20] + node _T_4901 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 646:38] + node _T_4902 = and(obuf_valid, _T_4901) @[el2_lsu_bus_buffer.scala 646:36] + node _T_4903 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 646:52] + node _T_4904 = and(_T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 646:50] + node _T_4905 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 646:67] + node _T_4906 = and(_T_4904, _T_4905) @[el2_lsu_bus_buffer.scala 646:65] + io.lsu_axi_arvalid <= _T_4906 @[el2_lsu_bus_buffer.scala 646:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 647:19] + node _T_4907 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 648:69] node _T_4908 = cat(_T_4907, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4909 = mux(obuf_sideeffect, obuf_addr, _T_4908) @[el2_lsu_bus_buffer.scala 650:27] - io.lsu_axi_araddr <= _T_4909 @[el2_lsu_bus_buffer.scala 650:21] + node _T_4909 = mux(obuf_sideeffect, obuf_addr, _T_4908) @[el2_lsu_bus_buffer.scala 648:27] + io.lsu_axi_araddr <= _T_4909 @[el2_lsu_bus_buffer.scala 648:21] node _T_4910 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4911 = mux(obuf_sideeffect, _T_4910, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 651:27] - io.lsu_axi_arsize <= _T_4911 @[el2_lsu_bus_buffer.scala 651:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 652:21] - node _T_4912 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 653:28] - io.lsu_axi_arcache <= _T_4912 @[el2_lsu_bus_buffer.scala 653:22] - node _T_4913 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 654:35] - io.lsu_axi_arregion <= _T_4913 @[el2_lsu_bus_buffer.scala 654:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 655:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 656:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 657:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 658:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 659:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 660:21] - node _T_4914 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] - node _T_4915 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 661:125] - node _T_4916 = and(io.lsu_bus_clk_en_q, _T_4915) @[el2_lsu_bus_buffer.scala 661:114] - node _T_4917 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 661:140] - node _T_4918 = and(_T_4916, _T_4917) @[el2_lsu_bus_buffer.scala 661:129] - node _T_4919 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] - node _T_4920 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 661:125] - node _T_4921 = and(io.lsu_bus_clk_en_q, _T_4920) @[el2_lsu_bus_buffer.scala 661:114] - node _T_4922 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 661:140] - node _T_4923 = and(_T_4921, _T_4922) @[el2_lsu_bus_buffer.scala 661:129] - node _T_4924 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] - node _T_4925 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 661:125] - node _T_4926 = and(io.lsu_bus_clk_en_q, _T_4925) @[el2_lsu_bus_buffer.scala 661:114] - node _T_4927 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 661:140] - node _T_4928 = and(_T_4926, _T_4927) @[el2_lsu_bus_buffer.scala 661:129] - node _T_4929 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] - node _T_4930 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 661:125] - node _T_4931 = and(io.lsu_bus_clk_en_q, _T_4930) @[el2_lsu_bus_buffer.scala 661:114] - node _T_4932 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 661:140] - node _T_4933 = and(_T_4931, _T_4932) @[el2_lsu_bus_buffer.scala 661:129] + node _T_4911 = mux(obuf_sideeffect, _T_4910, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 649:27] + io.lsu_axi_arsize <= _T_4911 @[el2_lsu_bus_buffer.scala 649:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 650:21] + node _T_4912 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 651:28] + io.lsu_axi_arcache <= _T_4912 @[el2_lsu_bus_buffer.scala 651:22] + node _T_4913 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 652:35] + io.lsu_axi_arregion <= _T_4913 @[el2_lsu_bus_buffer.scala 652:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 653:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 654:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 655:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 656:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 657:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 658:21] + node _T_4914 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] + node _T_4915 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 659:125] + node _T_4916 = and(io.lsu_bus_clk_en_q, _T_4915) @[el2_lsu_bus_buffer.scala 659:114] + node _T_4917 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 659:140] + node _T_4918 = and(_T_4916, _T_4917) @[el2_lsu_bus_buffer.scala 659:129] + node _T_4919 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] + node _T_4920 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 659:125] + node _T_4921 = and(io.lsu_bus_clk_en_q, _T_4920) @[el2_lsu_bus_buffer.scala 659:114] + node _T_4922 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 659:140] + node _T_4923 = and(_T_4921, _T_4922) @[el2_lsu_bus_buffer.scala 659:129] + node _T_4924 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] + node _T_4925 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 659:125] + node _T_4926 = and(io.lsu_bus_clk_en_q, _T_4925) @[el2_lsu_bus_buffer.scala 659:114] + node _T_4927 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 659:140] + node _T_4928 = and(_T_4926, _T_4927) @[el2_lsu_bus_buffer.scala 659:129] + node _T_4929 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] + node _T_4930 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 659:125] + node _T_4931 = and(io.lsu_bus_clk_en_q, _T_4930) @[el2_lsu_bus_buffer.scala 659:114] + node _T_4932 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 659:140] + node _T_4933 = and(_T_4931, _T_4932) @[el2_lsu_bus_buffer.scala 659:129] node _T_4934 = mux(_T_4914, _T_4918, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4935 = mux(_T_4919, _T_4923, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4936 = mux(_T_4924, _T_4928, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6453,25 +6453,25 @@ circuit el2_lsu_bus_buffer : node _T_4940 = or(_T_4939, _T_4937) @[Mux.scala 27:72] wire _T_4941 : UInt<1> @[Mux.scala 27:72] _T_4941 <= _T_4940 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4941 @[el2_lsu_bus_buffer.scala 661:36] - node _T_4942 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 662:87] - node _T_4943 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 662:109] - node _T_4944 = and(_T_4942, _T_4943) @[el2_lsu_bus_buffer.scala 662:98] - node _T_4945 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 662:124] - node _T_4946 = and(_T_4944, _T_4945) @[el2_lsu_bus_buffer.scala 662:113] - node _T_4947 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 662:87] - node _T_4948 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 662:109] - node _T_4949 = and(_T_4947, _T_4948) @[el2_lsu_bus_buffer.scala 662:98] - node _T_4950 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 662:124] - node _T_4951 = and(_T_4949, _T_4950) @[el2_lsu_bus_buffer.scala 662:113] + io.lsu_imprecise_error_store_any <= _T_4941 @[el2_lsu_bus_buffer.scala 659:36] + node _T_4942 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:87] + node _T_4943 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 660:109] + node _T_4944 = and(_T_4942, _T_4943) @[el2_lsu_bus_buffer.scala 660:98] + node _T_4945 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 660:124] + node _T_4946 = and(_T_4944, _T_4945) @[el2_lsu_bus_buffer.scala 660:113] + node _T_4947 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:87] + node _T_4948 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 660:109] + node _T_4949 = and(_T_4947, _T_4948) @[el2_lsu_bus_buffer.scala 660:98] + node _T_4950 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 660:124] + node _T_4951 = and(_T_4949, _T_4950) @[el2_lsu_bus_buffer.scala 660:113] node _T_4952 = mux(_T_4946, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4953 = mux(_T_4951, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4954 = or(_T_4952, _T_4953) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4954 @[Mux.scala 27:72] - node _T_4955 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:72] - node _T_4956 = and(io.lsu_nonblock_load_data_error, _T_4955) @[el2_lsu_bus_buffer.scala 664:70] - io.lsu_imprecise_error_load_any <= _T_4956 @[el2_lsu_bus_buffer.scala 664:35] + node _T_4955 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 662:72] + node _T_4956 = and(io.lsu_nonblock_load_data_error, _T_4955) @[el2_lsu_bus_buffer.scala 662:70] + io.lsu_imprecise_error_load_any <= _T_4956 @[el2_lsu_bus_buffer.scala 662:35] node _T_4957 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] node _T_4958 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] node _T_4959 = mux(_T_4957, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6492,44 +6492,44 @@ circuit el2_lsu_bus_buffer : node _T_4973 = or(_T_4972, _T_4970) @[Mux.scala 27:72] wire _T_4974 : UInt<32> @[Mux.scala 27:72] _T_4974 <= _T_4973 @[Mux.scala 27:72] - node _T_4975 = mux(io.lsu_imprecise_error_store_any, _T_4962, _T_4974) @[el2_lsu_bus_buffer.scala 665:41] - io.lsu_imprecise_error_addr_any <= _T_4975 @[el2_lsu_bus_buffer.scala 665:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 666:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 668:23] - node _T_4976 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 671:46] - node _T_4977 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 671:89] - node _T_4978 = or(_T_4976, _T_4977) @[el2_lsu_bus_buffer.scala 671:68] - node _T_4979 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 671:132] - node _T_4980 = or(_T_4978, _T_4979) @[el2_lsu_bus_buffer.scala 671:110] - io.lsu_pmu_bus_trxn <= _T_4980 @[el2_lsu_bus_buffer.scala 671:23] - node _T_4981 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 672:48] - node _T_4982 = and(_T_4981, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 672:65] - io.lsu_pmu_bus_misaligned <= _T_4982 @[el2_lsu_bus_buffer.scala 672:29] - node _T_4983 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 673:59] - io.lsu_pmu_bus_error <= _T_4983 @[el2_lsu_bus_buffer.scala 673:24] - node _T_4984 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 675:48] - node _T_4985 = and(io.lsu_axi_awvalid, _T_4984) @[el2_lsu_bus_buffer.scala 675:46] - node _T_4986 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 675:92] - node _T_4987 = and(io.lsu_axi_wvalid, _T_4986) @[el2_lsu_bus_buffer.scala 675:90] - node _T_4988 = or(_T_4985, _T_4987) @[el2_lsu_bus_buffer.scala 675:69] - node _T_4989 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 675:136] - node _T_4990 = and(io.lsu_axi_arvalid, _T_4989) @[el2_lsu_bus_buffer.scala 675:134] - node _T_4991 = or(_T_4988, _T_4990) @[el2_lsu_bus_buffer.scala 675:112] - io.lsu_pmu_bus_busy <= _T_4991 @[el2_lsu_bus_buffer.scala 675:23] - reg _T_4992 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 677:49] - _T_4992 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 677:49] - WrPtr0_r <= _T_4992 @[el2_lsu_bus_buffer.scala 677:12] - reg _T_4993 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 678:49] - _T_4993 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 678:49] - WrPtr1_r <= _T_4993 @[el2_lsu_bus_buffer.scala 678:12] - node _T_4994 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 679:75] - node _T_4995 = and(io.lsu_busreq_m, _T_4994) @[el2_lsu_bus_buffer.scala 679:73] - node _T_4996 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 679:89] - node _T_4997 = and(_T_4995, _T_4996) @[el2_lsu_bus_buffer.scala 679:87] - reg _T_4998 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 679:56] - _T_4998 <= _T_4997 @[el2_lsu_bus_buffer.scala 679:56] - io.lsu_busreq_r <= _T_4998 @[el2_lsu_bus_buffer.scala 679:19] - reg _T_4999 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 680:66] - _T_4999 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 680:66] - lsu_nonblock_load_valid_r <= _T_4999 @[el2_lsu_bus_buffer.scala 680:29] + node _T_4975 = mux(io.lsu_imprecise_error_store_any, _T_4962, _T_4974) @[el2_lsu_bus_buffer.scala 663:41] + io.lsu_imprecise_error_addr_any <= _T_4975 @[el2_lsu_bus_buffer.scala 663:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 664:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 666:23] + node _T_4976 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 669:46] + node _T_4977 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 669:89] + node _T_4978 = or(_T_4976, _T_4977) @[el2_lsu_bus_buffer.scala 669:68] + node _T_4979 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 669:132] + node _T_4980 = or(_T_4978, _T_4979) @[el2_lsu_bus_buffer.scala 669:110] + io.lsu_pmu_bus_trxn <= _T_4980 @[el2_lsu_bus_buffer.scala 669:23] + node _T_4981 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 670:48] + node _T_4982 = and(_T_4981, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 670:65] + io.lsu_pmu_bus_misaligned <= _T_4982 @[el2_lsu_bus_buffer.scala 670:29] + node _T_4983 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 671:59] + io.lsu_pmu_bus_error <= _T_4983 @[el2_lsu_bus_buffer.scala 671:24] + node _T_4984 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 673:48] + node _T_4985 = and(io.lsu_axi_awvalid, _T_4984) @[el2_lsu_bus_buffer.scala 673:46] + node _T_4986 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 673:92] + node _T_4987 = and(io.lsu_axi_wvalid, _T_4986) @[el2_lsu_bus_buffer.scala 673:90] + node _T_4988 = or(_T_4985, _T_4987) @[el2_lsu_bus_buffer.scala 673:69] + node _T_4989 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 673:136] + node _T_4990 = and(io.lsu_axi_arvalid, _T_4989) @[el2_lsu_bus_buffer.scala 673:134] + node _T_4991 = or(_T_4988, _T_4990) @[el2_lsu_bus_buffer.scala 673:112] + io.lsu_pmu_bus_busy <= _T_4991 @[el2_lsu_bus_buffer.scala 673:23] + reg _T_4992 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 675:49] + _T_4992 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 675:49] + WrPtr0_r <= _T_4992 @[el2_lsu_bus_buffer.scala 675:12] + reg _T_4993 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 676:49] + _T_4993 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 676:49] + WrPtr1_r <= _T_4993 @[el2_lsu_bus_buffer.scala 676:12] + node _T_4994 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 677:75] + node _T_4995 = and(io.lsu_busreq_m, _T_4994) @[el2_lsu_bus_buffer.scala 677:73] + node _T_4996 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 677:89] + node _T_4997 = and(_T_4995, _T_4996) @[el2_lsu_bus_buffer.scala 677:87] + reg _T_4998 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 677:56] + _T_4998 <= _T_4997 @[el2_lsu_bus_buffer.scala 677:56] + io.lsu_busreq_r <= _T_4998 @[el2_lsu_bus_buffer.scala 677:19] + reg _T_4999 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 678:66] + _T_4999 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 678:66] + lsu_nonblock_load_valid_r <= _T_4999 @[el2_lsu_bus_buffer.scala 678:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 918fc9f3..6b6a4262 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -373,85 +373,85 @@ module el2_lsu_bus_buffer( wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 201:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 561:60] - wire _T_2636 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 559:60] + wire _T_2636 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] wire _T_4122 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4145 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4149 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1861; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 410:13] - wire _T_4156 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 516:48] + wire _T_4156 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 514:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 516:104] - wire _T_4157 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 516:104] - wire _T_4158 = obuf_merge & _T_4157; // @[el2_lsu_bus_buffer.scala 516:91] - wire _T_4159 = _T_4156 | _T_4158; // @[el2_lsu_bus_buffer.scala 516:77] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_4157 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_4158 = obuf_merge & _T_4157; // @[el2_lsu_bus_buffer.scala 514:91] + wire _T_4159 = _T_4156 | _T_4158; // @[el2_lsu_bus_buffer.scala 514:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 404:54] - wire _T_4160 = _T_4159 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] + wire _T_4160 = _T_4159 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 403:55] - wire _T_4161 = _T_4160 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _T_4161 = _T_4160 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] wire _GEN_280 = _T_4149 & _T_4161; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4145 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2637 = _T_2636 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 473:105] - wire _T_2638 = ~_T_2637; // @[el2_lsu_bus_buffer.scala 473:80] - wire _T_2639 = buf_ageQ_3[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2631 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + wire _T_2637 = _T_2636 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 471:105] + wire _T_2638 = ~_T_2637; // @[el2_lsu_bus_buffer.scala 471:80] + wire _T_2639 = buf_ageQ_3[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2631 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] wire _T_3929 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3952 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3956 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3963 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 516:48] - wire _T_3964 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 516:104] - wire _T_3965 = obuf_merge & _T_3964; // @[el2_lsu_bus_buffer.scala 516:91] - wire _T_3966 = _T_3963 | _T_3965; // @[el2_lsu_bus_buffer.scala 516:77] - wire _T_3967 = _T_3966 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] - wire _T_3968 = _T_3967 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _T_3963 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 514:48] + wire _T_3964 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_3965 = obuf_merge & _T_3964; // @[el2_lsu_bus_buffer.scala 514:91] + wire _T_3966 = _T_3963 | _T_3965; // @[el2_lsu_bus_buffer.scala 514:77] + wire _T_3967 = _T_3966 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] + wire _T_3968 = _T_3967 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] wire _GEN_204 = _T_3956 & _T_3968; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3952 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2632 = _T_2631 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 473:105] - wire _T_2633 = ~_T_2632; // @[el2_lsu_bus_buffer.scala 473:80] - wire _T_2634 = buf_ageQ_3[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2626 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + wire _T_2632 = _T_2631 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 471:105] + wire _T_2633 = ~_T_2632; // @[el2_lsu_bus_buffer.scala 471:80] + wire _T_2634 = buf_ageQ_3[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2626 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] wire _T_3736 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3759 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3763 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3770 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 516:48] - wire _T_3771 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 516:104] - wire _T_3772 = obuf_merge & _T_3771; // @[el2_lsu_bus_buffer.scala 516:91] - wire _T_3773 = _T_3770 | _T_3772; // @[el2_lsu_bus_buffer.scala 516:77] - wire _T_3774 = _T_3773 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] - wire _T_3775 = _T_3774 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _T_3770 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 514:48] + wire _T_3771 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_3772 = obuf_merge & _T_3771; // @[el2_lsu_bus_buffer.scala 514:91] + wire _T_3773 = _T_3770 | _T_3772; // @[el2_lsu_bus_buffer.scala 514:77] + wire _T_3774 = _T_3773 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] + wire _T_3775 = _T_3774 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] wire _GEN_128 = _T_3763 & _T_3775; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3759 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2627 = _T_2626 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 473:105] - wire _T_2628 = ~_T_2627; // @[el2_lsu_bus_buffer.scala 473:80] - wire _T_2629 = buf_ageQ_3[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2621 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + wire _T_2627 = _T_2626 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 471:105] + wire _T_2628 = ~_T_2627; // @[el2_lsu_bus_buffer.scala 471:80] + wire _T_2629 = buf_ageQ_3[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2621 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] wire _T_3543 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3566 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3570 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3577 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 516:48] - wire _T_3578 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 516:104] - wire _T_3579 = obuf_merge & _T_3578; // @[el2_lsu_bus_buffer.scala 516:91] - wire _T_3580 = _T_3577 | _T_3579; // @[el2_lsu_bus_buffer.scala 516:77] - wire _T_3581 = _T_3580 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] - wire _T_3582 = _T_3581 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _T_3577 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 514:48] + wire _T_3578 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_3579 = obuf_merge & _T_3578; // @[el2_lsu_bus_buffer.scala 514:91] + wire _T_3580 = _T_3577 | _T_3579; // @[el2_lsu_bus_buffer.scala 514:77] + wire _T_3581 = _T_3580 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] + wire _T_3582 = _T_3581 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] wire _GEN_52 = _T_3570 & _T_3582; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3566 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 473:105] - wire _T_2623 = ~_T_2622; // @[el2_lsu_bus_buffer.scala 473:80] - wire _T_2624 = buf_ageQ_3[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 471:105] + wire _T_2623 = ~_T_2622; // @[el2_lsu_bus_buffer.scala 471:80] + wire _T_2624 = buf_ageQ_3[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] wire [3:0] buf_age_3 = {_T_2639,_T_2634,_T_2629,_T_2624}; // @[Cat.scala 29:58] - wire _T_2738 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2740 = _T_2738 & _T_19; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2732 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2734 = _T_2732 & _T_12; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2726 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2728 = _T_2726 & _T_5; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2738 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2740 = _T_2738 & _T_19; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2732 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2734 = _T_2732 & _T_12; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2726 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2728 = _T_2726 & _T_5; // @[el2_lsu_bus_buffer.scala 472:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2740,_T_2734,_T_2728}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 206:144] @@ -470,54 +470,54 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 206:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 561:60] - wire _T_2616 = buf_ageQ_2[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2611 = buf_ageQ_2[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2606 = buf_ageQ_2[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2601 = buf_ageQ_2[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 559:60] + wire _T_2616 = buf_ageQ_2[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2611 = buf_ageQ_2[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2606 = buf_ageQ_2[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2601 = buf_ageQ_2[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] wire [3:0] buf_age_2 = {_T_2616,_T_2611,_T_2606,_T_2601}; // @[Cat.scala 29:58] - wire _T_2717 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2719 = _T_2717 & _T_26; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2705 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2707 = _T_2705 & _T_12; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2699 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2701 = _T_2699 & _T_5; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2717 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2719 = _T_2717 & _T_26; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2705 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2707 = _T_2705 & _T_12; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2699 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2701 = _T_2699 & _T_5; // @[el2_lsu_bus_buffer.scala 472:106] wire [3:0] buf_age_younger_2 = {_T_2719,1'h0,_T_2707,_T_2701}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 206:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 206:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 561:60] - wire _T_2593 = buf_ageQ_1[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2588 = buf_ageQ_1[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2583 = buf_ageQ_1[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2578 = buf_ageQ_1[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 559:60] + wire _T_2593 = buf_ageQ_1[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2588 = buf_ageQ_1[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2583 = buf_ageQ_1[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2578 = buf_ageQ_1[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] wire [3:0] buf_age_1 = {_T_2593,_T_2588,_T_2583,_T_2578}; // @[Cat.scala 29:58] - wire _T_2690 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2692 = _T_2690 & _T_26; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2684 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2686 = _T_2684 & _T_19; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2672 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2674 = _T_2672 & _T_5; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2690 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2692 = _T_2690 & _T_26; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2684 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2686 = _T_2684 & _T_19; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2672 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2674 = _T_2672 & _T_5; // @[el2_lsu_bus_buffer.scala 472:106] wire [3:0] buf_age_younger_1 = {_T_2692,_T_2686,1'h0,_T_2674}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 206:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 206:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 561:60] - wire _T_2570 = buf_ageQ_0[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2565 = buf_ageQ_0[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2560 = buf_ageQ_0[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2555 = buf_ageQ_0[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 559:60] + wire _T_2570 = buf_ageQ_0[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2565 = buf_ageQ_0[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2560 = buf_ageQ_0[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2555 = buf_ageQ_0[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] wire [3:0] buf_age_0 = {_T_2570,_T_2565,_T_2560,_T_2555}; // @[Cat.scala 29:58] - wire _T_2663 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2665 = _T_2663 & _T_26; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2657 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2659 = _T_2657 & _T_19; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2651 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2653 = _T_2651 & _T_12; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2663 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2665 = _T_2663 & _T_26; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2657 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2659 = _T_2657 & _T_19; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2651 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2653 = _T_2651 & _T_12; // @[el2_lsu_bus_buffer.scala 472:106] wire [3:0] buf_age_younger_0 = {_T_2665,_T_2659,_T_2653,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 206:144] @@ -960,8 +960,8 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_866; // @[el2_lsu_bus_buffer.scala 273:32] wire _T_848 = ibuf_drain_vld & _T_847; // @[el2_lsu_bus_buffer.scala 267:34] wire ibuf_rst = _T_848 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 267:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 678:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 677:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 676:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 675:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_873 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 283:77] @@ -1006,68 +1006,68 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4456 = buf_write[3] & _T_2636; // @[el2_lsu_bus_buffer.scala 583:64] - wire _T_4457 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 583:91] - wire _T_4458 = _T_4456 & _T_4457; // @[el2_lsu_bus_buffer.scala 583:89] - wire _T_4451 = buf_write[2] & _T_2631; // @[el2_lsu_bus_buffer.scala 583:64] - wire _T_4452 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 583:91] - wire _T_4453 = _T_4451 & _T_4452; // @[el2_lsu_bus_buffer.scala 583:89] - wire [1:0] _T_4459 = _T_4458 + _T_4453; // @[el2_lsu_bus_buffer.scala 583:142] - wire _T_4446 = buf_write[1] & _T_2626; // @[el2_lsu_bus_buffer.scala 583:64] - wire _T_4447 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 583:91] - wire _T_4448 = _T_4446 & _T_4447; // @[el2_lsu_bus_buffer.scala 583:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4448}; // @[el2_lsu_bus_buffer.scala 583:142] - wire [2:0] _T_4460 = _T_4459 + _GEN_362; // @[el2_lsu_bus_buffer.scala 583:142] - wire _T_4441 = buf_write[0] & _T_2621; // @[el2_lsu_bus_buffer.scala 583:64] - wire _T_4442 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 583:91] - wire _T_4443 = _T_4441 & _T_4442; // @[el2_lsu_bus_buffer.scala 583:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4443}; // @[el2_lsu_bus_buffer.scala 583:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4460 + _GEN_363; // @[el2_lsu_bus_buffer.scala 583:142] + wire _T_4456 = buf_write[3] & _T_2636; // @[el2_lsu_bus_buffer.scala 581:64] + wire _T_4457 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 581:91] + wire _T_4458 = _T_4456 & _T_4457; // @[el2_lsu_bus_buffer.scala 581:89] + wire _T_4451 = buf_write[2] & _T_2631; // @[el2_lsu_bus_buffer.scala 581:64] + wire _T_4452 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 581:91] + wire _T_4453 = _T_4451 & _T_4452; // @[el2_lsu_bus_buffer.scala 581:89] + wire [1:0] _T_4459 = _T_4458 + _T_4453; // @[el2_lsu_bus_buffer.scala 581:142] + wire _T_4446 = buf_write[1] & _T_2626; // @[el2_lsu_bus_buffer.scala 581:64] + wire _T_4447 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 581:91] + wire _T_4448 = _T_4446 & _T_4447; // @[el2_lsu_bus_buffer.scala 581:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4448}; // @[el2_lsu_bus_buffer.scala 581:142] + wire [2:0] _T_4460 = _T_4459 + _GEN_362; // @[el2_lsu_bus_buffer.scala 581:142] + wire _T_4441 = buf_write[0] & _T_2621; // @[el2_lsu_bus_buffer.scala 581:64] + wire _T_4442 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 581:91] + wire _T_4443 = _T_4441 & _T_4442; // @[el2_lsu_bus_buffer.scala 581:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4443}; // @[el2_lsu_bus_buffer.scala 581:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4460 + _GEN_363; // @[el2_lsu_bus_buffer.scala 581:142] wire _T_1029 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 320:43] - wire _T_4473 = _T_2636 & _T_4457; // @[el2_lsu_bus_buffer.scala 584:73] - wire _T_4470 = _T_2631 & _T_4452; // @[el2_lsu_bus_buffer.scala 584:73] - wire [1:0] _T_4474 = _T_4473 + _T_4470; // @[el2_lsu_bus_buffer.scala 584:126] - wire _T_4467 = _T_2626 & _T_4447; // @[el2_lsu_bus_buffer.scala 584:73] - wire [1:0] _GEN_364 = {{1'd0}, _T_4467}; // @[el2_lsu_bus_buffer.scala 584:126] - wire [2:0] _T_4475 = _T_4474 + _GEN_364; // @[el2_lsu_bus_buffer.scala 584:126] - wire _T_4464 = _T_2621 & _T_4442; // @[el2_lsu_bus_buffer.scala 584:73] - wire [2:0] _GEN_365 = {{2'd0}, _T_4464}; // @[el2_lsu_bus_buffer.scala 584:126] - wire [3:0] buf_numvld_cmd_any = _T_4475 + _GEN_365; // @[el2_lsu_bus_buffer.scala 584:126] + wire _T_4473 = _T_2636 & _T_4457; // @[el2_lsu_bus_buffer.scala 582:73] + wire _T_4470 = _T_2631 & _T_4452; // @[el2_lsu_bus_buffer.scala 582:73] + wire [1:0] _T_4474 = _T_4473 + _T_4470; // @[el2_lsu_bus_buffer.scala 582:126] + wire _T_4467 = _T_2626 & _T_4447; // @[el2_lsu_bus_buffer.scala 582:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4467}; // @[el2_lsu_bus_buffer.scala 582:126] + wire [2:0] _T_4475 = _T_4474 + _GEN_364; // @[el2_lsu_bus_buffer.scala 582:126] + wire _T_4464 = _T_2621 & _T_4442; // @[el2_lsu_bus_buffer.scala 582:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4464}; // @[el2_lsu_bus_buffer.scala 582:126] + wire [3:0] buf_numvld_cmd_any = _T_4475 + _GEN_365; // @[el2_lsu_bus_buffer.scala 582:126] wire _T_1030 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 320:72] wire _T_1031 = _T_1029 & _T_1030; // @[el2_lsu_bus_buffer.scala 320:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 419:54] wire _T_1032 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 320:97] wire _T_1033 = _T_1031 & _T_1032; // @[el2_lsu_bus_buffer.scala 320:80] wire _T_1035 = _T_1033 & _T_930; // @[el2_lsu_bus_buffer.scala 320:114] - wire _T_1994 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 438:58] - wire _T_1995 = ~_T_1994; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_1997 = _T_1995 & _T_2636; // @[el2_lsu_bus_buffer.scala 438:63] - wire _T_1999 = _T_1997 & _T_4457; // @[el2_lsu_bus_buffer.scala 438:88] - wire _T_1988 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 438:58] - wire _T_1989 = ~_T_1988; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_1991 = _T_1989 & _T_2631; // @[el2_lsu_bus_buffer.scala 438:63] - wire _T_1993 = _T_1991 & _T_4452; // @[el2_lsu_bus_buffer.scala 438:88] - wire _T_1982 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 438:58] - wire _T_1983 = ~_T_1982; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_1985 = _T_1983 & _T_2626; // @[el2_lsu_bus_buffer.scala 438:63] - wire _T_1987 = _T_1985 & _T_4447; // @[el2_lsu_bus_buffer.scala 438:88] - wire _T_1976 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 438:58] - wire _T_1977 = ~_T_1976; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_1979 = _T_1977 & _T_2621; // @[el2_lsu_bus_buffer.scala 438:63] - wire _T_1981 = _T_1979 & _T_4442; // @[el2_lsu_bus_buffer.scala 438:88] + wire _T_1994 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 436:58] + wire _T_1995 = ~_T_1994; // @[el2_lsu_bus_buffer.scala 436:45] + wire _T_1997 = _T_1995 & _T_2636; // @[el2_lsu_bus_buffer.scala 436:63] + wire _T_1999 = _T_1997 & _T_4457; // @[el2_lsu_bus_buffer.scala 436:88] + wire _T_1988 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 436:58] + wire _T_1989 = ~_T_1988; // @[el2_lsu_bus_buffer.scala 436:45] + wire _T_1991 = _T_1989 & _T_2631; // @[el2_lsu_bus_buffer.scala 436:63] + wire _T_1993 = _T_1991 & _T_4452; // @[el2_lsu_bus_buffer.scala 436:88] + wire _T_1982 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 436:58] + wire _T_1983 = ~_T_1982; // @[el2_lsu_bus_buffer.scala 436:45] + wire _T_1985 = _T_1983 & _T_2626; // @[el2_lsu_bus_buffer.scala 436:63] + wire _T_1987 = _T_1985 & _T_4447; // @[el2_lsu_bus_buffer.scala 436:88] + wire _T_1976 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 436:58] + wire _T_1977 = ~_T_1976; // @[el2_lsu_bus_buffer.scala 436:45] + wire _T_1979 = _T_1977 & _T_2621; // @[el2_lsu_bus_buffer.scala 436:63] + wire _T_1981 = _T_1979 & _T_4442; // @[el2_lsu_bus_buffer.scala 436:88] wire [3:0] CmdPtr0Dec = {_T_1999,_T_1993,_T_1987,_T_1981}; // @[Cat.scala 29:58] wire [7:0] _T_2069 = {4'h0,_T_1999,_T_1993,_T_1987,_T_1981}; // @[Cat.scala 29:58] - wire _T_2072 = _T_2069[4] | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 446:42] - wire _T_2074 = _T_2072 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 446:48] - wire _T_2076 = _T_2074 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 446:54] - wire _T_2079 = _T_2069[2] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 446:67] - wire _T_2081 = _T_2079 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 446:73] - wire _T_2083 = _T_2081 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 446:79] - wire _T_2086 = _T_2069[1] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 446:92] - wire _T_2088 = _T_2086 | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 446:98] - wire _T_2090 = _T_2088 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2072 = _T_2069[4] | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 444:42] + wire _T_2074 = _T_2072 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_2076 = _T_2074 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 444:54] + wire _T_2079 = _T_2069[2] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 444:67] + wire _T_2081 = _T_2079 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2083 = _T_2081 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 444:79] + wire _T_2086 = _T_2069[1] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 444:92] + wire _T_2088 = _T_2086 | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 444:98] + wire _T_2090 = _T_2088 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 444:104] wire [2:0] _T_2092 = {_T_2076,_T_2083,_T_2090}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 452:11] + wire [1:0] CmdPtr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 450:11] wire _T_1036 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 321:114] wire _T_1037 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 321:114] wire _T_1038 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 321:114] @@ -1116,40 +1116,40 @@ module el2_lsu_bus_buffer( wire _T_1070 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 323:95] wire _T_1071 = _T_1069 & _T_1070; // @[el2_lsu_bus_buffer.scala 323:79] wire [2:0] _T_1073 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 323:121] - wire _T_4492 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] - wire _T_4496 = _T_4492 | _T_4473; // @[el2_lsu_bus_buffer.scala 585:74] - wire _T_4487 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] - wire _T_4491 = _T_4487 | _T_4470; // @[el2_lsu_bus_buffer.scala 585:74] - wire [1:0] _T_4497 = _T_4496 + _T_4491; // @[el2_lsu_bus_buffer.scala 585:154] - wire _T_4482 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] - wire _T_4486 = _T_4482 | _T_4467; // @[el2_lsu_bus_buffer.scala 585:74] - wire [1:0] _GEN_366 = {{1'd0}, _T_4486}; // @[el2_lsu_bus_buffer.scala 585:154] - wire [2:0] _T_4498 = _T_4497 + _GEN_366; // @[el2_lsu_bus_buffer.scala 585:154] - wire _T_4477 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] - wire _T_4481 = _T_4477 | _T_4464; // @[el2_lsu_bus_buffer.scala 585:74] - wire [2:0] _GEN_367 = {{2'd0}, _T_4481}; // @[el2_lsu_bus_buffer.scala 585:154] - wire [3:0] buf_numvld_pend_any = _T_4498 + _GEN_367; // @[el2_lsu_bus_buffer.scala 585:154] + wire _T_4492 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] + wire _T_4496 = _T_4492 | _T_4473; // @[el2_lsu_bus_buffer.scala 583:74] + wire _T_4487 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] + wire _T_4491 = _T_4487 | _T_4470; // @[el2_lsu_bus_buffer.scala 583:74] + wire [1:0] _T_4497 = _T_4496 + _T_4491; // @[el2_lsu_bus_buffer.scala 583:154] + wire _T_4482 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] + wire _T_4486 = _T_4482 | _T_4467; // @[el2_lsu_bus_buffer.scala 583:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4486}; // @[el2_lsu_bus_buffer.scala 583:154] + wire [2:0] _T_4498 = _T_4497 + _GEN_366; // @[el2_lsu_bus_buffer.scala 583:154] + wire _T_4477 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] + wire _T_4481 = _T_4477 | _T_4464; // @[el2_lsu_bus_buffer.scala 583:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4481}; // @[el2_lsu_bus_buffer.scala 583:154] + wire [3:0] buf_numvld_pend_any = _T_4498 + _GEN_367; // @[el2_lsu_bus_buffer.scala 583:154] wire _T_1100 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 326:53] wire _T_1101 = ibuf_byp & _T_1100; // @[el2_lsu_bus_buffer.scala 326:31] wire _T_1102 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 326:64] wire _T_1103 = _T_1102 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 326:84] wire ibuf_buf_byp = _T_1101 & _T_1103; // @[el2_lsu_bus_buffer.scala 326:61] wire _T_1104 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 341:32] - wire _T_4788 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] - wire _T_4790 = _T_4788 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 614:73] - wire _T_4791 = _T_4790 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] - wire _T_4792 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] - wire _T_4794 = _T_4792 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 614:73] - wire _T_4795 = _T_4794 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] - wire _T_4804 = _T_4791 | _T_4795; // @[el2_lsu_bus_buffer.scala 614:141] - wire _T_4796 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] - wire _T_4798 = _T_4796 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 614:73] - wire _T_4799 = _T_4798 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] - wire _T_4805 = _T_4804 | _T_4799; // @[el2_lsu_bus_buffer.scala 614:141] - wire _T_4800 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] - wire _T_4802 = _T_4800 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 614:73] - wire _T_4803 = _T_4802 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] - wire bus_sideeffect_pend = _T_4805 | _T_4803; // @[el2_lsu_bus_buffer.scala 614:141] + wire _T_4788 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] + wire _T_4790 = _T_4788 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 612:73] + wire _T_4791 = _T_4790 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] + wire _T_4792 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] + wire _T_4794 = _T_4792 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 612:73] + wire _T_4795 = _T_4794 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] + wire _T_4804 = _T_4791 | _T_4795; // @[el2_lsu_bus_buffer.scala 612:141] + wire _T_4796 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] + wire _T_4798 = _T_4796 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 612:73] + wire _T_4799 = _T_4798 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] + wire _T_4805 = _T_4804 | _T_4799; // @[el2_lsu_bus_buffer.scala 612:141] + wire _T_4800 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] + wire _T_4802 = _T_4800 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 612:73] + wire _T_4803 = _T_4802 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] + wire bus_sideeffect_pend = _T_4805 | _T_4803; // @[el2_lsu_bus_buffer.scala 612:141] wire _T_1105 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 341:74] wire _T_1106 = ~_T_1105; // @[el2_lsu_bus_buffer.scala 341:52] wire _T_1107 = _T_1104 & _T_1106; // @[el2_lsu_bus_buffer.scala 341:50] @@ -1161,7 +1161,7 @@ module el2_lsu_bus_buffer( wire [2:0] _T_1115 = _T_1039 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1118 = _T_1117 | _T_1115; // @[Mux.scala 27:72] wire _T_1120 = _T_1118 == 3'h2; // @[el2_lsu_bus_buffer.scala 342:36] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 443:31] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 441:31] wire _T_1121 = _T_1120 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 342:47] wire [3:0] _T_1124 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1133 = _T_1036 & _T_1124[0]; // @[Mux.scala 27:72] @@ -1211,37 +1211,37 @@ module el2_lsu_bus_buffer( wire _T_1217 = ~_T_1215; // @[el2_lsu_bus_buffer.scala 344:150] wire _T_1218 = _T_1200 & _T_1217; // @[el2_lsu_bus_buffer.scala 344:148] wire _T_1219 = ~_T_1218; // @[el2_lsu_bus_buffer.scala 344:8] - wire [3:0] _T_2035 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 439:62] - wire [3:0] _T_2036 = buf_age_3 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] - wire _T_2037 = |_T_2036; // @[el2_lsu_bus_buffer.scala 439:76] - wire _T_2038 = ~_T_2037; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_2040 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 439:83] - wire _T_2041 = _T_2038 & _T_2040; // @[el2_lsu_bus_buffer.scala 439:81] - wire _T_2043 = _T_2041 & _T_2636; // @[el2_lsu_bus_buffer.scala 439:98] - wire _T_2045 = _T_2043 & _T_4457; // @[el2_lsu_bus_buffer.scala 439:123] - wire [3:0] _T_2025 = buf_age_2 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] - wire _T_2026 = |_T_2025; // @[el2_lsu_bus_buffer.scala 439:76] - wire _T_2027 = ~_T_2026; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_2029 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 439:83] - wire _T_2030 = _T_2027 & _T_2029; // @[el2_lsu_bus_buffer.scala 439:81] - wire _T_2032 = _T_2030 & _T_2631; // @[el2_lsu_bus_buffer.scala 439:98] - wire _T_2034 = _T_2032 & _T_4452; // @[el2_lsu_bus_buffer.scala 439:123] - wire [3:0] _T_2014 = buf_age_1 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] - wire _T_2015 = |_T_2014; // @[el2_lsu_bus_buffer.scala 439:76] - wire _T_2016 = ~_T_2015; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_2018 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 439:83] - wire _T_2019 = _T_2016 & _T_2018; // @[el2_lsu_bus_buffer.scala 439:81] - wire _T_2021 = _T_2019 & _T_2626; // @[el2_lsu_bus_buffer.scala 439:98] - wire _T_2023 = _T_2021 & _T_4447; // @[el2_lsu_bus_buffer.scala 439:123] - wire [3:0] _T_2003 = buf_age_0 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] - wire _T_2004 = |_T_2003; // @[el2_lsu_bus_buffer.scala 439:76] - wire _T_2005 = ~_T_2004; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_2007 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 439:83] - wire _T_2008 = _T_2005 & _T_2007; // @[el2_lsu_bus_buffer.scala 439:81] - wire _T_2010 = _T_2008 & _T_2621; // @[el2_lsu_bus_buffer.scala 439:98] - wire _T_2012 = _T_2010 & _T_4442; // @[el2_lsu_bus_buffer.scala 439:123] + wire [3:0] _T_2035 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 437:62] + wire [3:0] _T_2036 = buf_age_3 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] + wire _T_2037 = |_T_2036; // @[el2_lsu_bus_buffer.scala 437:76] + wire _T_2038 = ~_T_2037; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2040 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 437:83] + wire _T_2041 = _T_2038 & _T_2040; // @[el2_lsu_bus_buffer.scala 437:81] + wire _T_2043 = _T_2041 & _T_2636; // @[el2_lsu_bus_buffer.scala 437:98] + wire _T_2045 = _T_2043 & _T_4457; // @[el2_lsu_bus_buffer.scala 437:123] + wire [3:0] _T_2025 = buf_age_2 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] + wire _T_2026 = |_T_2025; // @[el2_lsu_bus_buffer.scala 437:76] + wire _T_2027 = ~_T_2026; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2029 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 437:83] + wire _T_2030 = _T_2027 & _T_2029; // @[el2_lsu_bus_buffer.scala 437:81] + wire _T_2032 = _T_2030 & _T_2631; // @[el2_lsu_bus_buffer.scala 437:98] + wire _T_2034 = _T_2032 & _T_4452; // @[el2_lsu_bus_buffer.scala 437:123] + wire [3:0] _T_2014 = buf_age_1 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] + wire _T_2015 = |_T_2014; // @[el2_lsu_bus_buffer.scala 437:76] + wire _T_2016 = ~_T_2015; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2018 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 437:83] + wire _T_2019 = _T_2016 & _T_2018; // @[el2_lsu_bus_buffer.scala 437:81] + wire _T_2021 = _T_2019 & _T_2626; // @[el2_lsu_bus_buffer.scala 437:98] + wire _T_2023 = _T_2021 & _T_4447; // @[el2_lsu_bus_buffer.scala 437:123] + wire [3:0] _T_2003 = buf_age_0 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] + wire _T_2004 = |_T_2003; // @[el2_lsu_bus_buffer.scala 437:76] + wire _T_2005 = ~_T_2004; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2007 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 437:83] + wire _T_2008 = _T_2005 & _T_2007; // @[el2_lsu_bus_buffer.scala 437:81] + wire _T_2010 = _T_2008 & _T_2621; // @[el2_lsu_bus_buffer.scala 437:98] + wire _T_2012 = _T_2010 & _T_4442; // @[el2_lsu_bus_buffer.scala 437:123] wire [3:0] CmdPtr1Dec = {_T_2045,_T_2034,_T_2023,_T_2012}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 444:31] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 442:31] wire _T_1220 = _T_1219 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 344:181] wire [3:0] _T_1223 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1232 = _T_1036 & _T_1223[0]; // @[Mux.scala 27:72] @@ -1258,10 +1258,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 406:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 407:55] - wire _T_4863 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 618:54] - wire _T_4864 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 618:75] - wire _T_4866 = _T_4863 ? _T_4864 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 618:39] - wire bus_cmd_ready = obuf_write ? _T_4866 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 618:23] + wire _T_4863 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 616:54] + wire _T_4864 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 616:75] + wire _T_4866 = _T_4863 ? _T_4864 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 616:39] + wire bus_cmd_ready = obuf_write ? _T_4866 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 616:23] wire _T_1244 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 345:48] wire _T_1245 = bus_cmd_ready | _T_1244; // @[el2_lsu_bus_buffer.scala 345:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1270,52 +1270,52 @@ module el2_lsu_bus_buffer( wire _T_1248 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 345:77] wire _T_1249 = _T_1247 & _T_1248; // @[el2_lsu_bus_buffer.scala 345:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4811 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] - wire _T_4812 = obuf_valid & _T_4811; // @[el2_lsu_bus_buffer.scala 616:38] - wire _T_4814 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 616:126] - wire _T_4815 = obuf_merge & _T_4814; // @[el2_lsu_bus_buffer.scala 616:114] - wire _T_4816 = _T_3577 | _T_4815; // @[el2_lsu_bus_buffer.scala 616:100] - wire _T_4817 = ~_T_4816; // @[el2_lsu_bus_buffer.scala 616:80] - wire _T_4818 = _T_4812 & _T_4817; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4811 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] + wire _T_4812 = obuf_valid & _T_4811; // @[el2_lsu_bus_buffer.scala 614:38] + wire _T_4814 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 614:126] + wire _T_4815 = obuf_merge & _T_4814; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4816 = _T_3577 | _T_4815; // @[el2_lsu_bus_buffer.scala 614:100] + wire _T_4817 = ~_T_4816; // @[el2_lsu_bus_buffer.scala 614:80] + wire _T_4818 = _T_4812 & _T_4817; // @[el2_lsu_bus_buffer.scala 614:78] wire _T_4855 = _T_4788 & _T_4818; // @[Mux.scala 27:72] - wire _T_4823 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] - wire _T_4824 = obuf_valid & _T_4823; // @[el2_lsu_bus_buffer.scala 616:38] - wire _T_4826 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 616:126] - wire _T_4827 = obuf_merge & _T_4826; // @[el2_lsu_bus_buffer.scala 616:114] - wire _T_4828 = _T_3770 | _T_4827; // @[el2_lsu_bus_buffer.scala 616:100] - wire _T_4829 = ~_T_4828; // @[el2_lsu_bus_buffer.scala 616:80] - wire _T_4830 = _T_4824 & _T_4829; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4823 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] + wire _T_4824 = obuf_valid & _T_4823; // @[el2_lsu_bus_buffer.scala 614:38] + wire _T_4826 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 614:126] + wire _T_4827 = obuf_merge & _T_4826; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4828 = _T_3770 | _T_4827; // @[el2_lsu_bus_buffer.scala 614:100] + wire _T_4829 = ~_T_4828; // @[el2_lsu_bus_buffer.scala 614:80] + wire _T_4830 = _T_4824 & _T_4829; // @[el2_lsu_bus_buffer.scala 614:78] wire _T_4856 = _T_4792 & _T_4830; // @[Mux.scala 27:72] wire _T_4859 = _T_4855 | _T_4856; // @[Mux.scala 27:72] - wire _T_4835 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] - wire _T_4836 = obuf_valid & _T_4835; // @[el2_lsu_bus_buffer.scala 616:38] - wire _T_4838 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 616:126] - wire _T_4839 = obuf_merge & _T_4838; // @[el2_lsu_bus_buffer.scala 616:114] - wire _T_4840 = _T_3963 | _T_4839; // @[el2_lsu_bus_buffer.scala 616:100] - wire _T_4841 = ~_T_4840; // @[el2_lsu_bus_buffer.scala 616:80] - wire _T_4842 = _T_4836 & _T_4841; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4835 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] + wire _T_4836 = obuf_valid & _T_4835; // @[el2_lsu_bus_buffer.scala 614:38] + wire _T_4838 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 614:126] + wire _T_4839 = obuf_merge & _T_4838; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4840 = _T_3963 | _T_4839; // @[el2_lsu_bus_buffer.scala 614:100] + wire _T_4841 = ~_T_4840; // @[el2_lsu_bus_buffer.scala 614:80] + wire _T_4842 = _T_4836 & _T_4841; // @[el2_lsu_bus_buffer.scala 614:78] wire _T_4857 = _T_4796 & _T_4842; // @[Mux.scala 27:72] wire _T_4860 = _T_4859 | _T_4857; // @[Mux.scala 27:72] - wire _T_4847 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] - wire _T_4848 = obuf_valid & _T_4847; // @[el2_lsu_bus_buffer.scala 616:38] - wire _T_4850 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 616:126] - wire _T_4851 = obuf_merge & _T_4850; // @[el2_lsu_bus_buffer.scala 616:114] - wire _T_4852 = _T_4156 | _T_4851; // @[el2_lsu_bus_buffer.scala 616:100] - wire _T_4853 = ~_T_4852; // @[el2_lsu_bus_buffer.scala 616:80] - wire _T_4854 = _T_4848 & _T_4853; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4847 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] + wire _T_4848 = obuf_valid & _T_4847; // @[el2_lsu_bus_buffer.scala 614:38] + wire _T_4850 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 614:126] + wire _T_4851 = obuf_merge & _T_4850; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4852 = _T_4156 | _T_4851; // @[el2_lsu_bus_buffer.scala 614:100] + wire _T_4853 = ~_T_4852; // @[el2_lsu_bus_buffer.scala 614:80] + wire _T_4854 = _T_4848 & _T_4853; // @[el2_lsu_bus_buffer.scala 614:78] wire _T_4858 = _T_4800 & _T_4854; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4860 | _T_4858; // @[Mux.scala 27:72] wire _T_1252 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 345:118] wire _T_1253 = _T_1249 & _T_1252; // @[el2_lsu_bus_buffer.scala 345:116] wire obuf_wr_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 345:142] wire _T_1255 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 347:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 619:39] - wire _T_4870 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 621:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 620:39] - wire _T_4871 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 621:70] - wire _T_4872 = _T_4870 & _T_4871; // @[el2_lsu_bus_buffer.scala 621:52] - wire _T_4873 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 621:111] - wire bus_cmd_sent = _T_4872 | _T_4873; // @[el2_lsu_bus_buffer.scala 621:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 617:39] + wire _T_4870 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 619:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 618:39] + wire _T_4871 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 619:70] + wire _T_4872 = _T_4870 & _T_4871; // @[el2_lsu_bus_buffer.scala 619:52] + wire _T_4873 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 619:111] + wire bus_cmd_sent = _T_4872 | _T_4873; // @[el2_lsu_bus_buffer.scala 619:89] wire _T_1256 = bus_cmd_sent | _T_1255; // @[el2_lsu_bus_buffer.scala 347:33] wire _T_1257 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 347:65] wire _T_1258 = _T_1256 & _T_1257; // @[el2_lsu_bus_buffer.scala 347:63] @@ -1367,7 +1367,7 @@ module el2_lsu_bus_buffer( wire _T_1362 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 381:20] wire _T_1363 = obuf_valid & _T_1362; // @[el2_lsu_bus_buffer.scala 381:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 408:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 622:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 620:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 409:55] wire _T_1364 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 381:90] wire _T_1365 = bus_rsp_read & _T_1364; // @[el2_lsu_bus_buffer.scala 381:70] @@ -1484,212 +1484,204 @@ module el2_lsu_bus_buffer( reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1866 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] - wire _T_1867 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 422:97] - wire _T_1868 = ibuf_valid & _T_1867; // @[el2_lsu_bus_buffer.scala 422:86] - wire _T_1869 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 423:34] - wire _T_1870 = io_lsu_busreq_r & _T_1869; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1871 = _T_1868 | _T_1870; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1872 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1873 = io_ldst_dual_r & _T_1872; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1874 = _T_1871 | _T_1873; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1875 = ~_T_1874; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1876 = _T_1866 & _T_1875; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1877 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] - wire _T_1878 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 422:97] - wire _T_1879 = ibuf_valid & _T_1878; // @[el2_lsu_bus_buffer.scala 422:86] - wire _T_1880 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 423:34] - wire _T_1881 = io_lsu_busreq_r & _T_1880; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1882 = _T_1879 | _T_1881; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1883 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1884 = io_ldst_dual_r & _T_1883; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1885 = _T_1882 | _T_1884; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1886 = ~_T_1885; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1887 = _T_1877 & _T_1886; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1888 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] - wire _T_1889 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 422:97] - wire _T_1890 = ibuf_valid & _T_1889; // @[el2_lsu_bus_buffer.scala 422:86] - wire _T_1891 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 423:34] - wire _T_1892 = io_lsu_busreq_r & _T_1891; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1893 = _T_1890 | _T_1892; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1894 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1895 = io_ldst_dual_r & _T_1894; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1896 = _T_1893 | _T_1895; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1897 = ~_T_1896; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1898 = _T_1888 & _T_1897; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1899 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] - wire _T_1900 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 422:97] - wire _T_1901 = ibuf_valid & _T_1900; // @[el2_lsu_bus_buffer.scala 422:86] - wire _T_1902 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 423:34] - wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1904 = _T_1901 | _T_1903; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1905 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1906 = io_ldst_dual_r & _T_1905; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1907 = _T_1904 | _T_1906; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1908 = ~_T_1907; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1909 = _T_1899 & _T_1908; // @[el2_lsu_bus_buffer.scala 422:70] + wire _T_1866 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] + wire _T_1867 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 422:103] + wire _T_1868 = ibuf_valid & _T_1867; // @[el2_lsu_bus_buffer.scala 422:92] + wire _T_1869 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 423:35] + wire _T_1870 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1871 = io_ldst_dual_r & _T_1870; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1872 = _T_1869 | _T_1871; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1873 = io_lsu_busreq_r & _T_1872; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1874 = _T_1868 | _T_1873; // @[el2_lsu_bus_buffer.scala 422:112] + wire _T_1875 = ~_T_1874; // @[el2_lsu_bus_buffer.scala 422:78] + wire _T_1876 = _T_1866 & _T_1875; // @[el2_lsu_bus_buffer.scala 422:76] + wire _T_1877 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] + wire _T_1878 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 422:103] + wire _T_1879 = ibuf_valid & _T_1878; // @[el2_lsu_bus_buffer.scala 422:92] + wire _T_1880 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 423:35] + wire _T_1881 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1882 = io_ldst_dual_r & _T_1881; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1883 = _T_1880 | _T_1882; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1884 = io_lsu_busreq_r & _T_1883; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1885 = _T_1879 | _T_1884; // @[el2_lsu_bus_buffer.scala 422:112] + wire _T_1886 = ~_T_1885; // @[el2_lsu_bus_buffer.scala 422:78] + wire _T_1887 = _T_1877 & _T_1886; // @[el2_lsu_bus_buffer.scala 422:76] + wire _T_1888 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] + wire _T_1889 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 422:103] + wire _T_1890 = ibuf_valid & _T_1889; // @[el2_lsu_bus_buffer.scala 422:92] + wire _T_1891 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 423:35] + wire _T_1892 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1893 = io_ldst_dual_r & _T_1892; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1894 = _T_1891 | _T_1893; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1895 = io_lsu_busreq_r & _T_1894; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1896 = _T_1890 | _T_1895; // @[el2_lsu_bus_buffer.scala 422:112] + wire _T_1897 = ~_T_1896; // @[el2_lsu_bus_buffer.scala 422:78] + wire _T_1898 = _T_1888 & _T_1897; // @[el2_lsu_bus_buffer.scala 422:76] + wire _T_1899 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] + wire _T_1900 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 422:103] + wire _T_1901 = ibuf_valid & _T_1900; // @[el2_lsu_bus_buffer.scala 422:92] + wire _T_1902 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 423:35] + wire _T_1903 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1904 = io_ldst_dual_r & _T_1903; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1905 = _T_1902 | _T_1904; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1906 = io_lsu_busreq_r & _T_1905; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1907 = _T_1901 | _T_1906; // @[el2_lsu_bus_buffer.scala 422:112] + wire _T_1908 = ~_T_1907; // @[el2_lsu_bus_buffer.scala 422:78] + wire _T_1909 = _T_1899 & _T_1908; // @[el2_lsu_bus_buffer.scala 422:76] wire [1:0] _T_1910 = _T_1909 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1911 = _T_1898 ? 2'h2 : _T_1910; // @[Mux.scala 98:16] wire [1:0] _T_1912 = _T_1887 ? 2'h1 : _T_1911; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1876 ? 2'h0 : _T_1912; // @[Mux.scala 98:16] wire [8:0] _T_1915 = {buf_state_0,buf_state_1,buf_state_2}; // @[Cat.scala 29:58] - wire _T_1920 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 430:33] - wire _T_1921 = io_lsu_busreq_m & _T_1920; // @[el2_lsu_bus_buffer.scala 430:22] - wire _T_1922 = _T_1868 | _T_1921; // @[el2_lsu_bus_buffer.scala 429:115] - wire _T_1926 = _T_1869 | _T_1873; // @[el2_lsu_bus_buffer.scala 431:46] - wire _T_1927 = io_lsu_busreq_r & _T_1926; // @[el2_lsu_bus_buffer.scala 431:22] - wire _T_1928 = _T_1922 | _T_1927; // @[el2_lsu_bus_buffer.scala 430:42] - wire _T_1929 = ~_T_1928; // @[el2_lsu_bus_buffer.scala 429:81] - wire _T_1930 = _T_1866 & _T_1929; // @[el2_lsu_bus_buffer.scala 429:79] - wire _T_1934 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 430:33] - wire _T_1935 = io_lsu_busreq_m & _T_1934; // @[el2_lsu_bus_buffer.scala 430:22] - wire _T_1936 = _T_1879 | _T_1935; // @[el2_lsu_bus_buffer.scala 429:115] - wire _T_1940 = _T_1880 | _T_1884; // @[el2_lsu_bus_buffer.scala 431:46] - wire _T_1941 = io_lsu_busreq_r & _T_1940; // @[el2_lsu_bus_buffer.scala 431:22] - wire _T_1942 = _T_1936 | _T_1941; // @[el2_lsu_bus_buffer.scala 430:42] - wire _T_1943 = ~_T_1942; // @[el2_lsu_bus_buffer.scala 429:81] - wire _T_1944 = _T_1877 & _T_1943; // @[el2_lsu_bus_buffer.scala 429:79] - wire _T_1948 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 430:33] - wire _T_1949 = io_lsu_busreq_m & _T_1948; // @[el2_lsu_bus_buffer.scala 430:22] - wire _T_1950 = _T_1890 | _T_1949; // @[el2_lsu_bus_buffer.scala 429:115] - wire _T_1954 = _T_1891 | _T_1895; // @[el2_lsu_bus_buffer.scala 431:46] - wire _T_1955 = io_lsu_busreq_r & _T_1954; // @[el2_lsu_bus_buffer.scala 431:22] - wire _T_1956 = _T_1950 | _T_1955; // @[el2_lsu_bus_buffer.scala 430:42] - wire _T_1957 = ~_T_1956; // @[el2_lsu_bus_buffer.scala 429:81] - wire _T_1958 = _T_1888 & _T_1957; // @[el2_lsu_bus_buffer.scala 429:79] - wire _T_1962 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 430:33] - wire _T_1963 = io_lsu_busreq_m & _T_1962; // @[el2_lsu_bus_buffer.scala 430:22] - wire _T_1964 = _T_1901 | _T_1963; // @[el2_lsu_bus_buffer.scala 429:115] - wire _T_1968 = _T_1902 | _T_1906; // @[el2_lsu_bus_buffer.scala 431:46] - wire _T_1969 = io_lsu_busreq_r & _T_1968; // @[el2_lsu_bus_buffer.scala 431:22] - wire _T_1970 = _T_1964 | _T_1969; // @[el2_lsu_bus_buffer.scala 430:42] - wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 429:81] - wire _T_1972 = _T_1899 & _T_1971; // @[el2_lsu_bus_buffer.scala 429:79] + wire _T_1920 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 428:33] + wire _T_1921 = io_lsu_busreq_m & _T_1920; // @[el2_lsu_bus_buffer.scala 428:22] + wire _T_1922 = _T_1868 | _T_1921; // @[el2_lsu_bus_buffer.scala 427:115] + wire _T_1928 = _T_1922 | _T_1873; // @[el2_lsu_bus_buffer.scala 428:42] + wire _T_1929 = ~_T_1928; // @[el2_lsu_bus_buffer.scala 427:81] + wire _T_1930 = _T_1866 & _T_1929; // @[el2_lsu_bus_buffer.scala 427:79] + wire _T_1934 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 428:33] + wire _T_1935 = io_lsu_busreq_m & _T_1934; // @[el2_lsu_bus_buffer.scala 428:22] + wire _T_1936 = _T_1879 | _T_1935; // @[el2_lsu_bus_buffer.scala 427:115] + wire _T_1942 = _T_1936 | _T_1884; // @[el2_lsu_bus_buffer.scala 428:42] + wire _T_1943 = ~_T_1942; // @[el2_lsu_bus_buffer.scala 427:81] + wire _T_1944 = _T_1877 & _T_1943; // @[el2_lsu_bus_buffer.scala 427:79] + wire _T_1948 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 428:33] + wire _T_1949 = io_lsu_busreq_m & _T_1948; // @[el2_lsu_bus_buffer.scala 428:22] + wire _T_1950 = _T_1890 | _T_1949; // @[el2_lsu_bus_buffer.scala 427:115] + wire _T_1956 = _T_1950 | _T_1895; // @[el2_lsu_bus_buffer.scala 428:42] + wire _T_1957 = ~_T_1956; // @[el2_lsu_bus_buffer.scala 427:81] + wire _T_1958 = _T_1888 & _T_1957; // @[el2_lsu_bus_buffer.scala 427:79] + wire _T_1962 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 428:33] + wire _T_1963 = io_lsu_busreq_m & _T_1962; // @[el2_lsu_bus_buffer.scala 428:22] + wire _T_1964 = _T_1901 | _T_1963; // @[el2_lsu_bus_buffer.scala 427:115] + wire _T_1970 = _T_1964 | _T_1906; // @[el2_lsu_bus_buffer.scala 428:42] + wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 427:81] + wire _T_1972 = _T_1899 & _T_1971; // @[el2_lsu_bus_buffer.scala 427:79] wire [1:0] _T_1973 = _T_1972 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1974 = _T_1958 ? 2'h2 : _T_1973; // @[Mux.scala 98:16] wire [1:0] _T_1975 = _T_1944 ? 2'h1 : _T_1974; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 562:63] - wire _T_2761 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_2762 = buf_rspageQ_0[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2758 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_2759 = buf_rspageQ_0[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2755 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_2756 = buf_rspageQ_0[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2752 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_2753 = buf_rspageQ_0[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 560:63] + wire _T_2761 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] + wire _T_2762 = buf_rspageQ_0[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2758 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] + wire _T_2759 = buf_rspageQ_0[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2755 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] + wire _T_2756 = buf_rspageQ_0[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2752 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] + wire _T_2753 = buf_rspageQ_0[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] wire [3:0] buf_rsp_pickage_0 = {_T_2762,_T_2759,_T_2756,_T_2753}; // @[Cat.scala 29:58] - wire _T_2048 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 442:65] - wire _T_2049 = ~_T_2048; // @[el2_lsu_bus_buffer.scala 442:44] - wire _T_2051 = _T_2049 & _T_2752; // @[el2_lsu_bus_buffer.scala 442:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 562:63] - wire _T_2777 = buf_rspageQ_1[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2774 = buf_rspageQ_1[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2771 = buf_rspageQ_1[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2768 = buf_rspageQ_1[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2048 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 440:65] + wire _T_2049 = ~_T_2048; // @[el2_lsu_bus_buffer.scala 440:44] + wire _T_2051 = _T_2049 & _T_2752; // @[el2_lsu_bus_buffer.scala 440:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 560:63] + wire _T_2777 = buf_rspageQ_1[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2774 = buf_rspageQ_1[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2771 = buf_rspageQ_1[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2768 = buf_rspageQ_1[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] wire [3:0] buf_rsp_pickage_1 = {_T_2777,_T_2774,_T_2771,_T_2768}; // @[Cat.scala 29:58] - wire _T_2052 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 442:65] - wire _T_2053 = ~_T_2052; // @[el2_lsu_bus_buffer.scala 442:44] - wire _T_2055 = _T_2053 & _T_2755; // @[el2_lsu_bus_buffer.scala 442:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 562:63] - wire _T_2792 = buf_rspageQ_2[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2789 = buf_rspageQ_2[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2786 = buf_rspageQ_2[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2783 = buf_rspageQ_2[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2052 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 440:65] + wire _T_2053 = ~_T_2052; // @[el2_lsu_bus_buffer.scala 440:44] + wire _T_2055 = _T_2053 & _T_2755; // @[el2_lsu_bus_buffer.scala 440:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 560:63] + wire _T_2792 = buf_rspageQ_2[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2789 = buf_rspageQ_2[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2786 = buf_rspageQ_2[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2783 = buf_rspageQ_2[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] wire [3:0] buf_rsp_pickage_2 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] - wire _T_2056 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 442:65] - wire _T_2057 = ~_T_2056; // @[el2_lsu_bus_buffer.scala 442:44] - wire _T_2059 = _T_2057 & _T_2758; // @[el2_lsu_bus_buffer.scala 442:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 562:63] - wire _T_2807 = buf_rspageQ_3[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2804 = buf_rspageQ_3[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2801 = buf_rspageQ_3[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2798 = buf_rspageQ_3[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2056 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 440:65] + wire _T_2057 = ~_T_2056; // @[el2_lsu_bus_buffer.scala 440:44] + wire _T_2059 = _T_2057 & _T_2758; // @[el2_lsu_bus_buffer.scala 440:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 560:63] + wire _T_2807 = buf_rspageQ_3[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2804 = buf_rspageQ_3[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2801 = buf_rspageQ_3[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2798 = buf_rspageQ_3[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] wire [3:0] buf_rsp_pickage_3 = {_T_2807,_T_2804,_T_2801,_T_2798}; // @[Cat.scala 29:58] - wire _T_2060 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 442:65] - wire _T_2061 = ~_T_2060; // @[el2_lsu_bus_buffer.scala 442:44] - wire _T_2063 = _T_2061 & _T_2761; // @[el2_lsu_bus_buffer.scala 442:70] + wire _T_2060 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 440:65] + wire _T_2061 = ~_T_2060; // @[el2_lsu_bus_buffer.scala 440:44] + wire _T_2063 = _T_2061 & _T_2761; // @[el2_lsu_bus_buffer.scala 440:70] wire [7:0] _T_2119 = {4'h0,_T_2063,_T_2059,_T_2055,_T_2051}; // @[Cat.scala 29:58] - wire _T_2122 = _T_2119[4] | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 446:42] - wire _T_2124 = _T_2122 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 446:48] - wire _T_2126 = _T_2124 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 446:54] - wire _T_2129 = _T_2119[2] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 446:67] - wire _T_2131 = _T_2129 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 446:73] - wire _T_2133 = _T_2131 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 446:79] - wire _T_2136 = _T_2119[1] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 446:92] - wire _T_2138 = _T_2136 | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 446:98] - wire _T_2140 = _T_2138 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2122 = _T_2119[4] | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 444:42] + wire _T_2124 = _T_2122 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_2126 = _T_2124 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 444:54] + wire _T_2129 = _T_2119[2] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 444:67] + wire _T_2131 = _T_2129 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2133 = _T_2131 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 444:79] + wire _T_2136 = _T_2119[1] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 444:92] + wire _T_2138 = _T_2136 | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 444:98] + wire _T_2140 = _T_2138 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 444:104] wire [2:0] _T_2142 = {_T_2126,_T_2133,_T_2140}; // @[Cat.scala 29:58] - wire _T_3547 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 505:77] - wire _T_3548 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 505:97] - wire _T_3549 = _T_3547 & _T_3548; // @[el2_lsu_bus_buffer.scala 505:95] - wire _T_3550 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] - wire _T_3551 = _T_3549 & _T_3550; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_3552 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 505:144] - wire _T_3553 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] - wire _T_3554 = _T_3552 & _T_3553; // @[el2_lsu_bus_buffer.scala 505:161] - wire _T_3555 = _T_3551 | _T_3554; // @[el2_lsu_bus_buffer.scala 505:132] - wire _T_3556 = _T_845 & _T_3555; // @[el2_lsu_bus_buffer.scala 505:63] - wire _T_3557 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] - wire _T_3558 = ibuf_drain_vld & _T_3557; // @[el2_lsu_bus_buffer.scala 505:201] - wire _T_3559 = _T_3556 | _T_3558; // @[el2_lsu_bus_buffer.scala 505:183] - wire _T_3569 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:46] + wire _T_3547 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 503:77] + wire _T_3548 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 503:97] + wire _T_3549 = _T_3547 & _T_3548; // @[el2_lsu_bus_buffer.scala 503:95] + wire _T_3550 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] + wire _T_3551 = _T_3549 & _T_3550; // @[el2_lsu_bus_buffer.scala 503:112] + wire _T_3552 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 503:144] + wire _T_3553 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] + wire _T_3554 = _T_3552 & _T_3553; // @[el2_lsu_bus_buffer.scala 503:161] + wire _T_3555 = _T_3551 | _T_3554; // @[el2_lsu_bus_buffer.scala 503:132] + wire _T_3556 = _T_845 & _T_3555; // @[el2_lsu_bus_buffer.scala 503:63] + wire _T_3557 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] + wire _T_3558 = ibuf_drain_vld & _T_3557; // @[el2_lsu_bus_buffer.scala 503:201] + wire _T_3559 = _T_3556 | _T_3558; // @[el2_lsu_bus_buffer.scala 503:183] + wire _T_3569 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 510:46] wire _T_3604 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 623:38] - wire _T_3649 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 530:73] - wire _T_3650 = bus_rsp_write & _T_3649; // @[el2_lsu_bus_buffer.scala 530:52] - wire _T_3651 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 531:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 621:38] + wire _T_3649 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 528:73] + wire _T_3650 = bus_rsp_write & _T_3649; // @[el2_lsu_bus_buffer.scala 528:52] + wire _T_3651 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 529:46] reg _T_4322; // @[Reg.scala 27:20] reg _T_4320; // @[Reg.scala 27:20] reg _T_4318; // @[Reg.scala 27:20] reg _T_4316; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4322,_T_4320,_T_4318,_T_4316}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_3653 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_3654 = buf_ldfwd[0] & _T_3653; // @[el2_lsu_bus_buffer.scala 532:27] - wire _T_3655 = _T_3651 | _T_3654; // @[el2_lsu_bus_buffer.scala 531:77] - wire _T_3656 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 533:26] - wire _T_3658 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 533:44] - wire _T_3659 = _T_3656 & _T_3658; // @[el2_lsu_bus_buffer.scala 533:42] - wire _T_3660 = _T_3659 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 533:58] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_3653 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_3654 = buf_ldfwd[0] & _T_3653; // @[el2_lsu_bus_buffer.scala 530:27] + wire _T_3655 = _T_3651 | _T_3654; // @[el2_lsu_bus_buffer.scala 529:77] + wire _T_3656 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 531:26] + wire _T_3658 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 531:44] + wire _T_3659 = _T_3656 & _T_3658; // @[el2_lsu_bus_buffer.scala 531:42] + wire _T_3660 = _T_3659 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 531:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_3661 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_3662 = _T_3660 & _T_3661; // @[el2_lsu_bus_buffer.scala 533:74] - wire _T_3663 = _T_3655 | _T_3662; // @[el2_lsu_bus_buffer.scala 532:71] - wire _T_3664 = bus_rsp_read & _T_3663; // @[el2_lsu_bus_buffer.scala 531:25] - wire _T_3665 = _T_3650 | _T_3664; // @[el2_lsu_bus_buffer.scala 530:105] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_3661 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_3662 = _T_3660 & _T_3661; // @[el2_lsu_bus_buffer.scala 531:74] + wire _T_3663 = _T_3655 | _T_3662; // @[el2_lsu_bus_buffer.scala 530:71] + wire _T_3664 = bus_rsp_read & _T_3663; // @[el2_lsu_bus_buffer.scala 529:25] + wire _T_3665 = _T_3650 | _T_3664; // @[el2_lsu_bus_buffer.scala 528:105] wire _GEN_42 = _T_3604 & _T_3665; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3570 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3566 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3691 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3701 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 545:21] + wire [3:0] _T_3701 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 543:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 545:58] - wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_3703 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_3704 = _T_3701[0] & _T_3703; // @[el2_lsu_bus_buffer.scala 545:38] - wire _T_3705 = _T_3661 | _T_3704; // @[el2_lsu_bus_buffer.scala 544:95] - wire _T_3706 = bus_rsp_read & _T_3705; // @[el2_lsu_bus_buffer.scala 544:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 543:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_3703 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_3704 = _T_3701[0] & _T_3703; // @[el2_lsu_bus_buffer.scala 543:38] + wire _T_3705 = _T_3661 | _T_3704; // @[el2_lsu_bus_buffer.scala 542:95] + wire _T_3706 = bus_rsp_read & _T_3705; // @[el2_lsu_bus_buffer.scala 542:45] wire _GEN_36 = _T_3691 & _T_3706; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3604 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3570 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3566 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3583 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] - wire _T_3584 = _T_3583 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_3583 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] + wire _T_3584 = _T_3583 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] wire _T_3709 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2142[1:0]; // @[el2_lsu_bus_buffer.scala 455:10] - wire _T_3712 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 550:37] - wire _T_3713 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] - wire _T_3714 = buf_dual_0 & _T_3713; // @[el2_lsu_bus_buffer.scala 550:80] - wire _T_3715 = _T_3712 | _T_3714; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_3716 = _T_3715 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire [1:0] RspPtr = _T_2142[1:0]; // @[el2_lsu_bus_buffer.scala 453:10] + wire _T_3712 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 548:37] + wire _T_3713 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] + wire _T_3714 = buf_dual_0 & _T_3713; // @[el2_lsu_bus_buffer.scala 548:80] + wire _T_3715 = _T_3712 | _T_3714; // @[el2_lsu_bus_buffer.scala 548:65] + wire _T_3716 = _T_3715 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] wire _T_3717 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3709 ? _T_3716 : _T_3717; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3691 ? _T_3584 : _GEN_31; // @[Conditional.scala 39:67] @@ -1697,93 +1689,93 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3570 ? _T_3584 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3566 ? _T_3569 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3543 ? _T_3559 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2144 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 467:94] - wire _T_2150 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 469:23] - wire _T_2152 = _T_2150 & _T_3547; // @[el2_lsu_bus_buffer.scala 469:41] - wire _T_2154 = _T_2152 & _T_1869; // @[el2_lsu_bus_buffer.scala 469:71] - wire _T_2156 = _T_2154 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2157 = _T_4481 | _T_2156; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2158 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 470:17] - wire _T_2159 = _T_2158 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 470:35] - wire _T_2161 = _T_2159 & _T_1872; // @[el2_lsu_bus_buffer.scala 470:52] - wire _T_2163 = _T_2161 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2164 = _T_2157 | _T_2163; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2165 = _T_2144 & _T_2164; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2167 = _T_2165 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2181 = _T_2154 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2182 = _T_4486 | _T_2181; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2188 = _T_2161 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2189 = _T_2182 | _T_2188; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2190 = _T_2144 & _T_2189; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2192 = _T_2190 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2206 = _T_2154 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2207 = _T_4491 | _T_2206; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2213 = _T_2161 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2214 = _T_2207 | _T_2213; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2215 = _T_2144 & _T_2214; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2217 = _T_2215 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2231 = _T_2154 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2232 = _T_4496 | _T_2231; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2238 = _T_2161 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2239 = _T_2232 | _T_2238; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2240 = _T_2144 & _T_2239; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2242 = _T_2240 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2144 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 465:94] + wire _T_2150 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 467:23] + wire _T_2152 = _T_2150 & _T_3547; // @[el2_lsu_bus_buffer.scala 467:41] + wire _T_2154 = _T_2152 & _T_1869; // @[el2_lsu_bus_buffer.scala 467:71] + wire _T_2156 = _T_2154 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2157 = _T_4481 | _T_2156; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2158 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 468:17] + wire _T_2159 = _T_2158 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 468:35] + wire _T_2161 = _T_2159 & _T_1870; // @[el2_lsu_bus_buffer.scala 468:52] + wire _T_2163 = _T_2161 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2164 = _T_2157 | _T_2163; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2165 = _T_2144 & _T_2164; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2167 = _T_2165 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2181 = _T_2154 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2182 = _T_4486 | _T_2181; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2188 = _T_2161 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2189 = _T_2182 | _T_2188; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2190 = _T_2144 & _T_2189; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2192 = _T_2190 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2206 = _T_2154 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2207 = _T_4491 | _T_2206; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2213 = _T_2161 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2214 = _T_2207 | _T_2213; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2215 = _T_2144 & _T_2214; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2217 = _T_2215 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2231 = _T_2154 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2232 = _T_4496 | _T_2231; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2238 = _T_2161 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2239 = _T_2232 | _T_2238; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2240 = _T_2144 & _T_2239; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2242 = _T_2240 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 468:97] wire [2:0] _T_2244 = {_T_2242,_T_2217,_T_2192}; // @[Cat.scala 29:58] - wire _T_3743 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] - wire _T_3744 = _T_3549 & _T_3743; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_3746 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] - wire _T_3747 = _T_3552 & _T_3746; // @[el2_lsu_bus_buffer.scala 505:161] - wire _T_3748 = _T_3744 | _T_3747; // @[el2_lsu_bus_buffer.scala 505:132] - wire _T_3749 = _T_845 & _T_3748; // @[el2_lsu_bus_buffer.scala 505:63] - wire _T_3750 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] - wire _T_3751 = ibuf_drain_vld & _T_3750; // @[el2_lsu_bus_buffer.scala 505:201] - wire _T_3752 = _T_3749 | _T_3751; // @[el2_lsu_bus_buffer.scala 505:183] + wire _T_3743 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] + wire _T_3744 = _T_3549 & _T_3743; // @[el2_lsu_bus_buffer.scala 503:112] + wire _T_3746 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] + wire _T_3747 = _T_3552 & _T_3746; // @[el2_lsu_bus_buffer.scala 503:161] + wire _T_3748 = _T_3744 | _T_3747; // @[el2_lsu_bus_buffer.scala 503:132] + wire _T_3749 = _T_845 & _T_3748; // @[el2_lsu_bus_buffer.scala 503:63] + wire _T_3750 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] + wire _T_3751 = ibuf_drain_vld & _T_3750; // @[el2_lsu_bus_buffer.scala 503:201] + wire _T_3752 = _T_3749 | _T_3751; // @[el2_lsu_bus_buffer.scala 503:183] wire _T_3797 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3842 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 530:73] - wire _T_3843 = bus_rsp_write & _T_3842; // @[el2_lsu_bus_buffer.scala 530:52] - wire _T_3844 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 531:46] - wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_3846 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_3847 = buf_ldfwd[1] & _T_3846; // @[el2_lsu_bus_buffer.scala 532:27] - wire _T_3848 = _T_3844 | _T_3847; // @[el2_lsu_bus_buffer.scala 531:77] - wire _T_3849 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 533:26] - wire _T_3851 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 533:44] - wire _T_3852 = _T_3849 & _T_3851; // @[el2_lsu_bus_buffer.scala 533:42] - wire _T_3853 = _T_3852 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_3842 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 528:73] + wire _T_3843 = bus_rsp_write & _T_3842; // @[el2_lsu_bus_buffer.scala 528:52] + wire _T_3844 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 529:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_3846 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_3847 = buf_ldfwd[1] & _T_3846; // @[el2_lsu_bus_buffer.scala 530:27] + wire _T_3848 = _T_3844 | _T_3847; // @[el2_lsu_bus_buffer.scala 529:77] + wire _T_3849 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 531:26] + wire _T_3851 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 531:44] + wire _T_3852 = _T_3849 & _T_3851; // @[el2_lsu_bus_buffer.scala 531:42] + wire _T_3853 = _T_3852 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 531:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_3854 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_3855 = _T_3853 & _T_3854; // @[el2_lsu_bus_buffer.scala 533:74] - wire _T_3856 = _T_3848 | _T_3855; // @[el2_lsu_bus_buffer.scala 532:71] - wire _T_3857 = bus_rsp_read & _T_3856; // @[el2_lsu_bus_buffer.scala 531:25] - wire _T_3858 = _T_3843 | _T_3857; // @[el2_lsu_bus_buffer.scala 530:105] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_3854 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_3855 = _T_3853 & _T_3854; // @[el2_lsu_bus_buffer.scala 531:74] + wire _T_3856 = _T_3848 | _T_3855; // @[el2_lsu_bus_buffer.scala 530:71] + wire _T_3857 = bus_rsp_read & _T_3856; // @[el2_lsu_bus_buffer.scala 529:25] + wire _T_3858 = _T_3843 | _T_3857; // @[el2_lsu_bus_buffer.scala 528:105] wire _GEN_118 = _T_3797 & _T_3858; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3763 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3759 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3884 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3894 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 545:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 545:58] - wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_3896 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_3897 = _T_3894[0] & _T_3896; // @[el2_lsu_bus_buffer.scala 545:38] - wire _T_3898 = _T_3854 | _T_3897; // @[el2_lsu_bus_buffer.scala 544:95] - wire _T_3899 = bus_rsp_read & _T_3898; // @[el2_lsu_bus_buffer.scala 544:45] + wire [3:0] _T_3894 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 543:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 543:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_3896 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_3897 = _T_3894[0] & _T_3896; // @[el2_lsu_bus_buffer.scala 543:38] + wire _T_3898 = _T_3854 | _T_3897; // @[el2_lsu_bus_buffer.scala 542:95] + wire _T_3899 = bus_rsp_read & _T_3898; // @[el2_lsu_bus_buffer.scala 542:45] wire _GEN_112 = _T_3884 & _T_3899; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3797 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3763 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3759 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3776 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] - wire _T_3777 = _T_3776 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_3776 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] + wire _T_3777 = _T_3776 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] wire _T_3902 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3905 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 550:37] - wire _T_3906 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] - wire _T_3907 = buf_dual_1 & _T_3906; // @[el2_lsu_bus_buffer.scala 550:80] - wire _T_3908 = _T_3905 | _T_3907; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_3909 = _T_3908 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire _T_3905 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 548:37] + wire _T_3906 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] + wire _T_3907 = buf_dual_1 & _T_3906; // @[el2_lsu_bus_buffer.scala 548:80] + wire _T_3908 = _T_3905 | _T_3907; // @[el2_lsu_bus_buffer.scala 548:65] + wire _T_3909 = _T_3908 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] wire _T_3910 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3902 ? _T_3909 : _T_3910; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3884 ? _T_3777 : _GEN_107; // @[Conditional.scala 39:67] @@ -1791,89 +1783,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3763 ? _T_3777 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3759 ? _T_3569 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3736 ? _T_3752 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2246 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 467:94] - wire _T_2256 = _T_2152 & _T_1880; // @[el2_lsu_bus_buffer.scala 469:71] - wire _T_2258 = _T_2256 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2259 = _T_4481 | _T_2258; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2263 = _T_2159 & _T_1883; // @[el2_lsu_bus_buffer.scala 470:52] - wire _T_2265 = _T_2263 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2266 = _T_2259 | _T_2265; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2267 = _T_2246 & _T_2266; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2269 = _T_2267 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2283 = _T_2256 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2284 = _T_4486 | _T_2283; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2290 = _T_2263 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2291 = _T_2284 | _T_2290; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2292 = _T_2246 & _T_2291; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2294 = _T_2292 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2308 = _T_2256 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2309 = _T_4491 | _T_2308; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2315 = _T_2263 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2316 = _T_2309 | _T_2315; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2317 = _T_2246 & _T_2316; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2319 = _T_2317 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2333 = _T_2256 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2334 = _T_4496 | _T_2333; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2340 = _T_2263 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2341 = _T_2334 | _T_2340; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2342 = _T_2246 & _T_2341; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2344 = _T_2342 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2246 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 465:94] + wire _T_2256 = _T_2152 & _T_1880; // @[el2_lsu_bus_buffer.scala 467:71] + wire _T_2258 = _T_2256 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2259 = _T_4481 | _T_2258; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2263 = _T_2159 & _T_1881; // @[el2_lsu_bus_buffer.scala 468:52] + wire _T_2265 = _T_2263 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2266 = _T_2259 | _T_2265; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2267 = _T_2246 & _T_2266; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2269 = _T_2267 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2283 = _T_2256 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2284 = _T_4486 | _T_2283; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2290 = _T_2263 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2291 = _T_2284 | _T_2290; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2292 = _T_2246 & _T_2291; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2294 = _T_2292 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2308 = _T_2256 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2309 = _T_4491 | _T_2308; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2315 = _T_2263 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2316 = _T_2309 | _T_2315; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2317 = _T_2246 & _T_2316; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2319 = _T_2317 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2333 = _T_2256 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2334 = _T_4496 | _T_2333; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2340 = _T_2263 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2341 = _T_2334 | _T_2340; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2342 = _T_2246 & _T_2341; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2344 = _T_2342 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 468:97] wire [2:0] _T_2346 = {_T_2344,_T_2319,_T_2294}; // @[Cat.scala 29:58] - wire _T_3936 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] - wire _T_3937 = _T_3549 & _T_3936; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_3939 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] - wire _T_3940 = _T_3552 & _T_3939; // @[el2_lsu_bus_buffer.scala 505:161] - wire _T_3941 = _T_3937 | _T_3940; // @[el2_lsu_bus_buffer.scala 505:132] - wire _T_3942 = _T_845 & _T_3941; // @[el2_lsu_bus_buffer.scala 505:63] - wire _T_3943 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] - wire _T_3944 = ibuf_drain_vld & _T_3943; // @[el2_lsu_bus_buffer.scala 505:201] - wire _T_3945 = _T_3942 | _T_3944; // @[el2_lsu_bus_buffer.scala 505:183] + wire _T_3936 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] + wire _T_3937 = _T_3549 & _T_3936; // @[el2_lsu_bus_buffer.scala 503:112] + wire _T_3939 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] + wire _T_3940 = _T_3552 & _T_3939; // @[el2_lsu_bus_buffer.scala 503:161] + wire _T_3941 = _T_3937 | _T_3940; // @[el2_lsu_bus_buffer.scala 503:132] + wire _T_3942 = _T_845 & _T_3941; // @[el2_lsu_bus_buffer.scala 503:63] + wire _T_3943 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] + wire _T_3944 = ibuf_drain_vld & _T_3943; // @[el2_lsu_bus_buffer.scala 503:201] + wire _T_3945 = _T_3942 | _T_3944; // @[el2_lsu_bus_buffer.scala 503:183] wire _T_3990 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4035 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 530:73] - wire _T_4036 = bus_rsp_write & _T_4035; // @[el2_lsu_bus_buffer.scala 530:52] - wire _T_4037 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 531:46] - wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_4039 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_4040 = buf_ldfwd[2] & _T_4039; // @[el2_lsu_bus_buffer.scala 532:27] - wire _T_4041 = _T_4037 | _T_4040; // @[el2_lsu_bus_buffer.scala 531:77] - wire _T_4042 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 533:26] - wire _T_4044 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 533:44] - wire _T_4045 = _T_4042 & _T_4044; // @[el2_lsu_bus_buffer.scala 533:42] - wire _T_4046 = _T_4045 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_4035 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 528:73] + wire _T_4036 = bus_rsp_write & _T_4035; // @[el2_lsu_bus_buffer.scala 528:52] + wire _T_4037 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 529:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_4039 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_4040 = buf_ldfwd[2] & _T_4039; // @[el2_lsu_bus_buffer.scala 530:27] + wire _T_4041 = _T_4037 | _T_4040; // @[el2_lsu_bus_buffer.scala 529:77] + wire _T_4042 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 531:26] + wire _T_4044 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 531:44] + wire _T_4045 = _T_4042 & _T_4044; // @[el2_lsu_bus_buffer.scala 531:42] + wire _T_4046 = _T_4045 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 531:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_4047 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_4048 = _T_4046 & _T_4047; // @[el2_lsu_bus_buffer.scala 533:74] - wire _T_4049 = _T_4041 | _T_4048; // @[el2_lsu_bus_buffer.scala 532:71] - wire _T_4050 = bus_rsp_read & _T_4049; // @[el2_lsu_bus_buffer.scala 531:25] - wire _T_4051 = _T_4036 | _T_4050; // @[el2_lsu_bus_buffer.scala 530:105] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_4047 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_4048 = _T_4046 & _T_4047; // @[el2_lsu_bus_buffer.scala 531:74] + wire _T_4049 = _T_4041 | _T_4048; // @[el2_lsu_bus_buffer.scala 530:71] + wire _T_4050 = bus_rsp_read & _T_4049; // @[el2_lsu_bus_buffer.scala 529:25] + wire _T_4051 = _T_4036 | _T_4050; // @[el2_lsu_bus_buffer.scala 528:105] wire _GEN_194 = _T_3990 & _T_4051; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3956 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3952 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_4077 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4087 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 545:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 545:58] - wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_4089 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_4090 = _T_4087[0] & _T_4089; // @[el2_lsu_bus_buffer.scala 545:38] - wire _T_4091 = _T_4047 | _T_4090; // @[el2_lsu_bus_buffer.scala 544:95] - wire _T_4092 = bus_rsp_read & _T_4091; // @[el2_lsu_bus_buffer.scala 544:45] + wire [3:0] _T_4087 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 543:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 543:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_4089 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_4090 = _T_4087[0] & _T_4089; // @[el2_lsu_bus_buffer.scala 543:38] + wire _T_4091 = _T_4047 | _T_4090; // @[el2_lsu_bus_buffer.scala 542:95] + wire _T_4092 = bus_rsp_read & _T_4091; // @[el2_lsu_bus_buffer.scala 542:45] wire _GEN_188 = _T_4077 & _T_4092; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3990 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3956 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3952 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3969 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] - wire _T_3970 = _T_3969 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_3969 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] + wire _T_3970 = _T_3969 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] wire _T_4095 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4098 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 550:37] - wire _T_4099 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] - wire _T_4100 = buf_dual_2 & _T_4099; // @[el2_lsu_bus_buffer.scala 550:80] - wire _T_4101 = _T_4098 | _T_4100; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_4102 = _T_4101 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire _T_4098 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 548:37] + wire _T_4099 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] + wire _T_4100 = buf_dual_2 & _T_4099; // @[el2_lsu_bus_buffer.scala 548:80] + wire _T_4101 = _T_4098 | _T_4100; // @[el2_lsu_bus_buffer.scala 548:65] + wire _T_4102 = _T_4101 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] wire _T_4103 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_4095 ? _T_4102 : _T_4103; // @[Conditional.scala 39:67] wire _GEN_189 = _T_4077 ? _T_3970 : _GEN_183; // @[Conditional.scala 39:67] @@ -1881,89 +1873,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3956 ? _T_3970 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3952 ? _T_3569 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3929 ? _T_3945 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2348 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 467:94] - wire _T_2358 = _T_2152 & _T_1891; // @[el2_lsu_bus_buffer.scala 469:71] - wire _T_2360 = _T_2358 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2361 = _T_4481 | _T_2360; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2365 = _T_2159 & _T_1894; // @[el2_lsu_bus_buffer.scala 470:52] - wire _T_2367 = _T_2365 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2368 = _T_2361 | _T_2367; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2369 = _T_2348 & _T_2368; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2371 = _T_2369 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2385 = _T_2358 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2386 = _T_4486 | _T_2385; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2392 = _T_2365 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2393 = _T_2386 | _T_2392; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2394 = _T_2348 & _T_2393; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2396 = _T_2394 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2410 = _T_2358 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2411 = _T_4491 | _T_2410; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2417 = _T_2365 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2418 = _T_2411 | _T_2417; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2419 = _T_2348 & _T_2418; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2421 = _T_2419 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2435 = _T_2358 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2436 = _T_4496 | _T_2435; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2442 = _T_2365 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2443 = _T_2436 | _T_2442; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2444 = _T_2348 & _T_2443; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2446 = _T_2444 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2348 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 465:94] + wire _T_2358 = _T_2152 & _T_1891; // @[el2_lsu_bus_buffer.scala 467:71] + wire _T_2360 = _T_2358 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2361 = _T_4481 | _T_2360; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2365 = _T_2159 & _T_1892; // @[el2_lsu_bus_buffer.scala 468:52] + wire _T_2367 = _T_2365 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2368 = _T_2361 | _T_2367; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2369 = _T_2348 & _T_2368; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2371 = _T_2369 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2385 = _T_2358 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2386 = _T_4486 | _T_2385; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2392 = _T_2365 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2393 = _T_2386 | _T_2392; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2394 = _T_2348 & _T_2393; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2396 = _T_2394 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2410 = _T_2358 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2411 = _T_4491 | _T_2410; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2417 = _T_2365 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2418 = _T_2411 | _T_2417; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2419 = _T_2348 & _T_2418; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2421 = _T_2419 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2435 = _T_2358 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2436 = _T_4496 | _T_2435; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2442 = _T_2365 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2443 = _T_2436 | _T_2442; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2444 = _T_2348 & _T_2443; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2446 = _T_2444 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 468:97] wire [2:0] _T_2448 = {_T_2446,_T_2421,_T_2396}; // @[Cat.scala 29:58] - wire _T_4129 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] - wire _T_4130 = _T_3549 & _T_4129; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_4132 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] - wire _T_4133 = _T_3552 & _T_4132; // @[el2_lsu_bus_buffer.scala 505:161] - wire _T_4134 = _T_4130 | _T_4133; // @[el2_lsu_bus_buffer.scala 505:132] - wire _T_4135 = _T_845 & _T_4134; // @[el2_lsu_bus_buffer.scala 505:63] - wire _T_4136 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] - wire _T_4137 = ibuf_drain_vld & _T_4136; // @[el2_lsu_bus_buffer.scala 505:201] - wire _T_4138 = _T_4135 | _T_4137; // @[el2_lsu_bus_buffer.scala 505:183] + wire _T_4129 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] + wire _T_4130 = _T_3549 & _T_4129; // @[el2_lsu_bus_buffer.scala 503:112] + wire _T_4132 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] + wire _T_4133 = _T_3552 & _T_4132; // @[el2_lsu_bus_buffer.scala 503:161] + wire _T_4134 = _T_4130 | _T_4133; // @[el2_lsu_bus_buffer.scala 503:132] + wire _T_4135 = _T_845 & _T_4134; // @[el2_lsu_bus_buffer.scala 503:63] + wire _T_4136 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] + wire _T_4137 = ibuf_drain_vld & _T_4136; // @[el2_lsu_bus_buffer.scala 503:201] + wire _T_4138 = _T_4135 | _T_4137; // @[el2_lsu_bus_buffer.scala 503:183] wire _T_4183 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4228 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 530:73] - wire _T_4229 = bus_rsp_write & _T_4228; // @[el2_lsu_bus_buffer.scala 530:52] - wire _T_4230 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 531:46] - wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_4232 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_4233 = buf_ldfwd[3] & _T_4232; // @[el2_lsu_bus_buffer.scala 532:27] - wire _T_4234 = _T_4230 | _T_4233; // @[el2_lsu_bus_buffer.scala 531:77] - wire _T_4235 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 533:26] - wire _T_4237 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 533:44] - wire _T_4238 = _T_4235 & _T_4237; // @[el2_lsu_bus_buffer.scala 533:42] - wire _T_4239 = _T_4238 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_4228 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 528:73] + wire _T_4229 = bus_rsp_write & _T_4228; // @[el2_lsu_bus_buffer.scala 528:52] + wire _T_4230 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 529:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_4232 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_4233 = buf_ldfwd[3] & _T_4232; // @[el2_lsu_bus_buffer.scala 530:27] + wire _T_4234 = _T_4230 | _T_4233; // @[el2_lsu_bus_buffer.scala 529:77] + wire _T_4235 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 531:26] + wire _T_4237 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 531:44] + wire _T_4238 = _T_4235 & _T_4237; // @[el2_lsu_bus_buffer.scala 531:42] + wire _T_4239 = _T_4238 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 531:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_4240 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_4241 = _T_4239 & _T_4240; // @[el2_lsu_bus_buffer.scala 533:74] - wire _T_4242 = _T_4234 | _T_4241; // @[el2_lsu_bus_buffer.scala 532:71] - wire _T_4243 = bus_rsp_read & _T_4242; // @[el2_lsu_bus_buffer.scala 531:25] - wire _T_4244 = _T_4229 | _T_4243; // @[el2_lsu_bus_buffer.scala 530:105] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_4240 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_4241 = _T_4239 & _T_4240; // @[el2_lsu_bus_buffer.scala 531:74] + wire _T_4242 = _T_4234 | _T_4241; // @[el2_lsu_bus_buffer.scala 530:71] + wire _T_4243 = bus_rsp_read & _T_4242; // @[el2_lsu_bus_buffer.scala 529:25] + wire _T_4244 = _T_4229 | _T_4243; // @[el2_lsu_bus_buffer.scala 528:105] wire _GEN_270 = _T_4183 & _T_4244; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4149 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4145 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4270 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4280 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 545:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 545:58] - wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_4282 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_4283 = _T_4280[0] & _T_4282; // @[el2_lsu_bus_buffer.scala 545:38] - wire _T_4284 = _T_4240 | _T_4283; // @[el2_lsu_bus_buffer.scala 544:95] - wire _T_4285 = bus_rsp_read & _T_4284; // @[el2_lsu_bus_buffer.scala 544:45] + wire [3:0] _T_4280 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 543:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 543:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_4282 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_4283 = _T_4280[0] & _T_4282; // @[el2_lsu_bus_buffer.scala 543:38] + wire _T_4284 = _T_4240 | _T_4283; // @[el2_lsu_bus_buffer.scala 542:95] + wire _T_4285 = bus_rsp_read & _T_4284; // @[el2_lsu_bus_buffer.scala 542:45] wire _GEN_264 = _T_4270 & _T_4285; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4183 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4149 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4145 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4162 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] - wire _T_4163 = _T_4162 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_4162 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] + wire _T_4163 = _T_4162 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] wire _T_4288 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4291 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 550:37] - wire _T_4292 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] - wire _T_4293 = buf_dual_3 & _T_4292; // @[el2_lsu_bus_buffer.scala 550:80] - wire _T_4294 = _T_4291 | _T_4293; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_4295 = _T_4294 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire _T_4291 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 548:37] + wire _T_4292 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] + wire _T_4293 = buf_dual_3 & _T_4292; // @[el2_lsu_bus_buffer.scala 548:80] + wire _T_4294 = _T_4291 | _T_4293; // @[el2_lsu_bus_buffer.scala 548:65] + wire _T_4295 = _T_4294 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] wire _T_4296 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4288 ? _T_4295 : _T_4296; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4270 ? _T_4163 : _GEN_259; // @[Conditional.scala 39:67] @@ -1971,228 +1963,228 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_4149 ? _T_4163 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4145 ? _T_3569 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4122 ? _T_4138 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2450 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 467:94] - wire _T_2460 = _T_2152 & _T_1902; // @[el2_lsu_bus_buffer.scala 469:71] - wire _T_2462 = _T_2460 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2463 = _T_4481 | _T_2462; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2467 = _T_2159 & _T_1905; // @[el2_lsu_bus_buffer.scala 470:52] - wire _T_2469 = _T_2467 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2470 = _T_2463 | _T_2469; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2471 = _T_2450 & _T_2470; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2473 = _T_2471 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2487 = _T_2460 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2488 = _T_4486 | _T_2487; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2494 = _T_2467 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2495 = _T_2488 | _T_2494; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2496 = _T_2450 & _T_2495; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2498 = _T_2496 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2512 = _T_2460 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2513 = _T_4491 | _T_2512; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2519 = _T_2467 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2520 = _T_2513 | _T_2519; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2521 = _T_2450 & _T_2520; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2523 = _T_2521 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2537 = _T_2460 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2538 = _T_4496 | _T_2537; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2544 = _T_2467 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2545 = _T_2538 | _T_2544; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2546 = _T_2450 & _T_2545; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2548 = _T_2546 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2450 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 465:94] + wire _T_2460 = _T_2152 & _T_1902; // @[el2_lsu_bus_buffer.scala 467:71] + wire _T_2462 = _T_2460 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2463 = _T_4481 | _T_2462; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2467 = _T_2159 & _T_1903; // @[el2_lsu_bus_buffer.scala 468:52] + wire _T_2469 = _T_2467 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2470 = _T_2463 | _T_2469; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2471 = _T_2450 & _T_2470; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2473 = _T_2471 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2487 = _T_2460 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2488 = _T_4486 | _T_2487; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2494 = _T_2467 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2495 = _T_2488 | _T_2494; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2496 = _T_2450 & _T_2495; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2498 = _T_2496 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2512 = _T_2460 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2513 = _T_4491 | _T_2512; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2519 = _T_2467 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2520 = _T_2513 | _T_2519; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2521 = _T_2450 & _T_2520; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2523 = _T_2521 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2537 = _T_2460 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2538 = _T_4496 | _T_2537; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2544 = _T_2467 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2545 = _T_2538 | _T_2544; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2546 = _T_2450 & _T_2545; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2548 = _T_2546 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 468:97] wire [2:0] _T_2550 = {_T_2548,_T_2523,_T_2498}; // @[Cat.scala 29:58] - wire _T_2814 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] - wire _T_2815 = _T_1866 | _T_2814; // @[el2_lsu_bus_buffer.scala 478:34] - wire _T_2816 = ~_T_2815; // @[el2_lsu_bus_buffer.scala 478:8] - wire _T_2824 = _T_2816 | _T_2156; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2831 = _T_2824 | _T_2163; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2832 = _T_2144 & _T_2831; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2836 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] - wire _T_2837 = _T_1877 | _T_2836; // @[el2_lsu_bus_buffer.scala 478:34] - wire _T_2838 = ~_T_2837; // @[el2_lsu_bus_buffer.scala 478:8] - wire _T_2846 = _T_2838 | _T_2181; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2853 = _T_2846 | _T_2188; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2854 = _T_2144 & _T_2853; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2858 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] - wire _T_2859 = _T_1888 | _T_2858; // @[el2_lsu_bus_buffer.scala 478:34] - wire _T_2860 = ~_T_2859; // @[el2_lsu_bus_buffer.scala 478:8] - wire _T_2868 = _T_2860 | _T_2206; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2875 = _T_2868 | _T_2213; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2876 = _T_2144 & _T_2875; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2880 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] - wire _T_2881 = _T_1899 | _T_2880; // @[el2_lsu_bus_buffer.scala 478:34] - wire _T_2882 = ~_T_2881; // @[el2_lsu_bus_buffer.scala 478:8] - wire _T_2890 = _T_2882 | _T_2231; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2897 = _T_2890 | _T_2238; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2898 = _T_2144 & _T_2897; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_2814 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] + wire _T_2815 = _T_1866 | _T_2814; // @[el2_lsu_bus_buffer.scala 476:34] + wire _T_2816 = ~_T_2815; // @[el2_lsu_bus_buffer.scala 476:8] + wire _T_2824 = _T_2816 | _T_2156; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2831 = _T_2824 | _T_2163; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2832 = _T_2144 & _T_2831; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2836 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] + wire _T_2837 = _T_1877 | _T_2836; // @[el2_lsu_bus_buffer.scala 476:34] + wire _T_2838 = ~_T_2837; // @[el2_lsu_bus_buffer.scala 476:8] + wire _T_2846 = _T_2838 | _T_2181; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2853 = _T_2846 | _T_2188; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2854 = _T_2144 & _T_2853; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2858 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] + wire _T_2859 = _T_1888 | _T_2858; // @[el2_lsu_bus_buffer.scala 476:34] + wire _T_2860 = ~_T_2859; // @[el2_lsu_bus_buffer.scala 476:8] + wire _T_2868 = _T_2860 | _T_2206; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2875 = _T_2868 | _T_2213; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2876 = _T_2144 & _T_2875; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2880 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] + wire _T_2881 = _T_1899 | _T_2880; // @[el2_lsu_bus_buffer.scala 476:34] + wire _T_2882 = ~_T_2881; // @[el2_lsu_bus_buffer.scala 476:8] + wire _T_2890 = _T_2882 | _T_2231; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2897 = _T_2890 | _T_2238; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2898 = _T_2144 & _T_2897; // @[el2_lsu_bus_buffer.scala 475:114] wire [3:0] buf_rspage_set_0 = {_T_2898,_T_2876,_T_2854,_T_2832}; // @[Cat.scala 29:58] - wire _T_2915 = _T_2816 | _T_2258; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2922 = _T_2915 | _T_2265; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2923 = _T_2246 & _T_2922; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2937 = _T_2838 | _T_2283; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2944 = _T_2937 | _T_2290; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2945 = _T_2246 & _T_2944; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2959 = _T_2860 | _T_2308; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2966 = _T_2959 | _T_2315; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2967 = _T_2246 & _T_2966; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2981 = _T_2882 | _T_2333; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2988 = _T_2981 | _T_2340; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2989 = _T_2246 & _T_2988; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_2915 = _T_2816 | _T_2258; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2922 = _T_2915 | _T_2265; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2923 = _T_2246 & _T_2922; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2937 = _T_2838 | _T_2283; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2944 = _T_2937 | _T_2290; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2945 = _T_2246 & _T_2944; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2959 = _T_2860 | _T_2308; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2966 = _T_2959 | _T_2315; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2967 = _T_2246 & _T_2966; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2981 = _T_2882 | _T_2333; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2988 = _T_2981 | _T_2340; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2989 = _T_2246 & _T_2988; // @[el2_lsu_bus_buffer.scala 475:114] wire [3:0] buf_rspage_set_1 = {_T_2989,_T_2967,_T_2945,_T_2923}; // @[Cat.scala 29:58] - wire _T_3006 = _T_2816 | _T_2360; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3013 = _T_3006 | _T_2367; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3014 = _T_2348 & _T_3013; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3028 = _T_2838 | _T_2385; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3035 = _T_3028 | _T_2392; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3036 = _T_2348 & _T_3035; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3050 = _T_2860 | _T_2410; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3057 = _T_3050 | _T_2417; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3058 = _T_2348 & _T_3057; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3072 = _T_2882 | _T_2435; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3079 = _T_3072 | _T_2442; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3080 = _T_2348 & _T_3079; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_3006 = _T_2816 | _T_2360; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3013 = _T_3006 | _T_2367; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3014 = _T_2348 & _T_3013; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3028 = _T_2838 | _T_2385; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3035 = _T_3028 | _T_2392; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3036 = _T_2348 & _T_3035; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3050 = _T_2860 | _T_2410; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3057 = _T_3050 | _T_2417; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3058 = _T_2348 & _T_3057; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3072 = _T_2882 | _T_2435; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3079 = _T_3072 | _T_2442; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3080 = _T_2348 & _T_3079; // @[el2_lsu_bus_buffer.scala 475:114] wire [3:0] buf_rspage_set_2 = {_T_3080,_T_3058,_T_3036,_T_3014}; // @[Cat.scala 29:58] - wire _T_3097 = _T_2816 | _T_2462; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3104 = _T_3097 | _T_2469; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3105 = _T_2450 & _T_3104; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3119 = _T_2838 | _T_2487; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3126 = _T_3119 | _T_2494; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3127 = _T_2450 & _T_3126; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3141 = _T_2860 | _T_2512; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3148 = _T_3141 | _T_2519; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3149 = _T_2450 & _T_3148; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3163 = _T_2882 | _T_2537; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3170 = _T_3163 | _T_2544; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3171 = _T_2450 & _T_3170; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_3097 = _T_2816 | _T_2462; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3104 = _T_3097 | _T_2469; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3105 = _T_2450 & _T_3104; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3119 = _T_2838 | _T_2487; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3126 = _T_3119 | _T_2494; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3127 = _T_2450 & _T_3126; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3141 = _T_2860 | _T_2512; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3148 = _T_3141 | _T_2519; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3149 = _T_2450 & _T_3148; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3163 = _T_2882 | _T_2537; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3170 = _T_3163 | _T_2544; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3171 = _T_2450 & _T_3170; // @[el2_lsu_bus_buffer.scala 475:114] wire [3:0] buf_rspage_set_3 = {_T_3171,_T_3149,_T_3127,_T_3105}; // @[Cat.scala 29:58] - wire _T_3256 = _T_2880 | _T_1899; // @[el2_lsu_bus_buffer.scala 482:112] - wire _T_3257 = ~_T_3256; // @[el2_lsu_bus_buffer.scala 482:86] - wire _T_3258 = buf_rspageQ_0[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3250 = _T_2858 | _T_1888; // @[el2_lsu_bus_buffer.scala 482:112] - wire _T_3251 = ~_T_3250; // @[el2_lsu_bus_buffer.scala 482:86] - wire _T_3252 = buf_rspageQ_0[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3244 = _T_2836 | _T_1877; // @[el2_lsu_bus_buffer.scala 482:112] - wire _T_3245 = ~_T_3244; // @[el2_lsu_bus_buffer.scala 482:86] - wire _T_3246 = buf_rspageQ_0[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3238 = _T_2814 | _T_1866; // @[el2_lsu_bus_buffer.scala 482:112] - wire _T_3239 = ~_T_3238; // @[el2_lsu_bus_buffer.scala 482:86] - wire _T_3240 = buf_rspageQ_0[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3256 = _T_2880 | _T_1899; // @[el2_lsu_bus_buffer.scala 480:112] + wire _T_3257 = ~_T_3256; // @[el2_lsu_bus_buffer.scala 480:86] + wire _T_3258 = buf_rspageQ_0[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3250 = _T_2858 | _T_1888; // @[el2_lsu_bus_buffer.scala 480:112] + wire _T_3251 = ~_T_3250; // @[el2_lsu_bus_buffer.scala 480:86] + wire _T_3252 = buf_rspageQ_0[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3244 = _T_2836 | _T_1877; // @[el2_lsu_bus_buffer.scala 480:112] + wire _T_3245 = ~_T_3244; // @[el2_lsu_bus_buffer.scala 480:86] + wire _T_3246 = buf_rspageQ_0[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3238 = _T_2814 | _T_1866; // @[el2_lsu_bus_buffer.scala 480:112] + wire _T_3239 = ~_T_3238; // @[el2_lsu_bus_buffer.scala 480:86] + wire _T_3240 = buf_rspageQ_0[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] wire [3:0] buf_rspage_0 = {_T_3258,_T_3252,_T_3246,_T_3240}; // @[Cat.scala 29:58] - wire _T_3177 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3180 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3183 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3186 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3177 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3180 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3183 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3186 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 479:90] wire [2:0] _T_3188 = {_T_3186,_T_3183,_T_3180}; // @[Cat.scala 29:58] - wire _T_3285 = buf_rspageQ_1[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3279 = buf_rspageQ_1[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3273 = buf_rspageQ_1[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3267 = buf_rspageQ_1[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3285 = buf_rspageQ_1[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3279 = buf_rspageQ_1[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3273 = buf_rspageQ_1[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3267 = buf_rspageQ_1[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] wire [3:0] buf_rspage_1 = {_T_3285,_T_3279,_T_3273,_T_3267}; // @[Cat.scala 29:58] - wire _T_3192 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3195 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3198 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3201 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3192 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3195 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3198 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3201 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 479:90] wire [2:0] _T_3203 = {_T_3201,_T_3198,_T_3195}; // @[Cat.scala 29:58] - wire _T_3312 = buf_rspageQ_2[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3306 = buf_rspageQ_2[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3300 = buf_rspageQ_2[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3294 = buf_rspageQ_2[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3312 = buf_rspageQ_2[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3306 = buf_rspageQ_2[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3300 = buf_rspageQ_2[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3294 = buf_rspageQ_2[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] wire [3:0] buf_rspage_2 = {_T_3312,_T_3306,_T_3300,_T_3294}; // @[Cat.scala 29:58] - wire _T_3207 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3210 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3213 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3216 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3207 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3210 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3213 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3216 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 479:90] wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] - wire _T_3339 = buf_rspageQ_3[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3333 = buf_rspageQ_3[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3327 = buf_rspageQ_3[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3321 = buf_rspageQ_3[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3339 = buf_rspageQ_3[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3333 = buf_rspageQ_3[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3327 = buf_rspageQ_3[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3321 = buf_rspageQ_3[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] wire [3:0] buf_rspage_3 = {_T_3339,_T_3333,_T_3327,_T_3321}; // @[Cat.scala 29:58] - wire _T_3222 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3225 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3228 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3231 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3222 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3225 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3228 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3231 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 479:90] wire [2:0] _T_3233 = {_T_3231,_T_3228,_T_3225}; // @[Cat.scala 29:58] - wire _T_3344 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 487:65] - wire _T_3346 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 487:65] - wire _T_3348 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 487:65] - wire _T_3350 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 487:65] + wire _T_3344 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 485:65] + wire _T_3346 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 485:65] + wire _T_3348 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 485:65] + wire _T_3350 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 485:65] wire [3:0] ibuf_drainvec_vld = {_T_3350,_T_3348,_T_3346,_T_3344}; // @[Cat.scala 29:58] - wire _T_3358 = _T_3552 & _T_1872; // @[el2_lsu_bus_buffer.scala 489:37] - wire _T_3367 = _T_3552 & _T_1883; // @[el2_lsu_bus_buffer.scala 489:37] - wire _T_3376 = _T_3552 & _T_1894; // @[el2_lsu_bus_buffer.scala 489:37] - wire _T_3385 = _T_3552 & _T_1905; // @[el2_lsu_bus_buffer.scala 489:37] - wire _T_3415 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3417 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3419 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3421 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_3358 = _T_3552 & _T_1870; // @[el2_lsu_bus_buffer.scala 487:37] + wire _T_3367 = _T_3552 & _T_1881; // @[el2_lsu_bus_buffer.scala 487:37] + wire _T_3376 = _T_3552 & _T_1892; // @[el2_lsu_bus_buffer.scala 487:37] + wire _T_3385 = _T_3552 & _T_1903; // @[el2_lsu_bus_buffer.scala 487:37] + wire _T_3415 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] + wire _T_3417 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] + wire _T_3419 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] + wire _T_3421 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] wire [3:0] buf_dual_in = {_T_3421,_T_3419,_T_3417,_T_3415}; // @[Cat.scala 29:58] - wire _T_3426 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] - wire _T_3428 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] - wire _T_3430 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] - wire _T_3432 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] + wire _T_3426 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] + wire _T_3428 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] + wire _T_3430 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] + wire _T_3432 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] wire [3:0] buf_samedw_in = {_T_3432,_T_3430,_T_3428,_T_3426}; // @[Cat.scala 29:58] - wire _T_3437 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 493:86] - wire _T_3438 = ibuf_drainvec_vld[0] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] - wire _T_3441 = ibuf_drainvec_vld[1] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] - wire _T_3444 = ibuf_drainvec_vld[2] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] - wire _T_3447 = ibuf_drainvec_vld[3] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] + wire _T_3437 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 491:86] + wire _T_3438 = ibuf_drainvec_vld[0] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] + wire _T_3441 = ibuf_drainvec_vld[1] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] + wire _T_3444 = ibuf_drainvec_vld[2] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] + wire _T_3447 = ibuf_drainvec_vld[3] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] wire [3:0] buf_nomerge_in = {_T_3447,_T_3444,_T_3441,_T_3438}; // @[Cat.scala 29:58] - wire _T_3455 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3358; // @[el2_lsu_bus_buffer.scala 494:49] - wire _T_3460 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3367; // @[el2_lsu_bus_buffer.scala 494:49] - wire _T_3465 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3376; // @[el2_lsu_bus_buffer.scala 494:49] - wire _T_3470 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3385; // @[el2_lsu_bus_buffer.scala 494:49] + wire _T_3455 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3358; // @[el2_lsu_bus_buffer.scala 492:49] + wire _T_3460 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3367; // @[el2_lsu_bus_buffer.scala 492:49] + wire _T_3465 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3376; // @[el2_lsu_bus_buffer.scala 492:49] + wire _T_3470 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3385; // @[el2_lsu_bus_buffer.scala 492:49] wire [3:0] buf_dualhi_in = {_T_3470,_T_3465,_T_3460,_T_3455}; // @[Cat.scala 29:58] - wire _T_3499 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] - wire _T_3501 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] - wire _T_3503 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] - wire _T_3505 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] + wire _T_3499 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3501 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3503 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3505 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] wire [3:0] buf_sideeffect_in = {_T_3505,_T_3503,_T_3501,_T_3499}; // @[Cat.scala 29:58] - wire _T_3510 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] - wire _T_3512 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] - wire _T_3514 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] - wire _T_3516 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] + wire _T_3510 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] + wire _T_3512 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] + wire _T_3514 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] + wire _T_3516 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] wire [3:0] buf_unsign_in = {_T_3516,_T_3514,_T_3512,_T_3510}; // @[Cat.scala 29:58] - wire _T_3533 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] - wire _T_3535 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] - wire _T_3537 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] - wire _T_3539 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] + wire _T_3533 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] + wire _T_3535 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] + wire _T_3537 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] + wire _T_3539 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] wire [3:0] buf_write_in = {_T_3539,_T_3537,_T_3535,_T_3533}; // @[Cat.scala 29:58] - wire _T_3572 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 515:89] - wire _T_3574 = _T_3572 & _T_1364; // @[el2_lsu_bus_buffer.scala 515:104] - wire _T_3587 = buf_state_en_0 & _T_3658; // @[el2_lsu_bus_buffer.scala 520:44] - wire _T_3588 = _T_3587 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_3590 = _T_3588 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] - wire _T_3593 = _T_3583 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] - wire _T_3594 = _T_3593 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] - wire _T_4879 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 627:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4879; // @[el2_lsu_bus_buffer.scala 627:38] - wire _T_3597 = _T_3593 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] - wire _T_3672 = bus_rsp_read_error & _T_3651; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_3674 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 538:31] - wire _T_3676 = _T_3674 & _T_3653; // @[el2_lsu_bus_buffer.scala 538:46] - wire _T_3677 = _T_3672 | _T_3676; // @[el2_lsu_bus_buffer.scala 537:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4879; // @[el2_lsu_bus_buffer.scala 626:40] - wire _T_3680 = bus_rsp_write_error & _T_3649; // @[el2_lsu_bus_buffer.scala 539:53] - wire _T_3681 = _T_3677 | _T_3680; // @[el2_lsu_bus_buffer.scala 538:88] - wire _T_3682 = _T_3583 & _T_3681; // @[el2_lsu_bus_buffer.scala 537:68] + wire _T_3572 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:89] + wire _T_3574 = _T_3572 & _T_1364; // @[el2_lsu_bus_buffer.scala 513:104] + wire _T_3587 = buf_state_en_0 & _T_3658; // @[el2_lsu_bus_buffer.scala 518:44] + wire _T_3588 = _T_3587 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] + wire _T_3590 = _T_3588 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] + wire _T_3593 = _T_3583 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] + wire _T_3594 = _T_3593 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] + wire _T_4879 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 625:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4879; // @[el2_lsu_bus_buffer.scala 625:38] + wire _T_3597 = _T_3593 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] + wire _T_3672 = bus_rsp_read_error & _T_3651; // @[el2_lsu_bus_buffer.scala 535:91] + wire _T_3674 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 536:31] + wire _T_3676 = _T_3674 & _T_3653; // @[el2_lsu_bus_buffer.scala 536:46] + wire _T_3677 = _T_3672 | _T_3676; // @[el2_lsu_bus_buffer.scala 535:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4879; // @[el2_lsu_bus_buffer.scala 624:40] + wire _T_3680 = bus_rsp_write_error & _T_3649; // @[el2_lsu_bus_buffer.scala 537:53] + wire _T_3681 = _T_3677 | _T_3680; // @[el2_lsu_bus_buffer.scala 536:88] + wire _T_3682 = _T_3583 & _T_3681; // @[el2_lsu_bus_buffer.scala 535:68] wire _GEN_46 = _T_3604 & _T_3682; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3570 ? _T_3597 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3566 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3543 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3607 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 527:73] - wire _T_3608 = buf_write[0] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] - wire _T_3609 = io_dec_tlu_force_halt | _T_3608; // @[el2_lsu_bus_buffer.scala 527:55] - wire _T_3611 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 528:30] - wire _T_3612 = buf_dual_0 & _T_3611; // @[el2_lsu_bus_buffer.scala 528:28] - wire _T_3615 = _T_3612 & _T_3658; // @[el2_lsu_bus_buffer.scala 528:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_3616 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_3617 = _T_3615 & _T_3616; // @[el2_lsu_bus_buffer.scala 528:61] - wire _T_4504 = _T_2761 | _T_2758; // @[el2_lsu_bus_buffer.scala 586:93] - wire _T_4505 = _T_4504 | _T_2755; // @[el2_lsu_bus_buffer.scala 586:93] - wire any_done_wait_state = _T_4505 | _T_2752; // @[el2_lsu_bus_buffer.scala 586:93] - wire _T_3619 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3607 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 525:73] + wire _T_3608 = buf_write[0] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] + wire _T_3609 = io_dec_tlu_force_halt | _T_3608; // @[el2_lsu_bus_buffer.scala 525:55] + wire _T_3611 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 526:30] + wire _T_3612 = buf_dual_0 & _T_3611; // @[el2_lsu_bus_buffer.scala 526:28] + wire _T_3615 = _T_3612 & _T_3658; // @[el2_lsu_bus_buffer.scala 526:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_3616 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_3617 = _T_3615 & _T_3616; // @[el2_lsu_bus_buffer.scala 526:61] + wire _T_4504 = _T_2761 | _T_2758; // @[el2_lsu_bus_buffer.scala 584:93] + wire _T_4505 = _T_4504 | _T_2755; // @[el2_lsu_bus_buffer.scala 584:93] + wire any_done_wait_state = _T_4505 | _T_2752; // @[el2_lsu_bus_buffer.scala 584:93] + wire _T_3619 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] wire _T_3625 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_3627 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_3629 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] @@ -2204,17 +2196,17 @@ module el2_lsu_bus_buffer( wire _T_3637 = _T_3633 | _T_3634; // @[Mux.scala 27:72] wire _T_3638 = _T_3637 | _T_3635; // @[Mux.scala 27:72] wire _T_3639 = _T_3638 | _T_3636; // @[Mux.scala 27:72] - wire _T_3641 = _T_3615 & _T_3639; // @[el2_lsu_bus_buffer.scala 529:101] - wire _T_3642 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] - wire _T_3643 = _T_3641 & _T_3642; // @[el2_lsu_bus_buffer.scala 529:138] - wire _T_3644 = _T_3643 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] - wire _T_3645 = _T_3619 | _T_3644; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_3668 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] - wire _T_3669 = _T_3668 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] - wire _T_3683 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 540:50] - wire _T_3684 = buf_state_en_0 & _T_3683; // @[el2_lsu_bus_buffer.scala 540:48] - wire _T_3696 = buf_ldfwd[0] | _T_3701[0]; // @[el2_lsu_bus_buffer.scala 543:90] - wire _T_3697 = _T_3696 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _T_3641 = _T_3615 & _T_3639; // @[el2_lsu_bus_buffer.scala 527:101] + wire _T_3642 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] + wire _T_3643 = _T_3641 & _T_3642; // @[el2_lsu_bus_buffer.scala 527:138] + wire _T_3644 = _T_3643 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] + wire _T_3645 = _T_3619 | _T_3644; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_3668 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] + wire _T_3669 = _T_3668 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] + wire _T_3683 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 538:50] + wire _T_3684 = buf_state_en_0 & _T_3683; // @[el2_lsu_bus_buffer.scala 538:48] + wire _T_3696 = buf_ldfwd[0] | _T_3701[0]; // @[el2_lsu_bus_buffer.scala 541:90] + wire _T_3697 = _T_3696 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] wire _GEN_29 = _T_3717 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3709 ? 1'h0 : _T_3717; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3709 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2233,34 +2225,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_0 = _T_3543 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3543 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3543 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3780 = buf_state_en_1 & _T_3851; // @[el2_lsu_bus_buffer.scala 520:44] - wire _T_3781 = _T_3780 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_3783 = _T_3781 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] - wire _T_3786 = _T_3776 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] - wire _T_3787 = _T_3786 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] - wire _T_3790 = _T_3786 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] - wire _T_3865 = bus_rsp_read_error & _T_3844; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_3867 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 538:31] - wire _T_3869 = _T_3867 & _T_3846; // @[el2_lsu_bus_buffer.scala 538:46] - wire _T_3870 = _T_3865 | _T_3869; // @[el2_lsu_bus_buffer.scala 537:143] - wire _T_3873 = bus_rsp_write_error & _T_3842; // @[el2_lsu_bus_buffer.scala 539:53] - wire _T_3874 = _T_3870 | _T_3873; // @[el2_lsu_bus_buffer.scala 538:88] - wire _T_3875 = _T_3776 & _T_3874; // @[el2_lsu_bus_buffer.scala 537:68] + wire _T_3780 = buf_state_en_1 & _T_3851; // @[el2_lsu_bus_buffer.scala 518:44] + wire _T_3781 = _T_3780 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] + wire _T_3783 = _T_3781 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] + wire _T_3786 = _T_3776 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] + wire _T_3787 = _T_3786 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] + wire _T_3790 = _T_3786 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] + wire _T_3865 = bus_rsp_read_error & _T_3844; // @[el2_lsu_bus_buffer.scala 535:91] + wire _T_3867 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 536:31] + wire _T_3869 = _T_3867 & _T_3846; // @[el2_lsu_bus_buffer.scala 536:46] + wire _T_3870 = _T_3865 | _T_3869; // @[el2_lsu_bus_buffer.scala 535:143] + wire _T_3873 = bus_rsp_write_error & _T_3842; // @[el2_lsu_bus_buffer.scala 537:53] + wire _T_3874 = _T_3870 | _T_3873; // @[el2_lsu_bus_buffer.scala 536:88] + wire _T_3875 = _T_3776 & _T_3874; // @[el2_lsu_bus_buffer.scala 535:68] wire _GEN_122 = _T_3797 & _T_3875; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3763 ? _T_3790 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3759 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3736 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3801 = buf_write[1] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] - wire _T_3802 = io_dec_tlu_force_halt | _T_3801; // @[el2_lsu_bus_buffer.scala 527:55] - wire _T_3804 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 528:30] - wire _T_3805 = buf_dual_1 & _T_3804; // @[el2_lsu_bus_buffer.scala 528:28] - wire _T_3808 = _T_3805 & _T_3851; // @[el2_lsu_bus_buffer.scala 528:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_3809 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_3810 = _T_3808 & _T_3809; // @[el2_lsu_bus_buffer.scala 528:61] - wire _T_3812 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3801 = buf_write[1] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] + wire _T_3802 = io_dec_tlu_force_halt | _T_3801; // @[el2_lsu_bus_buffer.scala 525:55] + wire _T_3804 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 526:30] + wire _T_3805 = buf_dual_1 & _T_3804; // @[el2_lsu_bus_buffer.scala 526:28] + wire _T_3808 = _T_3805 & _T_3851; // @[el2_lsu_bus_buffer.scala 526:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_3809 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_3810 = _T_3808 & _T_3809; // @[el2_lsu_bus_buffer.scala 526:61] + wire _T_3812 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] wire _T_3818 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_3820 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_3822 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] @@ -2272,17 +2264,17 @@ module el2_lsu_bus_buffer( wire _T_3830 = _T_3826 | _T_3827; // @[Mux.scala 27:72] wire _T_3831 = _T_3830 | _T_3828; // @[Mux.scala 27:72] wire _T_3832 = _T_3831 | _T_3829; // @[Mux.scala 27:72] - wire _T_3834 = _T_3808 & _T_3832; // @[el2_lsu_bus_buffer.scala 529:101] - wire _T_3835 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] - wire _T_3836 = _T_3834 & _T_3835; // @[el2_lsu_bus_buffer.scala 529:138] - wire _T_3837 = _T_3836 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] - wire _T_3838 = _T_3812 | _T_3837; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_3861 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] - wire _T_3862 = _T_3861 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] - wire _T_3876 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 540:50] - wire _T_3877 = buf_state_en_1 & _T_3876; // @[el2_lsu_bus_buffer.scala 540:48] - wire _T_3889 = buf_ldfwd[1] | _T_3894[0]; // @[el2_lsu_bus_buffer.scala 543:90] - wire _T_3890 = _T_3889 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _T_3834 = _T_3808 & _T_3832; // @[el2_lsu_bus_buffer.scala 527:101] + wire _T_3835 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] + wire _T_3836 = _T_3834 & _T_3835; // @[el2_lsu_bus_buffer.scala 527:138] + wire _T_3837 = _T_3836 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] + wire _T_3838 = _T_3812 | _T_3837; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_3861 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] + wire _T_3862 = _T_3861 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] + wire _T_3876 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 538:50] + wire _T_3877 = buf_state_en_1 & _T_3876; // @[el2_lsu_bus_buffer.scala 538:48] + wire _T_3889 = buf_ldfwd[1] | _T_3894[0]; // @[el2_lsu_bus_buffer.scala 541:90] + wire _T_3890 = _T_3889 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] wire _GEN_105 = _T_3910 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3902 ? 1'h0 : _T_3910; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3902 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2301,34 +2293,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_1 = _T_3736 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3736 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3736 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3973 = buf_state_en_2 & _T_4044; // @[el2_lsu_bus_buffer.scala 520:44] - wire _T_3974 = _T_3973 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_3976 = _T_3974 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] - wire _T_3979 = _T_3969 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] - wire _T_3980 = _T_3979 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] - wire _T_3983 = _T_3979 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] - wire _T_4058 = bus_rsp_read_error & _T_4037; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4060 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 538:31] - wire _T_4062 = _T_4060 & _T_4039; // @[el2_lsu_bus_buffer.scala 538:46] - wire _T_4063 = _T_4058 | _T_4062; // @[el2_lsu_bus_buffer.scala 537:143] - wire _T_4066 = bus_rsp_write_error & _T_4035; // @[el2_lsu_bus_buffer.scala 539:53] - wire _T_4067 = _T_4063 | _T_4066; // @[el2_lsu_bus_buffer.scala 538:88] - wire _T_4068 = _T_3969 & _T_4067; // @[el2_lsu_bus_buffer.scala 537:68] + wire _T_3973 = buf_state_en_2 & _T_4044; // @[el2_lsu_bus_buffer.scala 518:44] + wire _T_3974 = _T_3973 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] + wire _T_3976 = _T_3974 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] + wire _T_3979 = _T_3969 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] + wire _T_3980 = _T_3979 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] + wire _T_3983 = _T_3979 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] + wire _T_4058 = bus_rsp_read_error & _T_4037; // @[el2_lsu_bus_buffer.scala 535:91] + wire _T_4060 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 536:31] + wire _T_4062 = _T_4060 & _T_4039; // @[el2_lsu_bus_buffer.scala 536:46] + wire _T_4063 = _T_4058 | _T_4062; // @[el2_lsu_bus_buffer.scala 535:143] + wire _T_4066 = bus_rsp_write_error & _T_4035; // @[el2_lsu_bus_buffer.scala 537:53] + wire _T_4067 = _T_4063 | _T_4066; // @[el2_lsu_bus_buffer.scala 536:88] + wire _T_4068 = _T_3969 & _T_4067; // @[el2_lsu_bus_buffer.scala 535:68] wire _GEN_198 = _T_3990 & _T_4068; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3956 ? _T_3983 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3952 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3929 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_3994 = buf_write[2] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] - wire _T_3995 = io_dec_tlu_force_halt | _T_3994; // @[el2_lsu_bus_buffer.scala 527:55] - wire _T_3997 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 528:30] - wire _T_3998 = buf_dual_2 & _T_3997; // @[el2_lsu_bus_buffer.scala 528:28] - wire _T_4001 = _T_3998 & _T_4044; // @[el2_lsu_bus_buffer.scala 528:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_4002 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_4003 = _T_4001 & _T_4002; // @[el2_lsu_bus_buffer.scala 528:61] - wire _T_4005 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3994 = buf_write[2] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] + wire _T_3995 = io_dec_tlu_force_halt | _T_3994; // @[el2_lsu_bus_buffer.scala 525:55] + wire _T_3997 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 526:30] + wire _T_3998 = buf_dual_2 & _T_3997; // @[el2_lsu_bus_buffer.scala 526:28] + wire _T_4001 = _T_3998 & _T_4044; // @[el2_lsu_bus_buffer.scala 526:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_4002 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_4003 = _T_4001 & _T_4002; // @[el2_lsu_bus_buffer.scala 526:61] + wire _T_4005 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] wire _T_4011 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_4013 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_4015 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] @@ -2340,17 +2332,17 @@ module el2_lsu_bus_buffer( wire _T_4023 = _T_4019 | _T_4020; // @[Mux.scala 27:72] wire _T_4024 = _T_4023 | _T_4021; // @[Mux.scala 27:72] wire _T_4025 = _T_4024 | _T_4022; // @[Mux.scala 27:72] - wire _T_4027 = _T_4001 & _T_4025; // @[el2_lsu_bus_buffer.scala 529:101] - wire _T_4028 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] - wire _T_4029 = _T_4027 & _T_4028; // @[el2_lsu_bus_buffer.scala 529:138] - wire _T_4030 = _T_4029 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] - wire _T_4031 = _T_4005 | _T_4030; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_4054 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] - wire _T_4055 = _T_4054 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] - wire _T_4069 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 540:50] - wire _T_4070 = buf_state_en_2 & _T_4069; // @[el2_lsu_bus_buffer.scala 540:48] - wire _T_4082 = buf_ldfwd[2] | _T_4087[0]; // @[el2_lsu_bus_buffer.scala 543:90] - wire _T_4083 = _T_4082 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _T_4027 = _T_4001 & _T_4025; // @[el2_lsu_bus_buffer.scala 527:101] + wire _T_4028 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] + wire _T_4029 = _T_4027 & _T_4028; // @[el2_lsu_bus_buffer.scala 527:138] + wire _T_4030 = _T_4029 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] + wire _T_4031 = _T_4005 | _T_4030; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_4054 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] + wire _T_4055 = _T_4054 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] + wire _T_4069 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 538:50] + wire _T_4070 = buf_state_en_2 & _T_4069; // @[el2_lsu_bus_buffer.scala 538:48] + wire _T_4082 = buf_ldfwd[2] | _T_4087[0]; // @[el2_lsu_bus_buffer.scala 541:90] + wire _T_4083 = _T_4082 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] wire _GEN_181 = _T_4103 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_4095 ? 1'h0 : _T_4103; // @[Conditional.scala 39:67] wire _GEN_186 = _T_4095 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2369,34 +2361,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_2 = _T_3929 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3929 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3929 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4166 = buf_state_en_3 & _T_4237; // @[el2_lsu_bus_buffer.scala 520:44] - wire _T_4167 = _T_4166 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_4169 = _T_4167 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] - wire _T_4172 = _T_4162 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] - wire _T_4173 = _T_4172 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] - wire _T_4176 = _T_4172 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] - wire _T_4251 = bus_rsp_read_error & _T_4230; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4253 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 538:31] - wire _T_4255 = _T_4253 & _T_4232; // @[el2_lsu_bus_buffer.scala 538:46] - wire _T_4256 = _T_4251 | _T_4255; // @[el2_lsu_bus_buffer.scala 537:143] - wire _T_4259 = bus_rsp_write_error & _T_4228; // @[el2_lsu_bus_buffer.scala 539:53] - wire _T_4260 = _T_4256 | _T_4259; // @[el2_lsu_bus_buffer.scala 538:88] - wire _T_4261 = _T_4162 & _T_4260; // @[el2_lsu_bus_buffer.scala 537:68] + wire _T_4166 = buf_state_en_3 & _T_4237; // @[el2_lsu_bus_buffer.scala 518:44] + wire _T_4167 = _T_4166 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] + wire _T_4169 = _T_4167 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] + wire _T_4172 = _T_4162 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] + wire _T_4173 = _T_4172 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] + wire _T_4176 = _T_4172 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] + wire _T_4251 = bus_rsp_read_error & _T_4230; // @[el2_lsu_bus_buffer.scala 535:91] + wire _T_4253 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 536:31] + wire _T_4255 = _T_4253 & _T_4232; // @[el2_lsu_bus_buffer.scala 536:46] + wire _T_4256 = _T_4251 | _T_4255; // @[el2_lsu_bus_buffer.scala 535:143] + wire _T_4259 = bus_rsp_write_error & _T_4228; // @[el2_lsu_bus_buffer.scala 537:53] + wire _T_4260 = _T_4256 | _T_4259; // @[el2_lsu_bus_buffer.scala 536:88] + wire _T_4261 = _T_4162 & _T_4260; // @[el2_lsu_bus_buffer.scala 535:68] wire _GEN_274 = _T_4183 & _T_4261; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4149 ? _T_4176 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4145 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4122 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4187 = buf_write[3] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] - wire _T_4188 = io_dec_tlu_force_halt | _T_4187; // @[el2_lsu_bus_buffer.scala 527:55] - wire _T_4190 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 528:30] - wire _T_4191 = buf_dual_3 & _T_4190; // @[el2_lsu_bus_buffer.scala 528:28] - wire _T_4194 = _T_4191 & _T_4237; // @[el2_lsu_bus_buffer.scala 528:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_4195 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_4196 = _T_4194 & _T_4195; // @[el2_lsu_bus_buffer.scala 528:61] - wire _T_4198 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_4187 = buf_write[3] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] + wire _T_4188 = io_dec_tlu_force_halt | _T_4187; // @[el2_lsu_bus_buffer.scala 525:55] + wire _T_4190 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 526:30] + wire _T_4191 = buf_dual_3 & _T_4190; // @[el2_lsu_bus_buffer.scala 526:28] + wire _T_4194 = _T_4191 & _T_4237; // @[el2_lsu_bus_buffer.scala 526:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_4195 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_4196 = _T_4194 & _T_4195; // @[el2_lsu_bus_buffer.scala 526:61] + wire _T_4198 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] wire _T_4204 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_4206 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_4208 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] @@ -2408,17 +2400,17 @@ module el2_lsu_bus_buffer( wire _T_4216 = _T_4212 | _T_4213; // @[Mux.scala 27:72] wire _T_4217 = _T_4216 | _T_4214; // @[Mux.scala 27:72] wire _T_4218 = _T_4217 | _T_4215; // @[Mux.scala 27:72] - wire _T_4220 = _T_4194 & _T_4218; // @[el2_lsu_bus_buffer.scala 529:101] - wire _T_4221 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] - wire _T_4222 = _T_4220 & _T_4221; // @[el2_lsu_bus_buffer.scala 529:138] - wire _T_4223 = _T_4222 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] - wire _T_4224 = _T_4198 | _T_4223; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_4247 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] - wire _T_4248 = _T_4247 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] - wire _T_4262 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 540:50] - wire _T_4263 = buf_state_en_3 & _T_4262; // @[el2_lsu_bus_buffer.scala 540:48] - wire _T_4275 = buf_ldfwd[3] | _T_4280[0]; // @[el2_lsu_bus_buffer.scala 543:90] - wire _T_4276 = _T_4275 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _T_4220 = _T_4194 & _T_4218; // @[el2_lsu_bus_buffer.scala 527:101] + wire _T_4221 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] + wire _T_4222 = _T_4220 & _T_4221; // @[el2_lsu_bus_buffer.scala 527:138] + wire _T_4223 = _T_4222 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] + wire _T_4224 = _T_4198 | _T_4223; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_4247 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] + wire _T_4248 = _T_4247 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] + wire _T_4262 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 538:50] + wire _T_4263 = buf_state_en_3 & _T_4262; // @[el2_lsu_bus_buffer.scala 538:48] + wire _T_4275 = buf_ldfwd[3] | _T_4280[0]; // @[el2_lsu_bus_buffer.scala 541:90] + wire _T_4276 = _T_4275 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] wire _GEN_257 = _T_4296 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4288 ? 1'h0 : _T_4296; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4288 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2442,44 +2434,44 @@ module el2_lsu_bus_buffer( reg _T_4357; // @[Reg.scala 27:20] reg _T_4360; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4360,_T_4357,_T_4354,_T_4351}; // @[Cat.scala 29:58] - reg _T_4426; // @[el2_lsu_bus_buffer.scala 579:82] - reg _T_4421; // @[el2_lsu_bus_buffer.scala 579:82] - reg _T_4416; // @[el2_lsu_bus_buffer.scala 579:82] - reg _T_4411; // @[el2_lsu_bus_buffer.scala 579:82] + reg _T_4426; // @[el2_lsu_bus_buffer.scala 577:82] + reg _T_4421; // @[el2_lsu_bus_buffer.scala 577:82] + reg _T_4416; // @[el2_lsu_bus_buffer.scala 577:82] + reg _T_4411; // @[el2_lsu_bus_buffer.scala 577:82] wire [3:0] buf_error = {_T_4426,_T_4421,_T_4416,_T_4411}; // @[Cat.scala 29:58] - wire _T_4408 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 579:86] - wire _T_4409 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 579:128] - wire _T_4413 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 579:86] - wire _T_4414 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 579:128] - wire _T_4418 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 579:86] - wire _T_4419 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 579:128] - wire _T_4423 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 579:86] - wire _T_4424 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 579:128] + wire _T_4408 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 577:86] + wire _T_4409 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 577:128] + wire _T_4413 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 577:86] + wire _T_4414 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 577:128] + wire _T_4418 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 577:86] + wire _T_4419 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 577:128] + wire _T_4423 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 577:86] + wire _T_4424 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 577:128] wire [2:0] _T_4431 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4437 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 582:96] - wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 582:96] - wire [2:0] _T_4438 = _T_4437 + _GEN_388; // @[el2_lsu_bus_buffer.scala 582:96] - wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 582:96] - wire [3:0] buf_numvld_any = _T_4438 + _GEN_389; // @[el2_lsu_bus_buffer.scala 582:96] - wire _T_4508 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 588:52] - wire _T_4509 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 588:92] - wire _T_4510 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 588:119] - wire _T_4512 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 589:52] - wire _T_4513 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 589:52] - wire _T_4514 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 589:52] - wire _T_4515 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 589:52] - wire _T_4516 = _T_4512 | _T_4513; // @[el2_lsu_bus_buffer.scala 589:65] - wire _T_4517 = _T_4516 | _T_4514; // @[el2_lsu_bus_buffer.scala 589:65] - wire _T_4518 = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 589:65] - wire _T_4519 = ~_T_4518; // @[el2_lsu_bus_buffer.scala 589:34] - wire _T_4521 = _T_4519 & _T_844; // @[el2_lsu_bus_buffer.scala 589:70] - wire _T_4524 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 591:51] - wire _T_4525 = _T_4524 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 591:72] - wire _T_4526 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 591:94] - wire _T_4527 = _T_4525 & _T_4526; // @[el2_lsu_bus_buffer.scala 591:92] - wire _T_4528 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 591:111] - wire _T_4530 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 594:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 680:66] + wire [1:0] _T_4437 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 580:96] + wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 580:96] + wire [2:0] _T_4438 = _T_4437 + _GEN_388; // @[el2_lsu_bus_buffer.scala 580:96] + wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 580:96] + wire [3:0] buf_numvld_any = _T_4438 + _GEN_389; // @[el2_lsu_bus_buffer.scala 580:96] + wire _T_4508 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 586:52] + wire _T_4509 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 586:92] + wire _T_4510 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 586:119] + wire _T_4512 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 587:52] + wire _T_4513 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 587:52] + wire _T_4514 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 587:52] + wire _T_4515 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 587:52] + wire _T_4516 = _T_4512 | _T_4513; // @[el2_lsu_bus_buffer.scala 587:65] + wire _T_4517 = _T_4516 | _T_4514; // @[el2_lsu_bus_buffer.scala 587:65] + wire _T_4518 = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 587:65] + wire _T_4519 = ~_T_4518; // @[el2_lsu_bus_buffer.scala 587:34] + wire _T_4521 = _T_4519 & _T_844; // @[el2_lsu_bus_buffer.scala 587:70] + wire _T_4524 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 589:51] + wire _T_4525 = _T_4524 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 589:72] + wire _T_4526 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 589:94] + wire _T_4527 = _T_4525 & _T_4526; // @[el2_lsu_bus_buffer.scala 589:92] + wire _T_4528 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 589:111] + wire _T_4530 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 592:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 678:66] wire _T_4548 = _T_2814 & _T_3658; // @[Mux.scala 27:72] wire _T_4549 = _T_2836 & _T_3851; // @[Mux.scala 27:72] wire _T_4550 = _T_2858 & _T_4044; // @[Mux.scala 27:72] @@ -2487,32 +2479,32 @@ module el2_lsu_bus_buffer( wire _T_4552 = _T_4548 | _T_4549; // @[Mux.scala 27:72] wire _T_4553 = _T_4552 | _T_4550; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4553 | _T_4551; // @[Mux.scala 27:72] - wire _T_4559 = buf_error[0] & _T_3658; // @[el2_lsu_bus_buffer.scala 597:108] - wire _T_4564 = buf_error[1] & _T_3851; // @[el2_lsu_bus_buffer.scala 597:108] - wire _T_4569 = buf_error[2] & _T_4044; // @[el2_lsu_bus_buffer.scala 597:108] - wire _T_4574 = buf_error[3] & _T_4237; // @[el2_lsu_bus_buffer.scala 597:108] + wire _T_4559 = buf_error[0] & _T_3658; // @[el2_lsu_bus_buffer.scala 595:108] + wire _T_4564 = buf_error[1] & _T_3851; // @[el2_lsu_bus_buffer.scala 595:108] + wire _T_4569 = buf_error[2] & _T_4044; // @[el2_lsu_bus_buffer.scala 595:108] + wire _T_4574 = buf_error[3] & _T_4237; // @[el2_lsu_bus_buffer.scala 595:108] wire _T_4575 = _T_2814 & _T_4559; // @[Mux.scala 27:72] wire _T_4576 = _T_2836 & _T_4564; // @[Mux.scala 27:72] wire _T_4577 = _T_2858 & _T_4569; // @[Mux.scala 27:72] wire _T_4578 = _T_2880 & _T_4574; // @[Mux.scala 27:72] wire _T_4579 = _T_4575 | _T_4576; // @[Mux.scala 27:72] wire _T_4580 = _T_4579 | _T_4577; // @[Mux.scala 27:72] - wire _T_4587 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 598:109] - wire _T_4588 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 598:124] - wire _T_4589 = _T_4587 | _T_4588; // @[el2_lsu_bus_buffer.scala 598:122] - wire _T_4590 = _T_4548 & _T_4589; // @[el2_lsu_bus_buffer.scala 598:106] - wire _T_4595 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 598:109] - wire _T_4596 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 598:124] - wire _T_4597 = _T_4595 | _T_4596; // @[el2_lsu_bus_buffer.scala 598:122] - wire _T_4598 = _T_4549 & _T_4597; // @[el2_lsu_bus_buffer.scala 598:106] - wire _T_4603 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 598:109] - wire _T_4604 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 598:124] - wire _T_4605 = _T_4603 | _T_4604; // @[el2_lsu_bus_buffer.scala 598:122] - wire _T_4606 = _T_4550 & _T_4605; // @[el2_lsu_bus_buffer.scala 598:106] - wire _T_4611 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 598:109] - wire _T_4612 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 598:124] - wire _T_4613 = _T_4611 | _T_4612; // @[el2_lsu_bus_buffer.scala 598:122] - wire _T_4614 = _T_4551 & _T_4613; // @[el2_lsu_bus_buffer.scala 598:106] + wire _T_4587 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 596:109] + wire _T_4588 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 596:124] + wire _T_4589 = _T_4587 | _T_4588; // @[el2_lsu_bus_buffer.scala 596:122] + wire _T_4590 = _T_4548 & _T_4589; // @[el2_lsu_bus_buffer.scala 596:106] + wire _T_4595 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 596:109] + wire _T_4596 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 596:124] + wire _T_4597 = _T_4595 | _T_4596; // @[el2_lsu_bus_buffer.scala 596:122] + wire _T_4598 = _T_4549 & _T_4597; // @[el2_lsu_bus_buffer.scala 596:106] + wire _T_4603 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 596:109] + wire _T_4604 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 596:124] + wire _T_4605 = _T_4603 | _T_4604; // @[el2_lsu_bus_buffer.scala 596:122] + wire _T_4606 = _T_4550 & _T_4605; // @[el2_lsu_bus_buffer.scala 596:106] + wire _T_4611 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 596:109] + wire _T_4612 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 596:124] + wire _T_4613 = _T_4611 | _T_4612; // @[el2_lsu_bus_buffer.scala 596:122] + wire _T_4614 = _T_4551 & _T_4613; // @[el2_lsu_bus_buffer.scala 596:106] wire [1:0] _T_4617 = _T_4606 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4618 = _T_4614 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_390 = {{1'd0}, _T_4598}; // @[Mux.scala 27:72] @@ -2524,14 +2516,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4659 = _T_4655 | _T_4656; // @[Mux.scala 27:72] wire [31:0] _T_4660 = _T_4659 | _T_4657; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4660 | _T_4658; // @[Mux.scala 27:72] - wire _T_4666 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 600:120] - wire _T_4667 = _T_4548 & _T_4666; // @[el2_lsu_bus_buffer.scala 600:105] - wire _T_4672 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 600:120] - wire _T_4673 = _T_4549 & _T_4672; // @[el2_lsu_bus_buffer.scala 600:105] - wire _T_4678 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 600:120] - wire _T_4679 = _T_4550 & _T_4678; // @[el2_lsu_bus_buffer.scala 600:105] - wire _T_4684 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 600:120] - wire _T_4685 = _T_4551 & _T_4684; // @[el2_lsu_bus_buffer.scala 600:105] + wire _T_4666 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 598:120] + wire _T_4667 = _T_4548 & _T_4666; // @[el2_lsu_bus_buffer.scala 598:105] + wire _T_4672 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 598:120] + wire _T_4673 = _T_4549 & _T_4672; // @[el2_lsu_bus_buffer.scala 598:105] + wire _T_4678 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 598:120] + wire _T_4679 = _T_4550 & _T_4678; // @[el2_lsu_bus_buffer.scala 598:105] + wire _T_4684 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 598:120] + wire _T_4685 = _T_4551 & _T_4684; // @[el2_lsu_bus_buffer.scala 598:105] wire [31:0] _T_4686 = _T_4667 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4687 = _T_4673 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4688 = _T_4679 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2550,7 +2542,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4701 = _T_4697 | _T_4698; // @[Mux.scala 27:72] wire [31:0] _T_4702 = _T_4701 | _T_4699; // @[Mux.scala 27:72] wire [31:0] _T_4703 = _T_4702 | _T_4700; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4703[1:0]; // @[el2_lsu_bus_buffer.scala 601:83] + wire [1:0] lsu_nonblock_addr_offset = _T_4703[1:0]; // @[el2_lsu_bus_buffer.scala 599:83] wire [1:0] _T_4709 = _T_4693 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4710 = _T_4694 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4711 = _T_4695 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -2566,24 +2558,24 @@ module el2_lsu_bus_buffer( wire _T_4729 = _T_4728 | _T_4726; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4729 | _T_4727; // @[Mux.scala 27:72] wire [63:0] _T_4749 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 605:121] - wire [5:0] _T_4750 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 605:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4749 >> _T_4750; // @[el2_lsu_bus_buffer.scala 605:92] - wire _T_4751 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 608:69] - wire _T_4753 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 609:81] - wire _T_4754 = lsu_nonblock_unsign & _T_4753; // @[el2_lsu_bus_buffer.scala 609:63] + wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 603:121] + wire [5:0] _T_4750 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 603:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4749 >> _T_4750; // @[el2_lsu_bus_buffer.scala 603:92] + wire _T_4751 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 606:69] + wire _T_4753 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 607:81] + wire _T_4754 = lsu_nonblock_unsign & _T_4753; // @[el2_lsu_bus_buffer.scala 607:63] wire [31:0] _T_4756 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4757 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 610:45] - wire _T_4758 = lsu_nonblock_unsign & _T_4757; // @[el2_lsu_bus_buffer.scala 610:26] + wire _T_4757 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 608:45] + wire _T_4758 = lsu_nonblock_unsign & _T_4757; // @[el2_lsu_bus_buffer.scala 608:26] wire [31:0] _T_4760 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4761 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 611:6] - wire _T_4763 = _T_4761 & _T_4753; // @[el2_lsu_bus_buffer.scala 611:27] + wire _T_4761 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 609:6] + wire _T_4763 = _T_4761 & _T_4753; // @[el2_lsu_bus_buffer.scala 609:27] wire [23:0] _T_4766 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4768 = {_T_4766,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4771 = _T_4761 & _T_4757; // @[el2_lsu_bus_buffer.scala 612:27] + wire _T_4771 = _T_4761 & _T_4757; // @[el2_lsu_bus_buffer.scala 610:27] wire [15:0] _T_4774 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4776 = {_T_4774,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4777 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 613:21] + wire _T_4777 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 611:21] wire [31:0] _T_4778 = _T_4754 ? _T_4756 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4779 = _T_4758 ? _T_4760 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4780 = _T_4763 ? _T_4768 : 32'h0; // @[Mux.scala 27:72] @@ -2594,49 +2586,49 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4785 = _T_4784 | _T_4781; // @[Mux.scala 27:72] wire [63:0] _GEN_392 = {{32'd0}, _T_4785}; // @[Mux.scala 27:72] wire [63:0] _T_4786 = _GEN_392 | _T_4782; // @[Mux.scala 27:72] - wire _T_4881 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 631:36] - wire _T_4882 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 631:51] - wire _T_4883 = _T_4881 & _T_4882; // @[el2_lsu_bus_buffer.scala 631:49] + wire _T_4881 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 629:36] + wire _T_4882 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 629:51] + wire _T_4883 = _T_4881 & _T_4882; // @[el2_lsu_bus_buffer.scala 629:49] wire [31:0] _T_4887 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4889 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4894 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 643:50] - wire _T_4895 = _T_4881 & _T_4894; // @[el2_lsu_bus_buffer.scala 643:48] + wire _T_4894 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 641:50] + wire _T_4895 = _T_4881 & _T_4894; // @[el2_lsu_bus_buffer.scala 641:48] wire [7:0] _T_4899 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4902 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 648:36] - wire _T_4904 = _T_4902 & _T_1362; // @[el2_lsu_bus_buffer.scala 648:50] - wire _T_4916 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 661:114] - wire _T_4918 = _T_4916 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 661:129] - wire _T_4921 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 661:114] - wire _T_4923 = _T_4921 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 661:129] - wire _T_4926 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 661:114] - wire _T_4928 = _T_4926 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 661:129] - wire _T_4931 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 661:114] - wire _T_4933 = _T_4931 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 661:129] + wire _T_4902 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 646:36] + wire _T_4904 = _T_4902 & _T_1362; // @[el2_lsu_bus_buffer.scala 646:50] + wire _T_4916 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 659:114] + wire _T_4918 = _T_4916 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 659:129] + wire _T_4921 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 659:114] + wire _T_4923 = _T_4921 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 659:129] + wire _T_4926 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 659:114] + wire _T_4928 = _T_4926 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 659:129] + wire _T_4931 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 659:114] + wire _T_4933 = _T_4931 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 659:129] wire _T_4934 = _T_2814 & _T_4918; // @[Mux.scala 27:72] wire _T_4935 = _T_2836 & _T_4923; // @[Mux.scala 27:72] wire _T_4936 = _T_2858 & _T_4928; // @[Mux.scala 27:72] wire _T_4937 = _T_2880 & _T_4933; // @[Mux.scala 27:72] wire _T_4938 = _T_4934 | _T_4935; // @[Mux.scala 27:72] wire _T_4939 = _T_4938 | _T_4936; // @[Mux.scala 27:72] - wire _T_4949 = _T_2836 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 662:98] - wire lsu_imprecise_error_store_tag = _T_4949 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 662:113] - wire _T_4955 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 664:72] + wire _T_4949 = _T_2836 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 660:98] + wire lsu_imprecise_error_store_tag = _T_4949 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 660:113] + wire _T_4955 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 662:72] wire _T_4957 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 120:123] wire [31:0] _T_4959 = _T_4957 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4960 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4961 = _T_4959 | _T_4960; // @[Mux.scala 27:72] - wire _T_4978 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 671:68] - wire _T_4981 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 672:48] - wire _T_4984 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 675:48] - wire _T_4985 = io_lsu_axi_awvalid & _T_4984; // @[el2_lsu_bus_buffer.scala 675:46] - wire _T_4986 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 675:92] - wire _T_4987 = io_lsu_axi_wvalid & _T_4986; // @[el2_lsu_bus_buffer.scala 675:90] - wire _T_4988 = _T_4985 | _T_4987; // @[el2_lsu_bus_buffer.scala 675:69] - wire _T_4989 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 675:136] - wire _T_4990 = io_lsu_axi_arvalid & _T_4989; // @[el2_lsu_bus_buffer.scala 675:134] - wire _T_4994 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 679:75] - wire _T_4995 = io_lsu_busreq_m & _T_4994; // @[el2_lsu_bus_buffer.scala 679:73] - reg _T_4998; // @[el2_lsu_bus_buffer.scala 679:56] + wire _T_4978 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 669:68] + wire _T_4981 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 670:48] + wire _T_4984 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 673:48] + wire _T_4985 = io_lsu_axi_awvalid & _T_4984; // @[el2_lsu_bus_buffer.scala 673:46] + wire _T_4986 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 673:92] + wire _T_4987 = io_lsu_axi_wvalid & _T_4986; // @[el2_lsu_bus_buffer.scala 673:90] + wire _T_4988 = _T_4985 | _T_4987; // @[el2_lsu_bus_buffer.scala 673:69] + wire _T_4989 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 673:136] + wire _T_4990 = io_lsu_axi_arvalid & _T_4989; // @[el2_lsu_bus_buffer.scala 673:134] + wire _T_4994 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 677:75] + wire _T_4995 = io_lsu_busreq_m & _T_4994; // @[el2_lsu_bus_buffer.scala 677:73] + reg _T_4998; // @[el2_lsu_bus_buffer.scala 677:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2709,68 +2701,68 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4998; // @[el2_lsu_bus_buffer.scala 679:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 587:30] - assign io_lsu_bus_buffer_full_any = _T_4508 ? _T_4509 : _T_4510; // @[el2_lsu_bus_buffer.scala 588:30] - assign io_lsu_bus_buffer_empty_any = _T_4521 & _T_1244; // @[el2_lsu_bus_buffer.scala 589:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 668:23] + assign io_lsu_busreq_r = _T_4998; // @[el2_lsu_bus_buffer.scala 677:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 585:30] + assign io_lsu_bus_buffer_full_any = _T_4508 ? _T_4509 : _T_4510; // @[el2_lsu_bus_buffer.scala 586:30] + assign io_lsu_bus_buffer_empty_any = _T_4521 & _T_1244; // @[el2_lsu_bus_buffer.scala 587:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 666:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 198:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 199:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 224:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 229:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4955; // @[el2_lsu_bus_buffer.scala 664:35] - assign io_lsu_imprecise_error_store_any = _T_4939 | _T_4937; // @[el2_lsu_bus_buffer.scala 661:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4961 : _T_4703; // @[el2_lsu_bus_buffer.scala 665:35] - assign io_lsu_nonblock_load_valid_m = _T_4527 & _T_4528; // @[el2_lsu_bus_buffer.scala 591:32] - assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 592:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4530; // @[el2_lsu_bus_buffer.scala 594:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 595:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4751; // @[el2_lsu_bus_buffer.scala 608:35] - assign io_lsu_nonblock_load_data_error = _T_4580 | _T_4578; // @[el2_lsu_bus_buffer.scala 597:35] - assign io_lsu_nonblock_load_data_tag = _T_4620 | _T_4618; // @[el2_lsu_bus_buffer.scala 598:33] - assign io_lsu_nonblock_load_data = _T_4786[31:0]; // @[el2_lsu_bus_buffer.scala 609:29] - assign io_lsu_pmu_bus_trxn = _T_4978 | _T_4873; // @[el2_lsu_bus_buffer.scala 671:23] - assign io_lsu_pmu_bus_misaligned = _T_4981 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 672:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 673:24] - assign io_lsu_pmu_bus_busy = _T_4988 | _T_4990; // @[el2_lsu_bus_buffer.scala 675:23] - assign io_lsu_axi_awvalid = _T_4883 & _T_1252; // @[el2_lsu_bus_buffer.scala 631:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 632:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4887; // @[el2_lsu_bus_buffer.scala 633:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 637:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 638:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4889 : 3'h3; // @[el2_lsu_bus_buffer.scala 634:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 639:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 641:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 636:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 635:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 640:20] - assign io_lsu_axi_wvalid = _T_4895 & _T_1252; // @[el2_lsu_bus_buffer.scala 643:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 645:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4899; // @[el2_lsu_bus_buffer.scala 644:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 646:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 659:21] - assign io_lsu_axi_arvalid = _T_4904 & _T_1252; // @[el2_lsu_bus_buffer.scala 648:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 649:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4887; // @[el2_lsu_bus_buffer.scala 650:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 654:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 655:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4889 : 3'h3; // @[el2_lsu_bus_buffer.scala 651:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 656:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 658:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 653:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 652:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 657:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 660:21] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4955; // @[el2_lsu_bus_buffer.scala 662:35] + assign io_lsu_imprecise_error_store_any = _T_4939 | _T_4937; // @[el2_lsu_bus_buffer.scala 659:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4961 : _T_4703; // @[el2_lsu_bus_buffer.scala 663:35] + assign io_lsu_nonblock_load_valid_m = _T_4527 & _T_4528; // @[el2_lsu_bus_buffer.scala 589:32] + assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 590:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4530; // @[el2_lsu_bus_buffer.scala 592:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 593:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4751; // @[el2_lsu_bus_buffer.scala 606:35] + assign io_lsu_nonblock_load_data_error = _T_4580 | _T_4578; // @[el2_lsu_bus_buffer.scala 595:35] + assign io_lsu_nonblock_load_data_tag = _T_4620 | _T_4618; // @[el2_lsu_bus_buffer.scala 596:33] + assign io_lsu_nonblock_load_data = _T_4786[31:0]; // @[el2_lsu_bus_buffer.scala 607:29] + assign io_lsu_pmu_bus_trxn = _T_4978 | _T_4873; // @[el2_lsu_bus_buffer.scala 669:23] + assign io_lsu_pmu_bus_misaligned = _T_4981 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 670:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 671:24] + assign io_lsu_pmu_bus_busy = _T_4988 | _T_4990; // @[el2_lsu_bus_buffer.scala 673:23] + assign io_lsu_axi_awvalid = _T_4883 & _T_1252; // @[el2_lsu_bus_buffer.scala 629:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 630:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4887; // @[el2_lsu_bus_buffer.scala 631:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 635:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 636:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4889 : 3'h3; // @[el2_lsu_bus_buffer.scala 632:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 637:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 639:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 634:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 633:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 638:20] + assign io_lsu_axi_wvalid = _T_4895 & _T_1252; // @[el2_lsu_bus_buffer.scala 641:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 643:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4899; // @[el2_lsu_bus_buffer.scala 642:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 644:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 657:21] + assign io_lsu_axi_arvalid = _T_4904 & _T_1252; // @[el2_lsu_bus_buffer.scala 646:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 647:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4887; // @[el2_lsu_bus_buffer.scala 648:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 652:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 653:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4889 : 3'h3; // @[el2_lsu_bus_buffer.scala 649:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 654:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 656:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 651:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 650:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 655:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 658:21] assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 258:11] - assign io_data_hi = _T_4691 | _T_4689; // @[el2_lsu_bus_buffer.scala 606:14] - assign io_data_lo = _T_4660 | _T_4658; // @[el2_lsu_bus_buffer.scala 607:14] - assign io_data_en = {_T_4431,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 580:14] - assign io_Cmdptr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 449:14] + assign io_data_hi = _T_4691 | _T_4689; // @[el2_lsu_bus_buffer.scala 604:14] + assign io_data_lo = _T_4660 | _T_4658; // @[el2_lsu_bus_buffer.scala 605:14] + assign io_data_en = {_T_4431,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 578:14] + assign io_Cmdptr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 447:14] assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 358:14] assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 278:15] - assign io_WrPtr1_m = _T_1930 ? 2'h0 : _T_1975; // @[el2_lsu_bus_buffer.scala 434:15] + assign io_WrPtr1_m = _T_1930 ? 2'h0 : _T_1975; // @[el2_lsu_bus_buffer.scala 432:15] assign io_wdata_in = {_T_1633,_T_1592}; // @[el2_lsu_bus_buffer.scala 393:15] - assign io_buf_state = {_T_1915,buf_state_3}; // @[el2_lsu_bus_buffer.scala 427:16] + assign io_buf_state = {_T_1915,buf_state_3}; // @[el2_lsu_bus_buffer.scala 425:16] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_845 & _T_846; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 428c5b44..dc50ed48 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -419,11 +419,9 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { obuf_wr_timer := withClock(io.lsu_busm_clk){RegNext(obuf_wr_timer_in, 0.U)} val WrPtr0_m = WireInit(UInt(DEPTH_LOG2.W), 0.U) - val found_array1 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | - (io.lsu_busreq_r & (WrPtr0_r === i.U)) | - (io.ldst_dual_r & (WrPtr1_r === i.U)))) -> i.U) - - WrPtr0_m := MuxCase(0.U, found_array1) + WrPtr0_m := MuxCase(0.U, (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | + (io.lsu_busreq_r & ((WrPtr0_r === i.U) | + (io.ldst_dual_r & (WrPtr1_r === i.U)))))) -> i.U)) io.buf_state := buf_state.reduce(Cat(_,_)) val WrPtr1_m = MuxCase(0.U, (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 1eff955e..d2203935 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$.class and b/target/scala-2.12/classes/lsu/BusBufmain$.class differ diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index 5800b103..78cfda8b 100644 Binary files a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class and b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 0d3bd3fc..fb9ec507 100644 Binary files a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class and b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class differ