From cfa4ecfd79bf0ec2f6f24c8d8958397f06356712 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sun, 8 Nov 2020 16:03:20 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.anno.json | 4 +- el2_lsu_bus_buffer.fir | 6108 ++++++++--------- el2_lsu_bus_buffer.v | 2170 +++--- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 8 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 554810 -> 554359 bytes 7 files changed, 4140 insertions(+), 4150 deletions(-) diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index 2c27b07c..33ba6fdd 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -168,8 +168,8 @@ "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_tag_m", "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r" + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r" ] }, { diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 4a90db49..1adc1027 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -2685,1901 +2685,1901 @@ circuit el2_lsu_bus_buffer : obuf_wr_timer <= _T_1865 @[el2_lsu_bus_buffer.scala 419:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1866 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1867 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1868 = and(ibuf_valid, _T_1867) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1869 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:34] - node _T_1870 = and(io.lsu_busreq_r, _T_1869) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1871 = or(_T_1868, _T_1870) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1872 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1873 = and(io.ldst_dual_r, _T_1872) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1875 = eq(_T_1874, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1876 = and(_T_1866, _T_1875) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1877 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1878 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1879 = and(ibuf_valid, _T_1878) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1880 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:34] - node _T_1881 = and(io.lsu_busreq_r, _T_1880) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1882 = or(_T_1879, _T_1881) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1883 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1884 = and(io.ldst_dual_r, _T_1883) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1885 = or(_T_1882, _T_1884) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1886 = eq(_T_1885, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1887 = and(_T_1877, _T_1886) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1888 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1889 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1890 = and(ibuf_valid, _T_1889) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1891 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:34] - node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1893 = or(_T_1890, _T_1892) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1894 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1895 = and(io.ldst_dual_r, _T_1894) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1896 = or(_T_1893, _T_1895) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1898 = and(_T_1888, _T_1897) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1899 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1900 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1901 = and(ibuf_valid, _T_1900) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1902 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:34] - node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1904 = or(_T_1901, _T_1903) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1905 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:33] - node _T_1906 = and(io.ldst_dual_r, _T_1905) @[el2_lsu_bus_buffer.scala 424:21] - node _T_1907 = or(_T_1904, _T_1906) @[el2_lsu_bus_buffer.scala 423:44] - node _T_1908 = eq(_T_1907, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1909 = and(_T_1899, _T_1908) @[el2_lsu_bus_buffer.scala 422:70] + node _T_1866 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] + node _T_1867 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:103] + node _T_1868 = and(ibuf_valid, _T_1867) @[el2_lsu_bus_buffer.scala 422:92] + node _T_1869 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:35] + node _T_1870 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1871 = and(io.ldst_dual_r, _T_1870) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1872 = or(_T_1869, _T_1871) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1873 = and(io.lsu_busreq_r, _T_1872) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1874 = or(_T_1868, _T_1873) @[el2_lsu_bus_buffer.scala 422:112] + node _T_1875 = eq(_T_1874, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] + node _T_1876 = and(_T_1866, _T_1875) @[el2_lsu_bus_buffer.scala 422:76] + node _T_1877 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] + node _T_1878 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:103] + node _T_1879 = and(ibuf_valid, _T_1878) @[el2_lsu_bus_buffer.scala 422:92] + node _T_1880 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:35] + node _T_1881 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1882 = and(io.ldst_dual_r, _T_1881) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1883 = or(_T_1880, _T_1882) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1884 = and(io.lsu_busreq_r, _T_1883) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1885 = or(_T_1879, _T_1884) @[el2_lsu_bus_buffer.scala 422:112] + node _T_1886 = eq(_T_1885, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] + node _T_1887 = and(_T_1877, _T_1886) @[el2_lsu_bus_buffer.scala 422:76] + node _T_1888 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] + node _T_1889 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:103] + node _T_1890 = and(ibuf_valid, _T_1889) @[el2_lsu_bus_buffer.scala 422:92] + node _T_1891 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:35] + node _T_1892 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1893 = and(io.ldst_dual_r, _T_1892) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1894 = or(_T_1891, _T_1893) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1895 = and(io.lsu_busreq_r, _T_1894) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1896 = or(_T_1890, _T_1895) @[el2_lsu_bus_buffer.scala 422:112] + node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] + node _T_1898 = and(_T_1888, _T_1897) @[el2_lsu_bus_buffer.scala 422:76] + node _T_1899 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:65] + node _T_1900 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:103] + node _T_1901 = and(ibuf_valid, _T_1900) @[el2_lsu_bus_buffer.scala 422:92] + node _T_1902 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:35] + node _T_1903 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1904 = and(io.ldst_dual_r, _T_1903) @[el2_lsu_bus_buffer.scala 424:21] + node _T_1905 = or(_T_1902, _T_1904) @[el2_lsu_bus_buffer.scala 423:44] + node _T_1906 = and(io.lsu_busreq_r, _T_1905) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1907 = or(_T_1901, _T_1906) @[el2_lsu_bus_buffer.scala 422:112] + node _T_1908 = eq(_T_1907, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:78] + node _T_1909 = and(_T_1899, _T_1908) @[el2_lsu_bus_buffer.scala 422:76] node _T_1910 = mux(_T_1909, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1911 = mux(_T_1898, UInt<2>("h02"), _T_1910) @[Mux.scala 98:16] node _T_1912 = mux(_T_1887, UInt<1>("h01"), _T_1911) @[Mux.scala 98:16] node _T_1913 = mux(_T_1876, UInt<1>("h00"), _T_1912) @[Mux.scala 98:16] - WrPtr0_m <= _T_1913 @[el2_lsu_bus_buffer.scala 426:12] + WrPtr0_m <= _T_1913 @[el2_lsu_bus_buffer.scala 422:12] node _T_1914 = cat(buf_state[0], buf_state[1]) @[Cat.scala 29:58] node _T_1915 = cat(_T_1914, buf_state[2]) @[Cat.scala 29:58] node _T_1916 = cat(_T_1915, buf_state[3]) @[Cat.scala 29:58] - io.buf_state <= _T_1916 @[el2_lsu_bus_buffer.scala 427:16] - node _T_1917 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] - node _T_1918 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:106] - node _T_1919 = and(ibuf_valid, _T_1918) @[el2_lsu_bus_buffer.scala 429:95] - node _T_1920 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:33] - node _T_1921 = and(io.lsu_busreq_m, _T_1920) @[el2_lsu_bus_buffer.scala 430:22] - node _T_1922 = or(_T_1919, _T_1921) @[el2_lsu_bus_buffer.scala 429:115] - node _T_1923 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:36] - node _T_1924 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 432:34] - node _T_1925 = and(io.ldst_dual_r, _T_1924) @[el2_lsu_bus_buffer.scala 432:23] - node _T_1926 = or(_T_1923, _T_1925) @[el2_lsu_bus_buffer.scala 431:46] - node _T_1927 = and(io.lsu_busreq_r, _T_1926) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1928 = or(_T_1922, _T_1927) @[el2_lsu_bus_buffer.scala 430:42] - node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] - node _T_1930 = and(_T_1917, _T_1929) @[el2_lsu_bus_buffer.scala 429:79] - node _T_1931 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] - node _T_1932 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:106] - node _T_1933 = and(ibuf_valid, _T_1932) @[el2_lsu_bus_buffer.scala 429:95] - node _T_1934 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:33] - node _T_1935 = and(io.lsu_busreq_m, _T_1934) @[el2_lsu_bus_buffer.scala 430:22] - node _T_1936 = or(_T_1933, _T_1935) @[el2_lsu_bus_buffer.scala 429:115] - node _T_1937 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 431:36] - node _T_1938 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 432:34] - node _T_1939 = and(io.ldst_dual_r, _T_1938) @[el2_lsu_bus_buffer.scala 432:23] - node _T_1940 = or(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 431:46] - node _T_1941 = and(io.lsu_busreq_r, _T_1940) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1942 = or(_T_1936, _T_1941) @[el2_lsu_bus_buffer.scala 430:42] - node _T_1943 = eq(_T_1942, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] - node _T_1944 = and(_T_1931, _T_1943) @[el2_lsu_bus_buffer.scala 429:79] - node _T_1945 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] - node _T_1946 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:106] - node _T_1947 = and(ibuf_valid, _T_1946) @[el2_lsu_bus_buffer.scala 429:95] - node _T_1948 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:33] - node _T_1949 = and(io.lsu_busreq_m, _T_1948) @[el2_lsu_bus_buffer.scala 430:22] - node _T_1950 = or(_T_1947, _T_1949) @[el2_lsu_bus_buffer.scala 429:115] - node _T_1951 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 431:36] - node _T_1952 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 432:34] - node _T_1953 = and(io.ldst_dual_r, _T_1952) @[el2_lsu_bus_buffer.scala 432:23] - node _T_1954 = or(_T_1951, _T_1953) @[el2_lsu_bus_buffer.scala 431:46] - node _T_1955 = and(io.lsu_busreq_r, _T_1954) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1956 = or(_T_1950, _T_1955) @[el2_lsu_bus_buffer.scala 430:42] - node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] - node _T_1958 = and(_T_1945, _T_1957) @[el2_lsu_bus_buffer.scala 429:79] - node _T_1959 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 429:68] - node _T_1960 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:106] - node _T_1961 = and(ibuf_valid, _T_1960) @[el2_lsu_bus_buffer.scala 429:95] - node _T_1962 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:33] - node _T_1963 = and(io.lsu_busreq_m, _T_1962) @[el2_lsu_bus_buffer.scala 430:22] - node _T_1964 = or(_T_1961, _T_1963) @[el2_lsu_bus_buffer.scala 429:115] - node _T_1965 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 431:36] - node _T_1966 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 432:34] - node _T_1967 = and(io.ldst_dual_r, _T_1966) @[el2_lsu_bus_buffer.scala 432:23] - node _T_1968 = or(_T_1965, _T_1967) @[el2_lsu_bus_buffer.scala 431:46] - node _T_1969 = and(io.lsu_busreq_r, _T_1968) @[el2_lsu_bus_buffer.scala 431:22] - node _T_1970 = or(_T_1964, _T_1969) @[el2_lsu_bus_buffer.scala 430:42] - node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:81] - node _T_1972 = and(_T_1959, _T_1971) @[el2_lsu_bus_buffer.scala 429:79] + io.buf_state <= _T_1916 @[el2_lsu_bus_buffer.scala 425:16] + node _T_1917 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] + node _T_1918 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:106] + node _T_1919 = and(ibuf_valid, _T_1918) @[el2_lsu_bus_buffer.scala 427:95] + node _T_1920 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:33] + node _T_1921 = and(io.lsu_busreq_m, _T_1920) @[el2_lsu_bus_buffer.scala 428:22] + node _T_1922 = or(_T_1919, _T_1921) @[el2_lsu_bus_buffer.scala 427:115] + node _T_1923 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:36] + node _T_1924 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:34] + node _T_1925 = and(io.ldst_dual_r, _T_1924) @[el2_lsu_bus_buffer.scala 430:23] + node _T_1926 = or(_T_1923, _T_1925) @[el2_lsu_bus_buffer.scala 429:46] + node _T_1927 = and(io.lsu_busreq_r, _T_1926) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1928 = or(_T_1922, _T_1927) @[el2_lsu_bus_buffer.scala 428:42] + node _T_1929 = eq(_T_1928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] + node _T_1930 = and(_T_1917, _T_1929) @[el2_lsu_bus_buffer.scala 427:79] + node _T_1931 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] + node _T_1932 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 427:106] + node _T_1933 = and(ibuf_valid, _T_1932) @[el2_lsu_bus_buffer.scala 427:95] + node _T_1934 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 428:33] + node _T_1935 = and(io.lsu_busreq_m, _T_1934) @[el2_lsu_bus_buffer.scala 428:22] + node _T_1936 = or(_T_1933, _T_1935) @[el2_lsu_bus_buffer.scala 427:115] + node _T_1937 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 429:36] + node _T_1938 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:34] + node _T_1939 = and(io.ldst_dual_r, _T_1938) @[el2_lsu_bus_buffer.scala 430:23] + node _T_1940 = or(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 429:46] + node _T_1941 = and(io.lsu_busreq_r, _T_1940) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1942 = or(_T_1936, _T_1941) @[el2_lsu_bus_buffer.scala 428:42] + node _T_1943 = eq(_T_1942, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] + node _T_1944 = and(_T_1931, _T_1943) @[el2_lsu_bus_buffer.scala 427:79] + node _T_1945 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] + node _T_1946 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 427:106] + node _T_1947 = and(ibuf_valid, _T_1946) @[el2_lsu_bus_buffer.scala 427:95] + node _T_1948 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 428:33] + node _T_1949 = and(io.lsu_busreq_m, _T_1948) @[el2_lsu_bus_buffer.scala 428:22] + node _T_1950 = or(_T_1947, _T_1949) @[el2_lsu_bus_buffer.scala 427:115] + node _T_1951 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 429:36] + node _T_1952 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:34] + node _T_1953 = and(io.ldst_dual_r, _T_1952) @[el2_lsu_bus_buffer.scala 430:23] + node _T_1954 = or(_T_1951, _T_1953) @[el2_lsu_bus_buffer.scala 429:46] + node _T_1955 = and(io.lsu_busreq_r, _T_1954) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1956 = or(_T_1950, _T_1955) @[el2_lsu_bus_buffer.scala 428:42] + node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] + node _T_1958 = and(_T_1945, _T_1957) @[el2_lsu_bus_buffer.scala 427:79] + node _T_1959 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 427:68] + node _T_1960 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 427:106] + node _T_1961 = and(ibuf_valid, _T_1960) @[el2_lsu_bus_buffer.scala 427:95] + node _T_1962 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 428:33] + node _T_1963 = and(io.lsu_busreq_m, _T_1962) @[el2_lsu_bus_buffer.scala 428:22] + node _T_1964 = or(_T_1961, _T_1963) @[el2_lsu_bus_buffer.scala 427:115] + node _T_1965 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 429:36] + node _T_1966 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:34] + node _T_1967 = and(io.ldst_dual_r, _T_1966) @[el2_lsu_bus_buffer.scala 430:23] + node _T_1968 = or(_T_1965, _T_1967) @[el2_lsu_bus_buffer.scala 429:46] + node _T_1969 = and(io.lsu_busreq_r, _T_1968) @[el2_lsu_bus_buffer.scala 429:22] + node _T_1970 = or(_T_1964, _T_1969) @[el2_lsu_bus_buffer.scala 428:42] + node _T_1971 = eq(_T_1970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:81] + node _T_1972 = and(_T_1959, _T_1971) @[el2_lsu_bus_buffer.scala 427:79] node _T_1973 = mux(_T_1972, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] node _T_1974 = mux(_T_1958, UInt<2>("h02"), _T_1973) @[Mux.scala 98:16] node _T_1975 = mux(_T_1944, UInt<1>("h01"), _T_1974) @[Mux.scala 98:16] node WrPtr1_m = mux(_T_1930, UInt<1>("h00"), _T_1975) @[Mux.scala 98:16] - io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 434:15] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 435:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 436:11] - node _T_1976 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 438:58] - node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_1978 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] - node _T_1979 = and(_T_1977, _T_1978) @[el2_lsu_bus_buffer.scala 438:63] - node _T_1980 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] - node _T_1981 = and(_T_1979, _T_1980) @[el2_lsu_bus_buffer.scala 438:88] - node _T_1982 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 438:58] - node _T_1983 = eq(_T_1982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_1984 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] - node _T_1985 = and(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 438:63] - node _T_1986 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] - node _T_1987 = and(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 438:88] - node _T_1988 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 438:58] - node _T_1989 = eq(_T_1988, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_1990 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] - node _T_1991 = and(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 438:63] - node _T_1992 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] - node _T_1993 = and(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 438:88] - node _T_1994 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 438:58] - node _T_1995 = eq(_T_1994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:45] - node _T_1996 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 438:78] - node _T_1997 = and(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 438:63] - node _T_1998 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:90] - node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 438:88] + io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 432:15] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 433:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 434:11] + node _T_1976 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 436:58] + node _T_1977 = eq(_T_1976, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] + node _T_1978 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_1979 = and(_T_1977, _T_1978) @[el2_lsu_bus_buffer.scala 436:63] + node _T_1980 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] + node _T_1981 = and(_T_1979, _T_1980) @[el2_lsu_bus_buffer.scala 436:88] + node _T_1982 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 436:58] + node _T_1983 = eq(_T_1982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] + node _T_1984 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_1985 = and(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 436:63] + node _T_1986 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] + node _T_1987 = and(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 436:88] + node _T_1988 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 436:58] + node _T_1989 = eq(_T_1988, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] + node _T_1990 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_1991 = and(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 436:63] + node _T_1992 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] + node _T_1993 = and(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 436:88] + node _T_1994 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 436:58] + node _T_1995 = eq(_T_1994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:45] + node _T_1996 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 436:78] + node _T_1997 = and(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 436:63] + node _T_1998 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:90] + node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 436:88] node _T_2000 = cat(_T_1999, _T_1993) @[Cat.scala 29:58] node _T_2001 = cat(_T_2000, _T_1987) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_2001, _T_1981) @[Cat.scala 29:58] - node _T_2002 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] - node _T_2003 = and(buf_age[0], _T_2002) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2004 = orr(_T_2003) @[el2_lsu_bus_buffer.scala 439:76] - node _T_2005 = eq(_T_2004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_2006 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2007 = eq(_T_2006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] - node _T_2008 = and(_T_2005, _T_2007) @[el2_lsu_bus_buffer.scala 439:81] - node _T_2009 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] - node _T_2010 = and(_T_2008, _T_2009) @[el2_lsu_bus_buffer.scala 439:98] - node _T_2011 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] - node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 439:123] - node _T_2013 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] - node _T_2014 = and(buf_age[1], _T_2013) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2015 = orr(_T_2014) @[el2_lsu_bus_buffer.scala 439:76] - node _T_2016 = eq(_T_2015, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_2017 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2018 = eq(_T_2017, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] - node _T_2019 = and(_T_2016, _T_2018) @[el2_lsu_bus_buffer.scala 439:81] - node _T_2020 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] - node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 439:98] - node _T_2022 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] - node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 439:123] - node _T_2024 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] - node _T_2025 = and(buf_age[2], _T_2024) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2026 = orr(_T_2025) @[el2_lsu_bus_buffer.scala 439:76] - node _T_2027 = eq(_T_2026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_2028 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2029 = eq(_T_2028, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] - node _T_2030 = and(_T_2027, _T_2029) @[el2_lsu_bus_buffer.scala 439:81] - node _T_2031 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] - node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 439:98] - node _T_2033 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] - node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 439:123] - node _T_2035 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 439:62] - node _T_2036 = and(buf_age[3], _T_2035) @[el2_lsu_bus_buffer.scala 439:59] - node _T_2037 = orr(_T_2036) @[el2_lsu_bus_buffer.scala 439:76] - node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:45] - node _T_2039 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 439:94] - node _T_2040 = eq(_T_2039, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:83] - node _T_2041 = and(_T_2038, _T_2040) @[el2_lsu_bus_buffer.scala 439:81] - node _T_2042 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 439:113] - node _T_2043 = and(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 439:98] - node _T_2044 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 439:125] - node _T_2045 = and(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 439:123] + node _T_2002 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] + node _T_2003 = and(buf_age[0], _T_2002) @[el2_lsu_bus_buffer.scala 437:59] + node _T_2004 = orr(_T_2003) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2005 = eq(_T_2004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2006 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 437:94] + node _T_2007 = eq(_T_2006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] + node _T_2008 = and(_T_2005, _T_2007) @[el2_lsu_bus_buffer.scala 437:81] + node _T_2009 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] + node _T_2010 = and(_T_2008, _T_2009) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2011 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] + node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 437:123] + node _T_2013 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] + node _T_2014 = and(buf_age[1], _T_2013) @[el2_lsu_bus_buffer.scala 437:59] + node _T_2015 = orr(_T_2014) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2016 = eq(_T_2015, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2017 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 437:94] + node _T_2018 = eq(_T_2017, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] + node _T_2019 = and(_T_2016, _T_2018) @[el2_lsu_bus_buffer.scala 437:81] + node _T_2020 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] + node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2022 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] + node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 437:123] + node _T_2024 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] + node _T_2025 = and(buf_age[2], _T_2024) @[el2_lsu_bus_buffer.scala 437:59] + node _T_2026 = orr(_T_2025) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2027 = eq(_T_2026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2028 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 437:94] + node _T_2029 = eq(_T_2028, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] + node _T_2030 = and(_T_2027, _T_2029) @[el2_lsu_bus_buffer.scala 437:81] + node _T_2031 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] + node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2033 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] + node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 437:123] + node _T_2035 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 437:62] + node _T_2036 = and(buf_age[3], _T_2035) @[el2_lsu_bus_buffer.scala 437:59] + node _T_2037 = orr(_T_2036) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2039 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 437:94] + node _T_2040 = eq(_T_2039, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:83] + node _T_2041 = and(_T_2038, _T_2040) @[el2_lsu_bus_buffer.scala 437:81] + node _T_2042 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 437:113] + node _T_2043 = and(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2044 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 437:125] + node _T_2045 = and(_T_2043, _T_2044) @[el2_lsu_bus_buffer.scala 437:123] node _T_2046 = cat(_T_2045, _T_2034) @[Cat.scala 29:58] node _T_2047 = cat(_T_2046, _T_2023) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_2047, _T_2012) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 440:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 441:19] - node _T_2048 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 442:65] - node _T_2049 = eq(_T_2048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] - node _T_2050 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] - node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 442:70] - node _T_2052 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 442:65] - node _T_2053 = eq(_T_2052, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] - node _T_2054 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] - node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 442:70] - node _T_2056 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 442:65] - node _T_2057 = eq(_T_2056, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] - node _T_2058 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] - node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 442:70] - node _T_2060 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 442:65] - node _T_2061 = eq(_T_2060, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 442:44] - node _T_2062 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 442:85] - node _T_2063 = and(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 442:70] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 438:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 439:19] + node _T_2048 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 440:65] + node _T_2049 = eq(_T_2048, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] + node _T_2050 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 440:70] + node _T_2052 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 440:65] + node _T_2053 = eq(_T_2052, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] + node _T_2054 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 440:70] + node _T_2056 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 440:65] + node _T_2057 = eq(_T_2056, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] + node _T_2058 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2059 = and(_T_2057, _T_2058) @[el2_lsu_bus_buffer.scala 440:70] + node _T_2060 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 440:65] + node _T_2061 = eq(_T_2060, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 440:44] + node _T_2062 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 440:85] + node _T_2063 = and(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 440:70] node _T_2064 = cat(_T_2063, _T_2059) @[Cat.scala 29:58] node _T_2065 = cat(_T_2064, _T_2055) @[Cat.scala 29:58] node RspPtrDec = cat(_T_2065, _T_2051) @[Cat.scala 29:58] - node _T_2066 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 443:31] - found_cmdptr0 <= _T_2066 @[el2_lsu_bus_buffer.scala 443:17] - node _T_2067 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 444:31] - found_cmdptr1 <= _T_2067 @[el2_lsu_bus_buffer.scala 444:17] - io.Cmdptr0 <= CmdPtr0 @[el2_lsu_bus_buffer.scala 449:14] + node _T_2066 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 441:31] + found_cmdptr0 <= _T_2066 @[el2_lsu_bus_buffer.scala 441:17] + node _T_2067 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 442:31] + found_cmdptr1 <= _T_2067 @[el2_lsu_bus_buffer.scala 442:17] + io.Cmdptr0 <= CmdPtr0 @[el2_lsu_bus_buffer.scala 447:14] wire CmdPtr1 : UInt<2> CmdPtr1 <= UInt<1>("h00") wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") node _T_2068 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2069 = cat(_T_2068, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_2070 = bits(_T_2069, 4, 4) @[el2_lsu_bus_buffer.scala 446:39] - node _T_2071 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 446:45] - node _T_2072 = or(_T_2070, _T_2071) @[el2_lsu_bus_buffer.scala 446:42] - node _T_2073 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 446:51] - node _T_2074 = or(_T_2072, _T_2073) @[el2_lsu_bus_buffer.scala 446:48] - node _T_2075 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 446:57] - node _T_2076 = or(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2077 = bits(_T_2069, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] - node _T_2078 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 446:70] - node _T_2079 = or(_T_2077, _T_2078) @[el2_lsu_bus_buffer.scala 446:67] - node _T_2080 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 446:76] - node _T_2081 = or(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2082 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 446:82] - node _T_2083 = or(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 446:79] - node _T_2084 = bits(_T_2069, 1, 1) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2085 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 446:95] - node _T_2086 = or(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 446:92] - node _T_2087 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 446:101] - node _T_2088 = or(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 446:98] - node _T_2089 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 446:107] - node _T_2090 = or(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2070 = bits(_T_2069, 4, 4) @[el2_lsu_bus_buffer.scala 444:39] + node _T_2071 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 444:45] + node _T_2072 = or(_T_2070, _T_2071) @[el2_lsu_bus_buffer.scala 444:42] + node _T_2073 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 444:51] + node _T_2074 = or(_T_2072, _T_2073) @[el2_lsu_bus_buffer.scala 444:48] + node _T_2075 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 444:57] + node _T_2076 = or(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 444:54] + node _T_2077 = bits(_T_2069, 2, 2) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2078 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 444:70] + node _T_2079 = or(_T_2077, _T_2078) @[el2_lsu_bus_buffer.scala 444:67] + node _T_2080 = bits(_T_2069, 6, 6) @[el2_lsu_bus_buffer.scala 444:76] + node _T_2081 = or(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2082 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 444:82] + node _T_2083 = or(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 444:79] + node _T_2084 = bits(_T_2069, 1, 1) @[el2_lsu_bus_buffer.scala 444:89] + node _T_2085 = bits(_T_2069, 3, 3) @[el2_lsu_bus_buffer.scala 444:95] + node _T_2086 = or(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2087 = bits(_T_2069, 5, 5) @[el2_lsu_bus_buffer.scala 444:101] + node _T_2088 = or(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 444:98] + node _T_2089 = bits(_T_2069, 7, 7) @[el2_lsu_bus_buffer.scala 444:107] + node _T_2090 = or(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 444:104] node _T_2091 = cat(_T_2076, _T_2083) @[Cat.scala 29:58] node _T_2092 = cat(_T_2091, _T_2090) @[Cat.scala 29:58] - CmdPtr0 <= _T_2092 @[el2_lsu_bus_buffer.scala 452:11] + CmdPtr0 <= _T_2092 @[el2_lsu_bus_buffer.scala 450:11] node _T_2093 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2094 = cat(_T_2093, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2095 = bits(_T_2094, 4, 4) @[el2_lsu_bus_buffer.scala 446:39] - node _T_2096 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 446:45] - node _T_2097 = or(_T_2095, _T_2096) @[el2_lsu_bus_buffer.scala 446:42] - node _T_2098 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 446:51] - node _T_2099 = or(_T_2097, _T_2098) @[el2_lsu_bus_buffer.scala 446:48] - node _T_2100 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 446:57] - node _T_2101 = or(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2102 = bits(_T_2094, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] - node _T_2103 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 446:70] - node _T_2104 = or(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 446:67] - node _T_2105 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 446:76] - node _T_2106 = or(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2107 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 446:82] - node _T_2108 = or(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 446:79] - node _T_2109 = bits(_T_2094, 1, 1) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2110 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 446:95] - node _T_2111 = or(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 446:92] - node _T_2112 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 446:101] - node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 446:98] - node _T_2114 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 446:107] - node _T_2115 = or(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2095 = bits(_T_2094, 4, 4) @[el2_lsu_bus_buffer.scala 444:39] + node _T_2096 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 444:45] + node _T_2097 = or(_T_2095, _T_2096) @[el2_lsu_bus_buffer.scala 444:42] + node _T_2098 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 444:51] + node _T_2099 = or(_T_2097, _T_2098) @[el2_lsu_bus_buffer.scala 444:48] + node _T_2100 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 444:57] + node _T_2101 = or(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 444:54] + node _T_2102 = bits(_T_2094, 2, 2) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2103 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 444:70] + node _T_2104 = or(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 444:67] + node _T_2105 = bits(_T_2094, 6, 6) @[el2_lsu_bus_buffer.scala 444:76] + node _T_2106 = or(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2107 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 444:82] + node _T_2108 = or(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 444:79] + node _T_2109 = bits(_T_2094, 1, 1) @[el2_lsu_bus_buffer.scala 444:89] + node _T_2110 = bits(_T_2094, 3, 3) @[el2_lsu_bus_buffer.scala 444:95] + node _T_2111 = or(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2112 = bits(_T_2094, 5, 5) @[el2_lsu_bus_buffer.scala 444:101] + node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 444:98] + node _T_2114 = bits(_T_2094, 7, 7) @[el2_lsu_bus_buffer.scala 444:107] + node _T_2115 = or(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 444:104] node _T_2116 = cat(_T_2101, _T_2108) @[Cat.scala 29:58] node _T_2117 = cat(_T_2116, _T_2115) @[Cat.scala 29:58] - CmdPtr1 <= _T_2117 @[el2_lsu_bus_buffer.scala 454:11] + CmdPtr1 <= _T_2117 @[el2_lsu_bus_buffer.scala 452:11] node _T_2118 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2119 = cat(_T_2118, RspPtrDec) @[Cat.scala 29:58] - node _T_2120 = bits(_T_2119, 4, 4) @[el2_lsu_bus_buffer.scala 446:39] - node _T_2121 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 446:45] - node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 446:42] - node _T_2123 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 446:51] - node _T_2124 = or(_T_2122, _T_2123) @[el2_lsu_bus_buffer.scala 446:48] - node _T_2125 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 446:57] - node _T_2126 = or(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 446:54] - node _T_2127 = bits(_T_2119, 2, 2) @[el2_lsu_bus_buffer.scala 446:64] - node _T_2128 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 446:70] - node _T_2129 = or(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 446:67] - node _T_2130 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 446:76] - node _T_2131 = or(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 446:73] - node _T_2132 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 446:82] - node _T_2133 = or(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 446:79] - node _T_2134 = bits(_T_2119, 1, 1) @[el2_lsu_bus_buffer.scala 446:89] - node _T_2135 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 446:95] - node _T_2136 = or(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 446:92] - node _T_2137 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 446:101] - node _T_2138 = or(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 446:98] - node _T_2139 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 446:107] - node _T_2140 = or(_T_2138, _T_2139) @[el2_lsu_bus_buffer.scala 446:104] + node _T_2120 = bits(_T_2119, 4, 4) @[el2_lsu_bus_buffer.scala 444:39] + node _T_2121 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 444:45] + node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 444:42] + node _T_2123 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 444:51] + node _T_2124 = or(_T_2122, _T_2123) @[el2_lsu_bus_buffer.scala 444:48] + node _T_2125 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 444:57] + node _T_2126 = or(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 444:54] + node _T_2127 = bits(_T_2119, 2, 2) @[el2_lsu_bus_buffer.scala 444:64] + node _T_2128 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 444:70] + node _T_2129 = or(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 444:67] + node _T_2130 = bits(_T_2119, 6, 6) @[el2_lsu_bus_buffer.scala 444:76] + node _T_2131 = or(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 444:73] + node _T_2132 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 444:82] + node _T_2133 = or(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 444:79] + node _T_2134 = bits(_T_2119, 1, 1) @[el2_lsu_bus_buffer.scala 444:89] + node _T_2135 = bits(_T_2119, 3, 3) @[el2_lsu_bus_buffer.scala 444:95] + node _T_2136 = or(_T_2134, _T_2135) @[el2_lsu_bus_buffer.scala 444:92] + node _T_2137 = bits(_T_2119, 5, 5) @[el2_lsu_bus_buffer.scala 444:101] + node _T_2138 = or(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 444:98] + node _T_2139 = bits(_T_2119, 7, 7) @[el2_lsu_bus_buffer.scala 444:107] + node _T_2140 = or(_T_2138, _T_2139) @[el2_lsu_bus_buffer.scala 444:104] node _T_2141 = cat(_T_2126, _T_2133) @[Cat.scala 29:58] node _T_2142 = cat(_T_2141, _T_2140) @[Cat.scala 29:58] - RspPtr <= _T_2142 @[el2_lsu_bus_buffer.scala 455:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 456:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 458:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 460:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 462:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 464:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 465:14] - node _T_2143 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2144 = and(_T_2143, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2145 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2146 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2147 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2149 = or(_T_2145, _T_2148) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2150 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2151 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2152 = and(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2153 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2155 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2156 = and(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2157 = or(_T_2149, _T_2156) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2158 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2159 = and(_T_2158, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2160 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2162 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2163 = and(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2164 = or(_T_2157, _T_2163) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2165 = and(_T_2144, _T_2164) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2166 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2167 = or(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2168 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2169 = and(_T_2168, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2170 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2171 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2172 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2174 = or(_T_2170, _T_2173) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2175 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2176 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2177 = and(_T_2175, _T_2176) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2178 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2180 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2181 = and(_T_2179, _T_2180) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2182 = or(_T_2174, _T_2181) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2183 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2184 = and(_T_2183, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2185 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2187 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2188 = and(_T_2186, _T_2187) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2189 = or(_T_2182, _T_2188) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2190 = and(_T_2169, _T_2189) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2191 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2192 = or(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2193 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2194 = and(_T_2193, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2195 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2196 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2197 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2199 = or(_T_2195, _T_2198) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2200 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2201 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2202 = and(_T_2200, _T_2201) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2203 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2205 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2206 = and(_T_2204, _T_2205) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2207 = or(_T_2199, _T_2206) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2208 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2209 = and(_T_2208, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2210 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2212 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2214 = or(_T_2207, _T_2213) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2215 = and(_T_2194, _T_2214) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2216 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2217 = or(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2218 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2219 = and(_T_2218, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2220 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2221 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2222 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2224 = or(_T_2220, _T_2223) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2225 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2226 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2227 = and(_T_2225, _T_2226) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2228 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2230 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2231 = and(_T_2229, _T_2230) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2232 = or(_T_2224, _T_2231) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2233 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2234 = and(_T_2233, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2235 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2237 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2239 = or(_T_2232, _T_2238) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2240 = and(_T_2219, _T_2239) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2241 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2242 = or(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 470:97] + RspPtr <= _T_2142 @[el2_lsu_bus_buffer.scala 453:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 454:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 456:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 458:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 459:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 460:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 461:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 462:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:14] + node _T_2143 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2144 = and(_T_2143, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2145 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2146 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2147 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2148 = and(_T_2146, _T_2147) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2149 = or(_T_2145, _T_2148) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2150 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2151 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2152 = and(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2153 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2155 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2156 = and(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2157 = or(_T_2149, _T_2156) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2158 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2159 = and(_T_2158, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2160 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2161 = and(_T_2159, _T_2160) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2162 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2163 = and(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2164 = or(_T_2157, _T_2163) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2165 = and(_T_2144, _T_2164) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2166 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2167 = or(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2168 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2169 = and(_T_2168, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2170 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2171 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2172 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2173 = and(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2174 = or(_T_2170, _T_2173) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2175 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2176 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2177 = and(_T_2175, _T_2176) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2178 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2180 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2181 = and(_T_2179, _T_2180) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2182 = or(_T_2174, _T_2181) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2183 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2184 = and(_T_2183, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2185 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2186 = and(_T_2184, _T_2185) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2187 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2188 = and(_T_2186, _T_2187) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2189 = or(_T_2182, _T_2188) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2190 = and(_T_2169, _T_2189) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2191 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2192 = or(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2193 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2194 = and(_T_2193, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2195 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2196 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2197 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2198 = and(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2199 = or(_T_2195, _T_2198) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2200 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2201 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2202 = and(_T_2200, _T_2201) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2203 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2205 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2206 = and(_T_2204, _T_2205) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2207 = or(_T_2199, _T_2206) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2208 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2209 = and(_T_2208, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2210 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2212 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2214 = or(_T_2207, _T_2213) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2215 = and(_T_2194, _T_2214) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2216 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2217 = or(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2218 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2219 = and(_T_2218, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2220 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2221 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2222 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2223 = and(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2224 = or(_T_2220, _T_2223) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2225 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2226 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2227 = and(_T_2225, _T_2226) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2228 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2230 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2231 = and(_T_2229, _T_2230) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2232 = or(_T_2224, _T_2231) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2233 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2234 = and(_T_2233, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2235 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2237 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2238 = and(_T_2236, _T_2237) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2239 = or(_T_2232, _T_2238) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2240 = and(_T_2219, _T_2239) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2241 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2242 = or(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 468:97] node _T_2243 = cat(_T_2242, _T_2217) @[Cat.scala 29:58] node _T_2244 = cat(_T_2243, _T_2192) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2244, _T_2167) @[Cat.scala 29:58] - node _T_2245 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2246 = and(_T_2245, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2247 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2248 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2249 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2251 = or(_T_2247, _T_2250) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2252 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2253 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2254 = and(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2255 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2257 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2258 = and(_T_2256, _T_2257) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2259 = or(_T_2251, _T_2258) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2260 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2261 = and(_T_2260, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2262 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2264 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2265 = and(_T_2263, _T_2264) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2266 = or(_T_2259, _T_2265) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2267 = and(_T_2246, _T_2266) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2268 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2269 = or(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2271 = and(_T_2270, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2272 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2273 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2274 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2276 = or(_T_2272, _T_2275) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2277 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2278 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2279 = and(_T_2277, _T_2278) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2280 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2282 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2283 = and(_T_2281, _T_2282) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2284 = or(_T_2276, _T_2283) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2285 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2286 = and(_T_2285, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2287 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2289 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2290 = and(_T_2288, _T_2289) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2291 = or(_T_2284, _T_2290) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2292 = and(_T_2271, _T_2291) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2293 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2294 = or(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2295 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2296 = and(_T_2295, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2297 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2298 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2299 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2301 = or(_T_2297, _T_2300) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2302 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2303 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2304 = and(_T_2302, _T_2303) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2305 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2307 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2308 = and(_T_2306, _T_2307) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2309 = or(_T_2301, _T_2308) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2310 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2311 = and(_T_2310, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2312 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2314 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2316 = or(_T_2309, _T_2315) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2317 = and(_T_2296, _T_2316) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2318 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2319 = or(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2320 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2321 = and(_T_2320, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2322 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2323 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2324 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2326 = or(_T_2322, _T_2325) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2327 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2328 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2329 = and(_T_2327, _T_2328) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2330 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2332 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2333 = and(_T_2331, _T_2332) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2334 = or(_T_2326, _T_2333) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2335 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2336 = and(_T_2335, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2337 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2339 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2341 = or(_T_2334, _T_2340) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2342 = and(_T_2321, _T_2341) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2343 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2344 = or(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2245 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2246 = and(_T_2245, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2247 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2248 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2249 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2250 = and(_T_2248, _T_2249) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2251 = or(_T_2247, _T_2250) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2252 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2253 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2254 = and(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2255 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2257 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2258 = and(_T_2256, _T_2257) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2259 = or(_T_2251, _T_2258) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2260 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2261 = and(_T_2260, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2262 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2263 = and(_T_2261, _T_2262) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2264 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2265 = and(_T_2263, _T_2264) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2266 = or(_T_2259, _T_2265) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2267 = and(_T_2246, _T_2266) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2268 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2269 = or(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2271 = and(_T_2270, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2272 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2273 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2274 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2275 = and(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2276 = or(_T_2272, _T_2275) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2277 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2278 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2279 = and(_T_2277, _T_2278) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2280 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2282 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2283 = and(_T_2281, _T_2282) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2284 = or(_T_2276, _T_2283) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2285 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2286 = and(_T_2285, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2287 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2288 = and(_T_2286, _T_2287) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2289 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2290 = and(_T_2288, _T_2289) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2291 = or(_T_2284, _T_2290) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2292 = and(_T_2271, _T_2291) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2293 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2294 = or(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2295 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2296 = and(_T_2295, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2297 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2298 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2299 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2300 = and(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2301 = or(_T_2297, _T_2300) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2302 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2303 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2304 = and(_T_2302, _T_2303) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2305 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2307 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2308 = and(_T_2306, _T_2307) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2309 = or(_T_2301, _T_2308) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2310 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2311 = and(_T_2310, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2312 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2314 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2316 = or(_T_2309, _T_2315) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2317 = and(_T_2296, _T_2316) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2318 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2319 = or(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2320 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2321 = and(_T_2320, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2322 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2323 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2324 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2325 = and(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2326 = or(_T_2322, _T_2325) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2327 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2328 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2329 = and(_T_2327, _T_2328) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2330 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2332 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2333 = and(_T_2331, _T_2332) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2334 = or(_T_2326, _T_2333) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2335 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2336 = and(_T_2335, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2337 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2339 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2340 = and(_T_2338, _T_2339) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2341 = or(_T_2334, _T_2340) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2342 = and(_T_2321, _T_2341) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2343 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2344 = or(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 468:97] node _T_2345 = cat(_T_2344, _T_2319) @[Cat.scala 29:58] node _T_2346 = cat(_T_2345, _T_2294) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2346, _T_2269) @[Cat.scala 29:58] - node _T_2347 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2348 = and(_T_2347, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2349 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2350 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2351 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2353 = or(_T_2349, _T_2352) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2354 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2355 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2356 = and(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2357 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2359 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2360 = and(_T_2358, _T_2359) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2361 = or(_T_2353, _T_2360) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2362 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2363 = and(_T_2362, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2364 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2366 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2367 = and(_T_2365, _T_2366) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2368 = or(_T_2361, _T_2367) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2369 = and(_T_2348, _T_2368) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2370 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2371 = or(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2372 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2373 = and(_T_2372, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2374 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2375 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2376 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2378 = or(_T_2374, _T_2377) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2379 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2380 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2381 = and(_T_2379, _T_2380) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2382 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2384 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2385 = and(_T_2383, _T_2384) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2386 = or(_T_2378, _T_2385) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2387 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2388 = and(_T_2387, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2389 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2391 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2392 = and(_T_2390, _T_2391) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2393 = or(_T_2386, _T_2392) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2394 = and(_T_2373, _T_2393) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2395 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2396 = or(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2397 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2398 = and(_T_2397, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2399 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2400 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2401 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2403 = or(_T_2399, _T_2402) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2404 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2405 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2406 = and(_T_2404, _T_2405) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2407 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2409 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2410 = and(_T_2408, _T_2409) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2411 = or(_T_2403, _T_2410) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2412 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2413 = and(_T_2412, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2414 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2415 = and(_T_2413, _T_2414) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2416 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2417 = and(_T_2415, _T_2416) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2418 = or(_T_2411, _T_2417) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2419 = and(_T_2398, _T_2418) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2420 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2421 = or(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2422 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2423 = and(_T_2422, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2424 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2425 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2426 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2428 = or(_T_2424, _T_2427) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2429 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2430 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2431 = and(_T_2429, _T_2430) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2432 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2434 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2435 = and(_T_2433, _T_2434) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2436 = or(_T_2428, _T_2435) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2437 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2438 = and(_T_2437, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2439 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2440 = and(_T_2438, _T_2439) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2441 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2442 = and(_T_2440, _T_2441) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2443 = or(_T_2436, _T_2442) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2444 = and(_T_2423, _T_2443) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2445 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2446 = or(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2347 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2348 = and(_T_2347, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2349 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2350 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2351 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2352 = and(_T_2350, _T_2351) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2353 = or(_T_2349, _T_2352) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2354 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2355 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2356 = and(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2357 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2359 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2360 = and(_T_2358, _T_2359) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2361 = or(_T_2353, _T_2360) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2362 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2363 = and(_T_2362, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2364 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2365 = and(_T_2363, _T_2364) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2366 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2367 = and(_T_2365, _T_2366) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2368 = or(_T_2361, _T_2367) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2369 = and(_T_2348, _T_2368) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2370 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2371 = or(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2372 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2373 = and(_T_2372, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2374 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2375 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2376 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2377 = and(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2378 = or(_T_2374, _T_2377) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2379 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2380 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2381 = and(_T_2379, _T_2380) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2382 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2384 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2385 = and(_T_2383, _T_2384) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2386 = or(_T_2378, _T_2385) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2387 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2388 = and(_T_2387, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2389 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2390 = and(_T_2388, _T_2389) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2391 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2392 = and(_T_2390, _T_2391) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2393 = or(_T_2386, _T_2392) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2394 = and(_T_2373, _T_2393) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2395 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2396 = or(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2397 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2398 = and(_T_2397, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2399 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2400 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2401 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2402 = and(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2403 = or(_T_2399, _T_2402) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2404 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2405 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2406 = and(_T_2404, _T_2405) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2407 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2409 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2410 = and(_T_2408, _T_2409) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2411 = or(_T_2403, _T_2410) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2412 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2413 = and(_T_2412, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2414 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2415 = and(_T_2413, _T_2414) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2416 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2417 = and(_T_2415, _T_2416) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2418 = or(_T_2411, _T_2417) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2419 = and(_T_2398, _T_2418) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2420 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2421 = or(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2422 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2423 = and(_T_2422, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2424 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2425 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2426 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2427 = and(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2428 = or(_T_2424, _T_2427) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2429 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2430 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2431 = and(_T_2429, _T_2430) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2432 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2434 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2435 = and(_T_2433, _T_2434) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2436 = or(_T_2428, _T_2435) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2437 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2438 = and(_T_2437, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2439 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2440 = and(_T_2438, _T_2439) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2441 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2442 = and(_T_2440, _T_2441) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2443 = or(_T_2436, _T_2442) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2444 = and(_T_2423, _T_2443) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2445 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2446 = or(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 468:97] node _T_2447 = cat(_T_2446, _T_2421) @[Cat.scala 29:58] node _T_2448 = cat(_T_2447, _T_2396) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2448, _T_2371) @[Cat.scala 29:58] - node _T_2449 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2450 = and(_T_2449, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2451 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2452 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2455 = or(_T_2451, _T_2454) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2456 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2457 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2458 = and(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2459 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2460 = and(_T_2458, _T_2459) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2461 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2462 = and(_T_2460, _T_2461) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2463 = or(_T_2455, _T_2462) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2464 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2465 = and(_T_2464, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2466 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2467 = and(_T_2465, _T_2466) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2468 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2469 = and(_T_2467, _T_2468) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2470 = or(_T_2463, _T_2469) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2471 = and(_T_2450, _T_2470) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2472 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2473 = or(_T_2471, _T_2472) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2474 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2475 = and(_T_2474, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2476 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2477 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2478 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2479 = and(_T_2477, _T_2478) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2480 = or(_T_2476, _T_2479) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2481 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2482 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2483 = and(_T_2481, _T_2482) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2484 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2485 = and(_T_2483, _T_2484) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2486 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2487 = and(_T_2485, _T_2486) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2488 = or(_T_2480, _T_2487) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2489 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2490 = and(_T_2489, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2491 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2492 = and(_T_2490, _T_2491) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2493 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2494 = and(_T_2492, _T_2493) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2495 = or(_T_2488, _T_2494) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2496 = and(_T_2475, _T_2495) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2497 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2498 = or(_T_2496, _T_2497) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2499 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2500 = and(_T_2499, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2501 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2502 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2503 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2504 = and(_T_2502, _T_2503) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2505 = or(_T_2501, _T_2504) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2506 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2507 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2508 = and(_T_2506, _T_2507) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2509 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2510 = and(_T_2508, _T_2509) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2511 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2512 = and(_T_2510, _T_2511) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2513 = or(_T_2505, _T_2512) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2514 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2515 = and(_T_2514, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2516 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2518 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2519 = and(_T_2517, _T_2518) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2520 = or(_T_2513, _T_2519) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2521 = and(_T_2500, _T_2520) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2522 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2523 = or(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 470:97] - node _T_2524 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:83] - node _T_2525 = and(_T_2524, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:94] - node _T_2526 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 468:20] - node _T_2527 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 468:47] - node _T_2528 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:59] - node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 468:57] - node _T_2530 = or(_T_2526, _T_2529) @[el2_lsu_bus_buffer.scala 468:31] - node _T_2531 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2532 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:53] - node _T_2533 = and(_T_2531, _T_2532) @[el2_lsu_bus_buffer.scala 469:41] - node _T_2534 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:83] - node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 469:71] - node _T_2536 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:104] - node _T_2537 = and(_T_2535, _T_2536) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2538 = or(_T_2530, _T_2537) @[el2_lsu_bus_buffer.scala 468:86] - node _T_2539 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:17] - node _T_2540 = and(_T_2539, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:35] - node _T_2541 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:64] - node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 470:52] - node _T_2543 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:85] - node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2545 = or(_T_2538, _T_2544) @[el2_lsu_bus_buffer.scala 469:114] - node _T_2546 = and(_T_2525, _T_2545) @[el2_lsu_bus_buffer.scala 467:113] - node _T_2547 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 470:109] - node _T_2548 = or(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 470:97] + node _T_2449 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2450 = and(_T_2449, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2451 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2452 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2454 = and(_T_2452, _T_2453) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2455 = or(_T_2451, _T_2454) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2456 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2457 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2458 = and(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2459 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2460 = and(_T_2458, _T_2459) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2461 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2462 = and(_T_2460, _T_2461) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2463 = or(_T_2455, _T_2462) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2464 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2465 = and(_T_2464, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2466 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2467 = and(_T_2465, _T_2466) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2468 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2469 = and(_T_2467, _T_2468) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2470 = or(_T_2463, _T_2469) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2471 = and(_T_2450, _T_2470) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2472 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2473 = or(_T_2471, _T_2472) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2474 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2475 = and(_T_2474, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2476 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2477 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2478 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2479 = and(_T_2477, _T_2478) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2480 = or(_T_2476, _T_2479) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2481 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2482 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2483 = and(_T_2481, _T_2482) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2484 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2485 = and(_T_2483, _T_2484) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2486 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2487 = and(_T_2485, _T_2486) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2488 = or(_T_2480, _T_2487) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2489 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2490 = and(_T_2489, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2491 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2492 = and(_T_2490, _T_2491) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2493 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2494 = and(_T_2492, _T_2493) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2495 = or(_T_2488, _T_2494) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2496 = and(_T_2475, _T_2495) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2497 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2498 = or(_T_2496, _T_2497) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2499 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2500 = and(_T_2499, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2501 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2502 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2503 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2504 = and(_T_2502, _T_2503) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2505 = or(_T_2501, _T_2504) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2506 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2507 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2508 = and(_T_2506, _T_2507) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2509 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2510 = and(_T_2508, _T_2509) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2511 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2512 = and(_T_2510, _T_2511) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2513 = or(_T_2505, _T_2512) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2514 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2515 = and(_T_2514, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2516 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2517 = and(_T_2515, _T_2516) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2518 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2519 = and(_T_2517, _T_2518) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2520 = or(_T_2513, _T_2519) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2521 = and(_T_2500, _T_2520) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2522 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2523 = or(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 468:97] + node _T_2524 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:83] + node _T_2525 = and(_T_2524, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:94] + node _T_2526 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 466:20] + node _T_2527 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:47] + node _T_2528 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:59] + node _T_2529 = and(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 466:57] + node _T_2530 = or(_T_2526, _T_2529) @[el2_lsu_bus_buffer.scala 466:31] + node _T_2531 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:23] + node _T_2532 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:53] + node _T_2533 = and(_T_2531, _T_2532) @[el2_lsu_bus_buffer.scala 467:41] + node _T_2534 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:83] + node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 467:71] + node _T_2536 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2537 = and(_T_2535, _T_2536) @[el2_lsu_bus_buffer.scala 467:92] + node _T_2538 = or(_T_2530, _T_2537) @[el2_lsu_bus_buffer.scala 466:86] + node _T_2539 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:17] + node _T_2540 = and(_T_2539, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:35] + node _T_2541 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:64] + node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 468:52] + node _T_2543 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:85] + node _T_2544 = and(_T_2542, _T_2543) @[el2_lsu_bus_buffer.scala 468:73] + node _T_2545 = or(_T_2538, _T_2544) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2546 = and(_T_2525, _T_2545) @[el2_lsu_bus_buffer.scala 465:113] + node _T_2547 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 468:109] + node _T_2548 = or(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 468:97] node _T_2549 = cat(_T_2548, _T_2523) @[Cat.scala 29:58] node _T_2550 = cat(_T_2549, _T_2498) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2550, _T_2473) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 471:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 472:12] - node _T_2551 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2552 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2555 = and(_T_2551, _T_2554) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2556 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2557 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2558 = and(_T_2557, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2559 = eq(_T_2558, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2560 = and(_T_2556, _T_2559) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2561 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2562 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2563 = and(_T_2562, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2564 = eq(_T_2563, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2565 = and(_T_2561, _T_2564) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2566 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2567 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2568 = and(_T_2567, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2570 = and(_T_2566, _T_2569) @[el2_lsu_bus_buffer.scala 473:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 469:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 470:12] + node _T_2551 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2552 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2555 = and(_T_2551, _T_2554) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2556 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2557 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2558 = and(_T_2557, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2559 = eq(_T_2558, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2560 = and(_T_2556, _T_2559) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2561 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2562 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2563 = and(_T_2562, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2564 = eq(_T_2563, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2565 = and(_T_2561, _T_2564) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2566 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2567 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2568 = and(_T_2567, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2569 = eq(_T_2568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2570 = and(_T_2566, _T_2569) @[el2_lsu_bus_buffer.scala 471:78] node _T_2571 = cat(_T_2570, _T_2565) @[Cat.scala 29:58] node _T_2572 = cat(_T_2571, _T_2560) @[Cat.scala 29:58] node _T_2573 = cat(_T_2572, _T_2555) @[Cat.scala 29:58] - node _T_2574 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2575 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2578 = and(_T_2574, _T_2577) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2579 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2580 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2581 = and(_T_2580, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2582 = eq(_T_2581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2583 = and(_T_2579, _T_2582) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2584 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2585 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2586 = and(_T_2585, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2587 = eq(_T_2586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2588 = and(_T_2584, _T_2587) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2589 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2593 = and(_T_2589, _T_2592) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2574 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2575 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2578 = and(_T_2574, _T_2577) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2579 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2580 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2581 = and(_T_2580, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2582 = eq(_T_2581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2583 = and(_T_2579, _T_2582) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2584 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2585 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2586 = and(_T_2585, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2587 = eq(_T_2586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2588 = and(_T_2584, _T_2587) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2589 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2590 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2591 = and(_T_2590, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2592 = eq(_T_2591, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2593 = and(_T_2589, _T_2592) @[el2_lsu_bus_buffer.scala 471:78] node _T_2594 = cat(_T_2593, _T_2588) @[Cat.scala 29:58] node _T_2595 = cat(_T_2594, _T_2583) @[Cat.scala 29:58] node _T_2596 = cat(_T_2595, _T_2578) @[Cat.scala 29:58] - node _T_2597 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2598 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2601 = and(_T_2597, _T_2600) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2602 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2603 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2604 = and(_T_2603, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2606 = and(_T_2602, _T_2605) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2607 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2608 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2609 = and(_T_2608, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2610 = eq(_T_2609, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2611 = and(_T_2607, _T_2610) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2612 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2613 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2614 = and(_T_2613, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2615 = eq(_T_2614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2616 = and(_T_2612, _T_2615) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2597 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2598 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2601 = and(_T_2597, _T_2600) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2602 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2603 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2604 = and(_T_2603, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2605 = eq(_T_2604, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2606 = and(_T_2602, _T_2605) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2607 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2608 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2609 = and(_T_2608, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2610 = eq(_T_2609, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2611 = and(_T_2607, _T_2610) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2612 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2613 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2614 = and(_T_2613, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2615 = eq(_T_2614, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2616 = and(_T_2612, _T_2615) @[el2_lsu_bus_buffer.scala 471:78] node _T_2617 = cat(_T_2616, _T_2611) @[Cat.scala 29:58] node _T_2618 = cat(_T_2617, _T_2606) @[Cat.scala 29:58] node _T_2619 = cat(_T_2618, _T_2601) @[Cat.scala 29:58] - node _T_2620 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2621 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2624 = and(_T_2620, _T_2623) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2625 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2626 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2627 = and(_T_2626, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2629 = and(_T_2625, _T_2628) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2630 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2631 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2632 = and(_T_2631, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2633 = eq(_T_2632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2634 = and(_T_2630, _T_2633) @[el2_lsu_bus_buffer.scala 473:78] - node _T_2635 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:74] - node _T_2636 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 473:95] - node _T_2637 = and(_T_2636, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 473:105] - node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:80] - node _T_2639 = and(_T_2635, _T_2638) @[el2_lsu_bus_buffer.scala 473:78] + node _T_2620 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2621 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2624 = and(_T_2620, _T_2623) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2625 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2626 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2627 = and(_T_2626, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2628 = eq(_T_2627, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2629 = and(_T_2625, _T_2628) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2630 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2631 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2632 = and(_T_2631, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2633 = eq(_T_2632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2634 = and(_T_2630, _T_2633) @[el2_lsu_bus_buffer.scala 471:78] + node _T_2635 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:74] + node _T_2636 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:95] + node _T_2637 = and(_T_2636, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 471:105] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:80] + node _T_2639 = and(_T_2635, _T_2638) @[el2_lsu_bus_buffer.scala 471:78] node _T_2640 = cat(_T_2639, _T_2634) @[Cat.scala 29:58] node _T_2641 = cat(_T_2640, _T_2629) @[Cat.scala 29:58] node _T_2642 = cat(_T_2641, _T_2624) @[Cat.scala 29:58] - buf_age[0] <= _T_2573 @[el2_lsu_bus_buffer.scala 473:13] - buf_age[1] <= _T_2596 @[el2_lsu_bus_buffer.scala 473:13] - buf_age[2] <= _T_2619 @[el2_lsu_bus_buffer.scala 473:13] - buf_age[3] <= _T_2642 @[el2_lsu_bus_buffer.scala 473:13] - node _T_2643 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2644 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2645 = eq(_T_2644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2646 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2648 = mux(_T_2643, UInt<1>("h00"), _T_2647) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2649 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2650 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2652 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2655 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2656 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2658 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2661 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2662 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2664 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 474:74] + buf_age[0] <= _T_2573 @[el2_lsu_bus_buffer.scala 471:13] + buf_age[1] <= _T_2596 @[el2_lsu_bus_buffer.scala 471:13] + buf_age[2] <= _T_2619 @[el2_lsu_bus_buffer.scala 471:13] + buf_age[3] <= _T_2642 @[el2_lsu_bus_buffer.scala 471:13] + node _T_2643 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2644 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2645 = eq(_T_2644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2646 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2648 = mux(_T_2643, UInt<1>("h00"), _T_2647) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2649 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2650 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2652 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2655 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2656 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2658 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2661 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2662 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2664 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 472:74] node _T_2667 = cat(_T_2666, _T_2660) @[Cat.scala 29:58] node _T_2668 = cat(_T_2667, _T_2654) @[Cat.scala 29:58] node _T_2669 = cat(_T_2668, _T_2648) @[Cat.scala 29:58] - node _T_2670 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2671 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2672 = eq(_T_2671, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2673 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2675 = mux(_T_2670, UInt<1>("h00"), _T_2674) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2676 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2677 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2679 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2682 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2683 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2685 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2688 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2689 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2691 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2670 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2671 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2672 = eq(_T_2671, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2673 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2675 = mux(_T_2670, UInt<1>("h00"), _T_2674) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2676 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2677 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2679 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2682 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2683 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2685 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2688 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2689 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2691 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 472:74] node _T_2694 = cat(_T_2693, _T_2687) @[Cat.scala 29:58] node _T_2695 = cat(_T_2694, _T_2681) @[Cat.scala 29:58] node _T_2696 = cat(_T_2695, _T_2675) @[Cat.scala 29:58] - node _T_2697 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2698 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2699 = eq(_T_2698, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2700 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2702 = mux(_T_2697, UInt<1>("h00"), _T_2701) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2703 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2704 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2706 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2709 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2710 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2712 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2715 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2716 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2718 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2697 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2698 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2699 = eq(_T_2698, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2700 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2702 = mux(_T_2697, UInt<1>("h00"), _T_2701) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2703 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2704 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2706 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2709 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2710 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2712 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2715 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2716 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2718 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 472:74] node _T_2721 = cat(_T_2720, _T_2714) @[Cat.scala 29:58] node _T_2722 = cat(_T_2721, _T_2708) @[Cat.scala 29:58] node _T_2723 = cat(_T_2722, _T_2702) @[Cat.scala 29:58] - node _T_2724 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2725 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2726 = eq(_T_2725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2727 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2728 = and(_T_2726, _T_2727) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2729 = mux(_T_2724, UInt<1>("h00"), _T_2728) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2730 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2731 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2733 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2735 = mux(_T_2730, UInt<1>("h00"), _T_2734) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2736 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2737 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2738 = eq(_T_2737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2739 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2741 = mux(_T_2736, UInt<1>("h00"), _T_2740) @[el2_lsu_bus_buffer.scala 474:74] - node _T_2742 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 474:78] - node _T_2743 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 474:102] - node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:91] - node _T_2745 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:121] - node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 474:106] - node _T_2747 = mux(_T_2742, UInt<1>("h00"), _T_2746) @[el2_lsu_bus_buffer.scala 474:74] + node _T_2724 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2725 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2726 = eq(_T_2725, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2727 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2728 = and(_T_2726, _T_2727) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2729 = mux(_T_2724, UInt<1>("h00"), _T_2728) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2730 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2731 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2733 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2735 = mux(_T_2730, UInt<1>("h00"), _T_2734) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2736 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2737 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2738 = eq(_T_2737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2739 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2741 = mux(_T_2736, UInt<1>("h00"), _T_2740) @[el2_lsu_bus_buffer.scala 472:74] + node _T_2742 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:78] + node _T_2743 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:102] + node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:91] + node _T_2745 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:121] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 472:106] + node _T_2747 = mux(_T_2742, UInt<1>("h00"), _T_2746) @[el2_lsu_bus_buffer.scala 472:74] node _T_2748 = cat(_T_2747, _T_2741) @[Cat.scala 29:58] node _T_2749 = cat(_T_2748, _T_2735) @[Cat.scala 29:58] node _T_2750 = cat(_T_2749, _T_2729) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2669 @[el2_lsu_bus_buffer.scala 474:21] - buf_age_younger[1] <= _T_2696 @[el2_lsu_bus_buffer.scala 474:21] - buf_age_younger[2] <= _T_2723 @[el2_lsu_bus_buffer.scala 474:21] - buf_age_younger[3] <= _T_2750 @[el2_lsu_bus_buffer.scala 474:21] - node _T_2751 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2754 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2757 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2760 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 475:89] + buf_age_younger[0] <= _T_2669 @[el2_lsu_bus_buffer.scala 472:21] + buf_age_younger[1] <= _T_2696 @[el2_lsu_bus_buffer.scala 472:21] + buf_age_younger[2] <= _T_2723 @[el2_lsu_bus_buffer.scala 472:21] + buf_age_younger[3] <= _T_2750 @[el2_lsu_bus_buffer.scala 472:21] + node _T_2751 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2754 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2757 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2760 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 473:89] node _T_2763 = cat(_T_2762, _T_2759) @[Cat.scala 29:58] node _T_2764 = cat(_T_2763, _T_2756) @[Cat.scala 29:58] node _T_2765 = cat(_T_2764, _T_2753) @[Cat.scala 29:58] - node _T_2766 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2769 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2772 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2775 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2766 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2769 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2772 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2775 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 473:89] node _T_2778 = cat(_T_2777, _T_2774) @[Cat.scala 29:58] node _T_2779 = cat(_T_2778, _T_2771) @[Cat.scala 29:58] node _T_2780 = cat(_T_2779, _T_2768) @[Cat.scala 29:58] - node _T_2781 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2784 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2787 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2790 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2781 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2784 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2787 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2790 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 473:89] node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58] node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58] node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58] - node _T_2796 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2797 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2799 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2800 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2802 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2803 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 475:89] - node _T_2805 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 475:85] - node _T_2806 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 475:104] - node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 475:89] + node _T_2796 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2797 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2799 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2800 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2802 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2803 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 473:89] + node _T_2805 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:85] + node _T_2806 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 473:104] + node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 473:89] node _T_2808 = cat(_T_2807, _T_2804) @[Cat.scala 29:58] node _T_2809 = cat(_T_2808, _T_2801) @[Cat.scala 29:58] node _T_2810 = cat(_T_2809, _T_2798) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2765 @[el2_lsu_bus_buffer.scala 475:21] - buf_rsp_pickage[1] <= _T_2780 @[el2_lsu_bus_buffer.scala 475:21] - buf_rsp_pickage[2] <= _T_2795 @[el2_lsu_bus_buffer.scala 475:21] - buf_rsp_pickage[3] <= _T_2810 @[el2_lsu_bus_buffer.scala 475:21] - node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2812 = and(_T_2811, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2813 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2814 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2815 = or(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2819 = and(_T_2817, _T_2818) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2821 = and(_T_2819, _T_2820) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2822 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2823 = and(_T_2821, _T_2822) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2824 = or(_T_2816, _T_2823) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2826 = and(_T_2825, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2829 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2831 = or(_T_2824, _T_2830) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2832 = and(_T_2812, _T_2831) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2834 = and(_T_2833, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2835 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2836 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2837 = or(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2841 = and(_T_2839, _T_2840) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2843 = and(_T_2841, _T_2842) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2844 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2846 = or(_T_2838, _T_2845) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2848 = and(_T_2847, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2851 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2853 = or(_T_2846, _T_2852) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2854 = and(_T_2834, _T_2853) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2855 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2856 = and(_T_2855, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2857 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2858 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2859 = or(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2860 = eq(_T_2859, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2861 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2862 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2863 = and(_T_2861, _T_2862) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2864 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2865 = and(_T_2863, _T_2864) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2866 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2868 = or(_T_2860, _T_2867) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2869 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2870 = and(_T_2869, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2871 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2873 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2875 = or(_T_2868, _T_2874) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2876 = and(_T_2856, _T_2875) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2877 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2878 = and(_T_2877, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2879 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2880 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2881 = or(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2882 = eq(_T_2881, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2883 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2884 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2885 = and(_T_2883, _T_2884) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2886 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2887 = and(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2888 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2889 = and(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2890 = or(_T_2882, _T_2889) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2891 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2892 = and(_T_2891, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2893 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2895 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2896 = and(_T_2894, _T_2895) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2897 = or(_T_2890, _T_2896) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2898 = and(_T_2878, _T_2897) @[el2_lsu_bus_buffer.scala 477:114] + buf_rsp_pickage[0] <= _T_2765 @[el2_lsu_bus_buffer.scala 473:21] + buf_rsp_pickage[1] <= _T_2780 @[el2_lsu_bus_buffer.scala 473:21] + buf_rsp_pickage[2] <= _T_2795 @[el2_lsu_bus_buffer.scala 473:21] + buf_rsp_pickage[3] <= _T_2810 @[el2_lsu_bus_buffer.scala 473:21] + node _T_2811 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2812 = and(_T_2811, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2813 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2814 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2815 = or(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2816 = eq(_T_2815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2817 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2818 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2819 = and(_T_2817, _T_2818) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2820 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2821 = and(_T_2819, _T_2820) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2822 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2823 = and(_T_2821, _T_2822) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2824 = or(_T_2816, _T_2823) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2825 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2826 = and(_T_2825, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2827 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2828 = and(_T_2826, _T_2827) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2829 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2830 = and(_T_2828, _T_2829) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2831 = or(_T_2824, _T_2830) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2832 = and(_T_2812, _T_2831) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2833 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2834 = and(_T_2833, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2835 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2836 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2837 = or(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2838 = eq(_T_2837, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2839 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2840 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2841 = and(_T_2839, _T_2840) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2842 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2843 = and(_T_2841, _T_2842) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2844 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2846 = or(_T_2838, _T_2845) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2847 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2848 = and(_T_2847, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2849 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2850 = and(_T_2848, _T_2849) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2851 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2852 = and(_T_2850, _T_2851) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2853 = or(_T_2846, _T_2852) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2854 = and(_T_2834, _T_2853) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2855 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2856 = and(_T_2855, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2857 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2858 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2859 = or(_T_2857, _T_2858) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2860 = eq(_T_2859, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2861 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2862 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2863 = and(_T_2861, _T_2862) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2864 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2865 = and(_T_2863, _T_2864) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2866 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2868 = or(_T_2860, _T_2867) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2869 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2870 = and(_T_2869, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2871 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2872 = and(_T_2870, _T_2871) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2873 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2874 = and(_T_2872, _T_2873) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2875 = or(_T_2868, _T_2874) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2876 = and(_T_2856, _T_2875) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2877 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2878 = and(_T_2877, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2879 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2880 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2881 = or(_T_2879, _T_2880) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2882 = eq(_T_2881, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2883 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2884 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2885 = and(_T_2883, _T_2884) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2886 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2887 = and(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2888 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2889 = and(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2890 = or(_T_2882, _T_2889) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2891 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2892 = and(_T_2891, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2893 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2895 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2896 = and(_T_2894, _T_2895) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2897 = or(_T_2890, _T_2896) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2898 = and(_T_2878, _T_2897) @[el2_lsu_bus_buffer.scala 475:114] node _T_2899 = cat(_T_2898, _T_2876) @[Cat.scala 29:58] node _T_2900 = cat(_T_2899, _T_2854) @[Cat.scala 29:58] node _T_2901 = cat(_T_2900, _T_2832) @[Cat.scala 29:58] - node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2903 = and(_T_2902, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2904 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2905 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2906 = or(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2910 = and(_T_2908, _T_2909) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2912 = and(_T_2910, _T_2911) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2913 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2914 = and(_T_2912, _T_2913) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2915 = or(_T_2907, _T_2914) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2917 = and(_T_2916, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2920 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2922 = or(_T_2915, _T_2921) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2923 = and(_T_2903, _T_2922) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2925 = and(_T_2924, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2926 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2927 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2928 = or(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2932 = and(_T_2930, _T_2931) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2934 = and(_T_2932, _T_2933) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2935 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2937 = or(_T_2929, _T_2936) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2939 = and(_T_2938, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2942 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2944 = or(_T_2937, _T_2943) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2945 = and(_T_2925, _T_2944) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2946 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2947 = and(_T_2946, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2948 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2949 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2950 = or(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2951 = eq(_T_2950, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2952 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2953 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2954 = and(_T_2952, _T_2953) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2955 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2956 = and(_T_2954, _T_2955) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2957 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2959 = or(_T_2951, _T_2958) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2960 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2961 = and(_T_2960, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2962 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2964 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2966 = or(_T_2959, _T_2965) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2967 = and(_T_2947, _T_2966) @[el2_lsu_bus_buffer.scala 477:114] - node _T_2968 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2969 = and(_T_2968, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2970 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2971 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2972 = or(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2973 = eq(_T_2972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2974 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_2975 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_2976 = and(_T_2974, _T_2975) @[el2_lsu_bus_buffer.scala 479:43] - node _T_2977 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_2978 = and(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 479:73] - node _T_2979 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_2980 = and(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 479:92] - node _T_2981 = or(_T_2973, _T_2980) @[el2_lsu_bus_buffer.scala 478:61] - node _T_2982 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_2983 = and(_T_2982, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_2984 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 480:54] - node _T_2986 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_2987 = and(_T_2985, _T_2986) @[el2_lsu_bus_buffer.scala 480:73] - node _T_2988 = or(_T_2981, _T_2987) @[el2_lsu_bus_buffer.scala 479:112] - node _T_2989 = and(_T_2969, _T_2988) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2902 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2903 = and(_T_2902, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2904 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2905 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2906 = or(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2907 = eq(_T_2906, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2908 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2909 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2910 = and(_T_2908, _T_2909) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2911 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2912 = and(_T_2910, _T_2911) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2913 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2914 = and(_T_2912, _T_2913) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2915 = or(_T_2907, _T_2914) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2916 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2917 = and(_T_2916, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2918 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2919 = and(_T_2917, _T_2918) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2920 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2921 = and(_T_2919, _T_2920) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2922 = or(_T_2915, _T_2921) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2923 = and(_T_2903, _T_2922) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2924 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2925 = and(_T_2924, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2926 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2927 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2928 = or(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2929 = eq(_T_2928, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2930 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2931 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2932 = and(_T_2930, _T_2931) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2933 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2934 = and(_T_2932, _T_2933) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2935 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2937 = or(_T_2929, _T_2936) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2938 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2939 = and(_T_2938, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2940 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2941 = and(_T_2939, _T_2940) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2942 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2943 = and(_T_2941, _T_2942) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2944 = or(_T_2937, _T_2943) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2945 = and(_T_2925, _T_2944) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2946 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2947 = and(_T_2946, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2948 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2949 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2950 = or(_T_2948, _T_2949) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2951 = eq(_T_2950, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2952 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2953 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2954 = and(_T_2952, _T_2953) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2955 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2956 = and(_T_2954, _T_2955) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2957 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2959 = or(_T_2951, _T_2958) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2960 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2961 = and(_T_2960, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2962 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2963 = and(_T_2961, _T_2962) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2964 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2965 = and(_T_2963, _T_2964) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2966 = or(_T_2959, _T_2965) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2967 = and(_T_2947, _T_2966) @[el2_lsu_bus_buffer.scala 475:114] + node _T_2968 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2969 = and(_T_2968, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2970 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2971 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2972 = or(_T_2970, _T_2971) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2973 = eq(_T_2972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2974 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_2975 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_2976 = and(_T_2974, _T_2975) @[el2_lsu_bus_buffer.scala 477:43] + node _T_2977 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_2978 = and(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 477:73] + node _T_2979 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_2980 = and(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 477:92] + node _T_2981 = or(_T_2973, _T_2980) @[el2_lsu_bus_buffer.scala 476:61] + node _T_2982 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_2983 = and(_T_2982, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_2984 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 478:54] + node _T_2986 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_2987 = and(_T_2985, _T_2986) @[el2_lsu_bus_buffer.scala 478:73] + node _T_2988 = or(_T_2981, _T_2987) @[el2_lsu_bus_buffer.scala 477:112] + node _T_2989 = and(_T_2969, _T_2988) @[el2_lsu_bus_buffer.scala 475:114] node _T_2990 = cat(_T_2989, _T_2967) @[Cat.scala 29:58] node _T_2991 = cat(_T_2990, _T_2945) @[Cat.scala 29:58] node _T_2992 = cat(_T_2991, _T_2923) @[Cat.scala 29:58] - node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_2994 = and(_T_2993, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_2995 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_2996 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_2997 = or(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 478:34] - node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3001 = and(_T_2999, _T_3000) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3003 = and(_T_3001, _T_3002) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3004 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3005 = and(_T_3003, _T_3004) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3006 = or(_T_2998, _T_3005) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3008 = and(_T_3007, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3011 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3013 = or(_T_3006, _T_3012) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3014 = and(_T_2994, _T_3013) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3016 = and(_T_3015, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3017 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3018 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3019 = or(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3023 = and(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3025 = and(_T_3023, _T_3024) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3026 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3027 = and(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3028 = or(_T_3020, _T_3027) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3030 = and(_T_3029, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3033 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3035 = or(_T_3028, _T_3034) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3036 = and(_T_3016, _T_3035) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3037 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3038 = and(_T_3037, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3039 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3040 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3041 = or(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3042 = eq(_T_3041, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3043 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3044 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3045 = and(_T_3043, _T_3044) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3046 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3047 = and(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3048 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3049 = and(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3050 = or(_T_3042, _T_3049) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3051 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3052 = and(_T_3051, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3053 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3055 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3057 = or(_T_3050, _T_3056) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3058 = and(_T_3038, _T_3057) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3059 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3060 = and(_T_3059, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3061 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3062 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3063 = or(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3064 = eq(_T_3063, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3065 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3066 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3067 = and(_T_3065, _T_3066) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3068 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3069 = and(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3070 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3071 = and(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3072 = or(_T_3064, _T_3071) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3073 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3074 = and(_T_3073, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3075 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3076 = and(_T_3074, _T_3075) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3077 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3078 = and(_T_3076, _T_3077) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3079 = or(_T_3072, _T_3078) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3080 = and(_T_3060, _T_3079) @[el2_lsu_bus_buffer.scala 477:114] + node _T_2993 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_2994 = and(_T_2993, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_2995 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_2996 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_2997 = or(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 476:34] + node _T_2998 = eq(_T_2997, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_2999 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3000 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3001 = and(_T_2999, _T_3000) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3002 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3003 = and(_T_3001, _T_3002) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3004 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3005 = and(_T_3003, _T_3004) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3006 = or(_T_2998, _T_3005) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3007 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3008 = and(_T_3007, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3009 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3010 = and(_T_3008, _T_3009) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3011 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3012 = and(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3013 = or(_T_3006, _T_3012) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3014 = and(_T_2994, _T_3013) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3015 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3016 = and(_T_3015, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3017 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3018 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3019 = or(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3020 = eq(_T_3019, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3021 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3022 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3023 = and(_T_3021, _T_3022) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3024 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3025 = and(_T_3023, _T_3024) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3026 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3027 = and(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3028 = or(_T_3020, _T_3027) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3029 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3030 = and(_T_3029, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3031 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3032 = and(_T_3030, _T_3031) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3033 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3034 = and(_T_3032, _T_3033) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3035 = or(_T_3028, _T_3034) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3036 = and(_T_3016, _T_3035) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3037 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3038 = and(_T_3037, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3039 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3040 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3041 = or(_T_3039, _T_3040) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3042 = eq(_T_3041, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3043 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3044 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3045 = and(_T_3043, _T_3044) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3046 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3047 = and(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3048 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3049 = and(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3050 = or(_T_3042, _T_3049) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3051 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3052 = and(_T_3051, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3053 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3054 = and(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3055 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3056 = and(_T_3054, _T_3055) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3057 = or(_T_3050, _T_3056) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3058 = and(_T_3038, _T_3057) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3059 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3060 = and(_T_3059, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3061 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3062 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3063 = or(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3064 = eq(_T_3063, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3065 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3066 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3067 = and(_T_3065, _T_3066) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3068 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3069 = and(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3070 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3071 = and(_T_3069, _T_3070) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3072 = or(_T_3064, _T_3071) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3073 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3074 = and(_T_3073, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3075 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3076 = and(_T_3074, _T_3075) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3077 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3078 = and(_T_3076, _T_3077) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3079 = or(_T_3072, _T_3078) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3080 = and(_T_3060, _T_3079) @[el2_lsu_bus_buffer.scala 475:114] node _T_3081 = cat(_T_3080, _T_3058) @[Cat.scala 29:58] node _T_3082 = cat(_T_3081, _T_3036) @[Cat.scala 29:58] node _T_3083 = cat(_T_3082, _T_3014) @[Cat.scala 29:58] - node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3085 = and(_T_3084, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3086 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3087 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3088 = or(_T_3086, _T_3087) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3092 = and(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3094 = and(_T_3092, _T_3093) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3095 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3096 = and(_T_3094, _T_3095) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3097 = or(_T_3089, _T_3096) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3099 = and(_T_3098, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3101 = and(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3102 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3103 = and(_T_3101, _T_3102) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3104 = or(_T_3097, _T_3103) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3105 = and(_T_3085, _T_3104) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3107 = and(_T_3106, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3108 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3109 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3114 = and(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3116 = and(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3117 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3118 = and(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3119 = or(_T_3111, _T_3118) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3121 = and(_T_3120, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3123 = and(_T_3121, _T_3122) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3124 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3125 = and(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3126 = or(_T_3119, _T_3125) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3127 = and(_T_3107, _T_3126) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3128 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3129 = and(_T_3128, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3130 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3131 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3134 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3135 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3136 = and(_T_3134, _T_3135) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3137 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3138 = and(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3139 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3140 = and(_T_3138, _T_3139) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3141 = or(_T_3133, _T_3140) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3142 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3143 = and(_T_3142, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3144 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3145 = and(_T_3143, _T_3144) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3146 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3147 = and(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3148 = or(_T_3141, _T_3147) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3149 = and(_T_3129, _T_3148) @[el2_lsu_bus_buffer.scala 477:114] - node _T_3150 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 477:84] - node _T_3151 = and(_T_3150, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 477:95] - node _T_3152 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 478:23] - node _T_3153 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 478:49] - node _T_3154 = or(_T_3152, _T_3153) @[el2_lsu_bus_buffer.scala 478:34] - node _T_3155 = eq(_T_3154, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:8] - node _T_3156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 479:25] - node _T_3157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:55] - node _T_3158 = and(_T_3156, _T_3157) @[el2_lsu_bus_buffer.scala 479:43] - node _T_3159 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:84] - node _T_3160 = and(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3161 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3162 = and(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 479:92] - node _T_3163 = or(_T_3155, _T_3162) @[el2_lsu_bus_buffer.scala 478:61] - node _T_3164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 480:19] - node _T_3165 = and(_T_3164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3166 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3167 = and(_T_3165, _T_3166) @[el2_lsu_bus_buffer.scala 480:54] - node _T_3168 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:84] - node _T_3169 = and(_T_3167, _T_3168) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3170 = or(_T_3163, _T_3169) @[el2_lsu_bus_buffer.scala 479:112] - node _T_3171 = and(_T_3151, _T_3170) @[el2_lsu_bus_buffer.scala 477:114] + node _T_3084 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3085 = and(_T_3084, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3086 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3087 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3088 = or(_T_3086, _T_3087) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3089 = eq(_T_3088, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3090 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3091 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3092 = and(_T_3090, _T_3091) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3093 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3094 = and(_T_3092, _T_3093) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3095 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3096 = and(_T_3094, _T_3095) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3097 = or(_T_3089, _T_3096) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3098 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3099 = and(_T_3098, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3100 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3101 = and(_T_3099, _T_3100) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3102 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3103 = and(_T_3101, _T_3102) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3104 = or(_T_3097, _T_3103) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3105 = and(_T_3085, _T_3104) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3106 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3107 = and(_T_3106, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3108 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3109 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3110 = or(_T_3108, _T_3109) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3111 = eq(_T_3110, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3112 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3113 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3114 = and(_T_3112, _T_3113) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3115 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3116 = and(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3117 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3118 = and(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3119 = or(_T_3111, _T_3118) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3120 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3121 = and(_T_3120, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3122 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3123 = and(_T_3121, _T_3122) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3124 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3125 = and(_T_3123, _T_3124) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3126 = or(_T_3119, _T_3125) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3127 = and(_T_3107, _T_3126) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3128 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3129 = and(_T_3128, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3130 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3131 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3132 = or(_T_3130, _T_3131) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3133 = eq(_T_3132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3134 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3135 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3136 = and(_T_3134, _T_3135) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3137 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3138 = and(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3139 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3140 = and(_T_3138, _T_3139) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3141 = or(_T_3133, _T_3140) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3142 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3143 = and(_T_3142, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3144 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3145 = and(_T_3143, _T_3144) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3146 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3147 = and(_T_3145, _T_3146) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3148 = or(_T_3141, _T_3147) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3149 = and(_T_3129, _T_3148) @[el2_lsu_bus_buffer.scala 475:114] + node _T_3150 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 475:84] + node _T_3151 = and(_T_3150, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 475:95] + node _T_3152 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 476:23] + node _T_3153 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 476:49] + node _T_3154 = or(_T_3152, _T_3153) @[el2_lsu_bus_buffer.scala 476:34] + node _T_3155 = eq(_T_3154, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 476:8] + node _T_3156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 477:25] + node _T_3157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:55] + node _T_3158 = and(_T_3156, _T_3157) @[el2_lsu_bus_buffer.scala 477:43] + node _T_3159 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:84] + node _T_3160 = and(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 477:73] + node _T_3161 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:103] + node _T_3162 = and(_T_3160, _T_3161) @[el2_lsu_bus_buffer.scala 477:92] + node _T_3163 = or(_T_3155, _T_3162) @[el2_lsu_bus_buffer.scala 476:61] + node _T_3164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 478:19] + node _T_3165 = and(_T_3164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:37] + node _T_3166 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3167 = and(_T_3165, _T_3166) @[el2_lsu_bus_buffer.scala 478:54] + node _T_3168 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:84] + node _T_3169 = and(_T_3167, _T_3168) @[el2_lsu_bus_buffer.scala 478:73] + node _T_3170 = or(_T_3163, _T_3169) @[el2_lsu_bus_buffer.scala 477:112] + node _T_3171 = and(_T_3151, _T_3170) @[el2_lsu_bus_buffer.scala 475:114] node _T_3172 = cat(_T_3171, _T_3149) @[Cat.scala 29:58] node _T_3173 = cat(_T_3172, _T_3127) @[Cat.scala 29:58] node _T_3174 = cat(_T_3173, _T_3105) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2901 @[el2_lsu_bus_buffer.scala 477:20] - buf_rspage_set[1] <= _T_2992 @[el2_lsu_bus_buffer.scala 477:20] - buf_rspage_set[2] <= _T_3083 @[el2_lsu_bus_buffer.scala 477:20] - buf_rspage_set[3] <= _T_3174 @[el2_lsu_bus_buffer.scala 477:20] - node _T_3175 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3176 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3178 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3179 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3181 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3182 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3184 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3185 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 481:90] + buf_rspage_set[0] <= _T_2901 @[el2_lsu_bus_buffer.scala 475:20] + buf_rspage_set[1] <= _T_2992 @[el2_lsu_bus_buffer.scala 475:20] + buf_rspage_set[2] <= _T_3083 @[el2_lsu_bus_buffer.scala 475:20] + buf_rspage_set[3] <= _T_3174 @[el2_lsu_bus_buffer.scala 475:20] + node _T_3175 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3176 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3178 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3179 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3181 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3182 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3184 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3185 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 479:90] node _T_3187 = cat(_T_3186, _T_3183) @[Cat.scala 29:58] node _T_3188 = cat(_T_3187, _T_3180) @[Cat.scala 29:58] node _T_3189 = cat(_T_3188, _T_3177) @[Cat.scala 29:58] - node _T_3190 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3191 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3193 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3194 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3196 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3197 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3199 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3200 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3190 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3191 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3193 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3194 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3196 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3197 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3199 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3200 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 479:90] node _T_3202 = cat(_T_3201, _T_3198) @[Cat.scala 29:58] node _T_3203 = cat(_T_3202, _T_3195) @[Cat.scala 29:58] node _T_3204 = cat(_T_3203, _T_3192) @[Cat.scala 29:58] - node _T_3205 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3206 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3208 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3209 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3211 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3212 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3214 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3215 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3205 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3206 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3208 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3209 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3211 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3212 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3214 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3215 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 479:90] node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58] node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58] node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58] - node _T_3220 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3221 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3223 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3224 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3226 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3227 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 481:90] - node _T_3229 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3230 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 481:105] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 481:90] + node _T_3220 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3221 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3223 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3224 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3226 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3227 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 479:90] + node _T_3229 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3230 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 479:105] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 479:90] node _T_3232 = cat(_T_3231, _T_3228) @[Cat.scala 29:58] node _T_3233 = cat(_T_3232, _T_3225) @[Cat.scala 29:58] node _T_3234 = cat(_T_3233, _T_3222) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3189 @[el2_lsu_bus_buffer.scala 481:19] - buf_rspage_in[1] <= _T_3204 @[el2_lsu_bus_buffer.scala 481:19] - buf_rspage_in[2] <= _T_3219 @[el2_lsu_bus_buffer.scala 481:19] - buf_rspage_in[3] <= _T_3234 @[el2_lsu_bus_buffer.scala 481:19] - node _T_3235 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3236 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3237 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3238 = or(_T_3236, _T_3237) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3240 = and(_T_3235, _T_3239) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3241 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3242 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3243 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3247 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3248 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3249 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3253 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3254 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3255 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 482:84] + buf_rspage_in[0] <= _T_3189 @[el2_lsu_bus_buffer.scala 479:19] + buf_rspage_in[1] <= _T_3204 @[el2_lsu_bus_buffer.scala 479:19] + buf_rspage_in[2] <= _T_3219 @[el2_lsu_bus_buffer.scala 479:19] + buf_rspage_in[3] <= _T_3234 @[el2_lsu_bus_buffer.scala 479:19] + node _T_3235 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3236 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3237 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3238 = or(_T_3236, _T_3237) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3240 = and(_T_3235, _T_3239) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3241 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3242 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3243 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3247 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3248 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3249 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3253 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3254 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3255 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 480:84] node _T_3259 = cat(_T_3258, _T_3252) @[Cat.scala 29:58] node _T_3260 = cat(_T_3259, _T_3246) @[Cat.scala 29:58] node _T_3261 = cat(_T_3260, _T_3240) @[Cat.scala 29:58] - node _T_3262 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3263 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3264 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3265 = or(_T_3263, _T_3264) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3267 = and(_T_3262, _T_3266) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3268 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3269 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3274 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3275 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3276 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3280 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3281 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3282 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3262 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3263 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3264 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3265 = or(_T_3263, _T_3264) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3267 = and(_T_3262, _T_3266) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3268 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3269 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3274 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3275 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3276 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3280 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3281 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3282 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 480:84] node _T_3286 = cat(_T_3285, _T_3279) @[Cat.scala 29:58] node _T_3287 = cat(_T_3286, _T_3273) @[Cat.scala 29:58] node _T_3288 = cat(_T_3287, _T_3267) @[Cat.scala 29:58] - node _T_3289 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3292 = or(_T_3290, _T_3291) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3294 = and(_T_3289, _T_3293) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3295 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3296 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3297 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3301 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3302 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3303 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3307 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3308 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3309 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3289 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3292 = or(_T_3290, _T_3291) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3294 = and(_T_3289, _T_3293) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3295 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3296 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3297 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3301 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3302 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3303 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3307 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3308 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3309 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 480:84] node _T_3313 = cat(_T_3312, _T_3306) @[Cat.scala 29:58] node _T_3314 = cat(_T_3313, _T_3300) @[Cat.scala 29:58] node _T_3315 = cat(_T_3314, _T_3294) @[Cat.scala 29:58] - node _T_3316 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3317 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3318 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3319 = or(_T_3317, _T_3318) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3320 = eq(_T_3319, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3321 = and(_T_3316, _T_3320) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3322 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3323 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3324 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3325 = or(_T_3323, _T_3324) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3326 = eq(_T_3325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3327 = and(_T_3322, _T_3326) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3328 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3329 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3330 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3331 = or(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3332 = eq(_T_3331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3333 = and(_T_3328, _T_3332) @[el2_lsu_bus_buffer.scala 482:84] - node _T_3334 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 482:80] - node _T_3335 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 482:101] - node _T_3336 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 482:127] - node _T_3337 = or(_T_3335, _T_3336) @[el2_lsu_bus_buffer.scala 482:112] - node _T_3338 = eq(_T_3337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3339 = and(_T_3334, _T_3338) @[el2_lsu_bus_buffer.scala 482:84] + node _T_3316 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3317 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3318 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3319 = or(_T_3317, _T_3318) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3320 = eq(_T_3319, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3321 = and(_T_3316, _T_3320) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3322 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3323 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3324 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3325 = or(_T_3323, _T_3324) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3326 = eq(_T_3325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3327 = and(_T_3322, _T_3326) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3328 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3329 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3330 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3331 = or(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3332 = eq(_T_3331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3333 = and(_T_3328, _T_3332) @[el2_lsu_bus_buffer.scala 480:84] + node _T_3334 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 480:80] + node _T_3335 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 480:101] + node _T_3336 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 480:127] + node _T_3337 = or(_T_3335, _T_3336) @[el2_lsu_bus_buffer.scala 480:112] + node _T_3338 = eq(_T_3337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3339 = and(_T_3334, _T_3338) @[el2_lsu_bus_buffer.scala 480:84] node _T_3340 = cat(_T_3339, _T_3333) @[Cat.scala 29:58] node _T_3341 = cat(_T_3340, _T_3327) @[Cat.scala 29:58] node _T_3342 = cat(_T_3341, _T_3321) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3261 @[el2_lsu_bus_buffer.scala 482:16] - buf_rspage[1] <= _T_3288 @[el2_lsu_bus_buffer.scala 482:16] - buf_rspage[2] <= _T_3315 @[el2_lsu_bus_buffer.scala 482:16] - buf_rspage[3] <= _T_3342 @[el2_lsu_bus_buffer.scala 482:16] - node _T_3343 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3344 = and(ibuf_drain_vld, _T_3343) @[el2_lsu_bus_buffer.scala 487:65] - node _T_3345 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3346 = and(ibuf_drain_vld, _T_3345) @[el2_lsu_bus_buffer.scala 487:65] - node _T_3347 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3348 = and(ibuf_drain_vld, _T_3347) @[el2_lsu_bus_buffer.scala 487:65] - node _T_3349 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 487:77] - node _T_3350 = and(ibuf_drain_vld, _T_3349) @[el2_lsu_bus_buffer.scala 487:65] + buf_rspage[0] <= _T_3261 @[el2_lsu_bus_buffer.scala 480:16] + buf_rspage[1] <= _T_3288 @[el2_lsu_bus_buffer.scala 480:16] + buf_rspage[2] <= _T_3315 @[el2_lsu_bus_buffer.scala 480:16] + buf_rspage[3] <= _T_3342 @[el2_lsu_bus_buffer.scala 480:16] + node _T_3343 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:77] + node _T_3344 = and(ibuf_drain_vld, _T_3343) @[el2_lsu_bus_buffer.scala 485:65] + node _T_3345 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 485:77] + node _T_3346 = and(ibuf_drain_vld, _T_3345) @[el2_lsu_bus_buffer.scala 485:65] + node _T_3347 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 485:77] + node _T_3348 = and(ibuf_drain_vld, _T_3347) @[el2_lsu_bus_buffer.scala 485:65] + node _T_3349 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 485:77] + node _T_3350 = and(ibuf_drain_vld, _T_3349) @[el2_lsu_bus_buffer.scala 485:65] node _T_3351 = cat(_T_3350, _T_3348) @[Cat.scala 29:58] node _T_3352 = cat(_T_3351, _T_3346) @[Cat.scala 29:58] node _T_3353 = cat(_T_3352, _T_3344) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3353 @[el2_lsu_bus_buffer.scala 487:23] - node _T_3354 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3355 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] - node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] - node _T_3357 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 489:37] - node _T_3359 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3360 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] - node _T_3361 = mux(_T_3358, _T_3359, _T_3360) @[el2_lsu_bus_buffer.scala 489:10] - node _T_3362 = mux(_T_3354, _T_3355, _T_3361) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3363 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3364 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] - node _T_3365 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] - node _T_3366 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3367 = and(_T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 489:37] - node _T_3368 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3369 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] - node _T_3370 = mux(_T_3367, _T_3368, _T_3369) @[el2_lsu_bus_buffer.scala 489:10] - node _T_3371 = mux(_T_3363, _T_3364, _T_3370) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3372 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3373 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] - node _T_3374 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] - node _T_3375 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3376 = and(_T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 489:37] - node _T_3377 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3378 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] - node _T_3379 = mux(_T_3376, _T_3377, _T_3378) @[el2_lsu_bus_buffer.scala 489:10] - node _T_3380 = mux(_T_3372, _T_3373, _T_3379) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3381 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3382 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 488:86] - node _T_3383 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:20] - node _T_3384 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 489:48] - node _T_3385 = and(_T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 489:37] - node _T_3386 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:73] - node _T_3387 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 489:96] - node _T_3388 = mux(_T_3385, _T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 489:10] - node _T_3389 = mux(_T_3381, _T_3382, _T_3388) @[el2_lsu_bus_buffer.scala 488:48] - buf_byteen_in[0] <= _T_3362 @[el2_lsu_bus_buffer.scala 488:19] - buf_byteen_in[1] <= _T_3371 @[el2_lsu_bus_buffer.scala 488:19] - buf_byteen_in[2] <= _T_3380 @[el2_lsu_bus_buffer.scala 488:19] - buf_byteen_in[3] <= _T_3389 @[el2_lsu_bus_buffer.scala 488:19] - node _T_3390 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:64] - node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] - node _T_3392 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 490:121] - node _T_3393 = and(_T_3391, _T_3392) @[el2_lsu_bus_buffer.scala 490:110] - node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] - node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3396 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:64] - node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] - node _T_3398 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 490:121] - node _T_3399 = and(_T_3397, _T_3398) @[el2_lsu_bus_buffer.scala 490:110] - node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] - node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3402 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:64] - node _T_3403 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] - node _T_3404 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 490:121] - node _T_3405 = and(_T_3403, _T_3404) @[el2_lsu_bus_buffer.scala 490:110] - node _T_3406 = mux(_T_3405, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] - node _T_3407 = mux(_T_3402, ibuf_addr, _T_3406) @[el2_lsu_bus_buffer.scala 490:46] - node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:64] - node _T_3409 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 490:93] - node _T_3410 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 490:121] - node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 490:110] - node _T_3412 = mux(_T_3411, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 490:83] - node _T_3413 = mux(_T_3408, ibuf_addr, _T_3412) @[el2_lsu_bus_buffer.scala 490:46] - buf_addr_in[0] <= _T_3395 @[el2_lsu_bus_buffer.scala 490:17] - buf_addr_in[1] <= _T_3401 @[el2_lsu_bus_buffer.scala 490:17] - buf_addr_in[2] <= _T_3407 @[el2_lsu_bus_buffer.scala 490:17] - buf_addr_in[3] <= _T_3413 @[el2_lsu_bus_buffer.scala 490:17] - node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:65] - node _T_3415 = mux(_T_3414, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3416 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:65] - node _T_3417 = mux(_T_3416, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3418 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:65] - node _T_3419 = mux(_T_3418, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3420 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:65] - node _T_3421 = mux(_T_3420, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 491:47] + ibuf_drainvec_vld <= _T_3353 @[el2_lsu_bus_buffer.scala 485:23] + node _T_3354 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:66] + node _T_3355 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] + node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] + node _T_3357 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 487:37] + node _T_3359 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] + node _T_3360 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] + node _T_3361 = mux(_T_3358, _T_3359, _T_3360) @[el2_lsu_bus_buffer.scala 487:10] + node _T_3362 = mux(_T_3354, _T_3355, _T_3361) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3363 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:66] + node _T_3364 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] + node _T_3365 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] + node _T_3366 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3367 = and(_T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 487:37] + node _T_3368 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] + node _T_3369 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] + node _T_3370 = mux(_T_3367, _T_3368, _T_3369) @[el2_lsu_bus_buffer.scala 487:10] + node _T_3371 = mux(_T_3363, _T_3364, _T_3370) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3372 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:66] + node _T_3373 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] + node _T_3374 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] + node _T_3375 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3376 = and(_T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 487:37] + node _T_3377 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] + node _T_3378 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] + node _T_3379 = mux(_T_3376, _T_3377, _T_3378) @[el2_lsu_bus_buffer.scala 487:10] + node _T_3380 = mux(_T_3372, _T_3373, _T_3379) @[el2_lsu_bus_buffer.scala 486:48] + node _T_3381 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:66] + node _T_3382 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 486:86] + node _T_3383 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:20] + node _T_3384 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3385 = and(_T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 487:37] + node _T_3386 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:73] + node _T_3387 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 487:96] + node _T_3388 = mux(_T_3385, _T_3386, _T_3387) @[el2_lsu_bus_buffer.scala 487:10] + node _T_3389 = mux(_T_3381, _T_3382, _T_3388) @[el2_lsu_bus_buffer.scala 486:48] + buf_byteen_in[0] <= _T_3362 @[el2_lsu_bus_buffer.scala 486:19] + buf_byteen_in[1] <= _T_3371 @[el2_lsu_bus_buffer.scala 486:19] + buf_byteen_in[2] <= _T_3380 @[el2_lsu_bus_buffer.scala 486:19] + buf_byteen_in[3] <= _T_3389 @[el2_lsu_bus_buffer.scala 486:19] + node _T_3390 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:64] + node _T_3391 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] + node _T_3392 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 488:121] + node _T_3393 = and(_T_3391, _T_3392) @[el2_lsu_bus_buffer.scala 488:110] + node _T_3394 = mux(_T_3393, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] + node _T_3395 = mux(_T_3390, ibuf_addr, _T_3394) @[el2_lsu_bus_buffer.scala 488:46] + node _T_3396 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:64] + node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] + node _T_3398 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 488:121] + node _T_3399 = and(_T_3397, _T_3398) @[el2_lsu_bus_buffer.scala 488:110] + node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] + node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[el2_lsu_bus_buffer.scala 488:46] + node _T_3402 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:64] + node _T_3403 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] + node _T_3404 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 488:121] + node _T_3405 = and(_T_3403, _T_3404) @[el2_lsu_bus_buffer.scala 488:110] + node _T_3406 = mux(_T_3405, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] + node _T_3407 = mux(_T_3402, ibuf_addr, _T_3406) @[el2_lsu_bus_buffer.scala 488:46] + node _T_3408 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:64] + node _T_3409 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 488:93] + node _T_3410 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 488:121] + node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 488:110] + node _T_3412 = mux(_T_3411, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 488:83] + node _T_3413 = mux(_T_3408, ibuf_addr, _T_3412) @[el2_lsu_bus_buffer.scala 488:46] + buf_addr_in[0] <= _T_3395 @[el2_lsu_bus_buffer.scala 488:17] + buf_addr_in[1] <= _T_3401 @[el2_lsu_bus_buffer.scala 488:17] + buf_addr_in[2] <= _T_3407 @[el2_lsu_bus_buffer.scala 488:17] + buf_addr_in[3] <= _T_3413 @[el2_lsu_bus_buffer.scala 488:17] + node _T_3414 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:65] + node _T_3415 = mux(_T_3414, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3416 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:65] + node _T_3417 = mux(_T_3416, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3418 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:65] + node _T_3419 = mux(_T_3418, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] + node _T_3420 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:65] + node _T_3421 = mux(_T_3420, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 489:47] node _T_3422 = cat(_T_3421, _T_3419) @[Cat.scala 29:58] node _T_3423 = cat(_T_3422, _T_3417) @[Cat.scala 29:58] node _T_3424 = cat(_T_3423, _T_3415) @[Cat.scala 29:58] - buf_dual_in <= _T_3424 @[el2_lsu_bus_buffer.scala 491:17] - node _T_3425 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3426 = mux(_T_3425, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] - node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3428 = mux(_T_3427, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] - node _T_3429 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3430 = mux(_T_3429, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] - node _T_3431 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 492:67] - node _T_3432 = mux(_T_3431, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 492:49] + buf_dual_in <= _T_3424 @[el2_lsu_bus_buffer.scala 489:17] + node _T_3425 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:67] + node _T_3426 = mux(_T_3425, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3427 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:67] + node _T_3428 = mux(_T_3427, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3429 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:67] + node _T_3430 = mux(_T_3429, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3431 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:67] + node _T_3432 = mux(_T_3431, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 490:49] node _T_3433 = cat(_T_3432, _T_3430) @[Cat.scala 29:58] node _T_3434 = cat(_T_3433, _T_3428) @[Cat.scala 29:58] node _T_3435 = cat(_T_3434, _T_3426) @[Cat.scala 29:58] - buf_samedw_in <= _T_3435 @[el2_lsu_bus_buffer.scala 492:19] - node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3437 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] - node _T_3438 = mux(_T_3436, _T_3437, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] - node _T_3439 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3440 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] - node _T_3441 = mux(_T_3439, _T_3440, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] - node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] - node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] - node _T_3445 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 493:68] - node _T_3446 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 493:86] - node _T_3447 = mux(_T_3445, _T_3446, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 493:50] + buf_samedw_in <= _T_3435 @[el2_lsu_bus_buffer.scala 490:19] + node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3437 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] + node _T_3438 = mux(_T_3436, _T_3437, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] + node _T_3439 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3440 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] + node _T_3441 = mux(_T_3439, _T_3440, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] + node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] + node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] + node _T_3445 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:68] + node _T_3446 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 491:86] + node _T_3447 = mux(_T_3445, _T_3446, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 491:50] node _T_3448 = cat(_T_3447, _T_3444) @[Cat.scala 29:58] node _T_3449 = cat(_T_3448, _T_3441) @[Cat.scala 29:58] node _T_3450 = cat(_T_3449, _T_3438) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3450 @[el2_lsu_bus_buffer.scala 493:20] - node _T_3451 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] - node _T_3453 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:120] - node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 494:109] - node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3456 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3457 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] - node _T_3458 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:120] - node _T_3459 = and(_T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 494:109] - node _T_3460 = mux(_T_3456, ibuf_dual, _T_3459) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3461 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] - node _T_3463 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 494:120] - node _T_3464 = and(_T_3462, _T_3463) @[el2_lsu_bus_buffer.scala 494:109] - node _T_3465 = mux(_T_3461, ibuf_dual, _T_3464) @[el2_lsu_bus_buffer.scala 494:49] - node _T_3466 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 494:67] - node _T_3467 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:92] - node _T_3468 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 494:120] - node _T_3469 = and(_T_3467, _T_3468) @[el2_lsu_bus_buffer.scala 494:109] - node _T_3470 = mux(_T_3466, ibuf_dual, _T_3469) @[el2_lsu_bus_buffer.scala 494:49] + buf_nomerge_in <= _T_3450 @[el2_lsu_bus_buffer.scala 491:20] + node _T_3451 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] + node _T_3453 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 492:120] + node _T_3454 = and(_T_3452, _T_3453) @[el2_lsu_bus_buffer.scala 492:109] + node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[el2_lsu_bus_buffer.scala 492:49] + node _T_3456 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3457 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] + node _T_3458 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 492:120] + node _T_3459 = and(_T_3457, _T_3458) @[el2_lsu_bus_buffer.scala 492:109] + node _T_3460 = mux(_T_3456, ibuf_dual, _T_3459) @[el2_lsu_bus_buffer.scala 492:49] + node _T_3461 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] + node _T_3463 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 492:120] + node _T_3464 = and(_T_3462, _T_3463) @[el2_lsu_bus_buffer.scala 492:109] + node _T_3465 = mux(_T_3461, ibuf_dual, _T_3464) @[el2_lsu_bus_buffer.scala 492:49] + node _T_3466 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 492:67] + node _T_3467 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 492:92] + node _T_3468 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 492:120] + node _T_3469 = and(_T_3467, _T_3468) @[el2_lsu_bus_buffer.scala 492:109] + node _T_3470 = mux(_T_3466, ibuf_dual, _T_3469) @[el2_lsu_bus_buffer.scala 492:49] node _T_3471 = cat(_T_3470, _T_3465) @[Cat.scala 29:58] node _T_3472 = cat(_T_3471, _T_3460) @[Cat.scala 29:58] node _T_3473 = cat(_T_3472, _T_3455) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3473 @[el2_lsu_bus_buffer.scala 494:19] - node _T_3474 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] - node _T_3476 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:127] - node _T_3477 = and(_T_3475, _T_3476) @[el2_lsu_bus_buffer.scala 495:116] - node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] - node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3480 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] - node _T_3482 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 495:127] - node _T_3483 = and(_T_3481, _T_3482) @[el2_lsu_bus_buffer.scala 495:116] - node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] - node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3486 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] - node _T_3488 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 495:127] - node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 495:116] - node _T_3490 = mux(_T_3489, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] - node _T_3491 = mux(_T_3486, ibuf_dualtag, _T_3490) @[el2_lsu_bus_buffer.scala 495:49] - node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 495:67] - node _T_3493 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:99] - node _T_3494 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 495:127] - node _T_3495 = and(_T_3493, _T_3494) @[el2_lsu_bus_buffer.scala 495:116] - node _T_3496 = mux(_T_3495, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:89] - node _T_3497 = mux(_T_3492, ibuf_dualtag, _T_3496) @[el2_lsu_bus_buffer.scala 495:49] - buf_dualtag_in[0] <= _T_3479 @[el2_lsu_bus_buffer.scala 495:20] - buf_dualtag_in[1] <= _T_3485 @[el2_lsu_bus_buffer.scala 495:20] - buf_dualtag_in[2] <= _T_3491 @[el2_lsu_bus_buffer.scala 495:20] - buf_dualtag_in[3] <= _T_3497 @[el2_lsu_bus_buffer.scala 495:20] - node _T_3498 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 496:71] - node _T_3499 = mux(_T_3498, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] - node _T_3500 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 496:71] - node _T_3501 = mux(_T_3500, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] - node _T_3502 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 496:71] - node _T_3503 = mux(_T_3502, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] - node _T_3504 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 496:71] - node _T_3505 = mux(_T_3504, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 496:53] + buf_dualhi_in <= _T_3473 @[el2_lsu_bus_buffer.scala 492:19] + node _T_3474 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3475 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] + node _T_3476 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:127] + node _T_3477 = and(_T_3475, _T_3476) @[el2_lsu_bus_buffer.scala 493:116] + node _T_3478 = mux(_T_3477, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] + node _T_3479 = mux(_T_3474, ibuf_dualtag, _T_3478) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3480 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] + node _T_3482 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 493:127] + node _T_3483 = and(_T_3481, _T_3482) @[el2_lsu_bus_buffer.scala 493:116] + node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] + node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3486 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] + node _T_3488 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 493:127] + node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 493:116] + node _T_3490 = mux(_T_3489, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] + node _T_3491 = mux(_T_3486, ibuf_dualtag, _T_3490) @[el2_lsu_bus_buffer.scala 493:49] + node _T_3492 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 493:67] + node _T_3493 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:99] + node _T_3494 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 493:127] + node _T_3495 = and(_T_3493, _T_3494) @[el2_lsu_bus_buffer.scala 493:116] + node _T_3496 = mux(_T_3495, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:89] + node _T_3497 = mux(_T_3492, ibuf_dualtag, _T_3496) @[el2_lsu_bus_buffer.scala 493:49] + buf_dualtag_in[0] <= _T_3479 @[el2_lsu_bus_buffer.scala 493:20] + buf_dualtag_in[1] <= _T_3485 @[el2_lsu_bus_buffer.scala 493:20] + buf_dualtag_in[2] <= _T_3491 @[el2_lsu_bus_buffer.scala 493:20] + buf_dualtag_in[3] <= _T_3497 @[el2_lsu_bus_buffer.scala 493:20] + node _T_3498 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3499 = mux(_T_3498, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3500 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3501 = mux(_T_3500, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3502 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3503 = mux(_T_3502, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3504 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 494:71] + node _T_3505 = mux(_T_3504, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 494:53] node _T_3506 = cat(_T_3505, _T_3503) @[Cat.scala 29:58] node _T_3507 = cat(_T_3506, _T_3501) @[Cat.scala 29:58] node _T_3508 = cat(_T_3507, _T_3499) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3508 @[el2_lsu_bus_buffer.scala 496:23] - node _T_3509 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 497:67] - node _T_3510 = mux(_T_3509, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] - node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 497:67] - node _T_3512 = mux(_T_3511, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] - node _T_3513 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 497:67] - node _T_3514 = mux(_T_3513, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] - node _T_3515 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 497:67] - node _T_3516 = mux(_T_3515, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 497:49] + buf_sideeffect_in <= _T_3508 @[el2_lsu_bus_buffer.scala 494:23] + node _T_3509 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3510 = mux(_T_3509, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] + node _T_3511 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3512 = mux(_T_3511, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] + node _T_3513 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3514 = mux(_T_3513, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] + node _T_3515 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 495:67] + node _T_3516 = mux(_T_3515, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 495:49] node _T_3517 = cat(_T_3516, _T_3514) @[Cat.scala 29:58] node _T_3518 = cat(_T_3517, _T_3512) @[Cat.scala 29:58] node _T_3519 = cat(_T_3518, _T_3510) @[Cat.scala 29:58] - buf_unsign_in <= _T_3519 @[el2_lsu_bus_buffer.scala 497:19] - node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 498:62] + buf_unsign_in <= _T_3519 @[el2_lsu_bus_buffer.scala 495:19] + node _T_3520 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 496:62] node _T_3521 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3522 = mux(_T_3520, ibuf_sz, _T_3521) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3523 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 498:62] + node _T_3522 = mux(_T_3520, ibuf_sz, _T_3521) @[el2_lsu_bus_buffer.scala 496:44] + node _T_3523 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 496:62] node _T_3524 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3525 = mux(_T_3523, ibuf_sz, _T_3524) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3526 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 498:62] + node _T_3525 = mux(_T_3523, ibuf_sz, _T_3524) @[el2_lsu_bus_buffer.scala 496:44] + node _T_3526 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 496:62] node _T_3527 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 498:44] - node _T_3529 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 498:62] + node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 496:44] + node _T_3529 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 496:62] node _T_3530 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3531 = mux(_T_3529, ibuf_sz, _T_3530) @[el2_lsu_bus_buffer.scala 498:44] - buf_sz_in[0] <= _T_3522 @[el2_lsu_bus_buffer.scala 498:15] - buf_sz_in[1] <= _T_3525 @[el2_lsu_bus_buffer.scala 498:15] - buf_sz_in[2] <= _T_3528 @[el2_lsu_bus_buffer.scala 498:15] - buf_sz_in[3] <= _T_3531 @[el2_lsu_bus_buffer.scala 498:15] - node _T_3532 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3533 = mux(_T_3532, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3534 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3535 = mux(_T_3534, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3536 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3537 = mux(_T_3536, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] - node _T_3538 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3539 = mux(_T_3538, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 499:48] + node _T_3531 = mux(_T_3529, ibuf_sz, _T_3530) @[el2_lsu_bus_buffer.scala 496:44] + buf_sz_in[0] <= _T_3522 @[el2_lsu_bus_buffer.scala 496:15] + buf_sz_in[1] <= _T_3525 @[el2_lsu_bus_buffer.scala 496:15] + buf_sz_in[2] <= _T_3528 @[el2_lsu_bus_buffer.scala 496:15] + buf_sz_in[3] <= _T_3531 @[el2_lsu_bus_buffer.scala 496:15] + node _T_3532 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 497:66] + node _T_3533 = mux(_T_3532, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] + node _T_3534 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 497:66] + node _T_3535 = mux(_T_3534, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] + node _T_3536 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 497:66] + node _T_3537 = mux(_T_3536, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] + node _T_3538 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 497:66] + node _T_3539 = mux(_T_3538, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 497:48] node _T_3540 = cat(_T_3539, _T_3537) @[Cat.scala 29:58] node _T_3541 = cat(_T_3540, _T_3535) @[Cat.scala 29:58] node _T_3542 = cat(_T_3541, _T_3533) @[Cat.scala 29:58] - buf_write_in <= _T_3542 @[el2_lsu_bus_buffer.scala 499:18] + buf_write_in <= _T_3542 @[el2_lsu_bus_buffer.scala 497:18] node _T_3543 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3543 : @[Conditional.scala 40:58] - node _T_3544 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] - node _T_3545 = mux(_T_3544, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3546 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] - node _T_3547 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3548 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] - node _T_3549 = and(_T_3547, _T_3548) @[el2_lsu_bus_buffer.scala 505:95] - node _T_3550 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] - node _T_3551 = and(_T_3549, _T_3550) @[el2_lsu_bus_buffer.scala 505:112] - node _T_3552 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] - node _T_3553 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] - node _T_3554 = and(_T_3552, _T_3553) @[el2_lsu_bus_buffer.scala 505:161] - node _T_3555 = or(_T_3551, _T_3554) @[el2_lsu_bus_buffer.scala 505:132] - node _T_3556 = and(_T_3546, _T_3555) @[el2_lsu_bus_buffer.scala 505:63] - node _T_3557 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] - node _T_3558 = and(ibuf_drain_vld, _T_3557) @[el2_lsu_bus_buffer.scala 505:201] - node _T_3559 = or(_T_3556, _T_3558) @[el2_lsu_bus_buffer.scala 505:183] - buf_state_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 505:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 506:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 507:24] - node _T_3560 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] - node _T_3561 = and(ibuf_drain_vld, _T_3560) @[el2_lsu_bus_buffer.scala 508:47] - node _T_3562 = bits(_T_3561, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] - node _T_3563 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3564 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] - node _T_3565 = mux(_T_3562, _T_3563, _T_3564) @[el2_lsu_bus_buffer.scala 508:30] - buf_data_in[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 508:24] + node _T_3544 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] + node _T_3545 = mux(_T_3544, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[0] <= _T_3545 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3546 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] + node _T_3547 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] + node _T_3548 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] + node _T_3549 = and(_T_3547, _T_3548) @[el2_lsu_bus_buffer.scala 503:95] + node _T_3550 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] + node _T_3551 = and(_T_3549, _T_3550) @[el2_lsu_bus_buffer.scala 503:112] + node _T_3552 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] + node _T_3553 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] + node _T_3554 = and(_T_3552, _T_3553) @[el2_lsu_bus_buffer.scala 503:161] + node _T_3555 = or(_T_3551, _T_3554) @[el2_lsu_bus_buffer.scala 503:132] + node _T_3556 = and(_T_3546, _T_3555) @[el2_lsu_bus_buffer.scala 503:63] + node _T_3557 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] + node _T_3558 = and(ibuf_drain_vld, _T_3557) @[el2_lsu_bus_buffer.scala 503:201] + node _T_3559 = or(_T_3556, _T_3558) @[el2_lsu_bus_buffer.scala 503:183] + buf_state_en[0] <= _T_3559 @[el2_lsu_bus_buffer.scala 503:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 504:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 505:24] + node _T_3560 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] + node _T_3561 = and(ibuf_drain_vld, _T_3560) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3562 = bits(_T_3561, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] + node _T_3563 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] + node _T_3564 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] + node _T_3565 = mux(_T_3562, _T_3563, _T_3564) @[el2_lsu_bus_buffer.scala 506:30] + buf_data_in[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 506:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3566 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3566 : @[Conditional.scala 39:67] - node _T_3567 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3568 = mux(_T_3567, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[0] <= _T_3568 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3569 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] - buf_state_en[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3567 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] + node _T_3568 = mux(_T_3567, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] + buf_nxtstate[0] <= _T_3568 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3569 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] + buf_state_en[0] <= _T_3569 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3570 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3570 : @[Conditional.scala 39:67] - node _T_3571 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] - node _T_3572 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] - node _T_3573 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] - node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 515:104] - node _T_3575 = mux(_T_3574, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] - node _T_3576 = mux(_T_3571, UInt<3>("h00"), _T_3575) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 515:25] - node _T_3577 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 516:48] - node _T_3578 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 516:104] - node _T_3579 = and(obuf_merge, _T_3578) @[el2_lsu_bus_buffer.scala 516:91] - node _T_3580 = or(_T_3577, _T_3579) @[el2_lsu_bus_buffer.scala 516:77] - node _T_3581 = and(_T_3580, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] - node _T_3582 = and(_T_3581, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] - buf_cmd_state_bus_en[0] <= _T_3582 @[el2_lsu_bus_buffer.scala 516:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 517:29] - node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] - node _T_3584 = or(_T_3583, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] - buf_state_en[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - node _T_3585 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 520:56] - node _T_3586 = eq(_T_3585, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_3587 = and(buf_state_en[0], _T_3586) @[el2_lsu_bus_buffer.scala 520:44] - node _T_3588 = and(_T_3587, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] - node _T_3589 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] - node _T_3590 = and(_T_3588, _T_3589) @[el2_lsu_bus_buffer.scala 520:74] - buf_ldfwd_en[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 520:25] - node _T_3591 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] - buf_ldfwdtag_in[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 521:28] - node _T_3592 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] - node _T_3593 = and(_T_3592, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] - node _T_3594 = and(_T_3593, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] - buf_data_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 522:24] - node _T_3595 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] - node _T_3596 = and(_T_3595, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] - node _T_3597 = and(_T_3596, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] - buf_error_en[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 523:25] - node _T_3598 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] - node _T_3599 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] - node _T_3600 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] - node _T_3601 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] - node _T_3602 = mux(_T_3599, _T_3600, _T_3601) @[el2_lsu_bus_buffer.scala 524:73] - node _T_3603 = mux(buf_error_en[0], _T_3598, _T_3602) @[el2_lsu_bus_buffer.scala 524:30] - buf_data_in[0] <= _T_3603 @[el2_lsu_bus_buffer.scala 524:24] + node _T_3571 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3572 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] + node _T_3573 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] + node _T_3574 = and(_T_3572, _T_3573) @[el2_lsu_bus_buffer.scala 513:104] + node _T_3575 = mux(_T_3574, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3576 = mux(_T_3571, UInt<3>("h00"), _T_3575) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3577 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3578 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3579 = and(obuf_merge, _T_3578) @[el2_lsu_bus_buffer.scala 514:91] + node _T_3580 = or(_T_3577, _T_3579) @[el2_lsu_bus_buffer.scala 514:77] + node _T_3581 = and(_T_3580, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] + node _T_3582 = and(_T_3581, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] + buf_cmd_state_bus_en[0] <= _T_3582 @[el2_lsu_bus_buffer.scala 514:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 515:29] + node _T_3583 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3584 = or(_T_3583, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + node _T_3585 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:56] + node _T_3586 = eq(_T_3585, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] + node _T_3587 = and(buf_state_en[0], _T_3586) @[el2_lsu_bus_buffer.scala 518:44] + node _T_3588 = and(_T_3587, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] + node _T_3589 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] + node _T_3590 = and(_T_3588, _T_3589) @[el2_lsu_bus_buffer.scala 518:74] + buf_ldfwd_en[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 518:25] + node _T_3591 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] + buf_ldfwdtag_in[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 519:28] + node _T_3592 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] + node _T_3593 = and(_T_3592, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] + node _T_3594 = and(_T_3593, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] + buf_data_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 520:24] + node _T_3595 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] + node _T_3596 = and(_T_3595, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] + node _T_3597 = and(_T_3596, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] + buf_error_en[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 521:25] + node _T_3598 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] + node _T_3599 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] + node _T_3600 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] + node _T_3601 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] + node _T_3602 = mux(_T_3599, _T_3600, _T_3601) @[el2_lsu_bus_buffer.scala 522:73] + node _T_3603 = mux(buf_error_en[0], _T_3598, _T_3602) @[el2_lsu_bus_buffer.scala 522:30] + buf_data_in[0] <= _T_3603 @[el2_lsu_bus_buffer.scala 522:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3604 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3604 : @[Conditional.scala 39:67] - node _T_3605 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 527:67] - node _T_3606 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] - node _T_3607 = eq(_T_3606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] - node _T_3608 = and(_T_3605, _T_3607) @[el2_lsu_bus_buffer.scala 527:71] - node _T_3609 = or(io.dec_tlu_force_halt, _T_3608) @[el2_lsu_bus_buffer.scala 527:55] - node _T_3610 = bits(_T_3609, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] - node _T_3611 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] - node _T_3612 = and(buf_dual[0], _T_3611) @[el2_lsu_bus_buffer.scala 528:28] - node _T_3613 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 528:57] - node _T_3614 = eq(_T_3613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] - node _T_3615 = and(_T_3612, _T_3614) @[el2_lsu_bus_buffer.scala 528:45] - node _T_3616 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] - node _T_3617 = and(_T_3615, _T_3616) @[el2_lsu_bus_buffer.scala 528:61] - node _T_3618 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 529:27] - node _T_3619 = or(_T_3618, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] - node _T_3620 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] - node _T_3621 = and(buf_dual[0], _T_3620) @[el2_lsu_bus_buffer.scala 529:68] - node _T_3622 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 529:97] - node _T_3623 = eq(_T_3622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] - node _T_3624 = and(_T_3621, _T_3623) @[el2_lsu_bus_buffer.scala 529:85] + node _T_3605 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 525:67] + node _T_3606 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] + node _T_3607 = eq(_T_3606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] + node _T_3608 = and(_T_3605, _T_3607) @[el2_lsu_bus_buffer.scala 525:71] + node _T_3609 = or(io.dec_tlu_force_halt, _T_3608) @[el2_lsu_bus_buffer.scala 525:55] + node _T_3610 = bits(_T_3609, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] + node _T_3611 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] + node _T_3612 = and(buf_dual[0], _T_3611) @[el2_lsu_bus_buffer.scala 526:28] + node _T_3613 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 526:57] + node _T_3614 = eq(_T_3613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] + node _T_3615 = and(_T_3612, _T_3614) @[el2_lsu_bus_buffer.scala 526:45] + node _T_3616 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] + node _T_3617 = and(_T_3615, _T_3616) @[el2_lsu_bus_buffer.scala 526:61] + node _T_3618 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 527:27] + node _T_3619 = or(_T_3618, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] + node _T_3620 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] + node _T_3621 = and(buf_dual[0], _T_3620) @[el2_lsu_bus_buffer.scala 527:68] + node _T_3622 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 527:97] + node _T_3623 = eq(_T_3622, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] + node _T_3624 = and(_T_3621, _T_3623) @[el2_lsu_bus_buffer.scala 527:85] node _T_3625 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] node _T_3626 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] node _T_3627 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] @@ -4597,265 +4597,265 @@ circuit el2_lsu_bus_buffer : node _T_3639 = or(_T_3638, _T_3636) @[Mux.scala 27:72] wire _T_3640 : UInt<1> @[Mux.scala 27:72] _T_3640 <= _T_3639 @[Mux.scala 27:72] - node _T_3641 = and(_T_3624, _T_3640) @[el2_lsu_bus_buffer.scala 529:101] - node _T_3642 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] - node _T_3643 = and(_T_3641, _T_3642) @[el2_lsu_bus_buffer.scala 529:138] - node _T_3644 = and(_T_3643, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] - node _T_3645 = or(_T_3619, _T_3644) @[el2_lsu_bus_buffer.scala 529:53] - node _T_3646 = mux(_T_3645, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] - node _T_3647 = mux(_T_3617, UInt<3>("h04"), _T_3646) @[el2_lsu_bus_buffer.scala 528:14] - node _T_3648 = mux(_T_3610, UInt<3>("h00"), _T_3647) @[el2_lsu_bus_buffer.scala 527:31] - buf_nxtstate[0] <= _T_3648 @[el2_lsu_bus_buffer.scala 527:25] - node _T_3649 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 530:73] - node _T_3650 = and(bus_rsp_write, _T_3649) @[el2_lsu_bus_buffer.scala 530:52] - node _T_3651 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 531:46] - node _T_3652 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 532:23] - node _T_3653 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 532:47] - node _T_3654 = and(_T_3652, _T_3653) @[el2_lsu_bus_buffer.scala 532:27] - node _T_3655 = or(_T_3651, _T_3654) @[el2_lsu_bus_buffer.scala 531:77] - node _T_3656 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 533:26] - node _T_3657 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 533:54] - node _T_3658 = not(_T_3657) @[el2_lsu_bus_buffer.scala 533:44] - node _T_3659 = and(_T_3656, _T_3658) @[el2_lsu_bus_buffer.scala 533:42] - node _T_3660 = and(_T_3659, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_3661 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:94] - node _T_3662 = and(_T_3660, _T_3661) @[el2_lsu_bus_buffer.scala 533:74] - node _T_3663 = or(_T_3655, _T_3662) @[el2_lsu_bus_buffer.scala 532:71] - node _T_3664 = and(bus_rsp_read, _T_3663) @[el2_lsu_bus_buffer.scala 531:25] - node _T_3665 = or(_T_3650, _T_3664) @[el2_lsu_bus_buffer.scala 530:105] - buf_resp_state_bus_en[0] <= _T_3665 @[el2_lsu_bus_buffer.scala 530:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 534:29] - node _T_3666 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_3667 = or(_T_3666, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[0] <= _T_3667 @[el2_lsu_bus_buffer.scala 535:25] - node _T_3668 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] - node _T_3669 = and(_T_3668, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] - buf_data_en[0] <= _T_3669 @[el2_lsu_bus_buffer.scala 536:24] - node _T_3670 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] - node _T_3671 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:111] - node _T_3672 = and(bus_rsp_read_error, _T_3671) @[el2_lsu_bus_buffer.scala 537:91] - node _T_3673 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 538:42] - node _T_3674 = and(bus_rsp_read_error, _T_3673) @[el2_lsu_bus_buffer.scala 538:31] - node _T_3675 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 538:66] - node _T_3676 = and(_T_3674, _T_3675) @[el2_lsu_bus_buffer.scala 538:46] - node _T_3677 = or(_T_3672, _T_3676) @[el2_lsu_bus_buffer.scala 537:143] - node _T_3678 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] - node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 539:74] - node _T_3680 = and(_T_3678, _T_3679) @[el2_lsu_bus_buffer.scala 539:53] - node _T_3681 = or(_T_3677, _T_3680) @[el2_lsu_bus_buffer.scala 538:88] - node _T_3682 = and(_T_3670, _T_3681) @[el2_lsu_bus_buffer.scala 537:68] - buf_error_en[0] <= _T_3682 @[el2_lsu_bus_buffer.scala 537:25] - node _T_3683 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] - node _T_3684 = and(buf_state_en[0], _T_3683) @[el2_lsu_bus_buffer.scala 540:48] - node _T_3685 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] - node _T_3686 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] - node _T_3687 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] - node _T_3688 = mux(_T_3685, _T_3686, _T_3687) @[el2_lsu_bus_buffer.scala 540:72] - node _T_3689 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] - node _T_3690 = mux(_T_3684, _T_3688, _T_3689) @[el2_lsu_bus_buffer.scala 540:30] - buf_data_in[0] <= _T_3690 @[el2_lsu_bus_buffer.scala 540:24] + node _T_3641 = and(_T_3624, _T_3640) @[el2_lsu_bus_buffer.scala 527:101] + node _T_3642 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] + node _T_3643 = and(_T_3641, _T_3642) @[el2_lsu_bus_buffer.scala 527:138] + node _T_3644 = and(_T_3643, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] + node _T_3645 = or(_T_3619, _T_3644) @[el2_lsu_bus_buffer.scala 527:53] + node _T_3646 = mux(_T_3645, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] + node _T_3647 = mux(_T_3617, UInt<3>("h04"), _T_3646) @[el2_lsu_bus_buffer.scala 526:14] + node _T_3648 = mux(_T_3610, UInt<3>("h00"), _T_3647) @[el2_lsu_bus_buffer.scala 525:31] + buf_nxtstate[0] <= _T_3648 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3649 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 528:73] + node _T_3650 = and(bus_rsp_write, _T_3649) @[el2_lsu_bus_buffer.scala 528:52] + node _T_3651 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:46] + node _T_3652 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 530:23] + node _T_3653 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 530:47] + node _T_3654 = and(_T_3652, _T_3653) @[el2_lsu_bus_buffer.scala 530:27] + node _T_3655 = or(_T_3651, _T_3654) @[el2_lsu_bus_buffer.scala 529:77] + node _T_3656 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 531:26] + node _T_3657 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 531:54] + node _T_3658 = not(_T_3657) @[el2_lsu_bus_buffer.scala 531:44] + node _T_3659 = and(_T_3656, _T_3658) @[el2_lsu_bus_buffer.scala 531:42] + node _T_3660 = and(_T_3659, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 531:58] + node _T_3661 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 531:94] + node _T_3662 = and(_T_3660, _T_3661) @[el2_lsu_bus_buffer.scala 531:74] + node _T_3663 = or(_T_3655, _T_3662) @[el2_lsu_bus_buffer.scala 530:71] + node _T_3664 = and(bus_rsp_read, _T_3663) @[el2_lsu_bus_buffer.scala 529:25] + node _T_3665 = or(_T_3650, _T_3664) @[el2_lsu_bus_buffer.scala 528:105] + buf_resp_state_bus_en[0] <= _T_3665 @[el2_lsu_bus_buffer.scala 528:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 532:29] + node _T_3666 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] + node _T_3667 = or(_T_3666, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] + buf_state_en[0] <= _T_3667 @[el2_lsu_bus_buffer.scala 533:25] + node _T_3668 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] + node _T_3669 = and(_T_3668, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] + buf_data_en[0] <= _T_3669 @[el2_lsu_bus_buffer.scala 534:24] + node _T_3670 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] + node _T_3671 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 535:111] + node _T_3672 = and(bus_rsp_read_error, _T_3671) @[el2_lsu_bus_buffer.scala 535:91] + node _T_3673 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 536:42] + node _T_3674 = and(bus_rsp_read_error, _T_3673) @[el2_lsu_bus_buffer.scala 536:31] + node _T_3675 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_3676 = and(_T_3674, _T_3675) @[el2_lsu_bus_buffer.scala 536:46] + node _T_3677 = or(_T_3672, _T_3676) @[el2_lsu_bus_buffer.scala 535:143] + node _T_3678 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] + node _T_3679 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 537:74] + node _T_3680 = and(_T_3678, _T_3679) @[el2_lsu_bus_buffer.scala 537:53] + node _T_3681 = or(_T_3677, _T_3680) @[el2_lsu_bus_buffer.scala 536:88] + node _T_3682 = and(_T_3670, _T_3681) @[el2_lsu_bus_buffer.scala 535:68] + buf_error_en[0] <= _T_3682 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3683 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] + node _T_3684 = and(buf_state_en[0], _T_3683) @[el2_lsu_bus_buffer.scala 538:48] + node _T_3685 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] + node _T_3686 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] + node _T_3687 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] + node _T_3688 = mux(_T_3685, _T_3686, _T_3687) @[el2_lsu_bus_buffer.scala 538:72] + node _T_3689 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] + node _T_3690 = mux(_T_3684, _T_3688, _T_3689) @[el2_lsu_bus_buffer.scala 538:30] + buf_data_in[0] <= _T_3690 @[el2_lsu_bus_buffer.scala 538:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3691 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3691 : @[Conditional.scala 39:67] - node _T_3692 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] - node _T_3693 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 543:86] - node _T_3694 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 543:101] - node _T_3695 = bits(_T_3694, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] - node _T_3696 = or(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 543:90] - node _T_3697 = or(_T_3696, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] - node _T_3698 = mux(_T_3697, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] - node _T_3699 = mux(_T_3692, UInt<3>("h00"), _T_3698) @[el2_lsu_bus_buffer.scala 543:31] - buf_nxtstate[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 543:25] - node _T_3700 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 544:66] - node _T_3701 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 545:21] - node _T_3702 = bits(_T_3701, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] - node _T_3703 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 545:58] - node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 545:38] - node _T_3705 = or(_T_3700, _T_3704) @[el2_lsu_bus_buffer.scala 544:95] - node _T_3706 = and(bus_rsp_read, _T_3705) @[el2_lsu_bus_buffer.scala 544:45] - buf_state_bus_en[0] <= _T_3706 @[el2_lsu_bus_buffer.scala 544:29] - node _T_3707 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] - node _T_3708 = or(_T_3707, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] - buf_state_en[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 546:25] + node _T_3692 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_3693 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 541:86] + node _T_3694 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 541:101] + node _T_3695 = bits(_T_3694, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] + node _T_3696 = or(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 541:90] + node _T_3697 = or(_T_3696, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] + node _T_3698 = mux(_T_3697, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] + node _T_3699 = mux(_T_3692, UInt<3>("h00"), _T_3698) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 541:25] + node _T_3700 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 542:66] + node _T_3701 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 543:21] + node _T_3702 = bits(_T_3701, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] + node _T_3703 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 543:58] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 543:38] + node _T_3705 = or(_T_3700, _T_3704) @[el2_lsu_bus_buffer.scala 542:95] + node _T_3706 = and(bus_rsp_read, _T_3705) @[el2_lsu_bus_buffer.scala 542:45] + buf_state_bus_en[0] <= _T_3706 @[el2_lsu_bus_buffer.scala 542:29] + node _T_3707 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] + node _T_3708 = or(_T_3707, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] + buf_state_en[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 544:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3709 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3709 : @[Conditional.scala 39:67] - node _T_3710 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] - node _T_3711 = mux(_T_3710, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] - buf_nxtstate[0] <= _T_3711 @[el2_lsu_bus_buffer.scala 549:25] - node _T_3712 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 550:37] - node _T_3713 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] - node _T_3714 = and(buf_dual[0], _T_3713) @[el2_lsu_bus_buffer.scala 550:80] - node _T_3715 = or(_T_3712, _T_3714) @[el2_lsu_bus_buffer.scala 550:65] - node _T_3716 = or(_T_3715, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] - buf_state_en[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 550:25] + node _T_3710 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] + node _T_3711 = mux(_T_3710, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] + buf_nxtstate[0] <= _T_3711 @[el2_lsu_bus_buffer.scala 547:25] + node _T_3712 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 548:37] + node _T_3713 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] + node _T_3714 = and(buf_dual[0], _T_3713) @[el2_lsu_bus_buffer.scala 548:80] + node _T_3715 = or(_T_3712, _T_3714) @[el2_lsu_bus_buffer.scala 548:65] + node _T_3716 = or(_T_3715, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] + buf_state_en[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3717 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3717 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 557:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 555:25] skip @[Conditional.scala 39:67] - node _T_3718 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + node _T_3718 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] reg _T_3719 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3718 : @[Reg.scala 28:19] _T_3719 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3719 @[el2_lsu_bus_buffer.scala 560:18] - reg _T_3720 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] - _T_3720 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 561:60] - buf_ageQ[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 561:17] - reg _T_3721 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] - _T_3721 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 562:63] - buf_rspageQ[0] <= _T_3721 @[el2_lsu_bus_buffer.scala 562:20] - node _T_3722 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + buf_state[0] <= _T_3719 @[el2_lsu_bus_buffer.scala 558:18] + reg _T_3720 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] + _T_3720 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 559:60] + buf_ageQ[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 559:17] + reg _T_3721 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] + _T_3721 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 560:63] + buf_rspageQ[0] <= _T_3721 @[el2_lsu_bus_buffer.scala 560:20] + node _T_3722 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] reg _T_3723 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3722 : @[Reg.scala 28:19] _T_3723 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3723 @[el2_lsu_bus_buffer.scala 563:20] - node _T_3724 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:74] - node _T_3725 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] + buf_dualtag[0] <= _T_3723 @[el2_lsu_bus_buffer.scala 561:20] + node _T_3724 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:74] + node _T_3725 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] reg _T_3726 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3725 : @[Reg.scala 28:19] _T_3726 <= _T_3724 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 564:17] - node _T_3727 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:78] - node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] + buf_dual[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 562:17] + node _T_3727 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:78] + node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] reg _T_3729 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3728 : @[Reg.scala 28:19] _T_3729 <= _T_3727 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 565:19] - node _T_3730 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 566:80] - node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] + buf_samedw[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 563:19] + node _T_3730 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:80] + node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] reg _T_3732 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3731 : @[Reg.scala 28:19] _T_3732 <= _T_3730 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 566:20] - node _T_3733 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 567:78] - node _T_3734 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + buf_nomerge[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 564:20] + node _T_3733 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:78] + node _T_3734 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_3735 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3734 : @[Reg.scala 28:19] _T_3735 <= _T_3733 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3735 @[el2_lsu_bus_buffer.scala 567:19] + buf_dualhi[0] <= _T_3735 @[el2_lsu_bus_buffer.scala 565:19] node _T_3736 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3736 : @[Conditional.scala 40:58] - node _T_3737 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] - node _T_3738 = mux(_T_3737, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3739 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] - node _T_3740 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3741 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] - node _T_3742 = and(_T_3740, _T_3741) @[el2_lsu_bus_buffer.scala 505:95] - node _T_3743 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] - node _T_3744 = and(_T_3742, _T_3743) @[el2_lsu_bus_buffer.scala 505:112] - node _T_3745 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] - node _T_3746 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] - node _T_3747 = and(_T_3745, _T_3746) @[el2_lsu_bus_buffer.scala 505:161] - node _T_3748 = or(_T_3744, _T_3747) @[el2_lsu_bus_buffer.scala 505:132] - node _T_3749 = and(_T_3739, _T_3748) @[el2_lsu_bus_buffer.scala 505:63] - node _T_3750 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] - node _T_3751 = and(ibuf_drain_vld, _T_3750) @[el2_lsu_bus_buffer.scala 505:201] - node _T_3752 = or(_T_3749, _T_3751) @[el2_lsu_bus_buffer.scala 505:183] - buf_state_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 505:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 506:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 507:24] - node _T_3753 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] - node _T_3754 = and(ibuf_drain_vld, _T_3753) @[el2_lsu_bus_buffer.scala 508:47] - node _T_3755 = bits(_T_3754, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] - node _T_3756 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3757 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] - node _T_3758 = mux(_T_3755, _T_3756, _T_3757) @[el2_lsu_bus_buffer.scala 508:30] - buf_data_in[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 508:24] + node _T_3737 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] + node _T_3738 = mux(_T_3737, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[1] <= _T_3738 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3739 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] + node _T_3740 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] + node _T_3741 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] + node _T_3742 = and(_T_3740, _T_3741) @[el2_lsu_bus_buffer.scala 503:95] + node _T_3743 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] + node _T_3744 = and(_T_3742, _T_3743) @[el2_lsu_bus_buffer.scala 503:112] + node _T_3745 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] + node _T_3746 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] + node _T_3747 = and(_T_3745, _T_3746) @[el2_lsu_bus_buffer.scala 503:161] + node _T_3748 = or(_T_3744, _T_3747) @[el2_lsu_bus_buffer.scala 503:132] + node _T_3749 = and(_T_3739, _T_3748) @[el2_lsu_bus_buffer.scala 503:63] + node _T_3750 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] + node _T_3751 = and(ibuf_drain_vld, _T_3750) @[el2_lsu_bus_buffer.scala 503:201] + node _T_3752 = or(_T_3749, _T_3751) @[el2_lsu_bus_buffer.scala 503:183] + buf_state_en[1] <= _T_3752 @[el2_lsu_bus_buffer.scala 503:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 504:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 505:24] + node _T_3753 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] + node _T_3754 = and(ibuf_drain_vld, _T_3753) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3755 = bits(_T_3754, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] + node _T_3756 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] + node _T_3757 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] + node _T_3758 = mux(_T_3755, _T_3756, _T_3757) @[el2_lsu_bus_buffer.scala 506:30] + buf_data_in[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 506:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3759 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3759 : @[Conditional.scala 39:67] - node _T_3760 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3761 = mux(_T_3760, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[1] <= _T_3761 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3762 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] - buf_state_en[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3760 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] + node _T_3761 = mux(_T_3760, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] + buf_nxtstate[1] <= _T_3761 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3762 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] + buf_state_en[1] <= _T_3762 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3763 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3763 : @[Conditional.scala 39:67] - node _T_3764 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] - node _T_3765 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] - node _T_3766 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] - node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 515:104] - node _T_3768 = mux(_T_3767, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] - node _T_3769 = mux(_T_3764, UInt<3>("h00"), _T_3768) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 515:25] - node _T_3770 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 516:48] - node _T_3771 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 516:104] - node _T_3772 = and(obuf_merge, _T_3771) @[el2_lsu_bus_buffer.scala 516:91] - node _T_3773 = or(_T_3770, _T_3772) @[el2_lsu_bus_buffer.scala 516:77] - node _T_3774 = and(_T_3773, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] - node _T_3775 = and(_T_3774, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] - buf_cmd_state_bus_en[1] <= _T_3775 @[el2_lsu_bus_buffer.scala 516:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 517:29] - node _T_3776 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] - node _T_3777 = or(_T_3776, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] - buf_state_en[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - node _T_3778 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 520:56] - node _T_3779 = eq(_T_3778, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_3780 = and(buf_state_en[1], _T_3779) @[el2_lsu_bus_buffer.scala 520:44] - node _T_3781 = and(_T_3780, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] - node _T_3782 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] - node _T_3783 = and(_T_3781, _T_3782) @[el2_lsu_bus_buffer.scala 520:74] - buf_ldfwd_en[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 520:25] - node _T_3784 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] - buf_ldfwdtag_in[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 521:28] - node _T_3785 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] - node _T_3786 = and(_T_3785, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] - node _T_3787 = and(_T_3786, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] - buf_data_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 522:24] - node _T_3788 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] - node _T_3789 = and(_T_3788, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] - node _T_3790 = and(_T_3789, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] - buf_error_en[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 523:25] - node _T_3791 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] - node _T_3792 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] - node _T_3793 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] - node _T_3794 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] - node _T_3795 = mux(_T_3792, _T_3793, _T_3794) @[el2_lsu_bus_buffer.scala 524:73] - node _T_3796 = mux(buf_error_en[1], _T_3791, _T_3795) @[el2_lsu_bus_buffer.scala 524:30] - buf_data_in[1] <= _T_3796 @[el2_lsu_bus_buffer.scala 524:24] + node _T_3764 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3765 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] + node _T_3766 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] + node _T_3767 = and(_T_3765, _T_3766) @[el2_lsu_bus_buffer.scala 513:104] + node _T_3768 = mux(_T_3767, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3769 = mux(_T_3764, UInt<3>("h00"), _T_3768) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3770 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3771 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3772 = and(obuf_merge, _T_3771) @[el2_lsu_bus_buffer.scala 514:91] + node _T_3773 = or(_T_3770, _T_3772) @[el2_lsu_bus_buffer.scala 514:77] + node _T_3774 = and(_T_3773, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] + node _T_3775 = and(_T_3774, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] + buf_cmd_state_bus_en[1] <= _T_3775 @[el2_lsu_bus_buffer.scala 514:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 515:29] + node _T_3776 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3777 = or(_T_3776, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + node _T_3778 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:56] + node _T_3779 = eq(_T_3778, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] + node _T_3780 = and(buf_state_en[1], _T_3779) @[el2_lsu_bus_buffer.scala 518:44] + node _T_3781 = and(_T_3780, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] + node _T_3782 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] + node _T_3783 = and(_T_3781, _T_3782) @[el2_lsu_bus_buffer.scala 518:74] + buf_ldfwd_en[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 518:25] + node _T_3784 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] + buf_ldfwdtag_in[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 519:28] + node _T_3785 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] + node _T_3786 = and(_T_3785, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] + node _T_3787 = and(_T_3786, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] + buf_data_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 520:24] + node _T_3788 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] + node _T_3789 = and(_T_3788, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] + node _T_3790 = and(_T_3789, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] + buf_error_en[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 521:25] + node _T_3791 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] + node _T_3792 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] + node _T_3793 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] + node _T_3794 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] + node _T_3795 = mux(_T_3792, _T_3793, _T_3794) @[el2_lsu_bus_buffer.scala 522:73] + node _T_3796 = mux(buf_error_en[1], _T_3791, _T_3795) @[el2_lsu_bus_buffer.scala 522:30] + buf_data_in[1] <= _T_3796 @[el2_lsu_bus_buffer.scala 522:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3797 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3797 : @[Conditional.scala 39:67] - node _T_3798 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 527:67] - node _T_3799 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] - node _T_3800 = eq(_T_3799, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] - node _T_3801 = and(_T_3798, _T_3800) @[el2_lsu_bus_buffer.scala 527:71] - node _T_3802 = or(io.dec_tlu_force_halt, _T_3801) @[el2_lsu_bus_buffer.scala 527:55] - node _T_3803 = bits(_T_3802, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] - node _T_3804 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] - node _T_3805 = and(buf_dual[1], _T_3804) @[el2_lsu_bus_buffer.scala 528:28] - node _T_3806 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 528:57] - node _T_3807 = eq(_T_3806, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] - node _T_3808 = and(_T_3805, _T_3807) @[el2_lsu_bus_buffer.scala 528:45] - node _T_3809 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] - node _T_3810 = and(_T_3808, _T_3809) @[el2_lsu_bus_buffer.scala 528:61] - node _T_3811 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 529:27] - node _T_3812 = or(_T_3811, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] - node _T_3813 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] - node _T_3814 = and(buf_dual[1], _T_3813) @[el2_lsu_bus_buffer.scala 529:68] - node _T_3815 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 529:97] - node _T_3816 = eq(_T_3815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] - node _T_3817 = and(_T_3814, _T_3816) @[el2_lsu_bus_buffer.scala 529:85] + node _T_3798 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 525:67] + node _T_3799 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] + node _T_3800 = eq(_T_3799, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] + node _T_3801 = and(_T_3798, _T_3800) @[el2_lsu_bus_buffer.scala 525:71] + node _T_3802 = or(io.dec_tlu_force_halt, _T_3801) @[el2_lsu_bus_buffer.scala 525:55] + node _T_3803 = bits(_T_3802, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] + node _T_3804 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] + node _T_3805 = and(buf_dual[1], _T_3804) @[el2_lsu_bus_buffer.scala 526:28] + node _T_3806 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 526:57] + node _T_3807 = eq(_T_3806, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] + node _T_3808 = and(_T_3805, _T_3807) @[el2_lsu_bus_buffer.scala 526:45] + node _T_3809 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] + node _T_3810 = and(_T_3808, _T_3809) @[el2_lsu_bus_buffer.scala 526:61] + node _T_3811 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 527:27] + node _T_3812 = or(_T_3811, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] + node _T_3813 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] + node _T_3814 = and(buf_dual[1], _T_3813) @[el2_lsu_bus_buffer.scala 527:68] + node _T_3815 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 527:97] + node _T_3816 = eq(_T_3815, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] + node _T_3817 = and(_T_3814, _T_3816) @[el2_lsu_bus_buffer.scala 527:85] node _T_3818 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] node _T_3819 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] node _T_3820 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] @@ -4873,265 +4873,265 @@ circuit el2_lsu_bus_buffer : node _T_3832 = or(_T_3831, _T_3829) @[Mux.scala 27:72] wire _T_3833 : UInt<1> @[Mux.scala 27:72] _T_3833 <= _T_3832 @[Mux.scala 27:72] - node _T_3834 = and(_T_3817, _T_3833) @[el2_lsu_bus_buffer.scala 529:101] - node _T_3835 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] - node _T_3836 = and(_T_3834, _T_3835) @[el2_lsu_bus_buffer.scala 529:138] - node _T_3837 = and(_T_3836, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] - node _T_3838 = or(_T_3812, _T_3837) @[el2_lsu_bus_buffer.scala 529:53] - node _T_3839 = mux(_T_3838, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] - node _T_3840 = mux(_T_3810, UInt<3>("h04"), _T_3839) @[el2_lsu_bus_buffer.scala 528:14] - node _T_3841 = mux(_T_3803, UInt<3>("h00"), _T_3840) @[el2_lsu_bus_buffer.scala 527:31] - buf_nxtstate[1] <= _T_3841 @[el2_lsu_bus_buffer.scala 527:25] - node _T_3842 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 530:73] - node _T_3843 = and(bus_rsp_write, _T_3842) @[el2_lsu_bus_buffer.scala 530:52] - node _T_3844 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 531:46] - node _T_3845 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 532:23] - node _T_3846 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 532:47] - node _T_3847 = and(_T_3845, _T_3846) @[el2_lsu_bus_buffer.scala 532:27] - node _T_3848 = or(_T_3844, _T_3847) @[el2_lsu_bus_buffer.scala 531:77] - node _T_3849 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 533:26] - node _T_3850 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 533:54] - node _T_3851 = not(_T_3850) @[el2_lsu_bus_buffer.scala 533:44] - node _T_3852 = and(_T_3849, _T_3851) @[el2_lsu_bus_buffer.scala 533:42] - node _T_3853 = and(_T_3852, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_3854 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:94] - node _T_3855 = and(_T_3853, _T_3854) @[el2_lsu_bus_buffer.scala 533:74] - node _T_3856 = or(_T_3848, _T_3855) @[el2_lsu_bus_buffer.scala 532:71] - node _T_3857 = and(bus_rsp_read, _T_3856) @[el2_lsu_bus_buffer.scala 531:25] - node _T_3858 = or(_T_3843, _T_3857) @[el2_lsu_bus_buffer.scala 530:105] - buf_resp_state_bus_en[1] <= _T_3858 @[el2_lsu_bus_buffer.scala 530:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 534:29] - node _T_3859 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_3860 = or(_T_3859, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[1] <= _T_3860 @[el2_lsu_bus_buffer.scala 535:25] - node _T_3861 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] - node _T_3862 = and(_T_3861, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] - buf_data_en[1] <= _T_3862 @[el2_lsu_bus_buffer.scala 536:24] - node _T_3863 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] - node _T_3864 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 537:111] - node _T_3865 = and(bus_rsp_read_error, _T_3864) @[el2_lsu_bus_buffer.scala 537:91] - node _T_3866 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 538:42] - node _T_3867 = and(bus_rsp_read_error, _T_3866) @[el2_lsu_bus_buffer.scala 538:31] - node _T_3868 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 538:66] - node _T_3869 = and(_T_3867, _T_3868) @[el2_lsu_bus_buffer.scala 538:46] - node _T_3870 = or(_T_3865, _T_3869) @[el2_lsu_bus_buffer.scala 537:143] - node _T_3871 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] - node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:74] - node _T_3873 = and(_T_3871, _T_3872) @[el2_lsu_bus_buffer.scala 539:53] - node _T_3874 = or(_T_3870, _T_3873) @[el2_lsu_bus_buffer.scala 538:88] - node _T_3875 = and(_T_3863, _T_3874) @[el2_lsu_bus_buffer.scala 537:68] - buf_error_en[1] <= _T_3875 @[el2_lsu_bus_buffer.scala 537:25] - node _T_3876 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] - node _T_3877 = and(buf_state_en[1], _T_3876) @[el2_lsu_bus_buffer.scala 540:48] - node _T_3878 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] - node _T_3879 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] - node _T_3880 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] - node _T_3881 = mux(_T_3878, _T_3879, _T_3880) @[el2_lsu_bus_buffer.scala 540:72] - node _T_3882 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] - node _T_3883 = mux(_T_3877, _T_3881, _T_3882) @[el2_lsu_bus_buffer.scala 540:30] - buf_data_in[1] <= _T_3883 @[el2_lsu_bus_buffer.scala 540:24] + node _T_3834 = and(_T_3817, _T_3833) @[el2_lsu_bus_buffer.scala 527:101] + node _T_3835 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] + node _T_3836 = and(_T_3834, _T_3835) @[el2_lsu_bus_buffer.scala 527:138] + node _T_3837 = and(_T_3836, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] + node _T_3838 = or(_T_3812, _T_3837) @[el2_lsu_bus_buffer.scala 527:53] + node _T_3839 = mux(_T_3838, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] + node _T_3840 = mux(_T_3810, UInt<3>("h04"), _T_3839) @[el2_lsu_bus_buffer.scala 526:14] + node _T_3841 = mux(_T_3803, UInt<3>("h00"), _T_3840) @[el2_lsu_bus_buffer.scala 525:31] + buf_nxtstate[1] <= _T_3841 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3842 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 528:73] + node _T_3843 = and(bus_rsp_write, _T_3842) @[el2_lsu_bus_buffer.scala 528:52] + node _T_3844 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:46] + node _T_3845 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 530:23] + node _T_3846 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 530:47] + node _T_3847 = and(_T_3845, _T_3846) @[el2_lsu_bus_buffer.scala 530:27] + node _T_3848 = or(_T_3844, _T_3847) @[el2_lsu_bus_buffer.scala 529:77] + node _T_3849 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 531:26] + node _T_3850 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 531:54] + node _T_3851 = not(_T_3850) @[el2_lsu_bus_buffer.scala 531:44] + node _T_3852 = and(_T_3849, _T_3851) @[el2_lsu_bus_buffer.scala 531:42] + node _T_3853 = and(_T_3852, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 531:58] + node _T_3854 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 531:94] + node _T_3855 = and(_T_3853, _T_3854) @[el2_lsu_bus_buffer.scala 531:74] + node _T_3856 = or(_T_3848, _T_3855) @[el2_lsu_bus_buffer.scala 530:71] + node _T_3857 = and(bus_rsp_read, _T_3856) @[el2_lsu_bus_buffer.scala 529:25] + node _T_3858 = or(_T_3843, _T_3857) @[el2_lsu_bus_buffer.scala 528:105] + buf_resp_state_bus_en[1] <= _T_3858 @[el2_lsu_bus_buffer.scala 528:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 532:29] + node _T_3859 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] + node _T_3860 = or(_T_3859, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] + buf_state_en[1] <= _T_3860 @[el2_lsu_bus_buffer.scala 533:25] + node _T_3861 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] + node _T_3862 = and(_T_3861, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] + buf_data_en[1] <= _T_3862 @[el2_lsu_bus_buffer.scala 534:24] + node _T_3863 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] + node _T_3864 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 535:111] + node _T_3865 = and(bus_rsp_read_error, _T_3864) @[el2_lsu_bus_buffer.scala 535:91] + node _T_3866 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 536:42] + node _T_3867 = and(bus_rsp_read_error, _T_3866) @[el2_lsu_bus_buffer.scala 536:31] + node _T_3868 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_3869 = and(_T_3867, _T_3868) @[el2_lsu_bus_buffer.scala 536:46] + node _T_3870 = or(_T_3865, _T_3869) @[el2_lsu_bus_buffer.scala 535:143] + node _T_3871 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] + node _T_3872 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 537:74] + node _T_3873 = and(_T_3871, _T_3872) @[el2_lsu_bus_buffer.scala 537:53] + node _T_3874 = or(_T_3870, _T_3873) @[el2_lsu_bus_buffer.scala 536:88] + node _T_3875 = and(_T_3863, _T_3874) @[el2_lsu_bus_buffer.scala 535:68] + buf_error_en[1] <= _T_3875 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3876 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] + node _T_3877 = and(buf_state_en[1], _T_3876) @[el2_lsu_bus_buffer.scala 538:48] + node _T_3878 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] + node _T_3879 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] + node _T_3880 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] + node _T_3881 = mux(_T_3878, _T_3879, _T_3880) @[el2_lsu_bus_buffer.scala 538:72] + node _T_3882 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] + node _T_3883 = mux(_T_3877, _T_3881, _T_3882) @[el2_lsu_bus_buffer.scala 538:30] + buf_data_in[1] <= _T_3883 @[el2_lsu_bus_buffer.scala 538:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3884 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3884 : @[Conditional.scala 39:67] - node _T_3885 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] - node _T_3886 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 543:86] - node _T_3887 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 543:101] - node _T_3888 = bits(_T_3887, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] - node _T_3889 = or(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 543:90] - node _T_3890 = or(_T_3889, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] - node _T_3891 = mux(_T_3890, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] - node _T_3892 = mux(_T_3885, UInt<3>("h00"), _T_3891) @[el2_lsu_bus_buffer.scala 543:31] - buf_nxtstate[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 543:25] - node _T_3893 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 544:66] - node _T_3894 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 545:21] - node _T_3895 = bits(_T_3894, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] - node _T_3896 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 545:58] - node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 545:38] - node _T_3898 = or(_T_3893, _T_3897) @[el2_lsu_bus_buffer.scala 544:95] - node _T_3899 = and(bus_rsp_read, _T_3898) @[el2_lsu_bus_buffer.scala 544:45] - buf_state_bus_en[1] <= _T_3899 @[el2_lsu_bus_buffer.scala 544:29] - node _T_3900 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] - node _T_3901 = or(_T_3900, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] - buf_state_en[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 546:25] + node _T_3885 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_3886 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 541:86] + node _T_3887 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 541:101] + node _T_3888 = bits(_T_3887, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] + node _T_3889 = or(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 541:90] + node _T_3890 = or(_T_3889, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] + node _T_3891 = mux(_T_3890, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] + node _T_3892 = mux(_T_3885, UInt<3>("h00"), _T_3891) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 541:25] + node _T_3893 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 542:66] + node _T_3894 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 543:21] + node _T_3895 = bits(_T_3894, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] + node _T_3896 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 543:58] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 543:38] + node _T_3898 = or(_T_3893, _T_3897) @[el2_lsu_bus_buffer.scala 542:95] + node _T_3899 = and(bus_rsp_read, _T_3898) @[el2_lsu_bus_buffer.scala 542:45] + buf_state_bus_en[1] <= _T_3899 @[el2_lsu_bus_buffer.scala 542:29] + node _T_3900 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] + node _T_3901 = or(_T_3900, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] + buf_state_en[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 544:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3902 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3902 : @[Conditional.scala 39:67] - node _T_3903 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] - node _T_3904 = mux(_T_3903, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] - buf_nxtstate[1] <= _T_3904 @[el2_lsu_bus_buffer.scala 549:25] - node _T_3905 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 550:37] - node _T_3906 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] - node _T_3907 = and(buf_dual[1], _T_3906) @[el2_lsu_bus_buffer.scala 550:80] - node _T_3908 = or(_T_3905, _T_3907) @[el2_lsu_bus_buffer.scala 550:65] - node _T_3909 = or(_T_3908, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] - buf_state_en[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 550:25] + node _T_3903 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] + node _T_3904 = mux(_T_3903, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] + buf_nxtstate[1] <= _T_3904 @[el2_lsu_bus_buffer.scala 547:25] + node _T_3905 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 548:37] + node _T_3906 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] + node _T_3907 = and(buf_dual[1], _T_3906) @[el2_lsu_bus_buffer.scala 548:80] + node _T_3908 = or(_T_3905, _T_3907) @[el2_lsu_bus_buffer.scala 548:65] + node _T_3909 = or(_T_3908, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] + buf_state_en[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3910 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3910 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 557:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 555:25] skip @[Conditional.scala 39:67] - node _T_3911 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + node _T_3911 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] reg _T_3912 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3911 : @[Reg.scala 28:19] _T_3912 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3912 @[el2_lsu_bus_buffer.scala 560:18] - reg _T_3913 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] - _T_3913 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 561:60] - buf_ageQ[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 561:17] - reg _T_3914 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] - _T_3914 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 562:63] - buf_rspageQ[1] <= _T_3914 @[el2_lsu_bus_buffer.scala 562:20] - node _T_3915 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + buf_state[1] <= _T_3912 @[el2_lsu_bus_buffer.scala 558:18] + reg _T_3913 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] + _T_3913 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 559:60] + buf_ageQ[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 559:17] + reg _T_3914 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] + _T_3914 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 560:63] + buf_rspageQ[1] <= _T_3914 @[el2_lsu_bus_buffer.scala 560:20] + node _T_3915 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] reg _T_3916 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3915 : @[Reg.scala 28:19] _T_3916 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3916 @[el2_lsu_bus_buffer.scala 563:20] - node _T_3917 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:74] - node _T_3918 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] + buf_dualtag[1] <= _T_3916 @[el2_lsu_bus_buffer.scala 561:20] + node _T_3917 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:74] + node _T_3918 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] reg _T_3919 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3918 : @[Reg.scala 28:19] _T_3919 <= _T_3917 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 564:17] - node _T_3920 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:78] - node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] + buf_dual[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 562:17] + node _T_3920 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:78] + node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] reg _T_3922 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3921 : @[Reg.scala 28:19] _T_3922 <= _T_3920 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 565:19] - node _T_3923 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 566:80] - node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] + buf_samedw[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 563:19] + node _T_3923 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:80] + node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] reg _T_3925 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3924 : @[Reg.scala 28:19] _T_3925 <= _T_3923 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 566:20] - node _T_3926 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 567:78] - node _T_3927 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + buf_nomerge[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 564:20] + node _T_3926 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:78] + node _T_3927 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_3928 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3927 : @[Reg.scala 28:19] _T_3928 <= _T_3926 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3928 @[el2_lsu_bus_buffer.scala 567:19] + buf_dualhi[1] <= _T_3928 @[el2_lsu_bus_buffer.scala 565:19] node _T_3929 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3929 : @[Conditional.scala 40:58] - node _T_3930 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] - node _T_3931 = mux(_T_3930, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3932 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] - node _T_3933 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3934 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] - node _T_3935 = and(_T_3933, _T_3934) @[el2_lsu_bus_buffer.scala 505:95] - node _T_3936 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] - node _T_3937 = and(_T_3935, _T_3936) @[el2_lsu_bus_buffer.scala 505:112] - node _T_3938 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] - node _T_3939 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] - node _T_3940 = and(_T_3938, _T_3939) @[el2_lsu_bus_buffer.scala 505:161] - node _T_3941 = or(_T_3937, _T_3940) @[el2_lsu_bus_buffer.scala 505:132] - node _T_3942 = and(_T_3932, _T_3941) @[el2_lsu_bus_buffer.scala 505:63] - node _T_3943 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] - node _T_3944 = and(ibuf_drain_vld, _T_3943) @[el2_lsu_bus_buffer.scala 505:201] - node _T_3945 = or(_T_3942, _T_3944) @[el2_lsu_bus_buffer.scala 505:183] - buf_state_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 505:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 506:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 507:24] - node _T_3946 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] - node _T_3947 = and(ibuf_drain_vld, _T_3946) @[el2_lsu_bus_buffer.scala 508:47] - node _T_3948 = bits(_T_3947, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] - node _T_3949 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] - node _T_3950 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] - node _T_3951 = mux(_T_3948, _T_3949, _T_3950) @[el2_lsu_bus_buffer.scala 508:30] - buf_data_in[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 508:24] + node _T_3930 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] + node _T_3931 = mux(_T_3930, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[2] <= _T_3931 @[el2_lsu_bus_buffer.scala 502:25] + node _T_3932 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] + node _T_3933 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] + node _T_3934 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] + node _T_3935 = and(_T_3933, _T_3934) @[el2_lsu_bus_buffer.scala 503:95] + node _T_3936 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] + node _T_3937 = and(_T_3935, _T_3936) @[el2_lsu_bus_buffer.scala 503:112] + node _T_3938 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] + node _T_3939 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] + node _T_3940 = and(_T_3938, _T_3939) @[el2_lsu_bus_buffer.scala 503:161] + node _T_3941 = or(_T_3937, _T_3940) @[el2_lsu_bus_buffer.scala 503:132] + node _T_3942 = and(_T_3932, _T_3941) @[el2_lsu_bus_buffer.scala 503:63] + node _T_3943 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] + node _T_3944 = and(ibuf_drain_vld, _T_3943) @[el2_lsu_bus_buffer.scala 503:201] + node _T_3945 = or(_T_3942, _T_3944) @[el2_lsu_bus_buffer.scala 503:183] + buf_state_en[2] <= _T_3945 @[el2_lsu_bus_buffer.scala 503:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 504:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 505:24] + node _T_3946 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] + node _T_3947 = and(ibuf_drain_vld, _T_3946) @[el2_lsu_bus_buffer.scala 506:47] + node _T_3948 = bits(_T_3947, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] + node _T_3949 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] + node _T_3950 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] + node _T_3951 = mux(_T_3948, _T_3949, _T_3950) @[el2_lsu_bus_buffer.scala 506:30] + buf_data_in[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 506:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3952 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3952 : @[Conditional.scala 39:67] - node _T_3953 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3954 = mux(_T_3953, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[2] <= _T_3954 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3955 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] - buf_state_en[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3953 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] + node _T_3954 = mux(_T_3953, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] + buf_nxtstate[2] <= _T_3954 @[el2_lsu_bus_buffer.scala 509:25] + node _T_3955 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] + buf_state_en[2] <= _T_3955 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3956 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3956 : @[Conditional.scala 39:67] - node _T_3957 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] - node _T_3958 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] - node _T_3959 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] - node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 515:104] - node _T_3961 = mux(_T_3960, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] - node _T_3962 = mux(_T_3957, UInt<3>("h00"), _T_3961) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 515:25] - node _T_3963 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 516:48] - node _T_3964 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 516:104] - node _T_3965 = and(obuf_merge, _T_3964) @[el2_lsu_bus_buffer.scala 516:91] - node _T_3966 = or(_T_3963, _T_3965) @[el2_lsu_bus_buffer.scala 516:77] - node _T_3967 = and(_T_3966, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] - node _T_3968 = and(_T_3967, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] - buf_cmd_state_bus_en[2] <= _T_3968 @[el2_lsu_bus_buffer.scala 516:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 517:29] - node _T_3969 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] - node _T_3970 = or(_T_3969, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] - buf_state_en[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - node _T_3971 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 520:56] - node _T_3972 = eq(_T_3971, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_3973 = and(buf_state_en[2], _T_3972) @[el2_lsu_bus_buffer.scala 520:44] - node _T_3974 = and(_T_3973, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] - node _T_3975 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] - node _T_3976 = and(_T_3974, _T_3975) @[el2_lsu_bus_buffer.scala 520:74] - buf_ldfwd_en[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 520:25] - node _T_3977 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] - buf_ldfwdtag_in[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 521:28] - node _T_3978 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] - node _T_3979 = and(_T_3978, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] - node _T_3980 = and(_T_3979, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] - buf_data_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 522:24] - node _T_3981 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] - node _T_3982 = and(_T_3981, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] - node _T_3983 = and(_T_3982, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] - buf_error_en[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 523:25] - node _T_3984 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] - node _T_3985 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] - node _T_3986 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] - node _T_3987 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] - node _T_3988 = mux(_T_3985, _T_3986, _T_3987) @[el2_lsu_bus_buffer.scala 524:73] - node _T_3989 = mux(buf_error_en[2], _T_3984, _T_3988) @[el2_lsu_bus_buffer.scala 524:30] - buf_data_in[2] <= _T_3989 @[el2_lsu_bus_buffer.scala 524:24] + node _T_3957 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_3958 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] + node _T_3959 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] + node _T_3960 = and(_T_3958, _T_3959) @[el2_lsu_bus_buffer.scala 513:104] + node _T_3961 = mux(_T_3960, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_3962 = mux(_T_3957, UInt<3>("h00"), _T_3961) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3963 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 514:48] + node _T_3964 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 514:104] + node _T_3965 = and(obuf_merge, _T_3964) @[el2_lsu_bus_buffer.scala 514:91] + node _T_3966 = or(_T_3963, _T_3965) @[el2_lsu_bus_buffer.scala 514:77] + node _T_3967 = and(_T_3966, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] + node _T_3968 = and(_T_3967, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] + buf_cmd_state_bus_en[2] <= _T_3968 @[el2_lsu_bus_buffer.scala 514:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 515:29] + node _T_3969 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_3970 = or(_T_3969, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + node _T_3971 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:56] + node _T_3972 = eq(_T_3971, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] + node _T_3973 = and(buf_state_en[2], _T_3972) @[el2_lsu_bus_buffer.scala 518:44] + node _T_3974 = and(_T_3973, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] + node _T_3975 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] + node _T_3976 = and(_T_3974, _T_3975) @[el2_lsu_bus_buffer.scala 518:74] + buf_ldfwd_en[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 518:25] + node _T_3977 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] + buf_ldfwdtag_in[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 519:28] + node _T_3978 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] + node _T_3979 = and(_T_3978, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] + node _T_3980 = and(_T_3979, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] + buf_data_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 520:24] + node _T_3981 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] + node _T_3982 = and(_T_3981, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] + node _T_3983 = and(_T_3982, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] + buf_error_en[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 521:25] + node _T_3984 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] + node _T_3985 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] + node _T_3986 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] + node _T_3987 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] + node _T_3988 = mux(_T_3985, _T_3986, _T_3987) @[el2_lsu_bus_buffer.scala 522:73] + node _T_3989 = mux(buf_error_en[2], _T_3984, _T_3988) @[el2_lsu_bus_buffer.scala 522:30] + buf_data_in[2] <= _T_3989 @[el2_lsu_bus_buffer.scala 522:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3990 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3990 : @[Conditional.scala 39:67] - node _T_3991 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 527:67] - node _T_3992 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] - node _T_3993 = eq(_T_3992, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] - node _T_3994 = and(_T_3991, _T_3993) @[el2_lsu_bus_buffer.scala 527:71] - node _T_3995 = or(io.dec_tlu_force_halt, _T_3994) @[el2_lsu_bus_buffer.scala 527:55] - node _T_3996 = bits(_T_3995, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] - node _T_3997 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] - node _T_3998 = and(buf_dual[2], _T_3997) @[el2_lsu_bus_buffer.scala 528:28] - node _T_3999 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 528:57] - node _T_4000 = eq(_T_3999, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] - node _T_4001 = and(_T_3998, _T_4000) @[el2_lsu_bus_buffer.scala 528:45] - node _T_4002 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] - node _T_4003 = and(_T_4001, _T_4002) @[el2_lsu_bus_buffer.scala 528:61] - node _T_4004 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 529:27] - node _T_4005 = or(_T_4004, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] - node _T_4006 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] - node _T_4007 = and(buf_dual[2], _T_4006) @[el2_lsu_bus_buffer.scala 529:68] - node _T_4008 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4009 = eq(_T_4008, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] - node _T_4010 = and(_T_4007, _T_4009) @[el2_lsu_bus_buffer.scala 529:85] + node _T_3991 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 525:67] + node _T_3992 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] + node _T_3993 = eq(_T_3992, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] + node _T_3994 = and(_T_3991, _T_3993) @[el2_lsu_bus_buffer.scala 525:71] + node _T_3995 = or(io.dec_tlu_force_halt, _T_3994) @[el2_lsu_bus_buffer.scala 525:55] + node _T_3996 = bits(_T_3995, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] + node _T_3997 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] + node _T_3998 = and(buf_dual[2], _T_3997) @[el2_lsu_bus_buffer.scala 526:28] + node _T_3999 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 526:57] + node _T_4000 = eq(_T_3999, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] + node _T_4001 = and(_T_3998, _T_4000) @[el2_lsu_bus_buffer.scala 526:45] + node _T_4002 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] + node _T_4003 = and(_T_4001, _T_4002) @[el2_lsu_bus_buffer.scala 526:61] + node _T_4004 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 527:27] + node _T_4005 = or(_T_4004, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] + node _T_4006 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] + node _T_4007 = and(buf_dual[2], _T_4006) @[el2_lsu_bus_buffer.scala 527:68] + node _T_4008 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 527:97] + node _T_4009 = eq(_T_4008, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] + node _T_4010 = and(_T_4007, _T_4009) @[el2_lsu_bus_buffer.scala 527:85] node _T_4011 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] node _T_4012 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] node _T_4013 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] @@ -5149,265 +5149,265 @@ circuit el2_lsu_bus_buffer : node _T_4025 = or(_T_4024, _T_4022) @[Mux.scala 27:72] wire _T_4026 : UInt<1> @[Mux.scala 27:72] _T_4026 <= _T_4025 @[Mux.scala 27:72] - node _T_4027 = and(_T_4010, _T_4026) @[el2_lsu_bus_buffer.scala 529:101] - node _T_4028 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] - node _T_4029 = and(_T_4027, _T_4028) @[el2_lsu_bus_buffer.scala 529:138] - node _T_4030 = and(_T_4029, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] - node _T_4031 = or(_T_4005, _T_4030) @[el2_lsu_bus_buffer.scala 529:53] - node _T_4032 = mux(_T_4031, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] - node _T_4033 = mux(_T_4003, UInt<3>("h04"), _T_4032) @[el2_lsu_bus_buffer.scala 528:14] - node _T_4034 = mux(_T_3996, UInt<3>("h00"), _T_4033) @[el2_lsu_bus_buffer.scala 527:31] - buf_nxtstate[2] <= _T_4034 @[el2_lsu_bus_buffer.scala 527:25] - node _T_4035 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 530:73] - node _T_4036 = and(bus_rsp_write, _T_4035) @[el2_lsu_bus_buffer.scala 530:52] - node _T_4037 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 531:46] - node _T_4038 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 532:23] - node _T_4039 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 532:47] - node _T_4040 = and(_T_4038, _T_4039) @[el2_lsu_bus_buffer.scala 532:27] - node _T_4041 = or(_T_4037, _T_4040) @[el2_lsu_bus_buffer.scala 531:77] - node _T_4042 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 533:26] - node _T_4043 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 533:54] - node _T_4044 = not(_T_4043) @[el2_lsu_bus_buffer.scala 533:44] - node _T_4045 = and(_T_4042, _T_4044) @[el2_lsu_bus_buffer.scala 533:42] - node _T_4046 = and(_T_4045, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_4047 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:94] - node _T_4048 = and(_T_4046, _T_4047) @[el2_lsu_bus_buffer.scala 533:74] - node _T_4049 = or(_T_4041, _T_4048) @[el2_lsu_bus_buffer.scala 532:71] - node _T_4050 = and(bus_rsp_read, _T_4049) @[el2_lsu_bus_buffer.scala 531:25] - node _T_4051 = or(_T_4036, _T_4050) @[el2_lsu_bus_buffer.scala 530:105] - buf_resp_state_bus_en[2] <= _T_4051 @[el2_lsu_bus_buffer.scala 530:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 534:29] - node _T_4052 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_4053 = or(_T_4052, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[2] <= _T_4053 @[el2_lsu_bus_buffer.scala 535:25] - node _T_4054 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] - node _T_4055 = and(_T_4054, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] - buf_data_en[2] <= _T_4055 @[el2_lsu_bus_buffer.scala 536:24] - node _T_4056 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] - node _T_4057 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:111] - node _T_4058 = and(bus_rsp_read_error, _T_4057) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4059 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 538:42] - node _T_4060 = and(bus_rsp_read_error, _T_4059) @[el2_lsu_bus_buffer.scala 538:31] - node _T_4061 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 538:66] - node _T_4062 = and(_T_4060, _T_4061) @[el2_lsu_bus_buffer.scala 538:46] - node _T_4063 = or(_T_4058, _T_4062) @[el2_lsu_bus_buffer.scala 537:143] - node _T_4064 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] - node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4066 = and(_T_4064, _T_4065) @[el2_lsu_bus_buffer.scala 539:53] - node _T_4067 = or(_T_4063, _T_4066) @[el2_lsu_bus_buffer.scala 538:88] - node _T_4068 = and(_T_4056, _T_4067) @[el2_lsu_bus_buffer.scala 537:68] - buf_error_en[2] <= _T_4068 @[el2_lsu_bus_buffer.scala 537:25] - node _T_4069 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] - node _T_4070 = and(buf_state_en[2], _T_4069) @[el2_lsu_bus_buffer.scala 540:48] - node _T_4071 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] - node _T_4072 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4073 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] - node _T_4074 = mux(_T_4071, _T_4072, _T_4073) @[el2_lsu_bus_buffer.scala 540:72] - node _T_4075 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] - node _T_4076 = mux(_T_4070, _T_4074, _T_4075) @[el2_lsu_bus_buffer.scala 540:30] - buf_data_in[2] <= _T_4076 @[el2_lsu_bus_buffer.scala 540:24] + node _T_4027 = and(_T_4010, _T_4026) @[el2_lsu_bus_buffer.scala 527:101] + node _T_4028 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] + node _T_4029 = and(_T_4027, _T_4028) @[el2_lsu_bus_buffer.scala 527:138] + node _T_4030 = and(_T_4029, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] + node _T_4031 = or(_T_4005, _T_4030) @[el2_lsu_bus_buffer.scala 527:53] + node _T_4032 = mux(_T_4031, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] + node _T_4033 = mux(_T_4003, UInt<3>("h04"), _T_4032) @[el2_lsu_bus_buffer.scala 526:14] + node _T_4034 = mux(_T_3996, UInt<3>("h00"), _T_4033) @[el2_lsu_bus_buffer.scala 525:31] + buf_nxtstate[2] <= _T_4034 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4035 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 528:73] + node _T_4036 = and(bus_rsp_write, _T_4035) @[el2_lsu_bus_buffer.scala 528:52] + node _T_4037 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:46] + node _T_4038 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 530:23] + node _T_4039 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 530:47] + node _T_4040 = and(_T_4038, _T_4039) @[el2_lsu_bus_buffer.scala 530:27] + node _T_4041 = or(_T_4037, _T_4040) @[el2_lsu_bus_buffer.scala 529:77] + node _T_4042 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 531:26] + node _T_4043 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 531:54] + node _T_4044 = not(_T_4043) @[el2_lsu_bus_buffer.scala 531:44] + node _T_4045 = and(_T_4042, _T_4044) @[el2_lsu_bus_buffer.scala 531:42] + node _T_4046 = and(_T_4045, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 531:58] + node _T_4047 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 531:94] + node _T_4048 = and(_T_4046, _T_4047) @[el2_lsu_bus_buffer.scala 531:74] + node _T_4049 = or(_T_4041, _T_4048) @[el2_lsu_bus_buffer.scala 530:71] + node _T_4050 = and(bus_rsp_read, _T_4049) @[el2_lsu_bus_buffer.scala 529:25] + node _T_4051 = or(_T_4036, _T_4050) @[el2_lsu_bus_buffer.scala 528:105] + buf_resp_state_bus_en[2] <= _T_4051 @[el2_lsu_bus_buffer.scala 528:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 532:29] + node _T_4052 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] + node _T_4053 = or(_T_4052, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] + buf_state_en[2] <= _T_4053 @[el2_lsu_bus_buffer.scala 533:25] + node _T_4054 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] + node _T_4055 = and(_T_4054, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] + buf_data_en[2] <= _T_4055 @[el2_lsu_bus_buffer.scala 534:24] + node _T_4056 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] + node _T_4057 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 535:111] + node _T_4058 = and(bus_rsp_read_error, _T_4057) @[el2_lsu_bus_buffer.scala 535:91] + node _T_4059 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 536:42] + node _T_4060 = and(bus_rsp_read_error, _T_4059) @[el2_lsu_bus_buffer.scala 536:31] + node _T_4061 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_4062 = and(_T_4060, _T_4061) @[el2_lsu_bus_buffer.scala 536:46] + node _T_4063 = or(_T_4058, _T_4062) @[el2_lsu_bus_buffer.scala 535:143] + node _T_4064 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] + node _T_4065 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:74] + node _T_4066 = and(_T_4064, _T_4065) @[el2_lsu_bus_buffer.scala 537:53] + node _T_4067 = or(_T_4063, _T_4066) @[el2_lsu_bus_buffer.scala 536:88] + node _T_4068 = and(_T_4056, _T_4067) @[el2_lsu_bus_buffer.scala 535:68] + buf_error_en[2] <= _T_4068 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4069 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] + node _T_4070 = and(buf_state_en[2], _T_4069) @[el2_lsu_bus_buffer.scala 538:48] + node _T_4071 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] + node _T_4072 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] + node _T_4073 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] + node _T_4074 = mux(_T_4071, _T_4072, _T_4073) @[el2_lsu_bus_buffer.scala 538:72] + node _T_4075 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] + node _T_4076 = mux(_T_4070, _T_4074, _T_4075) @[el2_lsu_bus_buffer.scala 538:30] + buf_data_in[2] <= _T_4076 @[el2_lsu_bus_buffer.scala 538:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4077 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4077 : @[Conditional.scala 39:67] - node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] - node _T_4079 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 543:86] - node _T_4080 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 543:101] - node _T_4081 = bits(_T_4080, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] - node _T_4082 = or(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 543:90] - node _T_4083 = or(_T_4082, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] - node _T_4084 = mux(_T_4083, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] - node _T_4085 = mux(_T_4078, UInt<3>("h00"), _T_4084) @[el2_lsu_bus_buffer.scala 543:31] - buf_nxtstate[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 543:25] - node _T_4086 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 544:66] - node _T_4087 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 545:21] - node _T_4088 = bits(_T_4087, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] - node _T_4089 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 545:58] - node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 545:38] - node _T_4091 = or(_T_4086, _T_4090) @[el2_lsu_bus_buffer.scala 544:95] - node _T_4092 = and(bus_rsp_read, _T_4091) @[el2_lsu_bus_buffer.scala 544:45] - buf_state_bus_en[2] <= _T_4092 @[el2_lsu_bus_buffer.scala 544:29] - node _T_4093 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] - node _T_4094 = or(_T_4093, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] - buf_state_en[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 546:25] + node _T_4078 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_4079 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4080 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 541:101] + node _T_4081 = bits(_T_4080, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] + node _T_4082 = or(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 541:90] + node _T_4083 = or(_T_4082, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] + node _T_4084 = mux(_T_4083, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] + node _T_4085 = mux(_T_4078, UInt<3>("h00"), _T_4084) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 541:25] + node _T_4086 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 542:66] + node _T_4087 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 543:21] + node _T_4088 = bits(_T_4087, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] + node _T_4089 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 543:58] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 543:38] + node _T_4091 = or(_T_4086, _T_4090) @[el2_lsu_bus_buffer.scala 542:95] + node _T_4092 = and(bus_rsp_read, _T_4091) @[el2_lsu_bus_buffer.scala 542:45] + buf_state_bus_en[2] <= _T_4092 @[el2_lsu_bus_buffer.scala 542:29] + node _T_4093 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] + node _T_4094 = or(_T_4093, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] + buf_state_en[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 544:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4095 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4095 : @[Conditional.scala 39:67] - node _T_4096 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] - node _T_4097 = mux(_T_4096, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] - buf_nxtstate[2] <= _T_4097 @[el2_lsu_bus_buffer.scala 549:25] - node _T_4098 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 550:37] - node _T_4099 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] - node _T_4100 = and(buf_dual[2], _T_4099) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4101 = or(_T_4098, _T_4100) @[el2_lsu_bus_buffer.scala 550:65] - node _T_4102 = or(_T_4101, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] - buf_state_en[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 550:25] + node _T_4096 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4097 = mux(_T_4096, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] + buf_nxtstate[2] <= _T_4097 @[el2_lsu_bus_buffer.scala 547:25] + node _T_4098 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 548:37] + node _T_4099 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] + node _T_4100 = and(buf_dual[2], _T_4099) @[el2_lsu_bus_buffer.scala 548:80] + node _T_4101 = or(_T_4098, _T_4100) @[el2_lsu_bus_buffer.scala 548:65] + node _T_4102 = or(_T_4101, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] + buf_state_en[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4103 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4103 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 557:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 555:25] skip @[Conditional.scala 39:67] - node _T_4104 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4104 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] reg _T_4105 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4104 : @[Reg.scala 28:19] _T_4105 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4105 @[el2_lsu_bus_buffer.scala 560:18] - reg _T_4106 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] - _T_4106 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 561:60] - buf_ageQ[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 561:17] - reg _T_4107 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] - _T_4107 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 562:63] - buf_rspageQ[2] <= _T_4107 @[el2_lsu_bus_buffer.scala 562:20] - node _T_4108 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + buf_state[2] <= _T_4105 @[el2_lsu_bus_buffer.scala 558:18] + reg _T_4106 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] + _T_4106 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 559:60] + buf_ageQ[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 559:17] + reg _T_4107 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] + _T_4107 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 560:63] + buf_rspageQ[2] <= _T_4107 @[el2_lsu_bus_buffer.scala 560:20] + node _T_4108 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] reg _T_4109 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4108 : @[Reg.scala 28:19] _T_4109 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4109 @[el2_lsu_bus_buffer.scala 563:20] - node _T_4110 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:74] - node _T_4111 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] + buf_dualtag[2] <= _T_4109 @[el2_lsu_bus_buffer.scala 561:20] + node _T_4110 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:74] + node _T_4111 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] reg _T_4112 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4111 : @[Reg.scala 28:19] _T_4112 <= _T_4110 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 564:17] - node _T_4113 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] + buf_dual[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 562:17] + node _T_4113 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] reg _T_4115 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4114 : @[Reg.scala 28:19] _T_4115 <= _T_4113 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 565:19] - node _T_4116 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 566:80] - node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] + buf_samedw[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 563:19] + node _T_4116 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:80] + node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] reg _T_4118 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4117 : @[Reg.scala 28:19] _T_4118 <= _T_4116 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 566:20] - node _T_4119 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 567:78] - node _T_4120 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + buf_nomerge[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 564:20] + node _T_4119 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:78] + node _T_4120 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_4121 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4120 : @[Reg.scala 28:19] _T_4121 <= _T_4119 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4121 @[el2_lsu_bus_buffer.scala 567:19] + buf_dualhi[2] <= _T_4121 @[el2_lsu_bus_buffer.scala 565:19] node _T_4122 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4122 : @[Conditional.scala 40:58] - node _T_4123 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 504:56] - node _T_4124 = mux(_T_4123, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 504:25] - node _T_4125 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 505:45] - node _T_4126 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:77] - node _T_4127 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 505:97] - node _T_4128 = and(_T_4126, _T_4127) @[el2_lsu_bus_buffer.scala 505:95] - node _T_4129 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 505:117] - node _T_4130 = and(_T_4128, _T_4129) @[el2_lsu_bus_buffer.scala 505:112] - node _T_4131 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 505:144] - node _T_4132 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 505:166] - node _T_4133 = and(_T_4131, _T_4132) @[el2_lsu_bus_buffer.scala 505:161] - node _T_4134 = or(_T_4130, _T_4133) @[el2_lsu_bus_buffer.scala 505:132] - node _T_4135 = and(_T_4125, _T_4134) @[el2_lsu_bus_buffer.scala 505:63] - node _T_4136 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 505:206] - node _T_4137 = and(ibuf_drain_vld, _T_4136) @[el2_lsu_bus_buffer.scala 505:201] - node _T_4138 = or(_T_4135, _T_4137) @[el2_lsu_bus_buffer.scala 505:183] - buf_state_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 505:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 506:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 507:24] - node _T_4139 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 508:52] - node _T_4140 = and(ibuf_drain_vld, _T_4139) @[el2_lsu_bus_buffer.scala 508:47] - node _T_4141 = bits(_T_4140, 0, 0) @[el2_lsu_bus_buffer.scala 508:73] - node _T_4142 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 508:90] - node _T_4143 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 508:114] - node _T_4144 = mux(_T_4141, _T_4142, _T_4143) @[el2_lsu_bus_buffer.scala 508:30] - buf_data_in[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 508:24] + node _T_4123 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 502:56] + node _T_4124 = mux(_T_4123, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 502:31] + buf_nxtstate[3] <= _T_4124 @[el2_lsu_bus_buffer.scala 502:25] + node _T_4125 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 503:45] + node _T_4126 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:77] + node _T_4127 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 503:97] + node _T_4128 = and(_T_4126, _T_4127) @[el2_lsu_bus_buffer.scala 503:95] + node _T_4129 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 503:117] + node _T_4130 = and(_T_4128, _T_4129) @[el2_lsu_bus_buffer.scala 503:112] + node _T_4131 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 503:144] + node _T_4132 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 503:166] + node _T_4133 = and(_T_4131, _T_4132) @[el2_lsu_bus_buffer.scala 503:161] + node _T_4134 = or(_T_4130, _T_4133) @[el2_lsu_bus_buffer.scala 503:132] + node _T_4135 = and(_T_4125, _T_4134) @[el2_lsu_bus_buffer.scala 503:63] + node _T_4136 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 503:206] + node _T_4137 = and(ibuf_drain_vld, _T_4136) @[el2_lsu_bus_buffer.scala 503:201] + node _T_4138 = or(_T_4135, _T_4137) @[el2_lsu_bus_buffer.scala 503:183] + buf_state_en[3] <= _T_4138 @[el2_lsu_bus_buffer.scala 503:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 504:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 505:24] + node _T_4139 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 506:52] + node _T_4140 = and(ibuf_drain_vld, _T_4139) @[el2_lsu_bus_buffer.scala 506:47] + node _T_4141 = bits(_T_4140, 0, 0) @[el2_lsu_bus_buffer.scala 506:73] + node _T_4142 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 506:90] + node _T_4143 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 506:114] + node _T_4144 = mux(_T_4141, _T_4142, _T_4143) @[el2_lsu_bus_buffer.scala 506:30] + buf_data_in[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 506:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4145 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4145 : @[Conditional.scala 39:67] - node _T_4146 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 511:60] - node _T_4147 = mux(_T_4146, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 511:31] - buf_nxtstate[3] <= _T_4147 @[el2_lsu_bus_buffer.scala 511:25] - node _T_4148 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 512:46] - buf_state_en[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 512:25] + node _T_4146 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 509:60] + node _T_4147 = mux(_T_4146, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 509:31] + buf_nxtstate[3] <= _T_4147 @[el2_lsu_bus_buffer.scala 509:25] + node _T_4148 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:46] + buf_state_en[3] <= _T_4148 @[el2_lsu_bus_buffer.scala 510:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4149 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4149 : @[Conditional.scala 39:67] - node _T_4150 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 515:60] - node _T_4151 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 515:89] - node _T_4152 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 515:124] - node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 515:104] - node _T_4154 = mux(_T_4153, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 515:75] - node _T_4155 = mux(_T_4150, UInt<3>("h00"), _T_4154) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 515:25] - node _T_4156 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 516:48] - node _T_4157 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 516:104] - node _T_4158 = and(obuf_merge, _T_4157) @[el2_lsu_bus_buffer.scala 516:91] - node _T_4159 = or(_T_4156, _T_4158) @[el2_lsu_bus_buffer.scala 516:77] - node _T_4160 = and(_T_4159, obuf_valid) @[el2_lsu_bus_buffer.scala 516:135] - node _T_4161 = and(_T_4160, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 516:148] - buf_cmd_state_bus_en[3] <= _T_4161 @[el2_lsu_bus_buffer.scala 516:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 517:29] - node _T_4162 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 518:49] - node _T_4163 = or(_T_4162, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 518:70] - buf_state_en[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 518:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 519:25] - node _T_4164 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 520:56] - node _T_4165 = eq(_T_4164, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_4166 = and(buf_state_en[3], _T_4165) @[el2_lsu_bus_buffer.scala 520:44] - node _T_4167 = and(_T_4166, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:60] - node _T_4168 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:76] - node _T_4169 = and(_T_4167, _T_4168) @[el2_lsu_bus_buffer.scala 520:74] - buf_ldfwd_en[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 520:25] - node _T_4170 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 521:46] - buf_ldfwdtag_in[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 521:28] - node _T_4171 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 522:47] - node _T_4172 = and(_T_4171, obuf_nosend) @[el2_lsu_bus_buffer.scala 522:67] - node _T_4173 = and(_T_4172, bus_rsp_read) @[el2_lsu_bus_buffer.scala 522:81] - buf_data_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 522:24] - node _T_4174 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:48] - node _T_4175 = and(_T_4174, obuf_nosend) @[el2_lsu_bus_buffer.scala 523:68] - node _T_4176 = and(_T_4175, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 523:82] - buf_error_en[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 523:25] - node _T_4177 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:61] - node _T_4178 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 524:85] - node _T_4179 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 524:103] - node _T_4180 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 524:126] - node _T_4181 = mux(_T_4178, _T_4179, _T_4180) @[el2_lsu_bus_buffer.scala 524:73] - node _T_4182 = mux(buf_error_en[3], _T_4177, _T_4181) @[el2_lsu_bus_buffer.scala 524:30] - buf_data_in[3] <= _T_4182 @[el2_lsu_bus_buffer.scala 524:24] + node _T_4150 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 513:60] + node _T_4151 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:89] + node _T_4152 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 513:124] + node _T_4153 = and(_T_4151, _T_4152) @[el2_lsu_bus_buffer.scala 513:104] + node _T_4154 = mux(_T_4153, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 513:75] + node _T_4155 = mux(_T_4150, UInt<3>("h00"), _T_4154) @[el2_lsu_bus_buffer.scala 513:31] + buf_nxtstate[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 513:25] + node _T_4156 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:48] + node _T_4157 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 514:104] + node _T_4158 = and(obuf_merge, _T_4157) @[el2_lsu_bus_buffer.scala 514:91] + node _T_4159 = or(_T_4156, _T_4158) @[el2_lsu_bus_buffer.scala 514:77] + node _T_4160 = and(_T_4159, obuf_valid) @[el2_lsu_bus_buffer.scala 514:135] + node _T_4161 = and(_T_4160, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 514:148] + buf_cmd_state_bus_en[3] <= _T_4161 @[el2_lsu_bus_buffer.scala 514:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 515:29] + node _T_4162 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 516:49] + node _T_4163 = or(_T_4162, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 516:70] + buf_state_en[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 516:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 517:25] + node _T_4164 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:56] + node _T_4165 = eq(_T_4164, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:46] + node _T_4166 = and(buf_state_en[3], _T_4165) @[el2_lsu_bus_buffer.scala 518:44] + node _T_4167 = and(_T_4166, obuf_nosend) @[el2_lsu_bus_buffer.scala 518:60] + node _T_4168 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:76] + node _T_4169 = and(_T_4167, _T_4168) @[el2_lsu_bus_buffer.scala 518:74] + buf_ldfwd_en[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 518:25] + node _T_4170 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 519:46] + buf_ldfwdtag_in[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 519:28] + node _T_4171 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 520:47] + node _T_4172 = and(_T_4171, obuf_nosend) @[el2_lsu_bus_buffer.scala 520:67] + node _T_4173 = and(_T_4172, bus_rsp_read) @[el2_lsu_bus_buffer.scala 520:81] + buf_data_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 520:24] + node _T_4174 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 521:48] + node _T_4175 = and(_T_4174, obuf_nosend) @[el2_lsu_bus_buffer.scala 521:68] + node _T_4176 = and(_T_4175, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 521:82] + buf_error_en[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 521:25] + node _T_4177 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:61] + node _T_4178 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 522:85] + node _T_4179 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 522:103] + node _T_4180 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 522:126] + node _T_4181 = mux(_T_4178, _T_4179, _T_4180) @[el2_lsu_bus_buffer.scala 522:73] + node _T_4182 = mux(buf_error_en[3], _T_4177, _T_4181) @[el2_lsu_bus_buffer.scala 522:30] + buf_data_in[3] <= _T_4182 @[el2_lsu_bus_buffer.scala 522:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4183 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4183 : @[Conditional.scala 39:67] - node _T_4184 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 527:67] - node _T_4185 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 527:94] - node _T_4186 = eq(_T_4185, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:73] - node _T_4187 = and(_T_4184, _T_4186) @[el2_lsu_bus_buffer.scala 527:71] - node _T_4188 = or(io.dec_tlu_force_halt, _T_4187) @[el2_lsu_bus_buffer.scala 527:55] - node _T_4189 = bits(_T_4188, 0, 0) @[el2_lsu_bus_buffer.scala 527:125] - node _T_4190 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:30] - node _T_4191 = and(buf_dual[3], _T_4190) @[el2_lsu_bus_buffer.scala 528:28] - node _T_4192 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 528:57] - node _T_4193 = eq(_T_4192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:47] - node _T_4194 = and(_T_4191, _T_4193) @[el2_lsu_bus_buffer.scala 528:45] - node _T_4195 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 528:90] - node _T_4196 = and(_T_4194, _T_4195) @[el2_lsu_bus_buffer.scala 528:61] - node _T_4197 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 529:27] - node _T_4198 = or(_T_4197, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:31] - node _T_4199 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:70] - node _T_4200 = and(buf_dual[3], _T_4199) @[el2_lsu_bus_buffer.scala 529:68] - node _T_4201 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4202 = eq(_T_4201, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:87] - node _T_4203 = and(_T_4200, _T_4202) @[el2_lsu_bus_buffer.scala 529:85] + node _T_4184 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 525:67] + node _T_4185 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 525:94] + node _T_4186 = eq(_T_4185, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 525:73] + node _T_4187 = and(_T_4184, _T_4186) @[el2_lsu_bus_buffer.scala 525:71] + node _T_4188 = or(io.dec_tlu_force_halt, _T_4187) @[el2_lsu_bus_buffer.scala 525:55] + node _T_4189 = bits(_T_4188, 0, 0) @[el2_lsu_bus_buffer.scala 525:125] + node _T_4190 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:30] + node _T_4191 = and(buf_dual[3], _T_4190) @[el2_lsu_bus_buffer.scala 526:28] + node _T_4192 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 526:57] + node _T_4193 = eq(_T_4192, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 526:47] + node _T_4194 = and(_T_4191, _T_4193) @[el2_lsu_bus_buffer.scala 526:45] + node _T_4195 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 526:90] + node _T_4196 = and(_T_4194, _T_4195) @[el2_lsu_bus_buffer.scala 526:61] + node _T_4197 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 527:27] + node _T_4198 = or(_T_4197, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:31] + node _T_4199 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:70] + node _T_4200 = and(buf_dual[3], _T_4199) @[el2_lsu_bus_buffer.scala 527:68] + node _T_4201 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 527:97] + node _T_4202 = eq(_T_4201, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 527:87] + node _T_4203 = and(_T_4200, _T_4202) @[el2_lsu_bus_buffer.scala 527:85] node _T_4204 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:118] node _T_4205 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 119:129] node _T_4206 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:118] @@ -5425,172 +5425,172 @@ circuit el2_lsu_bus_buffer : node _T_4218 = or(_T_4217, _T_4215) @[Mux.scala 27:72] wire _T_4219 : UInt<1> @[Mux.scala 27:72] _T_4219 <= _T_4218 @[Mux.scala 27:72] - node _T_4220 = and(_T_4203, _T_4219) @[el2_lsu_bus_buffer.scala 529:101] - node _T_4221 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 529:167] - node _T_4222 = and(_T_4220, _T_4221) @[el2_lsu_bus_buffer.scala 529:138] - node _T_4223 = and(_T_4222, any_done_wait_state) @[el2_lsu_bus_buffer.scala 529:187] - node _T_4224 = or(_T_4198, _T_4223) @[el2_lsu_bus_buffer.scala 529:53] - node _T_4225 = mux(_T_4224, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 529:16] - node _T_4226 = mux(_T_4196, UInt<3>("h04"), _T_4225) @[el2_lsu_bus_buffer.scala 528:14] - node _T_4227 = mux(_T_4189, UInt<3>("h00"), _T_4226) @[el2_lsu_bus_buffer.scala 527:31] - buf_nxtstate[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 527:25] - node _T_4228 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 530:73] - node _T_4229 = and(bus_rsp_write, _T_4228) @[el2_lsu_bus_buffer.scala 530:52] - node _T_4230 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 531:46] - node _T_4231 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 532:23] - node _T_4232 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 532:47] - node _T_4233 = and(_T_4231, _T_4232) @[el2_lsu_bus_buffer.scala 532:27] - node _T_4234 = or(_T_4230, _T_4233) @[el2_lsu_bus_buffer.scala 531:77] - node _T_4235 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 533:26] - node _T_4236 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 533:54] - node _T_4237 = not(_T_4236) @[el2_lsu_bus_buffer.scala 533:44] - node _T_4238 = and(_T_4235, _T_4237) @[el2_lsu_bus_buffer.scala 533:42] - node _T_4239 = and(_T_4238, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_4240 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:94] - node _T_4241 = and(_T_4239, _T_4240) @[el2_lsu_bus_buffer.scala 533:74] - node _T_4242 = or(_T_4234, _T_4241) @[el2_lsu_bus_buffer.scala 532:71] - node _T_4243 = and(bus_rsp_read, _T_4242) @[el2_lsu_bus_buffer.scala 531:25] - node _T_4244 = or(_T_4229, _T_4243) @[el2_lsu_bus_buffer.scala 530:105] - buf_resp_state_bus_en[3] <= _T_4244 @[el2_lsu_bus_buffer.scala 530:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 534:29] - node _T_4245 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_4246 = or(_T_4245, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[3] <= _T_4246 @[el2_lsu_bus_buffer.scala 535:25] - node _T_4247 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 536:47] - node _T_4248 = and(_T_4247, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:62] - buf_data_en[3] <= _T_4248 @[el2_lsu_bus_buffer.scala 536:24] - node _T_4249 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:48] - node _T_4250 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 537:111] - node _T_4251 = and(bus_rsp_read_error, _T_4250) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4252 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 538:42] - node _T_4253 = and(bus_rsp_read_error, _T_4252) @[el2_lsu_bus_buffer.scala 538:31] - node _T_4254 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 538:66] - node _T_4255 = and(_T_4253, _T_4254) @[el2_lsu_bus_buffer.scala 538:46] - node _T_4256 = or(_T_4251, _T_4255) @[el2_lsu_bus_buffer.scala 537:143] - node _T_4257 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 539:32] - node _T_4258 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4259 = and(_T_4257, _T_4258) @[el2_lsu_bus_buffer.scala 539:53] - node _T_4260 = or(_T_4256, _T_4259) @[el2_lsu_bus_buffer.scala 538:88] - node _T_4261 = and(_T_4249, _T_4260) @[el2_lsu_bus_buffer.scala 537:68] - buf_error_en[3] <= _T_4261 @[el2_lsu_bus_buffer.scala 537:25] - node _T_4262 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 540:50] - node _T_4263 = and(buf_state_en[3], _T_4262) @[el2_lsu_bus_buffer.scala 540:48] - node _T_4264 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 540:84] - node _T_4265 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 540:102] - node _T_4266 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:125] - node _T_4267 = mux(_T_4264, _T_4265, _T_4266) @[el2_lsu_bus_buffer.scala 540:72] - node _T_4268 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 540:148] - node _T_4269 = mux(_T_4263, _T_4267, _T_4268) @[el2_lsu_bus_buffer.scala 540:30] - buf_data_in[3] <= _T_4269 @[el2_lsu_bus_buffer.scala 540:24] + node _T_4220 = and(_T_4203, _T_4219) @[el2_lsu_bus_buffer.scala 527:101] + node _T_4221 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 527:167] + node _T_4222 = and(_T_4220, _T_4221) @[el2_lsu_bus_buffer.scala 527:138] + node _T_4223 = and(_T_4222, any_done_wait_state) @[el2_lsu_bus_buffer.scala 527:187] + node _T_4224 = or(_T_4198, _T_4223) @[el2_lsu_bus_buffer.scala 527:53] + node _T_4225 = mux(_T_4224, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 527:16] + node _T_4226 = mux(_T_4196, UInt<3>("h04"), _T_4225) @[el2_lsu_bus_buffer.scala 526:14] + node _T_4227 = mux(_T_4189, UInt<3>("h00"), _T_4226) @[el2_lsu_bus_buffer.scala 525:31] + buf_nxtstate[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4228 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 528:73] + node _T_4229 = and(bus_rsp_write, _T_4228) @[el2_lsu_bus_buffer.scala 528:52] + node _T_4230 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:46] + node _T_4231 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 530:23] + node _T_4232 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 530:47] + node _T_4233 = and(_T_4231, _T_4232) @[el2_lsu_bus_buffer.scala 530:27] + node _T_4234 = or(_T_4230, _T_4233) @[el2_lsu_bus_buffer.scala 529:77] + node _T_4235 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 531:26] + node _T_4236 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 531:54] + node _T_4237 = not(_T_4236) @[el2_lsu_bus_buffer.scala 531:44] + node _T_4238 = and(_T_4235, _T_4237) @[el2_lsu_bus_buffer.scala 531:42] + node _T_4239 = and(_T_4238, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 531:58] + node _T_4240 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 531:94] + node _T_4241 = and(_T_4239, _T_4240) @[el2_lsu_bus_buffer.scala 531:74] + node _T_4242 = or(_T_4234, _T_4241) @[el2_lsu_bus_buffer.scala 530:71] + node _T_4243 = and(bus_rsp_read, _T_4242) @[el2_lsu_bus_buffer.scala 529:25] + node _T_4244 = or(_T_4229, _T_4243) @[el2_lsu_bus_buffer.scala 528:105] + buf_resp_state_bus_en[3] <= _T_4244 @[el2_lsu_bus_buffer.scala 528:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 532:29] + node _T_4245 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 533:49] + node _T_4246 = or(_T_4245, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 533:70] + buf_state_en[3] <= _T_4246 @[el2_lsu_bus_buffer.scala 533:25] + node _T_4247 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 534:47] + node _T_4248 = and(_T_4247, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:62] + buf_data_en[3] <= _T_4248 @[el2_lsu_bus_buffer.scala 534:24] + node _T_4249 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:48] + node _T_4250 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 535:111] + node _T_4251 = and(bus_rsp_read_error, _T_4250) @[el2_lsu_bus_buffer.scala 535:91] + node _T_4252 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 536:42] + node _T_4253 = and(bus_rsp_read_error, _T_4252) @[el2_lsu_bus_buffer.scala 536:31] + node _T_4254 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_4255 = and(_T_4253, _T_4254) @[el2_lsu_bus_buffer.scala 536:46] + node _T_4256 = or(_T_4251, _T_4255) @[el2_lsu_bus_buffer.scala 535:143] + node _T_4257 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 537:32] + node _T_4258 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 537:74] + node _T_4259 = and(_T_4257, _T_4258) @[el2_lsu_bus_buffer.scala 537:53] + node _T_4260 = or(_T_4256, _T_4259) @[el2_lsu_bus_buffer.scala 536:88] + node _T_4261 = and(_T_4249, _T_4260) @[el2_lsu_bus_buffer.scala 535:68] + buf_error_en[3] <= _T_4261 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4262 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:50] + node _T_4263 = and(buf_state_en[3], _T_4262) @[el2_lsu_bus_buffer.scala 538:48] + node _T_4264 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 538:84] + node _T_4265 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 538:102] + node _T_4266 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:125] + node _T_4267 = mux(_T_4264, _T_4265, _T_4266) @[el2_lsu_bus_buffer.scala 538:72] + node _T_4268 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 538:148] + node _T_4269 = mux(_T_4263, _T_4267, _T_4268) @[el2_lsu_bus_buffer.scala 538:30] + buf_data_in[3] <= _T_4269 @[el2_lsu_bus_buffer.scala 538:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4270 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4270 : @[Conditional.scala 39:67] - node _T_4271 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 543:60] - node _T_4272 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 543:86] - node _T_4273 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 543:101] - node _T_4274 = bits(_T_4273, 0, 0) @[el2_lsu_bus_buffer.scala 543:101] - node _T_4275 = or(_T_4272, _T_4274) @[el2_lsu_bus_buffer.scala 543:90] - node _T_4276 = or(_T_4275, any_done_wait_state) @[el2_lsu_bus_buffer.scala 543:118] - node _T_4277 = mux(_T_4276, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 543:75] - node _T_4278 = mux(_T_4271, UInt<3>("h00"), _T_4277) @[el2_lsu_bus_buffer.scala 543:31] - buf_nxtstate[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 543:25] - node _T_4279 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 544:66] - node _T_4280 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 545:21] - node _T_4281 = bits(_T_4280, 0, 0) @[el2_lsu_bus_buffer.scala 545:21] - node _T_4282 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 545:58] - node _T_4283 = and(_T_4281, _T_4282) @[el2_lsu_bus_buffer.scala 545:38] - node _T_4284 = or(_T_4279, _T_4283) @[el2_lsu_bus_buffer.scala 544:95] - node _T_4285 = and(bus_rsp_read, _T_4284) @[el2_lsu_bus_buffer.scala 544:45] - buf_state_bus_en[3] <= _T_4285 @[el2_lsu_bus_buffer.scala 544:29] - node _T_4286 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 546:49] - node _T_4287 = or(_T_4286, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 546:70] - buf_state_en[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 546:25] + node _T_4271 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_4272 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 541:86] + node _T_4273 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 541:101] + node _T_4274 = bits(_T_4273, 0, 0) @[el2_lsu_bus_buffer.scala 541:101] + node _T_4275 = or(_T_4272, _T_4274) @[el2_lsu_bus_buffer.scala 541:90] + node _T_4276 = or(_T_4275, any_done_wait_state) @[el2_lsu_bus_buffer.scala 541:118] + node _T_4277 = mux(_T_4276, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:75] + node _T_4278 = mux(_T_4271, UInt<3>("h00"), _T_4277) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 541:25] + node _T_4279 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 542:66] + node _T_4280 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 543:21] + node _T_4281 = bits(_T_4280, 0, 0) @[el2_lsu_bus_buffer.scala 543:21] + node _T_4282 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 543:58] + node _T_4283 = and(_T_4281, _T_4282) @[el2_lsu_bus_buffer.scala 543:38] + node _T_4284 = or(_T_4279, _T_4283) @[el2_lsu_bus_buffer.scala 542:95] + node _T_4285 = and(bus_rsp_read, _T_4284) @[el2_lsu_bus_buffer.scala 542:45] + buf_state_bus_en[3] <= _T_4285 @[el2_lsu_bus_buffer.scala 542:29] + node _T_4286 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 544:49] + node _T_4287 = or(_T_4286, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 544:70] + buf_state_en[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 544:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4288 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4288 : @[Conditional.scala 39:67] - node _T_4289 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 549:60] - node _T_4290 = mux(_T_4289, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 549:31] - buf_nxtstate[3] <= _T_4290 @[el2_lsu_bus_buffer.scala 549:25] - node _T_4291 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 550:37] - node _T_4292 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 550:98] - node _T_4293 = and(buf_dual[3], _T_4292) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4294 = or(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 550:65] - node _T_4295 = or(_T_4294, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 550:112] - buf_state_en[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 550:25] + node _T_4289 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 547:60] + node _T_4290 = mux(_T_4289, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 547:31] + buf_nxtstate[3] <= _T_4290 @[el2_lsu_bus_buffer.scala 547:25] + node _T_4291 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 548:37] + node _T_4292 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 548:98] + node _T_4293 = and(buf_dual[3], _T_4292) @[el2_lsu_bus_buffer.scala 548:80] + node _T_4294 = or(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 548:65] + node _T_4295 = or(_T_4294, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 548:112] + buf_state_en[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 548:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4296 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4296 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 553:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 554:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 555:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 556:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 557:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 551:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 552:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 553:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 554:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 555:25] skip @[Conditional.scala 39:67] - node _T_4297 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:108] + node _T_4297 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 558:108] reg _T_4298 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4297 : @[Reg.scala 28:19] _T_4298 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4298 @[el2_lsu_bus_buffer.scala 560:18] - reg _T_4299 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 561:60] - _T_4299 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 561:60] - buf_ageQ[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 561:17] - reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 562:63] - _T_4300 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 562:63] - buf_rspageQ[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 562:20] - node _T_4301 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:109] + buf_state[3] <= _T_4298 @[el2_lsu_bus_buffer.scala 558:18] + reg _T_4299 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 559:60] + _T_4299 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 559:60] + buf_ageQ[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 559:17] + reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 560:63] + _T_4300 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 560:63] + buf_rspageQ[3] <= _T_4300 @[el2_lsu_bus_buffer.scala 560:20] + node _T_4301 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:109] reg _T_4302 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4301 : @[Reg.scala 28:19] _T_4302 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4302 @[el2_lsu_bus_buffer.scala 563:20] - node _T_4303 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:74] - node _T_4304 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:107] + buf_dualtag[3] <= _T_4302 @[el2_lsu_bus_buffer.scala 561:20] + node _T_4303 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:74] + node _T_4304 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:107] reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4304 : @[Reg.scala 28:19] _T_4305 <= _T_4303 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 564:17] - node _T_4306 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:78] - node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] + buf_dual[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 562:17] + node _T_4306 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:78] + node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:111] reg _T_4308 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4307 : @[Reg.scala 28:19] _T_4308 <= _T_4306 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 565:19] - node _T_4309 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 566:80] - node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:113] + buf_samedw[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 563:19] + node _T_4309 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:80] + node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:113] reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4310 : @[Reg.scala 28:19] _T_4311 <= _T_4309 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 566:20] - node _T_4312 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 567:78] - node _T_4313 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:111] + buf_nomerge[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 564:20] + node _T_4312 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:78] + node _T_4313 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:111] reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4313 : @[Reg.scala 28:19] _T_4314 <= _T_4312 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 567:19] - node _T_4315 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + buf_dualhi[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 565:19] + node _T_4315 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] reg _T_4316 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4315 : @[Reg.scala 28:19] _T_4316 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4317 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + node _T_4317 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] reg _T_4318 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4317 : @[Reg.scala 28:19] _T_4318 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4319 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + node _T_4319 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4319 : @[Reg.scala 28:19] _T_4320 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4321 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 570:133] + node _T_4321 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 568:133] reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4321 : @[Reg.scala 28:19] _T_4322 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5598,51 +5598,51 @@ circuit el2_lsu_bus_buffer : node _T_4323 = cat(_T_4322, _T_4320) @[Cat.scala 29:58] node _T_4324 = cat(_T_4323, _T_4318) @[Cat.scala 29:58] node _T_4325 = cat(_T_4324, _T_4316) @[Cat.scala 29:58] - buf_ldfwd <= _T_4325 @[el2_lsu_bus_buffer.scala 570:15] - node _T_4326 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + buf_ldfwd <= _T_4325 @[el2_lsu_bus_buffer.scala 568:15] + node _T_4326 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] reg _T_4327 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4326 : @[Reg.scala 28:19] _T_4327 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4328 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + node _T_4328 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] reg _T_4329 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4328 : @[Reg.scala 28:19] _T_4329 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4330 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + node _T_4330 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] reg _T_4331 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4330 : @[Reg.scala 28:19] _T_4331 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4332 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 571:134] + node _T_4332 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 569:134] reg _T_4333 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4332 : @[Reg.scala 28:19] _T_4333 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4327 @[el2_lsu_bus_buffer.scala 571:18] - buf_ldfwdtag[1] <= _T_4329 @[el2_lsu_bus_buffer.scala 571:18] - buf_ldfwdtag[2] <= _T_4331 @[el2_lsu_bus_buffer.scala 571:18] - buf_ldfwdtag[3] <= _T_4333 @[el2_lsu_bus_buffer.scala 571:18] - node _T_4334 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 572:107] - node _T_4335 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] + buf_ldfwdtag[0] <= _T_4327 @[el2_lsu_bus_buffer.scala 569:18] + buf_ldfwdtag[1] <= _T_4329 @[el2_lsu_bus_buffer.scala 569:18] + buf_ldfwdtag[2] <= _T_4331 @[el2_lsu_bus_buffer.scala 569:18] + buf_ldfwdtag[3] <= _T_4333 @[el2_lsu_bus_buffer.scala 569:18] + node _T_4334 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 570:107] + node _T_4335 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4335 : @[Reg.scala 28:19] _T_4336 <= _T_4334 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4337 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 572:107] - node _T_4338 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] + node _T_4337 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 570:107] + node _T_4338 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4338 : @[Reg.scala 28:19] _T_4339 <= _T_4337 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4340 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 572:107] - node _T_4341 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] + node _T_4340 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 570:107] + node _T_4341 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4341 : @[Reg.scala 28:19] _T_4342 <= _T_4340 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4343 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 572:107] - node _T_4344 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 572:140] + node _T_4343 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 570:107] + node _T_4344 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 570:140] reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4344 : @[Reg.scala 28:19] _T_4345 <= _T_4343 @[Reg.scala 28:23] @@ -5650,27 +5650,27 @@ circuit el2_lsu_bus_buffer : node _T_4346 = cat(_T_4345, _T_4342) @[Cat.scala 29:58] node _T_4347 = cat(_T_4346, _T_4339) @[Cat.scala 29:58] node _T_4348 = cat(_T_4347, _T_4336) @[Cat.scala 29:58] - buf_sideeffect <= _T_4348 @[el2_lsu_bus_buffer.scala 572:20] - node _T_4349 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 573:99] - node _T_4350 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] + buf_sideeffect <= _T_4348 @[el2_lsu_bus_buffer.scala 570:20] + node _T_4349 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 571:99] + node _T_4350 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4350 : @[Reg.scala 28:19] _T_4351 <= _T_4349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4352 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 573:99] - node _T_4353 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] + node _T_4352 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 571:99] + node _T_4353 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4353 : @[Reg.scala 28:19] _T_4354 <= _T_4352 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4355 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 573:99] - node _T_4356 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] + node _T_4355 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 571:99] + node _T_4356 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4356 : @[Reg.scala 28:19] _T_4357 <= _T_4355 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4358 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 573:99] - node _T_4359 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 573:132] + node _T_4358 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 571:99] + node _T_4359 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 571:132] reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= _T_4358 @[Reg.scala 28:23] @@ -5678,27 +5678,27 @@ circuit el2_lsu_bus_buffer : node _T_4361 = cat(_T_4360, _T_4357) @[Cat.scala 29:58] node _T_4362 = cat(_T_4361, _T_4354) @[Cat.scala 29:58] node _T_4363 = cat(_T_4362, _T_4351) @[Cat.scala 29:58] - buf_unsign <= _T_4363 @[el2_lsu_bus_buffer.scala 573:16] - node _T_4364 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 574:97] - node _T_4365 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] + buf_unsign <= _T_4363 @[el2_lsu_bus_buffer.scala 571:16] + node _T_4364 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 572:97] + node _T_4365 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] reg _T_4366 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= _T_4364 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4367 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 574:97] - node _T_4368 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] + node _T_4367 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 572:97] + node _T_4368 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] reg _T_4369 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4368 : @[Reg.scala 28:19] _T_4369 <= _T_4367 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4370 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 574:97] - node _T_4371 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] + node _T_4370 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 572:97] + node _T_4371 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] reg _T_4372 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4371 : @[Reg.scala 28:19] _T_4372 <= _T_4370 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4373 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 574:97] - node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 574:130] + node _T_4373 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 572:97] + node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 572:130] reg _T_4375 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4374 : @[Reg.scala 28:19] _T_4375 <= _T_4373 @[Reg.scala 28:23] @@ -5706,32 +5706,32 @@ circuit el2_lsu_bus_buffer : node _T_4376 = cat(_T_4375, _T_4372) @[Cat.scala 29:58] node _T_4377 = cat(_T_4376, _T_4369) @[Cat.scala 29:58] node _T_4378 = cat(_T_4377, _T_4366) @[Cat.scala 29:58] - buf_write <= _T_4378 @[el2_lsu_bus_buffer.scala 574:15] - node _T_4379 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + buf_write <= _T_4378 @[el2_lsu_bus_buffer.scala 572:15] + node _T_4379 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] reg _T_4380 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4379 : @[Reg.scala 28:19] _T_4380 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4381 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + node _T_4381 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] reg _T_4382 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4381 : @[Reg.scala 28:19] _T_4382 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4383 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + node _T_4383 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] reg _T_4384 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4383 : @[Reg.scala 28:19] _T_4384 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4385 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 575:119] + node _T_4385 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 573:119] reg _T_4386 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4385 : @[Reg.scala 28:19] _T_4386 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4380 @[el2_lsu_bus_buffer.scala 575:12] - buf_sz[1] <= _T_4382 @[el2_lsu_bus_buffer.scala 575:12] - buf_sz[2] <= _T_4384 @[el2_lsu_bus_buffer.scala 575:12] - buf_sz[3] <= _T_4386 @[el2_lsu_bus_buffer.scala 575:12] - node _T_4387 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] + buf_sz[0] <= _T_4380 @[el2_lsu_bus_buffer.scala 573:12] + buf_sz[1] <= _T_4382 @[el2_lsu_bus_buffer.scala 573:12] + buf_sz[2] <= _T_4384 @[el2_lsu_bus_buffer.scala 573:12] + buf_sz[3] <= _T_4386 @[el2_lsu_bus_buffer.scala 573:12] + node _T_4387 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5740,7 +5740,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4388 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4388 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4389 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] + node _T_4389 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5749,7 +5749,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4390 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4390 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4391 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] + node _T_4391 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5758,7 +5758,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4392 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4392 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4393 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 576:82] + node _T_4393 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 574:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5767,34 +5767,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4394 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4394 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4388 @[el2_lsu_bus_buffer.scala 576:14] - buf_addr[1] <= _T_4390 @[el2_lsu_bus_buffer.scala 576:14] - buf_addr[2] <= _T_4392 @[el2_lsu_bus_buffer.scala 576:14] - buf_addr[3] <= _T_4394 @[el2_lsu_bus_buffer.scala 576:14] - node _T_4395 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + buf_addr[0] <= _T_4388 @[el2_lsu_bus_buffer.scala 574:14] + buf_addr[1] <= _T_4390 @[el2_lsu_bus_buffer.scala 574:14] + buf_addr[2] <= _T_4392 @[el2_lsu_bus_buffer.scala 574:14] + buf_addr[3] <= _T_4394 @[el2_lsu_bus_buffer.scala 574:14] + node _T_4395 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] reg _T_4396 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4395 : @[Reg.scala 28:19] _T_4396 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4397 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + node _T_4397 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] reg _T_4398 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4397 : @[Reg.scala 28:19] _T_4398 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4399 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + node _T_4399 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] reg _T_4400 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4399 : @[Reg.scala 28:19] _T_4400 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4401 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 577:127] + node _T_4401 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 575:127] reg _T_4402 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4401 : @[Reg.scala 28:19] _T_4402 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4396 @[el2_lsu_bus_buffer.scala 577:16] - buf_byteen[1] <= _T_4398 @[el2_lsu_bus_buffer.scala 577:16] - buf_byteen[2] <= _T_4400 @[el2_lsu_bus_buffer.scala 577:16] - buf_byteen[3] <= _T_4402 @[el2_lsu_bus_buffer.scala 577:16] + buf_byteen[0] <= _T_4396 @[el2_lsu_bus_buffer.scala 575:16] + buf_byteen[1] <= _T_4398 @[el2_lsu_bus_buffer.scala 575:16] + buf_byteen[2] <= _T_4400 @[el2_lsu_bus_buffer.scala 575:16] + buf_byteen[3] <= _T_4402 @[el2_lsu_bus_buffer.scala 575:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5827,171 +5827,171 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4406 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4406 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4403 @[el2_lsu_bus_buffer.scala 578:14] - buf_data[1] <= _T_4404 @[el2_lsu_bus_buffer.scala 578:14] - buf_data[2] <= _T_4405 @[el2_lsu_bus_buffer.scala 578:14] - buf_data[3] <= _T_4406 @[el2_lsu_bus_buffer.scala 578:14] - node _T_4407 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 579:121] - node _T_4408 = mux(buf_error_en[0], UInt<1>("h01"), _T_4407) @[el2_lsu_bus_buffer.scala 579:86] - node _T_4409 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] - node _T_4410 = and(_T_4408, _T_4409) @[el2_lsu_bus_buffer.scala 579:126] - reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] - _T_4411 <= _T_4410 @[el2_lsu_bus_buffer.scala 579:82] - node _T_4412 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 579:121] - node _T_4413 = mux(buf_error_en[1], UInt<1>("h01"), _T_4412) @[el2_lsu_bus_buffer.scala 579:86] - node _T_4414 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] - node _T_4415 = and(_T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 579:126] - reg _T_4416 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] - _T_4416 <= _T_4415 @[el2_lsu_bus_buffer.scala 579:82] - node _T_4417 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 579:121] - node _T_4418 = mux(buf_error_en[2], UInt<1>("h01"), _T_4417) @[el2_lsu_bus_buffer.scala 579:86] - node _T_4419 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] - node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 579:126] - reg _T_4421 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] - _T_4421 <= _T_4420 @[el2_lsu_bus_buffer.scala 579:82] - node _T_4422 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 579:121] - node _T_4423 = mux(buf_error_en[3], UInt<1>("h01"), _T_4422) @[el2_lsu_bus_buffer.scala 579:86] - node _T_4424 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:128] - node _T_4425 = and(_T_4423, _T_4424) @[el2_lsu_bus_buffer.scala 579:126] - reg _T_4426 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 579:82] - _T_4426 <= _T_4425 @[el2_lsu_bus_buffer.scala 579:82] + buf_data[0] <= _T_4403 @[el2_lsu_bus_buffer.scala 576:14] + buf_data[1] <= _T_4404 @[el2_lsu_bus_buffer.scala 576:14] + buf_data[2] <= _T_4405 @[el2_lsu_bus_buffer.scala 576:14] + buf_data[3] <= _T_4406 @[el2_lsu_bus_buffer.scala 576:14] + node _T_4407 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 577:121] + node _T_4408 = mux(buf_error_en[0], UInt<1>("h01"), _T_4407) @[el2_lsu_bus_buffer.scala 577:86] + node _T_4409 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] + node _T_4410 = and(_T_4408, _T_4409) @[el2_lsu_bus_buffer.scala 577:126] + reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] + _T_4411 <= _T_4410 @[el2_lsu_bus_buffer.scala 577:82] + node _T_4412 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 577:121] + node _T_4413 = mux(buf_error_en[1], UInt<1>("h01"), _T_4412) @[el2_lsu_bus_buffer.scala 577:86] + node _T_4414 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] + node _T_4415 = and(_T_4413, _T_4414) @[el2_lsu_bus_buffer.scala 577:126] + reg _T_4416 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] + _T_4416 <= _T_4415 @[el2_lsu_bus_buffer.scala 577:82] + node _T_4417 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 577:121] + node _T_4418 = mux(buf_error_en[2], UInt<1>("h01"), _T_4417) @[el2_lsu_bus_buffer.scala 577:86] + node _T_4419 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] + node _T_4420 = and(_T_4418, _T_4419) @[el2_lsu_bus_buffer.scala 577:126] + reg _T_4421 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] + _T_4421 <= _T_4420 @[el2_lsu_bus_buffer.scala 577:82] + node _T_4422 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 577:121] + node _T_4423 = mux(buf_error_en[3], UInt<1>("h01"), _T_4422) @[el2_lsu_bus_buffer.scala 577:86] + node _T_4424 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:128] + node _T_4425 = and(_T_4423, _T_4424) @[el2_lsu_bus_buffer.scala 577:126] + reg _T_4426 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 577:82] + _T_4426 <= _T_4425 @[el2_lsu_bus_buffer.scala 577:82] node _T_4427 = cat(_T_4426, _T_4421) @[Cat.scala 29:58] node _T_4428 = cat(_T_4427, _T_4416) @[Cat.scala 29:58] node _T_4429 = cat(_T_4428, _T_4411) @[Cat.scala 29:58] - buf_error <= _T_4429 @[el2_lsu_bus_buffer.scala 579:15] + buf_error <= _T_4429 @[el2_lsu_bus_buffer.scala 577:15] node _T_4430 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] node _T_4431 = cat(_T_4430, buf_data_en[1]) @[Cat.scala 29:58] node _T_4432 = cat(_T_4431, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4432 @[el2_lsu_bus_buffer.scala 580:14] - node _T_4433 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4434 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4435 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4436 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 582:60] - node _T_4437 = add(_T_4436, _T_4435) @[el2_lsu_bus_buffer.scala 582:96] - node _T_4438 = add(_T_4437, _T_4434) @[el2_lsu_bus_buffer.scala 582:96] - node buf_numvld_any = add(_T_4438, _T_4433) @[el2_lsu_bus_buffer.scala 582:96] - node _T_4439 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 583:60] - node _T_4440 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] - node _T_4441 = and(_T_4439, _T_4440) @[el2_lsu_bus_buffer.scala 583:64] - node _T_4442 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] - node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 583:89] - node _T_4444 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 583:60] - node _T_4445 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] - node _T_4446 = and(_T_4444, _T_4445) @[el2_lsu_bus_buffer.scala 583:64] - node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] - node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 583:89] - node _T_4449 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 583:60] - node _T_4450 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] - node _T_4451 = and(_T_4449, _T_4450) @[el2_lsu_bus_buffer.scala 583:64] - node _T_4452 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] - node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 583:89] - node _T_4454 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 583:60] - node _T_4455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:79] - node _T_4456 = and(_T_4454, _T_4455) @[el2_lsu_bus_buffer.scala 583:64] - node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:91] - node _T_4458 = and(_T_4456, _T_4457) @[el2_lsu_bus_buffer.scala 583:89] - node _T_4459 = add(_T_4458, _T_4453) @[el2_lsu_bus_buffer.scala 583:142] - node _T_4460 = add(_T_4459, _T_4448) @[el2_lsu_bus_buffer.scala 583:142] - node _T_4461 = add(_T_4460, _T_4443) @[el2_lsu_bus_buffer.scala 583:142] - buf_numvld_wrcmd_any <= _T_4461 @[el2_lsu_bus_buffer.scala 583:24] - node _T_4462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4463 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] - node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4465 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4466 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] - node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4468 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4469 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] - node _T_4470 = and(_T_4468, _T_4469) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4471 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 584:63] - node _T_4472 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:75] - node _T_4473 = and(_T_4471, _T_4472) @[el2_lsu_bus_buffer.scala 584:73] - node _T_4474 = add(_T_4473, _T_4470) @[el2_lsu_bus_buffer.scala 584:126] - node _T_4475 = add(_T_4474, _T_4467) @[el2_lsu_bus_buffer.scala 584:126] - node _T_4476 = add(_T_4475, _T_4464) @[el2_lsu_bus_buffer.scala 584:126] - buf_numvld_cmd_any <= _T_4476 @[el2_lsu_bus_buffer.scala 584:22] - node _T_4477 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4478 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] - node _T_4479 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] - node _T_4480 = and(_T_4478, _T_4479) @[el2_lsu_bus_buffer.scala 585:100] - node _T_4481 = or(_T_4477, _T_4480) @[el2_lsu_bus_buffer.scala 585:74] - node _T_4482 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4483 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] - node _T_4484 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] - node _T_4485 = and(_T_4483, _T_4484) @[el2_lsu_bus_buffer.scala 585:100] - node _T_4486 = or(_T_4482, _T_4485) @[el2_lsu_bus_buffer.scala 585:74] - node _T_4487 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4488 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] - node _T_4489 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] - node _T_4490 = and(_T_4488, _T_4489) @[el2_lsu_bus_buffer.scala 585:100] - node _T_4491 = or(_T_4487, _T_4490) @[el2_lsu_bus_buffer.scala 585:74] - node _T_4492 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 585:63] - node _T_4493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 585:90] - node _T_4494 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:102] - node _T_4495 = and(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 585:100] - node _T_4496 = or(_T_4492, _T_4495) @[el2_lsu_bus_buffer.scala 585:74] - node _T_4497 = add(_T_4496, _T_4491) @[el2_lsu_bus_buffer.scala 585:154] - node _T_4498 = add(_T_4497, _T_4486) @[el2_lsu_bus_buffer.scala 585:154] - node _T_4499 = add(_T_4498, _T_4481) @[el2_lsu_bus_buffer.scala 585:154] - buf_numvld_pend_any <= _T_4499 @[el2_lsu_bus_buffer.scala 585:23] - node _T_4500 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] - node _T_4501 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] - node _T_4502 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] - node _T_4503 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 586:61] - node _T_4504 = or(_T_4503, _T_4502) @[el2_lsu_bus_buffer.scala 586:93] - node _T_4505 = or(_T_4504, _T_4501) @[el2_lsu_bus_buffer.scala 586:93] - node _T_4506 = or(_T_4505, _T_4500) @[el2_lsu_bus_buffer.scala 586:93] - any_done_wait_state <= _T_4506 @[el2_lsu_bus_buffer.scala 586:23] - node _T_4507 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 587:53] - io.lsu_bus_buffer_pend_any <= _T_4507 @[el2_lsu_bus_buffer.scala 587:30] - node _T_4508 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 588:52] - node _T_4509 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 588:92] - node _T_4510 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 588:119] - node _T_4511 = mux(_T_4508, _T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 588:36] - io.lsu_bus_buffer_full_any <= _T_4511 @[el2_lsu_bus_buffer.scala 588:30] - node _T_4512 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 589:52] - node _T_4513 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 589:52] - node _T_4514 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 589:52] - node _T_4515 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 589:52] - node _T_4516 = or(_T_4512, _T_4513) @[el2_lsu_bus_buffer.scala 589:65] - node _T_4517 = or(_T_4516, _T_4514) @[el2_lsu_bus_buffer.scala 589:65] - node _T_4518 = or(_T_4517, _T_4515) @[el2_lsu_bus_buffer.scala 589:65] - node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:34] - node _T_4520 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:72] - node _T_4521 = and(_T_4519, _T_4520) @[el2_lsu_bus_buffer.scala 589:70] - node _T_4522 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:86] - node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 589:84] - io.lsu_bus_buffer_empty_any <= _T_4523 @[el2_lsu_bus_buffer.scala 589:31] - node _T_4524 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 591:51] - node _T_4525 = and(_T_4524, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 591:72] - node _T_4526 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:94] - node _T_4527 = and(_T_4525, _T_4526) @[el2_lsu_bus_buffer.scala 591:92] - node _T_4528 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:111] - node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 591:109] - io.lsu_nonblock_load_valid_m <= _T_4529 @[el2_lsu_bus_buffer.scala 591:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 592:30] + io.data_en <= _T_4432 @[el2_lsu_bus_buffer.scala 578:14] + node _T_4433 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:60] + node _T_4434 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:60] + node _T_4435 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:60] + node _T_4436 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 580:60] + node _T_4437 = add(_T_4436, _T_4435) @[el2_lsu_bus_buffer.scala 580:96] + node _T_4438 = add(_T_4437, _T_4434) @[el2_lsu_bus_buffer.scala 580:96] + node buf_numvld_any = add(_T_4438, _T_4433) @[el2_lsu_bus_buffer.scala 580:96] + node _T_4439 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 581:60] + node _T_4440 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] + node _T_4441 = and(_T_4439, _T_4440) @[el2_lsu_bus_buffer.scala 581:64] + node _T_4442 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] + node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 581:89] + node _T_4444 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 581:60] + node _T_4445 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] + node _T_4446 = and(_T_4444, _T_4445) @[el2_lsu_bus_buffer.scala 581:64] + node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] + node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 581:89] + node _T_4449 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 581:60] + node _T_4450 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] + node _T_4451 = and(_T_4449, _T_4450) @[el2_lsu_bus_buffer.scala 581:64] + node _T_4452 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] + node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 581:89] + node _T_4454 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 581:60] + node _T_4455 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 581:79] + node _T_4456 = and(_T_4454, _T_4455) @[el2_lsu_bus_buffer.scala 581:64] + node _T_4457 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:91] + node _T_4458 = and(_T_4456, _T_4457) @[el2_lsu_bus_buffer.scala 581:89] + node _T_4459 = add(_T_4458, _T_4453) @[el2_lsu_bus_buffer.scala 581:142] + node _T_4460 = add(_T_4459, _T_4448) @[el2_lsu_bus_buffer.scala 581:142] + node _T_4461 = add(_T_4460, _T_4443) @[el2_lsu_bus_buffer.scala 581:142] + buf_numvld_wrcmd_any <= _T_4461 @[el2_lsu_bus_buffer.scala 581:24] + node _T_4462 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] + node _T_4463 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] + node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 582:73] + node _T_4465 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] + node _T_4466 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] + node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 582:73] + node _T_4468 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] + node _T_4469 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] + node _T_4470 = and(_T_4468, _T_4469) @[el2_lsu_bus_buffer.scala 582:73] + node _T_4471 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 582:63] + node _T_4472 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:75] + node _T_4473 = and(_T_4471, _T_4472) @[el2_lsu_bus_buffer.scala 582:73] + node _T_4474 = add(_T_4473, _T_4470) @[el2_lsu_bus_buffer.scala 582:126] + node _T_4475 = add(_T_4474, _T_4467) @[el2_lsu_bus_buffer.scala 582:126] + node _T_4476 = add(_T_4475, _T_4464) @[el2_lsu_bus_buffer.scala 582:126] + buf_numvld_cmd_any <= _T_4476 @[el2_lsu_bus_buffer.scala 582:22] + node _T_4477 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4478 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] + node _T_4479 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] + node _T_4480 = and(_T_4478, _T_4479) @[el2_lsu_bus_buffer.scala 583:100] + node _T_4481 = or(_T_4477, _T_4480) @[el2_lsu_bus_buffer.scala 583:74] + node _T_4482 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4483 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] + node _T_4484 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] + node _T_4485 = and(_T_4483, _T_4484) @[el2_lsu_bus_buffer.scala 583:100] + node _T_4486 = or(_T_4482, _T_4485) @[el2_lsu_bus_buffer.scala 583:74] + node _T_4487 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4488 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] + node _T_4489 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] + node _T_4490 = and(_T_4488, _T_4489) @[el2_lsu_bus_buffer.scala 583:100] + node _T_4491 = or(_T_4487, _T_4490) @[el2_lsu_bus_buffer.scala 583:74] + node _T_4492 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 583:63] + node _T_4493 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 583:90] + node _T_4494 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:102] + node _T_4495 = and(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 583:100] + node _T_4496 = or(_T_4492, _T_4495) @[el2_lsu_bus_buffer.scala 583:74] + node _T_4497 = add(_T_4496, _T_4491) @[el2_lsu_bus_buffer.scala 583:154] + node _T_4498 = add(_T_4497, _T_4486) @[el2_lsu_bus_buffer.scala 583:154] + node _T_4499 = add(_T_4498, _T_4481) @[el2_lsu_bus_buffer.scala 583:154] + buf_numvld_pend_any <= _T_4499 @[el2_lsu_bus_buffer.scala 583:23] + node _T_4500 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] + node _T_4501 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] + node _T_4502 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] + node _T_4503 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 584:61] + node _T_4504 = or(_T_4503, _T_4502) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4505 = or(_T_4504, _T_4501) @[el2_lsu_bus_buffer.scala 584:93] + node _T_4506 = or(_T_4505, _T_4500) @[el2_lsu_bus_buffer.scala 584:93] + any_done_wait_state <= _T_4506 @[el2_lsu_bus_buffer.scala 584:23] + node _T_4507 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 585:53] + io.lsu_bus_buffer_pend_any <= _T_4507 @[el2_lsu_bus_buffer.scala 585:30] + node _T_4508 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 586:52] + node _T_4509 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 586:92] + node _T_4510 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 586:119] + node _T_4511 = mux(_T_4508, _T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 586:36] + io.lsu_bus_buffer_full_any <= _T_4511 @[el2_lsu_bus_buffer.scala 586:30] + node _T_4512 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 587:52] + node _T_4513 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 587:52] + node _T_4514 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 587:52] + node _T_4515 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 587:52] + node _T_4516 = or(_T_4512, _T_4513) @[el2_lsu_bus_buffer.scala 587:65] + node _T_4517 = or(_T_4516, _T_4514) @[el2_lsu_bus_buffer.scala 587:65] + node _T_4518 = or(_T_4517, _T_4515) @[el2_lsu_bus_buffer.scala 587:65] + node _T_4519 = eq(_T_4518, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:34] + node _T_4520 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:72] + node _T_4521 = and(_T_4519, _T_4520) @[el2_lsu_bus_buffer.scala 587:70] + node _T_4522 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:86] + node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 587:84] + io.lsu_bus_buffer_empty_any <= _T_4523 @[el2_lsu_bus_buffer.scala 587:31] + node _T_4524 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 589:51] + node _T_4525 = and(_T_4524, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 589:72] + node _T_4526 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:94] + node _T_4527 = and(_T_4525, _T_4526) @[el2_lsu_bus_buffer.scala 589:92] + node _T_4528 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:111] + node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 589:109] + io.lsu_nonblock_load_valid_m <= _T_4529 @[el2_lsu_bus_buffer.scala 589:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 590:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4530 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:61] - node _T_4531 = and(lsu_nonblock_load_valid_r, _T_4530) @[el2_lsu_bus_buffer.scala 594:59] - io.lsu_nonblock_load_inv_r <= _T_4531 @[el2_lsu_bus_buffer.scala 594:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 595:34] - node _T_4532 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4533 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 596:127] - node _T_4534 = and(UInt<1>("h01"), _T_4533) @[el2_lsu_bus_buffer.scala 596:116] - node _T_4535 = eq(_T_4534, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] - node _T_4536 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4537 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 596:127] - node _T_4538 = and(UInt<1>("h01"), _T_4537) @[el2_lsu_bus_buffer.scala 596:116] - node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] - node _T_4540 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4541 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 596:127] - node _T_4542 = and(UInt<1>("h01"), _T_4541) @[el2_lsu_bus_buffer.scala 596:116] - node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] - node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:80] - node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 596:127] - node _T_4546 = and(UInt<1>("h01"), _T_4545) @[el2_lsu_bus_buffer.scala 596:116] - node _T_4547 = eq(_T_4546, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:95] + node _T_4530 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:61] + node _T_4531 = and(lsu_nonblock_load_valid_r, _T_4530) @[el2_lsu_bus_buffer.scala 592:59] + io.lsu_nonblock_load_inv_r <= _T_4531 @[el2_lsu_bus_buffer.scala 592:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 593:34] + node _T_4532 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] + node _T_4533 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 594:127] + node _T_4534 = and(UInt<1>("h01"), _T_4533) @[el2_lsu_bus_buffer.scala 594:116] + node _T_4535 = eq(_T_4534, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] + node _T_4536 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] + node _T_4537 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 594:127] + node _T_4538 = and(UInt<1>("h01"), _T_4537) @[el2_lsu_bus_buffer.scala 594:116] + node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] + node _T_4540 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] + node _T_4541 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 594:127] + node _T_4542 = and(UInt<1>("h01"), _T_4541) @[el2_lsu_bus_buffer.scala 594:116] + node _T_4543 = eq(_T_4542, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] + node _T_4544 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 594:80] + node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 594:127] + node _T_4546 = and(UInt<1>("h01"), _T_4545) @[el2_lsu_bus_buffer.scala 594:116] + node _T_4547 = eq(_T_4546, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 594:95] node _T_4548 = mux(_T_4532, _T_4535, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4549 = mux(_T_4536, _T_4539, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4550 = mux(_T_4540, _T_4543, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6001,26 +6001,26 @@ circuit el2_lsu_bus_buffer : node _T_4554 = or(_T_4553, _T_4551) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4554 @[Mux.scala 27:72] - node _T_4555 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4556 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 597:104] - node _T_4557 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 597:120] - node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] - node _T_4559 = and(_T_4556, _T_4558) @[el2_lsu_bus_buffer.scala 597:108] - node _T_4560 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4561 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 597:104] - node _T_4562 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 597:120] - node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] - node _T_4564 = and(_T_4561, _T_4563) @[el2_lsu_bus_buffer.scala 597:108] - node _T_4565 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4566 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 597:104] - node _T_4567 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 597:120] - node _T_4568 = eq(_T_4567, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] - node _T_4569 = and(_T_4566, _T_4568) @[el2_lsu_bus_buffer.scala 597:108] - node _T_4570 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:80] - node _T_4571 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 597:104] - node _T_4572 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 597:120] - node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:110] - node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4555 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4556 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 595:104] + node _T_4557 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 595:120] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] + node _T_4559 = and(_T_4556, _T_4558) @[el2_lsu_bus_buffer.scala 595:108] + node _T_4560 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4561 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 595:104] + node _T_4562 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 595:120] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] + node _T_4564 = and(_T_4561, _T_4563) @[el2_lsu_bus_buffer.scala 595:108] + node _T_4565 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4566 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 595:104] + node _T_4567 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 595:120] + node _T_4568 = eq(_T_4567, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] + node _T_4569 = and(_T_4566, _T_4568) @[el2_lsu_bus_buffer.scala 595:108] + node _T_4570 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 595:80] + node _T_4571 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 595:104] + node _T_4572 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 595:120] + node _T_4573 = eq(_T_4572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:110] + node _T_4574 = and(_T_4571, _T_4573) @[el2_lsu_bus_buffer.scala 595:108] node _T_4575 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4576 = mux(_T_4560, _T_4564, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4577 = mux(_T_4565, _T_4569, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6030,39 +6030,39 @@ circuit el2_lsu_bus_buffer : node _T_4581 = or(_T_4580, _T_4578) @[Mux.scala 27:72] wire _T_4582 : UInt<1> @[Mux.scala 27:72] _T_4582 <= _T_4581 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4582 @[el2_lsu_bus_buffer.scala 597:35] - node _T_4583 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] - node _T_4584 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 598:102] - node _T_4585 = eq(_T_4584, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] - node _T_4586 = and(_T_4583, _T_4585) @[el2_lsu_bus_buffer.scala 598:90] - node _T_4587 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] - node _T_4588 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] - node _T_4589 = or(_T_4587, _T_4588) @[el2_lsu_bus_buffer.scala 598:122] - node _T_4590 = and(_T_4586, _T_4589) @[el2_lsu_bus_buffer.scala 598:106] - node _T_4591 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] - node _T_4592 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 598:102] - node _T_4593 = eq(_T_4592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] - node _T_4594 = and(_T_4591, _T_4593) @[el2_lsu_bus_buffer.scala 598:90] - node _T_4595 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] - node _T_4596 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] - node _T_4597 = or(_T_4595, _T_4596) @[el2_lsu_bus_buffer.scala 598:122] - node _T_4598 = and(_T_4594, _T_4597) @[el2_lsu_bus_buffer.scala 598:106] - node _T_4599 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] - node _T_4600 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 598:102] - node _T_4601 = eq(_T_4600, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] - node _T_4602 = and(_T_4599, _T_4601) @[el2_lsu_bus_buffer.scala 598:90] - node _T_4603 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] - node _T_4604 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] - node _T_4605 = or(_T_4603, _T_4604) @[el2_lsu_bus_buffer.scala 598:122] - node _T_4606 = and(_T_4602, _T_4605) @[el2_lsu_bus_buffer.scala 598:106] - node _T_4607 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:79] - node _T_4608 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 598:102] - node _T_4609 = eq(_T_4608, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:92] - node _T_4610 = and(_T_4607, _T_4609) @[el2_lsu_bus_buffer.scala 598:90] - node _T_4611 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:109] - node _T_4612 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:124] - node _T_4613 = or(_T_4611, _T_4612) @[el2_lsu_bus_buffer.scala 598:122] - node _T_4614 = and(_T_4610, _T_4613) @[el2_lsu_bus_buffer.scala 598:106] + io.lsu_nonblock_load_data_error <= _T_4582 @[el2_lsu_bus_buffer.scala 595:35] + node _T_4583 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] + node _T_4584 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 596:102] + node _T_4585 = eq(_T_4584, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] + node _T_4586 = and(_T_4583, _T_4585) @[el2_lsu_bus_buffer.scala 596:90] + node _T_4587 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] + node _T_4588 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] + node _T_4589 = or(_T_4587, _T_4588) @[el2_lsu_bus_buffer.scala 596:122] + node _T_4590 = and(_T_4586, _T_4589) @[el2_lsu_bus_buffer.scala 596:106] + node _T_4591 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] + node _T_4592 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 596:102] + node _T_4593 = eq(_T_4592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] + node _T_4594 = and(_T_4591, _T_4593) @[el2_lsu_bus_buffer.scala 596:90] + node _T_4595 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] + node _T_4596 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] + node _T_4597 = or(_T_4595, _T_4596) @[el2_lsu_bus_buffer.scala 596:122] + node _T_4598 = and(_T_4594, _T_4597) @[el2_lsu_bus_buffer.scala 596:106] + node _T_4599 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] + node _T_4600 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 596:102] + node _T_4601 = eq(_T_4600, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] + node _T_4602 = and(_T_4599, _T_4601) @[el2_lsu_bus_buffer.scala 596:90] + node _T_4603 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] + node _T_4604 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] + node _T_4605 = or(_T_4603, _T_4604) @[el2_lsu_bus_buffer.scala 596:122] + node _T_4606 = and(_T_4602, _T_4605) @[el2_lsu_bus_buffer.scala 596:106] + node _T_4607 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 596:79] + node _T_4608 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 596:102] + node _T_4609 = eq(_T_4608, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:92] + node _T_4610 = and(_T_4607, _T_4609) @[el2_lsu_bus_buffer.scala 596:90] + node _T_4611 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:109] + node _T_4612 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:124] + node _T_4613 = or(_T_4611, _T_4612) @[el2_lsu_bus_buffer.scala 596:122] + node _T_4614 = and(_T_4610, _T_4613) @[el2_lsu_bus_buffer.scala 596:106] node _T_4615 = mux(_T_4590, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4616 = mux(_T_4598, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4617 = mux(_T_4606, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -6072,39 +6072,39 @@ circuit el2_lsu_bus_buffer : node _T_4621 = or(_T_4620, _T_4618) @[Mux.scala 27:72] wire _T_4622 : UInt<2> @[Mux.scala 27:72] _T_4622 <= _T_4621 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4622 @[el2_lsu_bus_buffer.scala 598:33] - node _T_4623 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4624 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4625 = eq(_T_4624, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4626 = and(_T_4623, _T_4625) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4627 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] - node _T_4628 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] - node _T_4629 = or(_T_4627, _T_4628) @[el2_lsu_bus_buffer.scala 599:121] - node _T_4630 = and(_T_4626, _T_4629) @[el2_lsu_bus_buffer.scala 599:105] - node _T_4631 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4632 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4633 = eq(_T_4632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4634 = and(_T_4631, _T_4633) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4635 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] - node _T_4636 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] - node _T_4637 = or(_T_4635, _T_4636) @[el2_lsu_bus_buffer.scala 599:121] - node _T_4638 = and(_T_4634, _T_4637) @[el2_lsu_bus_buffer.scala 599:105] - node _T_4639 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4640 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4641 = eq(_T_4640, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4642 = and(_T_4639, _T_4641) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4643 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] - node _T_4644 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] - node _T_4645 = or(_T_4643, _T_4644) @[el2_lsu_bus_buffer.scala 599:121] - node _T_4646 = and(_T_4642, _T_4645) @[el2_lsu_bus_buffer.scala 599:105] - node _T_4647 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 599:78] - node _T_4648 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 599:101] - node _T_4649 = eq(_T_4648, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:91] - node _T_4650 = and(_T_4647, _T_4649) @[el2_lsu_bus_buffer.scala 599:89] - node _T_4651 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:108] - node _T_4652 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:123] - node _T_4653 = or(_T_4651, _T_4652) @[el2_lsu_bus_buffer.scala 599:121] - node _T_4654 = and(_T_4650, _T_4653) @[el2_lsu_bus_buffer.scala 599:105] + io.lsu_nonblock_load_data_tag <= _T_4622 @[el2_lsu_bus_buffer.scala 596:33] + node _T_4623 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] + node _T_4624 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 597:101] + node _T_4625 = eq(_T_4624, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] + node _T_4626 = and(_T_4623, _T_4625) @[el2_lsu_bus_buffer.scala 597:89] + node _T_4627 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4628 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] + node _T_4629 = or(_T_4627, _T_4628) @[el2_lsu_bus_buffer.scala 597:121] + node _T_4630 = and(_T_4626, _T_4629) @[el2_lsu_bus_buffer.scala 597:105] + node _T_4631 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] + node _T_4632 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 597:101] + node _T_4633 = eq(_T_4632, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] + node _T_4634 = and(_T_4631, _T_4633) @[el2_lsu_bus_buffer.scala 597:89] + node _T_4635 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4636 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] + node _T_4637 = or(_T_4635, _T_4636) @[el2_lsu_bus_buffer.scala 597:121] + node _T_4638 = and(_T_4634, _T_4637) @[el2_lsu_bus_buffer.scala 597:105] + node _T_4639 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] + node _T_4640 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 597:101] + node _T_4641 = eq(_T_4640, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] + node _T_4642 = and(_T_4639, _T_4641) @[el2_lsu_bus_buffer.scala 597:89] + node _T_4643 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4644 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] + node _T_4645 = or(_T_4643, _T_4644) @[el2_lsu_bus_buffer.scala 597:121] + node _T_4646 = and(_T_4642, _T_4645) @[el2_lsu_bus_buffer.scala 597:105] + node _T_4647 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 597:78] + node _T_4648 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 597:101] + node _T_4649 = eq(_T_4648, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:91] + node _T_4650 = and(_T_4647, _T_4649) @[el2_lsu_bus_buffer.scala 597:89] + node _T_4651 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:108] + node _T_4652 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:123] + node _T_4653 = or(_T_4651, _T_4652) @[el2_lsu_bus_buffer.scala 597:121] + node _T_4654 = and(_T_4650, _T_4653) @[el2_lsu_bus_buffer.scala 597:105] node _T_4655 = mux(_T_4630, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4656 = mux(_T_4638, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4657 = mux(_T_4646, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6114,30 +6114,30 @@ circuit el2_lsu_bus_buffer : node _T_4661 = or(_T_4660, _T_4658) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4661 @[Mux.scala 27:72] - node _T_4662 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4663 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4664 = eq(_T_4663, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4665 = and(_T_4662, _T_4664) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4666 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 600:120] - node _T_4667 = and(_T_4665, _T_4666) @[el2_lsu_bus_buffer.scala 600:105] - node _T_4668 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4669 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4670 = eq(_T_4669, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4671 = and(_T_4668, _T_4670) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4672 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 600:120] - node _T_4673 = and(_T_4671, _T_4672) @[el2_lsu_bus_buffer.scala 600:105] - node _T_4674 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4675 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4676 = eq(_T_4675, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4677 = and(_T_4674, _T_4676) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4678 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 600:120] - node _T_4679 = and(_T_4677, _T_4678) @[el2_lsu_bus_buffer.scala 600:105] - node _T_4680 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 600:78] - node _T_4681 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 600:101] - node _T_4682 = eq(_T_4681, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:91] - node _T_4683 = and(_T_4680, _T_4682) @[el2_lsu_bus_buffer.scala 600:89] - node _T_4684 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 600:120] - node _T_4685 = and(_T_4683, _T_4684) @[el2_lsu_bus_buffer.scala 600:105] + node _T_4662 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4663 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4664 = eq(_T_4663, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4665 = and(_T_4662, _T_4664) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4666 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 598:120] + node _T_4667 = and(_T_4665, _T_4666) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4668 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4669 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4670 = eq(_T_4669, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4671 = and(_T_4668, _T_4670) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4672 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 598:120] + node _T_4673 = and(_T_4671, _T_4672) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4674 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4675 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4676 = eq(_T_4675, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4677 = and(_T_4674, _T_4676) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4678 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 598:120] + node _T_4679 = and(_T_4677, _T_4678) @[el2_lsu_bus_buffer.scala 598:105] + node _T_4680 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 598:78] + node _T_4681 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 598:101] + node _T_4682 = eq(_T_4681, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:91] + node _T_4683 = and(_T_4680, _T_4682) @[el2_lsu_bus_buffer.scala 598:89] + node _T_4684 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 598:120] + node _T_4685 = and(_T_4683, _T_4684) @[el2_lsu_bus_buffer.scala 598:105] node _T_4686 = mux(_T_4667, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4687 = mux(_T_4673, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4688 = mux(_T_4679, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6160,7 +6160,7 @@ circuit el2_lsu_bus_buffer : node _T_4703 = or(_T_4702, _T_4700) @[Mux.scala 27:72] wire _T_4704 : UInt<32> @[Mux.scala 27:72] _T_4704 <= _T_4703 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4704, 1, 0) @[el2_lsu_bus_buffer.scala 601:83] + node lsu_nonblock_addr_offset = bits(_T_4704, 1, 0) @[el2_lsu_bus_buffer.scala 599:83] node _T_4705 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] node _T_4706 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] node _T_4707 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 120:123] @@ -6212,38 +6212,38 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4748 @[Mux.scala 27:72] node _T_4749 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4750 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 605:121] - node lsu_nonblock_data_unalgn = dshr(_T_4749, _T_4750) @[el2_lsu_bus_buffer.scala 605:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 606:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 607:14] - node _T_4751 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:69] - node _T_4752 = and(lsu_nonblock_load_data_ready, _T_4751) @[el2_lsu_bus_buffer.scala 608:67] - io.lsu_nonblock_load_data_valid <= _T_4752 @[el2_lsu_bus_buffer.scala 608:35] - node _T_4753 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 609:81] - node _T_4754 = and(lsu_nonblock_unsign, _T_4753) @[el2_lsu_bus_buffer.scala 609:63] - node _T_4755 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 609:131] + node _T_4750 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 603:121] + node lsu_nonblock_data_unalgn = dshr(_T_4749, _T_4750) @[el2_lsu_bus_buffer.scala 603:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 604:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 605:14] + node _T_4751 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:69] + node _T_4752 = and(lsu_nonblock_load_data_ready, _T_4751) @[el2_lsu_bus_buffer.scala 606:67] + io.lsu_nonblock_load_data_valid <= _T_4752 @[el2_lsu_bus_buffer.scala 606:35] + node _T_4753 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:81] + node _T_4754 = and(lsu_nonblock_unsign, _T_4753) @[el2_lsu_bus_buffer.scala 607:63] + node _T_4755 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 607:131] node _T_4756 = cat(UInt<24>("h00"), _T_4755) @[Cat.scala 29:58] - node _T_4757 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 610:45] - node _T_4758 = and(lsu_nonblock_unsign, _T_4757) @[el2_lsu_bus_buffer.scala 610:26] - node _T_4759 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 610:95] + node _T_4757 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 608:45] + node _T_4758 = and(lsu_nonblock_unsign, _T_4757) @[el2_lsu_bus_buffer.scala 608:26] + node _T_4759 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 608:95] node _T_4760 = cat(UInt<16>("h00"), _T_4759) @[Cat.scala 29:58] - node _T_4761 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:6] - node _T_4762 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 611:45] - node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 611:27] - node _T_4764 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 611:93] + node _T_4761 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 609:6] + node _T_4762 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 609:45] + node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 609:27] + node _T_4764 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 609:93] node _T_4765 = bits(_T_4764, 0, 0) @[Bitwise.scala 72:15] node _T_4766 = mux(_T_4765, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4767 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 611:123] + node _T_4767 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 609:123] node _T_4768 = cat(_T_4766, _T_4767) @[Cat.scala 29:58] - node _T_4769 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 612:6] - node _T_4770 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 612:45] - node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 612:27] - node _T_4772 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 612:93] + node _T_4769 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 610:6] + node _T_4770 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 610:45] + node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 610:27] + node _T_4772 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 610:93] node _T_4773 = bits(_T_4772, 0, 0) @[Bitwise.scala 72:15] node _T_4774 = mux(_T_4773, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4775 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 612:124] + node _T_4775 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 610:124] node _T_4776 = cat(_T_4774, _T_4775) @[Cat.scala 29:58] - node _T_4777 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 613:21] + node _T_4777 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 611:21] node _T_4778 = mux(_T_4754, _T_4756, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4779 = mux(_T_4758, _T_4760, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4780 = mux(_T_4763, _T_4768, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6255,75 +6255,75 @@ circuit el2_lsu_bus_buffer : node _T_4786 = or(_T_4785, _T_4782) @[Mux.scala 27:72] wire _T_4787 : UInt<64> @[Mux.scala 27:72] _T_4787 <= _T_4786 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4787 @[el2_lsu_bus_buffer.scala 609:29] - node _T_4788 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] - node _T_4789 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 614:89] - node _T_4790 = and(_T_4788, _T_4789) @[el2_lsu_bus_buffer.scala 614:73] - node _T_4791 = and(_T_4790, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] - node _T_4792 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] - node _T_4793 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 614:89] - node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 614:73] - node _T_4795 = and(_T_4794, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] - node _T_4796 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] - node _T_4797 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 614:89] - node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 614:73] - node _T_4799 = and(_T_4798, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] - node _T_4800 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 614:62] - node _T_4801 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 614:89] - node _T_4802 = and(_T_4800, _T_4801) @[el2_lsu_bus_buffer.scala 614:73] - node _T_4803 = and(_T_4802, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 614:93] - node _T_4804 = or(_T_4791, _T_4795) @[el2_lsu_bus_buffer.scala 614:141] - node _T_4805 = or(_T_4804, _T_4799) @[el2_lsu_bus_buffer.scala 614:141] - node _T_4806 = or(_T_4805, _T_4803) @[el2_lsu_bus_buffer.scala 614:141] - bus_sideeffect_pend <= _T_4806 @[el2_lsu_bus_buffer.scala 614:23] - node _T_4807 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] - node _T_4808 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] - node _T_4809 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] - node _T_4810 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] - node _T_4811 = eq(_T_4809, _T_4810) @[el2_lsu_bus_buffer.scala 616:56] - node _T_4812 = and(_T_4808, _T_4811) @[el2_lsu_bus_buffer.scala 616:38] - node _T_4813 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:92] - node _T_4814 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:126] - node _T_4815 = and(obuf_merge, _T_4814) @[el2_lsu_bus_buffer.scala 616:114] - node _T_4816 = or(_T_4813, _T_4815) @[el2_lsu_bus_buffer.scala 616:100] - node _T_4817 = eq(_T_4816, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] - node _T_4818 = and(_T_4812, _T_4817) @[el2_lsu_bus_buffer.scala 616:78] - node _T_4819 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] - node _T_4820 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] - node _T_4821 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] - node _T_4822 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] - node _T_4823 = eq(_T_4821, _T_4822) @[el2_lsu_bus_buffer.scala 616:56] - node _T_4824 = and(_T_4820, _T_4823) @[el2_lsu_bus_buffer.scala 616:38] - node _T_4825 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 616:92] - node _T_4826 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 616:126] - node _T_4827 = and(obuf_merge, _T_4826) @[el2_lsu_bus_buffer.scala 616:114] - node _T_4828 = or(_T_4825, _T_4827) @[el2_lsu_bus_buffer.scala 616:100] - node _T_4829 = eq(_T_4828, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] - node _T_4830 = and(_T_4824, _T_4829) @[el2_lsu_bus_buffer.scala 616:78] - node _T_4831 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] - node _T_4832 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] - node _T_4833 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] - node _T_4834 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] - node _T_4835 = eq(_T_4833, _T_4834) @[el2_lsu_bus_buffer.scala 616:56] - node _T_4836 = and(_T_4832, _T_4835) @[el2_lsu_bus_buffer.scala 616:38] - node _T_4837 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 616:92] - node _T_4838 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 616:126] - node _T_4839 = and(obuf_merge, _T_4838) @[el2_lsu_bus_buffer.scala 616:114] - node _T_4840 = or(_T_4837, _T_4839) @[el2_lsu_bus_buffer.scala 616:100] - node _T_4841 = eq(_T_4840, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] - node _T_4842 = and(_T_4836, _T_4841) @[el2_lsu_bus_buffer.scala 616:78] - node _T_4843 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 615:71] - node _T_4844 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 616:25] - node _T_4845 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 616:50] - node _T_4846 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 616:70] - node _T_4847 = eq(_T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 616:56] - node _T_4848 = and(_T_4844, _T_4847) @[el2_lsu_bus_buffer.scala 616:38] - node _T_4849 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 616:92] - node _T_4850 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 616:126] - node _T_4851 = and(obuf_merge, _T_4850) @[el2_lsu_bus_buffer.scala 616:114] - node _T_4852 = or(_T_4849, _T_4851) @[el2_lsu_bus_buffer.scala 616:100] - node _T_4853 = eq(_T_4852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:80] - node _T_4854 = and(_T_4848, _T_4853) @[el2_lsu_bus_buffer.scala 616:78] + io.lsu_nonblock_load_data <= _T_4787 @[el2_lsu_bus_buffer.scala 607:29] + node _T_4788 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] + node _T_4789 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 612:89] + node _T_4790 = and(_T_4788, _T_4789) @[el2_lsu_bus_buffer.scala 612:73] + node _T_4791 = and(_T_4790, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] + node _T_4792 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] + node _T_4793 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 612:89] + node _T_4794 = and(_T_4792, _T_4793) @[el2_lsu_bus_buffer.scala 612:73] + node _T_4795 = and(_T_4794, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] + node _T_4796 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] + node _T_4797 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 612:89] + node _T_4798 = and(_T_4796, _T_4797) @[el2_lsu_bus_buffer.scala 612:73] + node _T_4799 = and(_T_4798, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] + node _T_4800 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 612:62] + node _T_4801 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 612:89] + node _T_4802 = and(_T_4800, _T_4801) @[el2_lsu_bus_buffer.scala 612:73] + node _T_4803 = and(_T_4802, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 612:93] + node _T_4804 = or(_T_4791, _T_4795) @[el2_lsu_bus_buffer.scala 612:141] + node _T_4805 = or(_T_4804, _T_4799) @[el2_lsu_bus_buffer.scala 612:141] + node _T_4806 = or(_T_4805, _T_4803) @[el2_lsu_bus_buffer.scala 612:141] + bus_sideeffect_pend <= _T_4806 @[el2_lsu_bus_buffer.scala 612:23] + node _T_4807 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] + node _T_4808 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] + node _T_4809 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] + node _T_4810 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] + node _T_4811 = eq(_T_4809, _T_4810) @[el2_lsu_bus_buffer.scala 614:56] + node _T_4812 = and(_T_4808, _T_4811) @[el2_lsu_bus_buffer.scala 614:38] + node _T_4813 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:92] + node _T_4814 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:126] + node _T_4815 = and(obuf_merge, _T_4814) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4816 = or(_T_4813, _T_4815) @[el2_lsu_bus_buffer.scala 614:100] + node _T_4817 = eq(_T_4816, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] + node _T_4818 = and(_T_4812, _T_4817) @[el2_lsu_bus_buffer.scala 614:78] + node _T_4819 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] + node _T_4820 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] + node _T_4821 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] + node _T_4822 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] + node _T_4823 = eq(_T_4821, _T_4822) @[el2_lsu_bus_buffer.scala 614:56] + node _T_4824 = and(_T_4820, _T_4823) @[el2_lsu_bus_buffer.scala 614:38] + node _T_4825 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 614:92] + node _T_4826 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 614:126] + node _T_4827 = and(obuf_merge, _T_4826) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4828 = or(_T_4825, _T_4827) @[el2_lsu_bus_buffer.scala 614:100] + node _T_4829 = eq(_T_4828, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] + node _T_4830 = and(_T_4824, _T_4829) @[el2_lsu_bus_buffer.scala 614:78] + node _T_4831 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] + node _T_4832 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] + node _T_4833 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] + node _T_4834 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] + node _T_4835 = eq(_T_4833, _T_4834) @[el2_lsu_bus_buffer.scala 614:56] + node _T_4836 = and(_T_4832, _T_4835) @[el2_lsu_bus_buffer.scala 614:38] + node _T_4837 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 614:92] + node _T_4838 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 614:126] + node _T_4839 = and(obuf_merge, _T_4838) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4840 = or(_T_4837, _T_4839) @[el2_lsu_bus_buffer.scala 614:100] + node _T_4841 = eq(_T_4840, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] + node _T_4842 = and(_T_4836, _T_4841) @[el2_lsu_bus_buffer.scala 614:78] + node _T_4843 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 613:71] + node _T_4844 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 614:25] + node _T_4845 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 614:50] + node _T_4846 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 614:70] + node _T_4847 = eq(_T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 614:56] + node _T_4848 = and(_T_4844, _T_4847) @[el2_lsu_bus_buffer.scala 614:38] + node _T_4849 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 614:92] + node _T_4850 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 614:126] + node _T_4851 = and(obuf_merge, _T_4850) @[el2_lsu_bus_buffer.scala 614:114] + node _T_4852 = or(_T_4849, _T_4851) @[el2_lsu_bus_buffer.scala 614:100] + node _T_4853 = eq(_T_4852, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:80] + node _T_4854 = and(_T_4848, _T_4853) @[el2_lsu_bus_buffer.scala 614:78] node _T_4855 = mux(_T_4807, _T_4818, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4856 = mux(_T_4819, _T_4830, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4857 = mux(_T_4831, _T_4842, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6333,117 +6333,117 @@ circuit el2_lsu_bus_buffer : node _T_4861 = or(_T_4860, _T_4858) @[Mux.scala 27:72] wire _T_4862 : UInt<1> @[Mux.scala 27:72] _T_4862 <= _T_4861 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4862 @[el2_lsu_bus_buffer.scala 615:26] - node _T_4863 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 618:54] - node _T_4864 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 618:75] - node _T_4865 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 618:150] - node _T_4866 = mux(_T_4863, _T_4864, _T_4865) @[el2_lsu_bus_buffer.scala 618:39] - node _T_4867 = mux(obuf_write, _T_4866, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 618:23] - bus_cmd_ready <= _T_4867 @[el2_lsu_bus_buffer.scala 618:17] - node _T_4868 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 619:39] - bus_wcmd_sent <= _T_4868 @[el2_lsu_bus_buffer.scala 619:17] - node _T_4869 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 620:39] - bus_wdata_sent <= _T_4869 @[el2_lsu_bus_buffer.scala 620:18] - node _T_4870 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 621:35] - node _T_4871 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 621:70] - node _T_4872 = and(_T_4870, _T_4871) @[el2_lsu_bus_buffer.scala 621:52] - node _T_4873 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 621:111] - node _T_4874 = or(_T_4872, _T_4873) @[el2_lsu_bus_buffer.scala 621:89] - bus_cmd_sent <= _T_4874 @[el2_lsu_bus_buffer.scala 621:16] - node _T_4875 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 622:37] - bus_rsp_read <= _T_4875 @[el2_lsu_bus_buffer.scala 622:16] - node _T_4876 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 623:38] - bus_rsp_write <= _T_4876 @[el2_lsu_bus_buffer.scala 623:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 624:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 625:21] - node _T_4877 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 626:60] - node _T_4878 = and(bus_rsp_write, _T_4877) @[el2_lsu_bus_buffer.scala 626:40] - bus_rsp_write_error <= _T_4878 @[el2_lsu_bus_buffer.scala 626:23] - node _T_4879 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:58] - node _T_4880 = and(bus_rsp_read, _T_4879) @[el2_lsu_bus_buffer.scala 627:38] - bus_rsp_read_error <= _T_4880 @[el2_lsu_bus_buffer.scala 627:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 628:17] - node _T_4881 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 631:36] - node _T_4882 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:51] - node _T_4883 = and(_T_4881, _T_4882) @[el2_lsu_bus_buffer.scala 631:49] - node _T_4884 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:68] - node _T_4885 = and(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 631:66] - io.lsu_axi_awvalid <= _T_4885 @[el2_lsu_bus_buffer.scala 631:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 632:19] - node _T_4886 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 633:69] + bus_addr_match_pending <= _T_4862 @[el2_lsu_bus_buffer.scala 613:26] + node _T_4863 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 616:54] + node _T_4864 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 616:75] + node _T_4865 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 616:150] + node _T_4866 = mux(_T_4863, _T_4864, _T_4865) @[el2_lsu_bus_buffer.scala 616:39] + node _T_4867 = mux(obuf_write, _T_4866, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 616:23] + bus_cmd_ready <= _T_4867 @[el2_lsu_bus_buffer.scala 616:17] + node _T_4868 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 617:39] + bus_wcmd_sent <= _T_4868 @[el2_lsu_bus_buffer.scala 617:17] + node _T_4869 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 618:39] + bus_wdata_sent <= _T_4869 @[el2_lsu_bus_buffer.scala 618:18] + node _T_4870 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 619:35] + node _T_4871 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 619:70] + node _T_4872 = and(_T_4870, _T_4871) @[el2_lsu_bus_buffer.scala 619:52] + node _T_4873 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 619:111] + node _T_4874 = or(_T_4872, _T_4873) @[el2_lsu_bus_buffer.scala 619:89] + bus_cmd_sent <= _T_4874 @[el2_lsu_bus_buffer.scala 619:16] + node _T_4875 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 620:37] + bus_rsp_read <= _T_4875 @[el2_lsu_bus_buffer.scala 620:16] + node _T_4876 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 621:38] + bus_rsp_write <= _T_4876 @[el2_lsu_bus_buffer.scala 621:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 622:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 623:21] + node _T_4877 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 624:60] + node _T_4878 = and(bus_rsp_write, _T_4877) @[el2_lsu_bus_buffer.scala 624:40] + bus_rsp_write_error <= _T_4878 @[el2_lsu_bus_buffer.scala 624:23] + node _T_4879 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 625:58] + node _T_4880 = and(bus_rsp_read, _T_4879) @[el2_lsu_bus_buffer.scala 625:38] + bus_rsp_read_error <= _T_4880 @[el2_lsu_bus_buffer.scala 625:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 626:17] + node _T_4881 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 629:36] + node _T_4882 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 629:51] + node _T_4883 = and(_T_4881, _T_4882) @[el2_lsu_bus_buffer.scala 629:49] + node _T_4884 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 629:68] + node _T_4885 = and(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 629:66] + io.lsu_axi_awvalid <= _T_4885 @[el2_lsu_bus_buffer.scala 629:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 630:19] + node _T_4886 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 631:69] node _T_4887 = cat(_T_4886, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4888 = mux(obuf_sideeffect, obuf_addr, _T_4887) @[el2_lsu_bus_buffer.scala 633:27] - io.lsu_axi_awaddr <= _T_4888 @[el2_lsu_bus_buffer.scala 633:21] + node _T_4888 = mux(obuf_sideeffect, obuf_addr, _T_4887) @[el2_lsu_bus_buffer.scala 631:27] + io.lsu_axi_awaddr <= _T_4888 @[el2_lsu_bus_buffer.scala 631:21] node _T_4889 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4890 = mux(obuf_sideeffect, _T_4889, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 634:27] - io.lsu_axi_awsize <= _T_4890 @[el2_lsu_bus_buffer.scala 634:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 635:21] - node _T_4891 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 636:28] - io.lsu_axi_awcache <= _T_4891 @[el2_lsu_bus_buffer.scala 636:22] - node _T_4892 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 637:35] - io.lsu_axi_awregion <= _T_4892 @[el2_lsu_bus_buffer.scala 637:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 638:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 639:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 640:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 641:21] - node _T_4893 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 643:35] - node _T_4894 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 643:50] - node _T_4895 = and(_T_4893, _T_4894) @[el2_lsu_bus_buffer.scala 643:48] - node _T_4896 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 643:68] - node _T_4897 = and(_T_4895, _T_4896) @[el2_lsu_bus_buffer.scala 643:66] - io.lsu_axi_wvalid <= _T_4897 @[el2_lsu_bus_buffer.scala 643:21] + node _T_4890 = mux(obuf_sideeffect, _T_4889, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 632:27] + io.lsu_axi_awsize <= _T_4890 @[el2_lsu_bus_buffer.scala 632:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 633:21] + node _T_4891 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 634:28] + io.lsu_axi_awcache <= _T_4891 @[el2_lsu_bus_buffer.scala 634:22] + node _T_4892 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 635:35] + io.lsu_axi_awregion <= _T_4892 @[el2_lsu_bus_buffer.scala 635:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 636:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 637:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 638:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 639:21] + node _T_4893 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 641:35] + node _T_4894 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 641:50] + node _T_4895 = and(_T_4893, _T_4894) @[el2_lsu_bus_buffer.scala 641:48] + node _T_4896 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 641:68] + node _T_4897 = and(_T_4895, _T_4896) @[el2_lsu_bus_buffer.scala 641:66] + io.lsu_axi_wvalid <= _T_4897 @[el2_lsu_bus_buffer.scala 641:21] node _T_4898 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4899 = mux(_T_4898, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4900 = and(obuf_byteen, _T_4899) @[el2_lsu_bus_buffer.scala 644:35] - io.lsu_axi_wstrb <= _T_4900 @[el2_lsu_bus_buffer.scala 644:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 645:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 646:20] - node _T_4901 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:38] - node _T_4902 = and(obuf_valid, _T_4901) @[el2_lsu_bus_buffer.scala 648:36] - node _T_4903 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:52] - node _T_4904 = and(_T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 648:50] - node _T_4905 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 648:67] - node _T_4906 = and(_T_4904, _T_4905) @[el2_lsu_bus_buffer.scala 648:65] - io.lsu_axi_arvalid <= _T_4906 @[el2_lsu_bus_buffer.scala 648:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 649:19] - node _T_4907 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 650:69] + node _T_4900 = and(obuf_byteen, _T_4899) @[el2_lsu_bus_buffer.scala 642:35] + io.lsu_axi_wstrb <= _T_4900 @[el2_lsu_bus_buffer.scala 642:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 643:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 644:20] + node _T_4901 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 646:38] + node _T_4902 = and(obuf_valid, _T_4901) @[el2_lsu_bus_buffer.scala 646:36] + node _T_4903 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 646:52] + node _T_4904 = and(_T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 646:50] + node _T_4905 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 646:67] + node _T_4906 = and(_T_4904, _T_4905) @[el2_lsu_bus_buffer.scala 646:65] + io.lsu_axi_arvalid <= _T_4906 @[el2_lsu_bus_buffer.scala 646:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 647:19] + node _T_4907 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 648:69] node _T_4908 = cat(_T_4907, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4909 = mux(obuf_sideeffect, obuf_addr, _T_4908) @[el2_lsu_bus_buffer.scala 650:27] - io.lsu_axi_araddr <= _T_4909 @[el2_lsu_bus_buffer.scala 650:21] + node _T_4909 = mux(obuf_sideeffect, obuf_addr, _T_4908) @[el2_lsu_bus_buffer.scala 648:27] + io.lsu_axi_araddr <= _T_4909 @[el2_lsu_bus_buffer.scala 648:21] node _T_4910 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4911 = mux(obuf_sideeffect, _T_4910, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 651:27] - io.lsu_axi_arsize <= _T_4911 @[el2_lsu_bus_buffer.scala 651:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 652:21] - node _T_4912 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 653:28] - io.lsu_axi_arcache <= _T_4912 @[el2_lsu_bus_buffer.scala 653:22] - node _T_4913 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 654:35] - io.lsu_axi_arregion <= _T_4913 @[el2_lsu_bus_buffer.scala 654:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 655:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 656:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 657:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 658:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 659:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 660:21] - node _T_4914 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] - node _T_4915 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 661:125] - node _T_4916 = and(io.lsu_bus_clk_en_q, _T_4915) @[el2_lsu_bus_buffer.scala 661:114] - node _T_4917 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 661:140] - node _T_4918 = and(_T_4916, _T_4917) @[el2_lsu_bus_buffer.scala 661:129] - node _T_4919 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] - node _T_4920 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 661:125] - node _T_4921 = and(io.lsu_bus_clk_en_q, _T_4920) @[el2_lsu_bus_buffer.scala 661:114] - node _T_4922 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 661:140] - node _T_4923 = and(_T_4921, _T_4922) @[el2_lsu_bus_buffer.scala 661:129] - node _T_4924 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] - node _T_4925 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 661:125] - node _T_4926 = and(io.lsu_bus_clk_en_q, _T_4925) @[el2_lsu_bus_buffer.scala 661:114] - node _T_4927 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 661:140] - node _T_4928 = and(_T_4926, _T_4927) @[el2_lsu_bus_buffer.scala 661:129] - node _T_4929 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 661:81] - node _T_4930 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 661:125] - node _T_4931 = and(io.lsu_bus_clk_en_q, _T_4930) @[el2_lsu_bus_buffer.scala 661:114] - node _T_4932 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 661:140] - node _T_4933 = and(_T_4931, _T_4932) @[el2_lsu_bus_buffer.scala 661:129] + node _T_4911 = mux(obuf_sideeffect, _T_4910, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 649:27] + io.lsu_axi_arsize <= _T_4911 @[el2_lsu_bus_buffer.scala 649:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 650:21] + node _T_4912 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 651:28] + io.lsu_axi_arcache <= _T_4912 @[el2_lsu_bus_buffer.scala 651:22] + node _T_4913 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 652:35] + io.lsu_axi_arregion <= _T_4913 @[el2_lsu_bus_buffer.scala 652:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 653:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 654:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 655:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 656:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 657:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 658:21] + node _T_4914 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] + node _T_4915 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 659:125] + node _T_4916 = and(io.lsu_bus_clk_en_q, _T_4915) @[el2_lsu_bus_buffer.scala 659:114] + node _T_4917 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 659:140] + node _T_4918 = and(_T_4916, _T_4917) @[el2_lsu_bus_buffer.scala 659:129] + node _T_4919 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] + node _T_4920 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 659:125] + node _T_4921 = and(io.lsu_bus_clk_en_q, _T_4920) @[el2_lsu_bus_buffer.scala 659:114] + node _T_4922 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 659:140] + node _T_4923 = and(_T_4921, _T_4922) @[el2_lsu_bus_buffer.scala 659:129] + node _T_4924 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] + node _T_4925 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 659:125] + node _T_4926 = and(io.lsu_bus_clk_en_q, _T_4925) @[el2_lsu_bus_buffer.scala 659:114] + node _T_4927 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 659:140] + node _T_4928 = and(_T_4926, _T_4927) @[el2_lsu_bus_buffer.scala 659:129] + node _T_4929 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 659:81] + node _T_4930 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 659:125] + node _T_4931 = and(io.lsu_bus_clk_en_q, _T_4930) @[el2_lsu_bus_buffer.scala 659:114] + node _T_4932 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 659:140] + node _T_4933 = and(_T_4931, _T_4932) @[el2_lsu_bus_buffer.scala 659:129] node _T_4934 = mux(_T_4914, _T_4918, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4935 = mux(_T_4919, _T_4923, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4936 = mux(_T_4924, _T_4928, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6453,25 +6453,25 @@ circuit el2_lsu_bus_buffer : node _T_4940 = or(_T_4939, _T_4937) @[Mux.scala 27:72] wire _T_4941 : UInt<1> @[Mux.scala 27:72] _T_4941 <= _T_4940 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4941 @[el2_lsu_bus_buffer.scala 661:36] - node _T_4942 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 662:87] - node _T_4943 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 662:109] - node _T_4944 = and(_T_4942, _T_4943) @[el2_lsu_bus_buffer.scala 662:98] - node _T_4945 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 662:124] - node _T_4946 = and(_T_4944, _T_4945) @[el2_lsu_bus_buffer.scala 662:113] - node _T_4947 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 662:87] - node _T_4948 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 662:109] - node _T_4949 = and(_T_4947, _T_4948) @[el2_lsu_bus_buffer.scala 662:98] - node _T_4950 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 662:124] - node _T_4951 = and(_T_4949, _T_4950) @[el2_lsu_bus_buffer.scala 662:113] + io.lsu_imprecise_error_store_any <= _T_4941 @[el2_lsu_bus_buffer.scala 659:36] + node _T_4942 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:87] + node _T_4943 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 660:109] + node _T_4944 = and(_T_4942, _T_4943) @[el2_lsu_bus_buffer.scala 660:98] + node _T_4945 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 660:124] + node _T_4946 = and(_T_4944, _T_4945) @[el2_lsu_bus_buffer.scala 660:113] + node _T_4947 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 660:87] + node _T_4948 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 660:109] + node _T_4949 = and(_T_4947, _T_4948) @[el2_lsu_bus_buffer.scala 660:98] + node _T_4950 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 660:124] + node _T_4951 = and(_T_4949, _T_4950) @[el2_lsu_bus_buffer.scala 660:113] node _T_4952 = mux(_T_4946, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4953 = mux(_T_4951, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4954 = or(_T_4952, _T_4953) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4954 @[Mux.scala 27:72] - node _T_4955 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:72] - node _T_4956 = and(io.lsu_nonblock_load_data_error, _T_4955) @[el2_lsu_bus_buffer.scala 664:70] - io.lsu_imprecise_error_load_any <= _T_4956 @[el2_lsu_bus_buffer.scala 664:35] + node _T_4955 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 662:72] + node _T_4956 = and(io.lsu_nonblock_load_data_error, _T_4955) @[el2_lsu_bus_buffer.scala 662:70] + io.lsu_imprecise_error_load_any <= _T_4956 @[el2_lsu_bus_buffer.scala 662:35] node _T_4957 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 120:123] node _T_4958 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 120:123] node _T_4959 = mux(_T_4957, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6492,44 +6492,44 @@ circuit el2_lsu_bus_buffer : node _T_4973 = or(_T_4972, _T_4970) @[Mux.scala 27:72] wire _T_4974 : UInt<32> @[Mux.scala 27:72] _T_4974 <= _T_4973 @[Mux.scala 27:72] - node _T_4975 = mux(io.lsu_imprecise_error_store_any, _T_4962, _T_4974) @[el2_lsu_bus_buffer.scala 665:41] - io.lsu_imprecise_error_addr_any <= _T_4975 @[el2_lsu_bus_buffer.scala 665:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 666:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 668:23] - node _T_4976 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 671:46] - node _T_4977 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 671:89] - node _T_4978 = or(_T_4976, _T_4977) @[el2_lsu_bus_buffer.scala 671:68] - node _T_4979 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 671:132] - node _T_4980 = or(_T_4978, _T_4979) @[el2_lsu_bus_buffer.scala 671:110] - io.lsu_pmu_bus_trxn <= _T_4980 @[el2_lsu_bus_buffer.scala 671:23] - node _T_4981 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 672:48] - node _T_4982 = and(_T_4981, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 672:65] - io.lsu_pmu_bus_misaligned <= _T_4982 @[el2_lsu_bus_buffer.scala 672:29] - node _T_4983 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 673:59] - io.lsu_pmu_bus_error <= _T_4983 @[el2_lsu_bus_buffer.scala 673:24] - node _T_4984 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 675:48] - node _T_4985 = and(io.lsu_axi_awvalid, _T_4984) @[el2_lsu_bus_buffer.scala 675:46] - node _T_4986 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 675:92] - node _T_4987 = and(io.lsu_axi_wvalid, _T_4986) @[el2_lsu_bus_buffer.scala 675:90] - node _T_4988 = or(_T_4985, _T_4987) @[el2_lsu_bus_buffer.scala 675:69] - node _T_4989 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 675:136] - node _T_4990 = and(io.lsu_axi_arvalid, _T_4989) @[el2_lsu_bus_buffer.scala 675:134] - node _T_4991 = or(_T_4988, _T_4990) @[el2_lsu_bus_buffer.scala 675:112] - io.lsu_pmu_bus_busy <= _T_4991 @[el2_lsu_bus_buffer.scala 675:23] - reg _T_4992 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 677:49] - _T_4992 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 677:49] - WrPtr0_r <= _T_4992 @[el2_lsu_bus_buffer.scala 677:12] - reg _T_4993 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 678:49] - _T_4993 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 678:49] - WrPtr1_r <= _T_4993 @[el2_lsu_bus_buffer.scala 678:12] - node _T_4994 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 679:75] - node _T_4995 = and(io.lsu_busreq_m, _T_4994) @[el2_lsu_bus_buffer.scala 679:73] - node _T_4996 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 679:89] - node _T_4997 = and(_T_4995, _T_4996) @[el2_lsu_bus_buffer.scala 679:87] - reg _T_4998 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 679:56] - _T_4998 <= _T_4997 @[el2_lsu_bus_buffer.scala 679:56] - io.lsu_busreq_r <= _T_4998 @[el2_lsu_bus_buffer.scala 679:19] - reg _T_4999 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 680:66] - _T_4999 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 680:66] - lsu_nonblock_load_valid_r <= _T_4999 @[el2_lsu_bus_buffer.scala 680:29] + node _T_4975 = mux(io.lsu_imprecise_error_store_any, _T_4962, _T_4974) @[el2_lsu_bus_buffer.scala 663:41] + io.lsu_imprecise_error_addr_any <= _T_4975 @[el2_lsu_bus_buffer.scala 663:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 664:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 666:23] + node _T_4976 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 669:46] + node _T_4977 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 669:89] + node _T_4978 = or(_T_4976, _T_4977) @[el2_lsu_bus_buffer.scala 669:68] + node _T_4979 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 669:132] + node _T_4980 = or(_T_4978, _T_4979) @[el2_lsu_bus_buffer.scala 669:110] + io.lsu_pmu_bus_trxn <= _T_4980 @[el2_lsu_bus_buffer.scala 669:23] + node _T_4981 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 670:48] + node _T_4982 = and(_T_4981, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 670:65] + io.lsu_pmu_bus_misaligned <= _T_4982 @[el2_lsu_bus_buffer.scala 670:29] + node _T_4983 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 671:59] + io.lsu_pmu_bus_error <= _T_4983 @[el2_lsu_bus_buffer.scala 671:24] + node _T_4984 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 673:48] + node _T_4985 = and(io.lsu_axi_awvalid, _T_4984) @[el2_lsu_bus_buffer.scala 673:46] + node _T_4986 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 673:92] + node _T_4987 = and(io.lsu_axi_wvalid, _T_4986) @[el2_lsu_bus_buffer.scala 673:90] + node _T_4988 = or(_T_4985, _T_4987) @[el2_lsu_bus_buffer.scala 673:69] + node _T_4989 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 673:136] + node _T_4990 = and(io.lsu_axi_arvalid, _T_4989) @[el2_lsu_bus_buffer.scala 673:134] + node _T_4991 = or(_T_4988, _T_4990) @[el2_lsu_bus_buffer.scala 673:112] + io.lsu_pmu_bus_busy <= _T_4991 @[el2_lsu_bus_buffer.scala 673:23] + reg _T_4992 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 675:49] + _T_4992 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 675:49] + WrPtr0_r <= _T_4992 @[el2_lsu_bus_buffer.scala 675:12] + reg _T_4993 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 676:49] + _T_4993 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 676:49] + WrPtr1_r <= _T_4993 @[el2_lsu_bus_buffer.scala 676:12] + node _T_4994 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 677:75] + node _T_4995 = and(io.lsu_busreq_m, _T_4994) @[el2_lsu_bus_buffer.scala 677:73] + node _T_4996 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 677:89] + node _T_4997 = and(_T_4995, _T_4996) @[el2_lsu_bus_buffer.scala 677:87] + reg _T_4998 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 677:56] + _T_4998 <= _T_4997 @[el2_lsu_bus_buffer.scala 677:56] + io.lsu_busreq_r <= _T_4998 @[el2_lsu_bus_buffer.scala 677:19] + reg _T_4999 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 678:66] + _T_4999 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 678:66] + lsu_nonblock_load_valid_r <= _T_4999 @[el2_lsu_bus_buffer.scala 678:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 918fc9f3..6b6a4262 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -373,85 +373,85 @@ module el2_lsu_bus_buffer( wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 201:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 561:60] - wire _T_2636 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 559:60] + wire _T_2636 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] wire _T_4122 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4145 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4149 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1861; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 410:13] - wire _T_4156 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 516:48] + wire _T_4156 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 514:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 516:104] - wire _T_4157 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 516:104] - wire _T_4158 = obuf_merge & _T_4157; // @[el2_lsu_bus_buffer.scala 516:91] - wire _T_4159 = _T_4156 | _T_4158; // @[el2_lsu_bus_buffer.scala 516:77] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_4157 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_4158 = obuf_merge & _T_4157; // @[el2_lsu_bus_buffer.scala 514:91] + wire _T_4159 = _T_4156 | _T_4158; // @[el2_lsu_bus_buffer.scala 514:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 404:54] - wire _T_4160 = _T_4159 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] + wire _T_4160 = _T_4159 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 403:55] - wire _T_4161 = _T_4160 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _T_4161 = _T_4160 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] wire _GEN_280 = _T_4149 & _T_4161; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4145 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2637 = _T_2636 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 473:105] - wire _T_2638 = ~_T_2637; // @[el2_lsu_bus_buffer.scala 473:80] - wire _T_2639 = buf_ageQ_3[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2631 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + wire _T_2637 = _T_2636 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 471:105] + wire _T_2638 = ~_T_2637; // @[el2_lsu_bus_buffer.scala 471:80] + wire _T_2639 = buf_ageQ_3[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2631 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] wire _T_3929 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3952 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3956 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3963 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 516:48] - wire _T_3964 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 516:104] - wire _T_3965 = obuf_merge & _T_3964; // @[el2_lsu_bus_buffer.scala 516:91] - wire _T_3966 = _T_3963 | _T_3965; // @[el2_lsu_bus_buffer.scala 516:77] - wire _T_3967 = _T_3966 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] - wire _T_3968 = _T_3967 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _T_3963 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 514:48] + wire _T_3964 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_3965 = obuf_merge & _T_3964; // @[el2_lsu_bus_buffer.scala 514:91] + wire _T_3966 = _T_3963 | _T_3965; // @[el2_lsu_bus_buffer.scala 514:77] + wire _T_3967 = _T_3966 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] + wire _T_3968 = _T_3967 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] wire _GEN_204 = _T_3956 & _T_3968; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3952 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2632 = _T_2631 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 473:105] - wire _T_2633 = ~_T_2632; // @[el2_lsu_bus_buffer.scala 473:80] - wire _T_2634 = buf_ageQ_3[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2626 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + wire _T_2632 = _T_2631 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 471:105] + wire _T_2633 = ~_T_2632; // @[el2_lsu_bus_buffer.scala 471:80] + wire _T_2634 = buf_ageQ_3[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2626 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] wire _T_3736 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3759 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3763 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3770 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 516:48] - wire _T_3771 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 516:104] - wire _T_3772 = obuf_merge & _T_3771; // @[el2_lsu_bus_buffer.scala 516:91] - wire _T_3773 = _T_3770 | _T_3772; // @[el2_lsu_bus_buffer.scala 516:77] - wire _T_3774 = _T_3773 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] - wire _T_3775 = _T_3774 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _T_3770 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 514:48] + wire _T_3771 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_3772 = obuf_merge & _T_3771; // @[el2_lsu_bus_buffer.scala 514:91] + wire _T_3773 = _T_3770 | _T_3772; // @[el2_lsu_bus_buffer.scala 514:77] + wire _T_3774 = _T_3773 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] + wire _T_3775 = _T_3774 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] wire _GEN_128 = _T_3763 & _T_3775; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3759 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2627 = _T_2626 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 473:105] - wire _T_2628 = ~_T_2627; // @[el2_lsu_bus_buffer.scala 473:80] - wire _T_2629 = buf_ageQ_3[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2621 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 473:95] + wire _T_2627 = _T_2626 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 471:105] + wire _T_2628 = ~_T_2627; // @[el2_lsu_bus_buffer.scala 471:80] + wire _T_2629 = buf_ageQ_3[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2621 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:95] wire _T_3543 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3566 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3570 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3577 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 516:48] - wire _T_3578 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 516:104] - wire _T_3579 = obuf_merge & _T_3578; // @[el2_lsu_bus_buffer.scala 516:91] - wire _T_3580 = _T_3577 | _T_3579; // @[el2_lsu_bus_buffer.scala 516:77] - wire _T_3581 = _T_3580 & obuf_valid; // @[el2_lsu_bus_buffer.scala 516:135] - wire _T_3582 = _T_3581 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 516:148] + wire _T_3577 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 514:48] + wire _T_3578 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 514:104] + wire _T_3579 = obuf_merge & _T_3578; // @[el2_lsu_bus_buffer.scala 514:91] + wire _T_3580 = _T_3577 | _T_3579; // @[el2_lsu_bus_buffer.scala 514:77] + wire _T_3581 = _T_3580 & obuf_valid; // @[el2_lsu_bus_buffer.scala 514:135] + wire _T_3582 = _T_3581 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 514:148] wire _GEN_52 = _T_3570 & _T_3582; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3566 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 473:105] - wire _T_2623 = ~_T_2622; // @[el2_lsu_bus_buffer.scala 473:80] - wire _T_2624 = buf_ageQ_3[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 471:105] + wire _T_2623 = ~_T_2622; // @[el2_lsu_bus_buffer.scala 471:80] + wire _T_2624 = buf_ageQ_3[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] wire [3:0] buf_age_3 = {_T_2639,_T_2634,_T_2629,_T_2624}; // @[Cat.scala 29:58] - wire _T_2738 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2740 = _T_2738 & _T_19; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2732 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2734 = _T_2732 & _T_12; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2726 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2728 = _T_2726 & _T_5; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2738 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2740 = _T_2738 & _T_19; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2732 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2734 = _T_2732 & _T_12; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2726 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2728 = _T_2726 & _T_5; // @[el2_lsu_bus_buffer.scala 472:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2740,_T_2734,_T_2728}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 206:144] @@ -470,54 +470,54 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 206:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 561:60] - wire _T_2616 = buf_ageQ_2[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2611 = buf_ageQ_2[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2606 = buf_ageQ_2[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2601 = buf_ageQ_2[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 559:60] + wire _T_2616 = buf_ageQ_2[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2611 = buf_ageQ_2[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2606 = buf_ageQ_2[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2601 = buf_ageQ_2[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] wire [3:0] buf_age_2 = {_T_2616,_T_2611,_T_2606,_T_2601}; // @[Cat.scala 29:58] - wire _T_2717 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2719 = _T_2717 & _T_26; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2705 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2707 = _T_2705 & _T_12; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2699 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2701 = _T_2699 & _T_5; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2717 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2719 = _T_2717 & _T_26; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2705 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2707 = _T_2705 & _T_12; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2699 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2701 = _T_2699 & _T_5; // @[el2_lsu_bus_buffer.scala 472:106] wire [3:0] buf_age_younger_2 = {_T_2719,1'h0,_T_2707,_T_2701}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 206:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 206:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 561:60] - wire _T_2593 = buf_ageQ_1[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2588 = buf_ageQ_1[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2583 = buf_ageQ_1[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2578 = buf_ageQ_1[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 559:60] + wire _T_2593 = buf_ageQ_1[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2588 = buf_ageQ_1[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2583 = buf_ageQ_1[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2578 = buf_ageQ_1[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] wire [3:0] buf_age_1 = {_T_2593,_T_2588,_T_2583,_T_2578}; // @[Cat.scala 29:58] - wire _T_2690 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2692 = _T_2690 & _T_26; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2684 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2686 = _T_2684 & _T_19; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2672 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2674 = _T_2672 & _T_5; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2690 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2692 = _T_2690 & _T_26; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2684 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2686 = _T_2684 & _T_19; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2672 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2674 = _T_2672 & _T_5; // @[el2_lsu_bus_buffer.scala 472:106] wire [3:0] buf_age_younger_1 = {_T_2692,_T_2686,1'h0,_T_2674}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 206:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 206:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 206:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 206:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 561:60] - wire _T_2570 = buf_ageQ_0[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2565 = buf_ageQ_0[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2560 = buf_ageQ_0[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 473:78] - wire _T_2555 = buf_ageQ_0[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 473:78] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 559:60] + wire _T_2570 = buf_ageQ_0[3] & _T_2638; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2565 = buf_ageQ_0[2] & _T_2633; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2560 = buf_ageQ_0[1] & _T_2628; // @[el2_lsu_bus_buffer.scala 471:78] + wire _T_2555 = buf_ageQ_0[0] & _T_2623; // @[el2_lsu_bus_buffer.scala 471:78] wire [3:0] buf_age_0 = {_T_2570,_T_2565,_T_2560,_T_2555}; // @[Cat.scala 29:58] - wire _T_2663 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2665 = _T_2663 & _T_26; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2657 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2659 = _T_2657 & _T_19; // @[el2_lsu_bus_buffer.scala 474:106] - wire _T_2651 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 474:91] - wire _T_2653 = _T_2651 & _T_12; // @[el2_lsu_bus_buffer.scala 474:106] + wire _T_2663 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2665 = _T_2663 & _T_26; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2657 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2659 = _T_2657 & _T_19; // @[el2_lsu_bus_buffer.scala 472:106] + wire _T_2651 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 472:91] + wire _T_2653 = _T_2651 & _T_12; // @[el2_lsu_bus_buffer.scala 472:106] wire [3:0] buf_age_younger_0 = {_T_2665,_T_2659,_T_2653,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 206:144] @@ -960,8 +960,8 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_866; // @[el2_lsu_bus_buffer.scala 273:32] wire _T_848 = ibuf_drain_vld & _T_847; // @[el2_lsu_bus_buffer.scala 267:34] wire ibuf_rst = _T_848 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 267:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 678:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 677:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 676:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 675:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_873 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 283:77] @@ -1006,68 +1006,68 @@ module el2_lsu_bus_buffer( reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4456 = buf_write[3] & _T_2636; // @[el2_lsu_bus_buffer.scala 583:64] - wire _T_4457 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 583:91] - wire _T_4458 = _T_4456 & _T_4457; // @[el2_lsu_bus_buffer.scala 583:89] - wire _T_4451 = buf_write[2] & _T_2631; // @[el2_lsu_bus_buffer.scala 583:64] - wire _T_4452 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 583:91] - wire _T_4453 = _T_4451 & _T_4452; // @[el2_lsu_bus_buffer.scala 583:89] - wire [1:0] _T_4459 = _T_4458 + _T_4453; // @[el2_lsu_bus_buffer.scala 583:142] - wire _T_4446 = buf_write[1] & _T_2626; // @[el2_lsu_bus_buffer.scala 583:64] - wire _T_4447 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 583:91] - wire _T_4448 = _T_4446 & _T_4447; // @[el2_lsu_bus_buffer.scala 583:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4448}; // @[el2_lsu_bus_buffer.scala 583:142] - wire [2:0] _T_4460 = _T_4459 + _GEN_362; // @[el2_lsu_bus_buffer.scala 583:142] - wire _T_4441 = buf_write[0] & _T_2621; // @[el2_lsu_bus_buffer.scala 583:64] - wire _T_4442 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 583:91] - wire _T_4443 = _T_4441 & _T_4442; // @[el2_lsu_bus_buffer.scala 583:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4443}; // @[el2_lsu_bus_buffer.scala 583:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4460 + _GEN_363; // @[el2_lsu_bus_buffer.scala 583:142] + wire _T_4456 = buf_write[3] & _T_2636; // @[el2_lsu_bus_buffer.scala 581:64] + wire _T_4457 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 581:91] + wire _T_4458 = _T_4456 & _T_4457; // @[el2_lsu_bus_buffer.scala 581:89] + wire _T_4451 = buf_write[2] & _T_2631; // @[el2_lsu_bus_buffer.scala 581:64] + wire _T_4452 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 581:91] + wire _T_4453 = _T_4451 & _T_4452; // @[el2_lsu_bus_buffer.scala 581:89] + wire [1:0] _T_4459 = _T_4458 + _T_4453; // @[el2_lsu_bus_buffer.scala 581:142] + wire _T_4446 = buf_write[1] & _T_2626; // @[el2_lsu_bus_buffer.scala 581:64] + wire _T_4447 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 581:91] + wire _T_4448 = _T_4446 & _T_4447; // @[el2_lsu_bus_buffer.scala 581:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4448}; // @[el2_lsu_bus_buffer.scala 581:142] + wire [2:0] _T_4460 = _T_4459 + _GEN_362; // @[el2_lsu_bus_buffer.scala 581:142] + wire _T_4441 = buf_write[0] & _T_2621; // @[el2_lsu_bus_buffer.scala 581:64] + wire _T_4442 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 581:91] + wire _T_4443 = _T_4441 & _T_4442; // @[el2_lsu_bus_buffer.scala 581:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4443}; // @[el2_lsu_bus_buffer.scala 581:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4460 + _GEN_363; // @[el2_lsu_bus_buffer.scala 581:142] wire _T_1029 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 320:43] - wire _T_4473 = _T_2636 & _T_4457; // @[el2_lsu_bus_buffer.scala 584:73] - wire _T_4470 = _T_2631 & _T_4452; // @[el2_lsu_bus_buffer.scala 584:73] - wire [1:0] _T_4474 = _T_4473 + _T_4470; // @[el2_lsu_bus_buffer.scala 584:126] - wire _T_4467 = _T_2626 & _T_4447; // @[el2_lsu_bus_buffer.scala 584:73] - wire [1:0] _GEN_364 = {{1'd0}, _T_4467}; // @[el2_lsu_bus_buffer.scala 584:126] - wire [2:0] _T_4475 = _T_4474 + _GEN_364; // @[el2_lsu_bus_buffer.scala 584:126] - wire _T_4464 = _T_2621 & _T_4442; // @[el2_lsu_bus_buffer.scala 584:73] - wire [2:0] _GEN_365 = {{2'd0}, _T_4464}; // @[el2_lsu_bus_buffer.scala 584:126] - wire [3:0] buf_numvld_cmd_any = _T_4475 + _GEN_365; // @[el2_lsu_bus_buffer.scala 584:126] + wire _T_4473 = _T_2636 & _T_4457; // @[el2_lsu_bus_buffer.scala 582:73] + wire _T_4470 = _T_2631 & _T_4452; // @[el2_lsu_bus_buffer.scala 582:73] + wire [1:0] _T_4474 = _T_4473 + _T_4470; // @[el2_lsu_bus_buffer.scala 582:126] + wire _T_4467 = _T_2626 & _T_4447; // @[el2_lsu_bus_buffer.scala 582:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4467}; // @[el2_lsu_bus_buffer.scala 582:126] + wire [2:0] _T_4475 = _T_4474 + _GEN_364; // @[el2_lsu_bus_buffer.scala 582:126] + wire _T_4464 = _T_2621 & _T_4442; // @[el2_lsu_bus_buffer.scala 582:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4464}; // @[el2_lsu_bus_buffer.scala 582:126] + wire [3:0] buf_numvld_cmd_any = _T_4475 + _GEN_365; // @[el2_lsu_bus_buffer.scala 582:126] wire _T_1030 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 320:72] wire _T_1031 = _T_1029 & _T_1030; // @[el2_lsu_bus_buffer.scala 320:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 419:54] wire _T_1032 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 320:97] wire _T_1033 = _T_1031 & _T_1032; // @[el2_lsu_bus_buffer.scala 320:80] wire _T_1035 = _T_1033 & _T_930; // @[el2_lsu_bus_buffer.scala 320:114] - wire _T_1994 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 438:58] - wire _T_1995 = ~_T_1994; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_1997 = _T_1995 & _T_2636; // @[el2_lsu_bus_buffer.scala 438:63] - wire _T_1999 = _T_1997 & _T_4457; // @[el2_lsu_bus_buffer.scala 438:88] - wire _T_1988 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 438:58] - wire _T_1989 = ~_T_1988; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_1991 = _T_1989 & _T_2631; // @[el2_lsu_bus_buffer.scala 438:63] - wire _T_1993 = _T_1991 & _T_4452; // @[el2_lsu_bus_buffer.scala 438:88] - wire _T_1982 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 438:58] - wire _T_1983 = ~_T_1982; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_1985 = _T_1983 & _T_2626; // @[el2_lsu_bus_buffer.scala 438:63] - wire _T_1987 = _T_1985 & _T_4447; // @[el2_lsu_bus_buffer.scala 438:88] - wire _T_1976 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 438:58] - wire _T_1977 = ~_T_1976; // @[el2_lsu_bus_buffer.scala 438:45] - wire _T_1979 = _T_1977 & _T_2621; // @[el2_lsu_bus_buffer.scala 438:63] - wire _T_1981 = _T_1979 & _T_4442; // @[el2_lsu_bus_buffer.scala 438:88] + wire _T_1994 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 436:58] + wire _T_1995 = ~_T_1994; // @[el2_lsu_bus_buffer.scala 436:45] + wire _T_1997 = _T_1995 & _T_2636; // @[el2_lsu_bus_buffer.scala 436:63] + wire _T_1999 = _T_1997 & _T_4457; // @[el2_lsu_bus_buffer.scala 436:88] + wire _T_1988 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 436:58] + wire _T_1989 = ~_T_1988; // @[el2_lsu_bus_buffer.scala 436:45] + wire _T_1991 = _T_1989 & _T_2631; // @[el2_lsu_bus_buffer.scala 436:63] + wire _T_1993 = _T_1991 & _T_4452; // @[el2_lsu_bus_buffer.scala 436:88] + wire _T_1982 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 436:58] + wire _T_1983 = ~_T_1982; // @[el2_lsu_bus_buffer.scala 436:45] + wire _T_1985 = _T_1983 & _T_2626; // @[el2_lsu_bus_buffer.scala 436:63] + wire _T_1987 = _T_1985 & _T_4447; // @[el2_lsu_bus_buffer.scala 436:88] + wire _T_1976 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 436:58] + wire _T_1977 = ~_T_1976; // @[el2_lsu_bus_buffer.scala 436:45] + wire _T_1979 = _T_1977 & _T_2621; // @[el2_lsu_bus_buffer.scala 436:63] + wire _T_1981 = _T_1979 & _T_4442; // @[el2_lsu_bus_buffer.scala 436:88] wire [3:0] CmdPtr0Dec = {_T_1999,_T_1993,_T_1987,_T_1981}; // @[Cat.scala 29:58] wire [7:0] _T_2069 = {4'h0,_T_1999,_T_1993,_T_1987,_T_1981}; // @[Cat.scala 29:58] - wire _T_2072 = _T_2069[4] | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 446:42] - wire _T_2074 = _T_2072 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 446:48] - wire _T_2076 = _T_2074 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 446:54] - wire _T_2079 = _T_2069[2] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 446:67] - wire _T_2081 = _T_2079 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 446:73] - wire _T_2083 = _T_2081 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 446:79] - wire _T_2086 = _T_2069[1] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 446:92] - wire _T_2088 = _T_2086 | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 446:98] - wire _T_2090 = _T_2088 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2072 = _T_2069[4] | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 444:42] + wire _T_2074 = _T_2072 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_2076 = _T_2074 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 444:54] + wire _T_2079 = _T_2069[2] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 444:67] + wire _T_2081 = _T_2079 | _T_2069[6]; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2083 = _T_2081 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 444:79] + wire _T_2086 = _T_2069[1] | _T_2069[3]; // @[el2_lsu_bus_buffer.scala 444:92] + wire _T_2088 = _T_2086 | _T_2069[5]; // @[el2_lsu_bus_buffer.scala 444:98] + wire _T_2090 = _T_2088 | _T_2069[7]; // @[el2_lsu_bus_buffer.scala 444:104] wire [2:0] _T_2092 = {_T_2076,_T_2083,_T_2090}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 452:11] + wire [1:0] CmdPtr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 450:11] wire _T_1036 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 321:114] wire _T_1037 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 321:114] wire _T_1038 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 321:114] @@ -1116,40 +1116,40 @@ module el2_lsu_bus_buffer( wire _T_1070 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 323:95] wire _T_1071 = _T_1069 & _T_1070; // @[el2_lsu_bus_buffer.scala 323:79] wire [2:0] _T_1073 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 323:121] - wire _T_4492 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] - wire _T_4496 = _T_4492 | _T_4473; // @[el2_lsu_bus_buffer.scala 585:74] - wire _T_4487 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] - wire _T_4491 = _T_4487 | _T_4470; // @[el2_lsu_bus_buffer.scala 585:74] - wire [1:0] _T_4497 = _T_4496 + _T_4491; // @[el2_lsu_bus_buffer.scala 585:154] - wire _T_4482 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] - wire _T_4486 = _T_4482 | _T_4467; // @[el2_lsu_bus_buffer.scala 585:74] - wire [1:0] _GEN_366 = {{1'd0}, _T_4486}; // @[el2_lsu_bus_buffer.scala 585:154] - wire [2:0] _T_4498 = _T_4497 + _GEN_366; // @[el2_lsu_bus_buffer.scala 585:154] - wire _T_4477 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 585:63] - wire _T_4481 = _T_4477 | _T_4464; // @[el2_lsu_bus_buffer.scala 585:74] - wire [2:0] _GEN_367 = {{2'd0}, _T_4481}; // @[el2_lsu_bus_buffer.scala 585:154] - wire [3:0] buf_numvld_pend_any = _T_4498 + _GEN_367; // @[el2_lsu_bus_buffer.scala 585:154] + wire _T_4492 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] + wire _T_4496 = _T_4492 | _T_4473; // @[el2_lsu_bus_buffer.scala 583:74] + wire _T_4487 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] + wire _T_4491 = _T_4487 | _T_4470; // @[el2_lsu_bus_buffer.scala 583:74] + wire [1:0] _T_4497 = _T_4496 + _T_4491; // @[el2_lsu_bus_buffer.scala 583:154] + wire _T_4482 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] + wire _T_4486 = _T_4482 | _T_4467; // @[el2_lsu_bus_buffer.scala 583:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4486}; // @[el2_lsu_bus_buffer.scala 583:154] + wire [2:0] _T_4498 = _T_4497 + _GEN_366; // @[el2_lsu_bus_buffer.scala 583:154] + wire _T_4477 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 583:63] + wire _T_4481 = _T_4477 | _T_4464; // @[el2_lsu_bus_buffer.scala 583:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4481}; // @[el2_lsu_bus_buffer.scala 583:154] + wire [3:0] buf_numvld_pend_any = _T_4498 + _GEN_367; // @[el2_lsu_bus_buffer.scala 583:154] wire _T_1100 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 326:53] wire _T_1101 = ibuf_byp & _T_1100; // @[el2_lsu_bus_buffer.scala 326:31] wire _T_1102 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 326:64] wire _T_1103 = _T_1102 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 326:84] wire ibuf_buf_byp = _T_1101 & _T_1103; // @[el2_lsu_bus_buffer.scala 326:61] wire _T_1104 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 341:32] - wire _T_4788 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] - wire _T_4790 = _T_4788 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 614:73] - wire _T_4791 = _T_4790 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] - wire _T_4792 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] - wire _T_4794 = _T_4792 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 614:73] - wire _T_4795 = _T_4794 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] - wire _T_4804 = _T_4791 | _T_4795; // @[el2_lsu_bus_buffer.scala 614:141] - wire _T_4796 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] - wire _T_4798 = _T_4796 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 614:73] - wire _T_4799 = _T_4798 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] - wire _T_4805 = _T_4804 | _T_4799; // @[el2_lsu_bus_buffer.scala 614:141] - wire _T_4800 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 614:62] - wire _T_4802 = _T_4800 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 614:73] - wire _T_4803 = _T_4802 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 614:93] - wire bus_sideeffect_pend = _T_4805 | _T_4803; // @[el2_lsu_bus_buffer.scala 614:141] + wire _T_4788 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] + wire _T_4790 = _T_4788 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 612:73] + wire _T_4791 = _T_4790 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] + wire _T_4792 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] + wire _T_4794 = _T_4792 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 612:73] + wire _T_4795 = _T_4794 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] + wire _T_4804 = _T_4791 | _T_4795; // @[el2_lsu_bus_buffer.scala 612:141] + wire _T_4796 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] + wire _T_4798 = _T_4796 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 612:73] + wire _T_4799 = _T_4798 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] + wire _T_4805 = _T_4804 | _T_4799; // @[el2_lsu_bus_buffer.scala 612:141] + wire _T_4800 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 612:62] + wire _T_4802 = _T_4800 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 612:73] + wire _T_4803 = _T_4802 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 612:93] + wire bus_sideeffect_pend = _T_4805 | _T_4803; // @[el2_lsu_bus_buffer.scala 612:141] wire _T_1105 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 341:74] wire _T_1106 = ~_T_1105; // @[el2_lsu_bus_buffer.scala 341:52] wire _T_1107 = _T_1104 & _T_1106; // @[el2_lsu_bus_buffer.scala 341:50] @@ -1161,7 +1161,7 @@ module el2_lsu_bus_buffer( wire [2:0] _T_1115 = _T_1039 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1118 = _T_1117 | _T_1115; // @[Mux.scala 27:72] wire _T_1120 = _T_1118 == 3'h2; // @[el2_lsu_bus_buffer.scala 342:36] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 443:31] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 441:31] wire _T_1121 = _T_1120 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 342:47] wire [3:0] _T_1124 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1133 = _T_1036 & _T_1124[0]; // @[Mux.scala 27:72] @@ -1211,37 +1211,37 @@ module el2_lsu_bus_buffer( wire _T_1217 = ~_T_1215; // @[el2_lsu_bus_buffer.scala 344:150] wire _T_1218 = _T_1200 & _T_1217; // @[el2_lsu_bus_buffer.scala 344:148] wire _T_1219 = ~_T_1218; // @[el2_lsu_bus_buffer.scala 344:8] - wire [3:0] _T_2035 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 439:62] - wire [3:0] _T_2036 = buf_age_3 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] - wire _T_2037 = |_T_2036; // @[el2_lsu_bus_buffer.scala 439:76] - wire _T_2038 = ~_T_2037; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_2040 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 439:83] - wire _T_2041 = _T_2038 & _T_2040; // @[el2_lsu_bus_buffer.scala 439:81] - wire _T_2043 = _T_2041 & _T_2636; // @[el2_lsu_bus_buffer.scala 439:98] - wire _T_2045 = _T_2043 & _T_4457; // @[el2_lsu_bus_buffer.scala 439:123] - wire [3:0] _T_2025 = buf_age_2 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] - wire _T_2026 = |_T_2025; // @[el2_lsu_bus_buffer.scala 439:76] - wire _T_2027 = ~_T_2026; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_2029 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 439:83] - wire _T_2030 = _T_2027 & _T_2029; // @[el2_lsu_bus_buffer.scala 439:81] - wire _T_2032 = _T_2030 & _T_2631; // @[el2_lsu_bus_buffer.scala 439:98] - wire _T_2034 = _T_2032 & _T_4452; // @[el2_lsu_bus_buffer.scala 439:123] - wire [3:0] _T_2014 = buf_age_1 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] - wire _T_2015 = |_T_2014; // @[el2_lsu_bus_buffer.scala 439:76] - wire _T_2016 = ~_T_2015; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_2018 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 439:83] - wire _T_2019 = _T_2016 & _T_2018; // @[el2_lsu_bus_buffer.scala 439:81] - wire _T_2021 = _T_2019 & _T_2626; // @[el2_lsu_bus_buffer.scala 439:98] - wire _T_2023 = _T_2021 & _T_4447; // @[el2_lsu_bus_buffer.scala 439:123] - wire [3:0] _T_2003 = buf_age_0 & _T_2035; // @[el2_lsu_bus_buffer.scala 439:59] - wire _T_2004 = |_T_2003; // @[el2_lsu_bus_buffer.scala 439:76] - wire _T_2005 = ~_T_2004; // @[el2_lsu_bus_buffer.scala 439:45] - wire _T_2007 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 439:83] - wire _T_2008 = _T_2005 & _T_2007; // @[el2_lsu_bus_buffer.scala 439:81] - wire _T_2010 = _T_2008 & _T_2621; // @[el2_lsu_bus_buffer.scala 439:98] - wire _T_2012 = _T_2010 & _T_4442; // @[el2_lsu_bus_buffer.scala 439:123] + wire [3:0] _T_2035 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 437:62] + wire [3:0] _T_2036 = buf_age_3 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] + wire _T_2037 = |_T_2036; // @[el2_lsu_bus_buffer.scala 437:76] + wire _T_2038 = ~_T_2037; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2040 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 437:83] + wire _T_2041 = _T_2038 & _T_2040; // @[el2_lsu_bus_buffer.scala 437:81] + wire _T_2043 = _T_2041 & _T_2636; // @[el2_lsu_bus_buffer.scala 437:98] + wire _T_2045 = _T_2043 & _T_4457; // @[el2_lsu_bus_buffer.scala 437:123] + wire [3:0] _T_2025 = buf_age_2 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] + wire _T_2026 = |_T_2025; // @[el2_lsu_bus_buffer.scala 437:76] + wire _T_2027 = ~_T_2026; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2029 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 437:83] + wire _T_2030 = _T_2027 & _T_2029; // @[el2_lsu_bus_buffer.scala 437:81] + wire _T_2032 = _T_2030 & _T_2631; // @[el2_lsu_bus_buffer.scala 437:98] + wire _T_2034 = _T_2032 & _T_4452; // @[el2_lsu_bus_buffer.scala 437:123] + wire [3:0] _T_2014 = buf_age_1 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] + wire _T_2015 = |_T_2014; // @[el2_lsu_bus_buffer.scala 437:76] + wire _T_2016 = ~_T_2015; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2018 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 437:83] + wire _T_2019 = _T_2016 & _T_2018; // @[el2_lsu_bus_buffer.scala 437:81] + wire _T_2021 = _T_2019 & _T_2626; // @[el2_lsu_bus_buffer.scala 437:98] + wire _T_2023 = _T_2021 & _T_4447; // @[el2_lsu_bus_buffer.scala 437:123] + wire [3:0] _T_2003 = buf_age_0 & _T_2035; // @[el2_lsu_bus_buffer.scala 437:59] + wire _T_2004 = |_T_2003; // @[el2_lsu_bus_buffer.scala 437:76] + wire _T_2005 = ~_T_2004; // @[el2_lsu_bus_buffer.scala 437:45] + wire _T_2007 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 437:83] + wire _T_2008 = _T_2005 & _T_2007; // @[el2_lsu_bus_buffer.scala 437:81] + wire _T_2010 = _T_2008 & _T_2621; // @[el2_lsu_bus_buffer.scala 437:98] + wire _T_2012 = _T_2010 & _T_4442; // @[el2_lsu_bus_buffer.scala 437:123] wire [3:0] CmdPtr1Dec = {_T_2045,_T_2034,_T_2023,_T_2012}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 444:31] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 442:31] wire _T_1220 = _T_1219 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 344:181] wire [3:0] _T_1223 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1232 = _T_1036 & _T_1223[0]; // @[Mux.scala 27:72] @@ -1258,10 +1258,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 406:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 407:55] - wire _T_4863 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 618:54] - wire _T_4864 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 618:75] - wire _T_4866 = _T_4863 ? _T_4864 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 618:39] - wire bus_cmd_ready = obuf_write ? _T_4866 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 618:23] + wire _T_4863 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 616:54] + wire _T_4864 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 616:75] + wire _T_4866 = _T_4863 ? _T_4864 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 616:39] + wire bus_cmd_ready = obuf_write ? _T_4866 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 616:23] wire _T_1244 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 345:48] wire _T_1245 = bus_cmd_ready | _T_1244; // @[el2_lsu_bus_buffer.scala 345:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1270,52 +1270,52 @@ module el2_lsu_bus_buffer( wire _T_1248 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 345:77] wire _T_1249 = _T_1247 & _T_1248; // @[el2_lsu_bus_buffer.scala 345:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4811 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] - wire _T_4812 = obuf_valid & _T_4811; // @[el2_lsu_bus_buffer.scala 616:38] - wire _T_4814 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 616:126] - wire _T_4815 = obuf_merge & _T_4814; // @[el2_lsu_bus_buffer.scala 616:114] - wire _T_4816 = _T_3577 | _T_4815; // @[el2_lsu_bus_buffer.scala 616:100] - wire _T_4817 = ~_T_4816; // @[el2_lsu_bus_buffer.scala 616:80] - wire _T_4818 = _T_4812 & _T_4817; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4811 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] + wire _T_4812 = obuf_valid & _T_4811; // @[el2_lsu_bus_buffer.scala 614:38] + wire _T_4814 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 614:126] + wire _T_4815 = obuf_merge & _T_4814; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4816 = _T_3577 | _T_4815; // @[el2_lsu_bus_buffer.scala 614:100] + wire _T_4817 = ~_T_4816; // @[el2_lsu_bus_buffer.scala 614:80] + wire _T_4818 = _T_4812 & _T_4817; // @[el2_lsu_bus_buffer.scala 614:78] wire _T_4855 = _T_4788 & _T_4818; // @[Mux.scala 27:72] - wire _T_4823 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] - wire _T_4824 = obuf_valid & _T_4823; // @[el2_lsu_bus_buffer.scala 616:38] - wire _T_4826 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 616:126] - wire _T_4827 = obuf_merge & _T_4826; // @[el2_lsu_bus_buffer.scala 616:114] - wire _T_4828 = _T_3770 | _T_4827; // @[el2_lsu_bus_buffer.scala 616:100] - wire _T_4829 = ~_T_4828; // @[el2_lsu_bus_buffer.scala 616:80] - wire _T_4830 = _T_4824 & _T_4829; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4823 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] + wire _T_4824 = obuf_valid & _T_4823; // @[el2_lsu_bus_buffer.scala 614:38] + wire _T_4826 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 614:126] + wire _T_4827 = obuf_merge & _T_4826; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4828 = _T_3770 | _T_4827; // @[el2_lsu_bus_buffer.scala 614:100] + wire _T_4829 = ~_T_4828; // @[el2_lsu_bus_buffer.scala 614:80] + wire _T_4830 = _T_4824 & _T_4829; // @[el2_lsu_bus_buffer.scala 614:78] wire _T_4856 = _T_4792 & _T_4830; // @[Mux.scala 27:72] wire _T_4859 = _T_4855 | _T_4856; // @[Mux.scala 27:72] - wire _T_4835 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] - wire _T_4836 = obuf_valid & _T_4835; // @[el2_lsu_bus_buffer.scala 616:38] - wire _T_4838 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 616:126] - wire _T_4839 = obuf_merge & _T_4838; // @[el2_lsu_bus_buffer.scala 616:114] - wire _T_4840 = _T_3963 | _T_4839; // @[el2_lsu_bus_buffer.scala 616:100] - wire _T_4841 = ~_T_4840; // @[el2_lsu_bus_buffer.scala 616:80] - wire _T_4842 = _T_4836 & _T_4841; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4835 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] + wire _T_4836 = obuf_valid & _T_4835; // @[el2_lsu_bus_buffer.scala 614:38] + wire _T_4838 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 614:126] + wire _T_4839 = obuf_merge & _T_4838; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4840 = _T_3963 | _T_4839; // @[el2_lsu_bus_buffer.scala 614:100] + wire _T_4841 = ~_T_4840; // @[el2_lsu_bus_buffer.scala 614:80] + wire _T_4842 = _T_4836 & _T_4841; // @[el2_lsu_bus_buffer.scala 614:78] wire _T_4857 = _T_4796 & _T_4842; // @[Mux.scala 27:72] wire _T_4860 = _T_4859 | _T_4857; // @[Mux.scala 27:72] - wire _T_4847 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 616:56] - wire _T_4848 = obuf_valid & _T_4847; // @[el2_lsu_bus_buffer.scala 616:38] - wire _T_4850 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 616:126] - wire _T_4851 = obuf_merge & _T_4850; // @[el2_lsu_bus_buffer.scala 616:114] - wire _T_4852 = _T_4156 | _T_4851; // @[el2_lsu_bus_buffer.scala 616:100] - wire _T_4853 = ~_T_4852; // @[el2_lsu_bus_buffer.scala 616:80] - wire _T_4854 = _T_4848 & _T_4853; // @[el2_lsu_bus_buffer.scala 616:78] + wire _T_4847 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 614:56] + wire _T_4848 = obuf_valid & _T_4847; // @[el2_lsu_bus_buffer.scala 614:38] + wire _T_4850 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 614:126] + wire _T_4851 = obuf_merge & _T_4850; // @[el2_lsu_bus_buffer.scala 614:114] + wire _T_4852 = _T_4156 | _T_4851; // @[el2_lsu_bus_buffer.scala 614:100] + wire _T_4853 = ~_T_4852; // @[el2_lsu_bus_buffer.scala 614:80] + wire _T_4854 = _T_4848 & _T_4853; // @[el2_lsu_bus_buffer.scala 614:78] wire _T_4858 = _T_4800 & _T_4854; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4860 | _T_4858; // @[Mux.scala 27:72] wire _T_1252 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 345:118] wire _T_1253 = _T_1249 & _T_1252; // @[el2_lsu_bus_buffer.scala 345:116] wire obuf_wr_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 345:142] wire _T_1255 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 347:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 619:39] - wire _T_4870 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 621:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 620:39] - wire _T_4871 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 621:70] - wire _T_4872 = _T_4870 & _T_4871; // @[el2_lsu_bus_buffer.scala 621:52] - wire _T_4873 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 621:111] - wire bus_cmd_sent = _T_4872 | _T_4873; // @[el2_lsu_bus_buffer.scala 621:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 617:39] + wire _T_4870 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 619:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 618:39] + wire _T_4871 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 619:70] + wire _T_4872 = _T_4870 & _T_4871; // @[el2_lsu_bus_buffer.scala 619:52] + wire _T_4873 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 619:111] + wire bus_cmd_sent = _T_4872 | _T_4873; // @[el2_lsu_bus_buffer.scala 619:89] wire _T_1256 = bus_cmd_sent | _T_1255; // @[el2_lsu_bus_buffer.scala 347:33] wire _T_1257 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 347:65] wire _T_1258 = _T_1256 & _T_1257; // @[el2_lsu_bus_buffer.scala 347:63] @@ -1367,7 +1367,7 @@ module el2_lsu_bus_buffer( wire _T_1362 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 381:20] wire _T_1363 = obuf_valid & _T_1362; // @[el2_lsu_bus_buffer.scala 381:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 408:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 622:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 620:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 409:55] wire _T_1364 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 381:90] wire _T_1365 = bus_rsp_read & _T_1364; // @[el2_lsu_bus_buffer.scala 381:70] @@ -1484,212 +1484,204 @@ module el2_lsu_bus_buffer( reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1866 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] - wire _T_1867 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 422:97] - wire _T_1868 = ibuf_valid & _T_1867; // @[el2_lsu_bus_buffer.scala 422:86] - wire _T_1869 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 423:34] - wire _T_1870 = io_lsu_busreq_r & _T_1869; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1871 = _T_1868 | _T_1870; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1872 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1873 = io_ldst_dual_r & _T_1872; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1874 = _T_1871 | _T_1873; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1875 = ~_T_1874; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1876 = _T_1866 & _T_1875; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1877 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] - wire _T_1878 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 422:97] - wire _T_1879 = ibuf_valid & _T_1878; // @[el2_lsu_bus_buffer.scala 422:86] - wire _T_1880 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 423:34] - wire _T_1881 = io_lsu_busreq_r & _T_1880; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1882 = _T_1879 | _T_1881; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1883 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1884 = io_ldst_dual_r & _T_1883; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1885 = _T_1882 | _T_1884; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1886 = ~_T_1885; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1887 = _T_1877 & _T_1886; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1888 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] - wire _T_1889 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 422:97] - wire _T_1890 = ibuf_valid & _T_1889; // @[el2_lsu_bus_buffer.scala 422:86] - wire _T_1891 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 423:34] - wire _T_1892 = io_lsu_busreq_r & _T_1891; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1893 = _T_1890 | _T_1892; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1894 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1895 = io_ldst_dual_r & _T_1894; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1896 = _T_1893 | _T_1895; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1897 = ~_T_1896; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1898 = _T_1888 & _T_1897; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1899 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:59] - wire _T_1900 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 422:97] - wire _T_1901 = ibuf_valid & _T_1900; // @[el2_lsu_bus_buffer.scala 422:86] - wire _T_1902 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 423:34] - wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1904 = _T_1901 | _T_1903; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1905 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 424:33] - wire _T_1906 = io_ldst_dual_r & _T_1905; // @[el2_lsu_bus_buffer.scala 424:21] - wire _T_1907 = _T_1904 | _T_1906; // @[el2_lsu_bus_buffer.scala 423:44] - wire _T_1908 = ~_T_1907; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1909 = _T_1899 & _T_1908; // @[el2_lsu_bus_buffer.scala 422:70] + wire _T_1866 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] + wire _T_1867 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 422:103] + wire _T_1868 = ibuf_valid & _T_1867; // @[el2_lsu_bus_buffer.scala 422:92] + wire _T_1869 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 423:35] + wire _T_1870 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1871 = io_ldst_dual_r & _T_1870; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1872 = _T_1869 | _T_1871; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1873 = io_lsu_busreq_r & _T_1872; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1874 = _T_1868 | _T_1873; // @[el2_lsu_bus_buffer.scala 422:112] + wire _T_1875 = ~_T_1874; // @[el2_lsu_bus_buffer.scala 422:78] + wire _T_1876 = _T_1866 & _T_1875; // @[el2_lsu_bus_buffer.scala 422:76] + wire _T_1877 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] + wire _T_1878 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 422:103] + wire _T_1879 = ibuf_valid & _T_1878; // @[el2_lsu_bus_buffer.scala 422:92] + wire _T_1880 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 423:35] + wire _T_1881 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1882 = io_ldst_dual_r & _T_1881; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1883 = _T_1880 | _T_1882; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1884 = io_lsu_busreq_r & _T_1883; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1885 = _T_1879 | _T_1884; // @[el2_lsu_bus_buffer.scala 422:112] + wire _T_1886 = ~_T_1885; // @[el2_lsu_bus_buffer.scala 422:78] + wire _T_1887 = _T_1877 & _T_1886; // @[el2_lsu_bus_buffer.scala 422:76] + wire _T_1888 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] + wire _T_1889 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 422:103] + wire _T_1890 = ibuf_valid & _T_1889; // @[el2_lsu_bus_buffer.scala 422:92] + wire _T_1891 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 423:35] + wire _T_1892 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1893 = io_ldst_dual_r & _T_1892; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1894 = _T_1891 | _T_1893; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1895 = io_lsu_busreq_r & _T_1894; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1896 = _T_1890 | _T_1895; // @[el2_lsu_bus_buffer.scala 422:112] + wire _T_1897 = ~_T_1896; // @[el2_lsu_bus_buffer.scala 422:78] + wire _T_1898 = _T_1888 & _T_1897; // @[el2_lsu_bus_buffer.scala 422:76] + wire _T_1899 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 422:65] + wire _T_1900 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 422:103] + wire _T_1901 = ibuf_valid & _T_1900; // @[el2_lsu_bus_buffer.scala 422:92] + wire _T_1902 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 423:35] + wire _T_1903 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1904 = io_ldst_dual_r & _T_1903; // @[el2_lsu_bus_buffer.scala 424:21] + wire _T_1905 = _T_1902 | _T_1904; // @[el2_lsu_bus_buffer.scala 423:44] + wire _T_1906 = io_lsu_busreq_r & _T_1905; // @[el2_lsu_bus_buffer.scala 423:22] + wire _T_1907 = _T_1901 | _T_1906; // @[el2_lsu_bus_buffer.scala 422:112] + wire _T_1908 = ~_T_1907; // @[el2_lsu_bus_buffer.scala 422:78] + wire _T_1909 = _T_1899 & _T_1908; // @[el2_lsu_bus_buffer.scala 422:76] wire [1:0] _T_1910 = _T_1909 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1911 = _T_1898 ? 2'h2 : _T_1910; // @[Mux.scala 98:16] wire [1:0] _T_1912 = _T_1887 ? 2'h1 : _T_1911; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1876 ? 2'h0 : _T_1912; // @[Mux.scala 98:16] wire [8:0] _T_1915 = {buf_state_0,buf_state_1,buf_state_2}; // @[Cat.scala 29:58] - wire _T_1920 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 430:33] - wire _T_1921 = io_lsu_busreq_m & _T_1920; // @[el2_lsu_bus_buffer.scala 430:22] - wire _T_1922 = _T_1868 | _T_1921; // @[el2_lsu_bus_buffer.scala 429:115] - wire _T_1926 = _T_1869 | _T_1873; // @[el2_lsu_bus_buffer.scala 431:46] - wire _T_1927 = io_lsu_busreq_r & _T_1926; // @[el2_lsu_bus_buffer.scala 431:22] - wire _T_1928 = _T_1922 | _T_1927; // @[el2_lsu_bus_buffer.scala 430:42] - wire _T_1929 = ~_T_1928; // @[el2_lsu_bus_buffer.scala 429:81] - wire _T_1930 = _T_1866 & _T_1929; // @[el2_lsu_bus_buffer.scala 429:79] - wire _T_1934 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 430:33] - wire _T_1935 = io_lsu_busreq_m & _T_1934; // @[el2_lsu_bus_buffer.scala 430:22] - wire _T_1936 = _T_1879 | _T_1935; // @[el2_lsu_bus_buffer.scala 429:115] - wire _T_1940 = _T_1880 | _T_1884; // @[el2_lsu_bus_buffer.scala 431:46] - wire _T_1941 = io_lsu_busreq_r & _T_1940; // @[el2_lsu_bus_buffer.scala 431:22] - wire _T_1942 = _T_1936 | _T_1941; // @[el2_lsu_bus_buffer.scala 430:42] - wire _T_1943 = ~_T_1942; // @[el2_lsu_bus_buffer.scala 429:81] - wire _T_1944 = _T_1877 & _T_1943; // @[el2_lsu_bus_buffer.scala 429:79] - wire _T_1948 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 430:33] - wire _T_1949 = io_lsu_busreq_m & _T_1948; // @[el2_lsu_bus_buffer.scala 430:22] - wire _T_1950 = _T_1890 | _T_1949; // @[el2_lsu_bus_buffer.scala 429:115] - wire _T_1954 = _T_1891 | _T_1895; // @[el2_lsu_bus_buffer.scala 431:46] - wire _T_1955 = io_lsu_busreq_r & _T_1954; // @[el2_lsu_bus_buffer.scala 431:22] - wire _T_1956 = _T_1950 | _T_1955; // @[el2_lsu_bus_buffer.scala 430:42] - wire _T_1957 = ~_T_1956; // @[el2_lsu_bus_buffer.scala 429:81] - wire _T_1958 = _T_1888 & _T_1957; // @[el2_lsu_bus_buffer.scala 429:79] - wire _T_1962 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 430:33] - wire _T_1963 = io_lsu_busreq_m & _T_1962; // @[el2_lsu_bus_buffer.scala 430:22] - wire _T_1964 = _T_1901 | _T_1963; // @[el2_lsu_bus_buffer.scala 429:115] - wire _T_1968 = _T_1902 | _T_1906; // @[el2_lsu_bus_buffer.scala 431:46] - wire _T_1969 = io_lsu_busreq_r & _T_1968; // @[el2_lsu_bus_buffer.scala 431:22] - wire _T_1970 = _T_1964 | _T_1969; // @[el2_lsu_bus_buffer.scala 430:42] - wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 429:81] - wire _T_1972 = _T_1899 & _T_1971; // @[el2_lsu_bus_buffer.scala 429:79] + wire _T_1920 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 428:33] + wire _T_1921 = io_lsu_busreq_m & _T_1920; // @[el2_lsu_bus_buffer.scala 428:22] + wire _T_1922 = _T_1868 | _T_1921; // @[el2_lsu_bus_buffer.scala 427:115] + wire _T_1928 = _T_1922 | _T_1873; // @[el2_lsu_bus_buffer.scala 428:42] + wire _T_1929 = ~_T_1928; // @[el2_lsu_bus_buffer.scala 427:81] + wire _T_1930 = _T_1866 & _T_1929; // @[el2_lsu_bus_buffer.scala 427:79] + wire _T_1934 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 428:33] + wire _T_1935 = io_lsu_busreq_m & _T_1934; // @[el2_lsu_bus_buffer.scala 428:22] + wire _T_1936 = _T_1879 | _T_1935; // @[el2_lsu_bus_buffer.scala 427:115] + wire _T_1942 = _T_1936 | _T_1884; // @[el2_lsu_bus_buffer.scala 428:42] + wire _T_1943 = ~_T_1942; // @[el2_lsu_bus_buffer.scala 427:81] + wire _T_1944 = _T_1877 & _T_1943; // @[el2_lsu_bus_buffer.scala 427:79] + wire _T_1948 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 428:33] + wire _T_1949 = io_lsu_busreq_m & _T_1948; // @[el2_lsu_bus_buffer.scala 428:22] + wire _T_1950 = _T_1890 | _T_1949; // @[el2_lsu_bus_buffer.scala 427:115] + wire _T_1956 = _T_1950 | _T_1895; // @[el2_lsu_bus_buffer.scala 428:42] + wire _T_1957 = ~_T_1956; // @[el2_lsu_bus_buffer.scala 427:81] + wire _T_1958 = _T_1888 & _T_1957; // @[el2_lsu_bus_buffer.scala 427:79] + wire _T_1962 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 428:33] + wire _T_1963 = io_lsu_busreq_m & _T_1962; // @[el2_lsu_bus_buffer.scala 428:22] + wire _T_1964 = _T_1901 | _T_1963; // @[el2_lsu_bus_buffer.scala 427:115] + wire _T_1970 = _T_1964 | _T_1906; // @[el2_lsu_bus_buffer.scala 428:42] + wire _T_1971 = ~_T_1970; // @[el2_lsu_bus_buffer.scala 427:81] + wire _T_1972 = _T_1899 & _T_1971; // @[el2_lsu_bus_buffer.scala 427:79] wire [1:0] _T_1973 = _T_1972 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1974 = _T_1958 ? 2'h2 : _T_1973; // @[Mux.scala 98:16] wire [1:0] _T_1975 = _T_1944 ? 2'h1 : _T_1974; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 562:63] - wire _T_2761 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_2762 = buf_rspageQ_0[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2758 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_2759 = buf_rspageQ_0[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2755 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_2756 = buf_rspageQ_0[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2752 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 475:104] - wire _T_2753 = buf_rspageQ_0[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 560:63] + wire _T_2761 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] + wire _T_2762 = buf_rspageQ_0[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2758 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] + wire _T_2759 = buf_rspageQ_0[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2755 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] + wire _T_2756 = buf_rspageQ_0[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2752 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 473:104] + wire _T_2753 = buf_rspageQ_0[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] wire [3:0] buf_rsp_pickage_0 = {_T_2762,_T_2759,_T_2756,_T_2753}; // @[Cat.scala 29:58] - wire _T_2048 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 442:65] - wire _T_2049 = ~_T_2048; // @[el2_lsu_bus_buffer.scala 442:44] - wire _T_2051 = _T_2049 & _T_2752; // @[el2_lsu_bus_buffer.scala 442:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 562:63] - wire _T_2777 = buf_rspageQ_1[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2774 = buf_rspageQ_1[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2771 = buf_rspageQ_1[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2768 = buf_rspageQ_1[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2048 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 440:65] + wire _T_2049 = ~_T_2048; // @[el2_lsu_bus_buffer.scala 440:44] + wire _T_2051 = _T_2049 & _T_2752; // @[el2_lsu_bus_buffer.scala 440:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 560:63] + wire _T_2777 = buf_rspageQ_1[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2774 = buf_rspageQ_1[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2771 = buf_rspageQ_1[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2768 = buf_rspageQ_1[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] wire [3:0] buf_rsp_pickage_1 = {_T_2777,_T_2774,_T_2771,_T_2768}; // @[Cat.scala 29:58] - wire _T_2052 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 442:65] - wire _T_2053 = ~_T_2052; // @[el2_lsu_bus_buffer.scala 442:44] - wire _T_2055 = _T_2053 & _T_2755; // @[el2_lsu_bus_buffer.scala 442:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 562:63] - wire _T_2792 = buf_rspageQ_2[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2789 = buf_rspageQ_2[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2786 = buf_rspageQ_2[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2783 = buf_rspageQ_2[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2052 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 440:65] + wire _T_2053 = ~_T_2052; // @[el2_lsu_bus_buffer.scala 440:44] + wire _T_2055 = _T_2053 & _T_2755; // @[el2_lsu_bus_buffer.scala 440:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 560:63] + wire _T_2792 = buf_rspageQ_2[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2789 = buf_rspageQ_2[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2786 = buf_rspageQ_2[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2783 = buf_rspageQ_2[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] wire [3:0] buf_rsp_pickage_2 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] - wire _T_2056 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 442:65] - wire _T_2057 = ~_T_2056; // @[el2_lsu_bus_buffer.scala 442:44] - wire _T_2059 = _T_2057 & _T_2758; // @[el2_lsu_bus_buffer.scala 442:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 562:63] - wire _T_2807 = buf_rspageQ_3[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2804 = buf_rspageQ_3[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2801 = buf_rspageQ_3[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 475:89] - wire _T_2798 = buf_rspageQ_3[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 475:89] + wire _T_2056 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 440:65] + wire _T_2057 = ~_T_2056; // @[el2_lsu_bus_buffer.scala 440:44] + wire _T_2059 = _T_2057 & _T_2758; // @[el2_lsu_bus_buffer.scala 440:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 560:63] + wire _T_2807 = buf_rspageQ_3[3] & _T_2761; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2804 = buf_rspageQ_3[2] & _T_2758; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2801 = buf_rspageQ_3[1] & _T_2755; // @[el2_lsu_bus_buffer.scala 473:89] + wire _T_2798 = buf_rspageQ_3[0] & _T_2752; // @[el2_lsu_bus_buffer.scala 473:89] wire [3:0] buf_rsp_pickage_3 = {_T_2807,_T_2804,_T_2801,_T_2798}; // @[Cat.scala 29:58] - wire _T_2060 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 442:65] - wire _T_2061 = ~_T_2060; // @[el2_lsu_bus_buffer.scala 442:44] - wire _T_2063 = _T_2061 & _T_2761; // @[el2_lsu_bus_buffer.scala 442:70] + wire _T_2060 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 440:65] + wire _T_2061 = ~_T_2060; // @[el2_lsu_bus_buffer.scala 440:44] + wire _T_2063 = _T_2061 & _T_2761; // @[el2_lsu_bus_buffer.scala 440:70] wire [7:0] _T_2119 = {4'h0,_T_2063,_T_2059,_T_2055,_T_2051}; // @[Cat.scala 29:58] - wire _T_2122 = _T_2119[4] | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 446:42] - wire _T_2124 = _T_2122 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 446:48] - wire _T_2126 = _T_2124 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 446:54] - wire _T_2129 = _T_2119[2] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 446:67] - wire _T_2131 = _T_2129 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 446:73] - wire _T_2133 = _T_2131 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 446:79] - wire _T_2136 = _T_2119[1] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 446:92] - wire _T_2138 = _T_2136 | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 446:98] - wire _T_2140 = _T_2138 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 446:104] + wire _T_2122 = _T_2119[4] | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 444:42] + wire _T_2124 = _T_2122 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_2126 = _T_2124 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 444:54] + wire _T_2129 = _T_2119[2] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 444:67] + wire _T_2131 = _T_2129 | _T_2119[6]; // @[el2_lsu_bus_buffer.scala 444:73] + wire _T_2133 = _T_2131 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 444:79] + wire _T_2136 = _T_2119[1] | _T_2119[3]; // @[el2_lsu_bus_buffer.scala 444:92] + wire _T_2138 = _T_2136 | _T_2119[5]; // @[el2_lsu_bus_buffer.scala 444:98] + wire _T_2140 = _T_2138 | _T_2119[7]; // @[el2_lsu_bus_buffer.scala 444:104] wire [2:0] _T_2142 = {_T_2126,_T_2133,_T_2140}; // @[Cat.scala 29:58] - wire _T_3547 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 505:77] - wire _T_3548 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 505:97] - wire _T_3549 = _T_3547 & _T_3548; // @[el2_lsu_bus_buffer.scala 505:95] - wire _T_3550 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] - wire _T_3551 = _T_3549 & _T_3550; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_3552 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 505:144] - wire _T_3553 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] - wire _T_3554 = _T_3552 & _T_3553; // @[el2_lsu_bus_buffer.scala 505:161] - wire _T_3555 = _T_3551 | _T_3554; // @[el2_lsu_bus_buffer.scala 505:132] - wire _T_3556 = _T_845 & _T_3555; // @[el2_lsu_bus_buffer.scala 505:63] - wire _T_3557 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] - wire _T_3558 = ibuf_drain_vld & _T_3557; // @[el2_lsu_bus_buffer.scala 505:201] - wire _T_3559 = _T_3556 | _T_3558; // @[el2_lsu_bus_buffer.scala 505:183] - wire _T_3569 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 512:46] + wire _T_3547 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 503:77] + wire _T_3548 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 503:97] + wire _T_3549 = _T_3547 & _T_3548; // @[el2_lsu_bus_buffer.scala 503:95] + wire _T_3550 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] + wire _T_3551 = _T_3549 & _T_3550; // @[el2_lsu_bus_buffer.scala 503:112] + wire _T_3552 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 503:144] + wire _T_3553 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] + wire _T_3554 = _T_3552 & _T_3553; // @[el2_lsu_bus_buffer.scala 503:161] + wire _T_3555 = _T_3551 | _T_3554; // @[el2_lsu_bus_buffer.scala 503:132] + wire _T_3556 = _T_845 & _T_3555; // @[el2_lsu_bus_buffer.scala 503:63] + wire _T_3557 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] + wire _T_3558 = ibuf_drain_vld & _T_3557; // @[el2_lsu_bus_buffer.scala 503:201] + wire _T_3559 = _T_3556 | _T_3558; // @[el2_lsu_bus_buffer.scala 503:183] + wire _T_3569 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 510:46] wire _T_3604 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 623:38] - wire _T_3649 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 530:73] - wire _T_3650 = bus_rsp_write & _T_3649; // @[el2_lsu_bus_buffer.scala 530:52] - wire _T_3651 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 531:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 621:38] + wire _T_3649 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 528:73] + wire _T_3650 = bus_rsp_write & _T_3649; // @[el2_lsu_bus_buffer.scala 528:52] + wire _T_3651 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 529:46] reg _T_4322; // @[Reg.scala 27:20] reg _T_4320; // @[Reg.scala 27:20] reg _T_4318; // @[Reg.scala 27:20] reg _T_4316; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4322,_T_4320,_T_4318,_T_4316}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_3653 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_3654 = buf_ldfwd[0] & _T_3653; // @[el2_lsu_bus_buffer.scala 532:27] - wire _T_3655 = _T_3651 | _T_3654; // @[el2_lsu_bus_buffer.scala 531:77] - wire _T_3656 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 533:26] - wire _T_3658 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 533:44] - wire _T_3659 = _T_3656 & _T_3658; // @[el2_lsu_bus_buffer.scala 533:42] - wire _T_3660 = _T_3659 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 533:58] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_3653 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_3654 = buf_ldfwd[0] & _T_3653; // @[el2_lsu_bus_buffer.scala 530:27] + wire _T_3655 = _T_3651 | _T_3654; // @[el2_lsu_bus_buffer.scala 529:77] + wire _T_3656 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 531:26] + wire _T_3658 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 531:44] + wire _T_3659 = _T_3656 & _T_3658; // @[el2_lsu_bus_buffer.scala 531:42] + wire _T_3660 = _T_3659 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 531:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_3661 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_3662 = _T_3660 & _T_3661; // @[el2_lsu_bus_buffer.scala 533:74] - wire _T_3663 = _T_3655 | _T_3662; // @[el2_lsu_bus_buffer.scala 532:71] - wire _T_3664 = bus_rsp_read & _T_3663; // @[el2_lsu_bus_buffer.scala 531:25] - wire _T_3665 = _T_3650 | _T_3664; // @[el2_lsu_bus_buffer.scala 530:105] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_3661 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_3662 = _T_3660 & _T_3661; // @[el2_lsu_bus_buffer.scala 531:74] + wire _T_3663 = _T_3655 | _T_3662; // @[el2_lsu_bus_buffer.scala 530:71] + wire _T_3664 = bus_rsp_read & _T_3663; // @[el2_lsu_bus_buffer.scala 529:25] + wire _T_3665 = _T_3650 | _T_3664; // @[el2_lsu_bus_buffer.scala 528:105] wire _GEN_42 = _T_3604 & _T_3665; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3570 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3566 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3691 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3701 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 545:21] + wire [3:0] _T_3701 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 543:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 545:58] - wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_3703 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_3704 = _T_3701[0] & _T_3703; // @[el2_lsu_bus_buffer.scala 545:38] - wire _T_3705 = _T_3661 | _T_3704; // @[el2_lsu_bus_buffer.scala 544:95] - wire _T_3706 = bus_rsp_read & _T_3705; // @[el2_lsu_bus_buffer.scala 544:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 543:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_3703 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_3704 = _T_3701[0] & _T_3703; // @[el2_lsu_bus_buffer.scala 543:38] + wire _T_3705 = _T_3661 | _T_3704; // @[el2_lsu_bus_buffer.scala 542:95] + wire _T_3706 = bus_rsp_read & _T_3705; // @[el2_lsu_bus_buffer.scala 542:45] wire _GEN_36 = _T_3691 & _T_3706; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3604 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3570 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3566 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3543 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3583 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] - wire _T_3584 = _T_3583 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_3583 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] + wire _T_3584 = _T_3583 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] wire _T_3709 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2142[1:0]; // @[el2_lsu_bus_buffer.scala 455:10] - wire _T_3712 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 550:37] - wire _T_3713 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] - wire _T_3714 = buf_dual_0 & _T_3713; // @[el2_lsu_bus_buffer.scala 550:80] - wire _T_3715 = _T_3712 | _T_3714; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_3716 = _T_3715 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire [1:0] RspPtr = _T_2142[1:0]; // @[el2_lsu_bus_buffer.scala 453:10] + wire _T_3712 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 548:37] + wire _T_3713 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] + wire _T_3714 = buf_dual_0 & _T_3713; // @[el2_lsu_bus_buffer.scala 548:80] + wire _T_3715 = _T_3712 | _T_3714; // @[el2_lsu_bus_buffer.scala 548:65] + wire _T_3716 = _T_3715 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] wire _T_3717 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3709 ? _T_3716 : _T_3717; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3691 ? _T_3584 : _GEN_31; // @[Conditional.scala 39:67] @@ -1697,93 +1689,93 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3570 ? _T_3584 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3566 ? _T_3569 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3543 ? _T_3559 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2144 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 467:94] - wire _T_2150 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 469:23] - wire _T_2152 = _T_2150 & _T_3547; // @[el2_lsu_bus_buffer.scala 469:41] - wire _T_2154 = _T_2152 & _T_1869; // @[el2_lsu_bus_buffer.scala 469:71] - wire _T_2156 = _T_2154 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2157 = _T_4481 | _T_2156; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2158 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 470:17] - wire _T_2159 = _T_2158 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 470:35] - wire _T_2161 = _T_2159 & _T_1872; // @[el2_lsu_bus_buffer.scala 470:52] - wire _T_2163 = _T_2161 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2164 = _T_2157 | _T_2163; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2165 = _T_2144 & _T_2164; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2167 = _T_2165 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2181 = _T_2154 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2182 = _T_4486 | _T_2181; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2188 = _T_2161 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2189 = _T_2182 | _T_2188; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2190 = _T_2144 & _T_2189; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2192 = _T_2190 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2206 = _T_2154 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2207 = _T_4491 | _T_2206; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2213 = _T_2161 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2214 = _T_2207 | _T_2213; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2215 = _T_2144 & _T_2214; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2217 = _T_2215 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2231 = _T_2154 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2232 = _T_4496 | _T_2231; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2238 = _T_2161 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2239 = _T_2232 | _T_2238; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2240 = _T_2144 & _T_2239; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2242 = _T_2240 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2144 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 465:94] + wire _T_2150 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 467:23] + wire _T_2152 = _T_2150 & _T_3547; // @[el2_lsu_bus_buffer.scala 467:41] + wire _T_2154 = _T_2152 & _T_1869; // @[el2_lsu_bus_buffer.scala 467:71] + wire _T_2156 = _T_2154 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2157 = _T_4481 | _T_2156; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2158 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 468:17] + wire _T_2159 = _T_2158 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 468:35] + wire _T_2161 = _T_2159 & _T_1870; // @[el2_lsu_bus_buffer.scala 468:52] + wire _T_2163 = _T_2161 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2164 = _T_2157 | _T_2163; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2165 = _T_2144 & _T_2164; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2167 = _T_2165 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2181 = _T_2154 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2182 = _T_4486 | _T_2181; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2188 = _T_2161 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2189 = _T_2182 | _T_2188; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2190 = _T_2144 & _T_2189; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2192 = _T_2190 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2206 = _T_2154 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2207 = _T_4491 | _T_2206; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2213 = _T_2161 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2214 = _T_2207 | _T_2213; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2215 = _T_2144 & _T_2214; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2217 = _T_2215 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2231 = _T_2154 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2232 = _T_4496 | _T_2231; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2238 = _T_2161 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2239 = _T_2232 | _T_2238; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2240 = _T_2144 & _T_2239; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2242 = _T_2240 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 468:97] wire [2:0] _T_2244 = {_T_2242,_T_2217,_T_2192}; // @[Cat.scala 29:58] - wire _T_3743 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] - wire _T_3744 = _T_3549 & _T_3743; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_3746 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] - wire _T_3747 = _T_3552 & _T_3746; // @[el2_lsu_bus_buffer.scala 505:161] - wire _T_3748 = _T_3744 | _T_3747; // @[el2_lsu_bus_buffer.scala 505:132] - wire _T_3749 = _T_845 & _T_3748; // @[el2_lsu_bus_buffer.scala 505:63] - wire _T_3750 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] - wire _T_3751 = ibuf_drain_vld & _T_3750; // @[el2_lsu_bus_buffer.scala 505:201] - wire _T_3752 = _T_3749 | _T_3751; // @[el2_lsu_bus_buffer.scala 505:183] + wire _T_3743 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] + wire _T_3744 = _T_3549 & _T_3743; // @[el2_lsu_bus_buffer.scala 503:112] + wire _T_3746 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] + wire _T_3747 = _T_3552 & _T_3746; // @[el2_lsu_bus_buffer.scala 503:161] + wire _T_3748 = _T_3744 | _T_3747; // @[el2_lsu_bus_buffer.scala 503:132] + wire _T_3749 = _T_845 & _T_3748; // @[el2_lsu_bus_buffer.scala 503:63] + wire _T_3750 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] + wire _T_3751 = ibuf_drain_vld & _T_3750; // @[el2_lsu_bus_buffer.scala 503:201] + wire _T_3752 = _T_3749 | _T_3751; // @[el2_lsu_bus_buffer.scala 503:183] wire _T_3797 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3842 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 530:73] - wire _T_3843 = bus_rsp_write & _T_3842; // @[el2_lsu_bus_buffer.scala 530:52] - wire _T_3844 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 531:46] - wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_3846 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_3847 = buf_ldfwd[1] & _T_3846; // @[el2_lsu_bus_buffer.scala 532:27] - wire _T_3848 = _T_3844 | _T_3847; // @[el2_lsu_bus_buffer.scala 531:77] - wire _T_3849 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 533:26] - wire _T_3851 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 533:44] - wire _T_3852 = _T_3849 & _T_3851; // @[el2_lsu_bus_buffer.scala 533:42] - wire _T_3853 = _T_3852 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_3842 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 528:73] + wire _T_3843 = bus_rsp_write & _T_3842; // @[el2_lsu_bus_buffer.scala 528:52] + wire _T_3844 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 529:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_3846 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_3847 = buf_ldfwd[1] & _T_3846; // @[el2_lsu_bus_buffer.scala 530:27] + wire _T_3848 = _T_3844 | _T_3847; // @[el2_lsu_bus_buffer.scala 529:77] + wire _T_3849 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 531:26] + wire _T_3851 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 531:44] + wire _T_3852 = _T_3849 & _T_3851; // @[el2_lsu_bus_buffer.scala 531:42] + wire _T_3853 = _T_3852 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 531:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_3854 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_3855 = _T_3853 & _T_3854; // @[el2_lsu_bus_buffer.scala 533:74] - wire _T_3856 = _T_3848 | _T_3855; // @[el2_lsu_bus_buffer.scala 532:71] - wire _T_3857 = bus_rsp_read & _T_3856; // @[el2_lsu_bus_buffer.scala 531:25] - wire _T_3858 = _T_3843 | _T_3857; // @[el2_lsu_bus_buffer.scala 530:105] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_3854 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_3855 = _T_3853 & _T_3854; // @[el2_lsu_bus_buffer.scala 531:74] + wire _T_3856 = _T_3848 | _T_3855; // @[el2_lsu_bus_buffer.scala 530:71] + wire _T_3857 = bus_rsp_read & _T_3856; // @[el2_lsu_bus_buffer.scala 529:25] + wire _T_3858 = _T_3843 | _T_3857; // @[el2_lsu_bus_buffer.scala 528:105] wire _GEN_118 = _T_3797 & _T_3858; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3763 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3759 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3884 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3894 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 545:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 545:58] - wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_3896 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_3897 = _T_3894[0] & _T_3896; // @[el2_lsu_bus_buffer.scala 545:38] - wire _T_3898 = _T_3854 | _T_3897; // @[el2_lsu_bus_buffer.scala 544:95] - wire _T_3899 = bus_rsp_read & _T_3898; // @[el2_lsu_bus_buffer.scala 544:45] + wire [3:0] _T_3894 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 543:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 543:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_3896 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_3897 = _T_3894[0] & _T_3896; // @[el2_lsu_bus_buffer.scala 543:38] + wire _T_3898 = _T_3854 | _T_3897; // @[el2_lsu_bus_buffer.scala 542:95] + wire _T_3899 = bus_rsp_read & _T_3898; // @[el2_lsu_bus_buffer.scala 542:45] wire _GEN_112 = _T_3884 & _T_3899; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3797 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3763 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3759 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3736 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3776 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] - wire _T_3777 = _T_3776 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_3776 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] + wire _T_3777 = _T_3776 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] wire _T_3902 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3905 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 550:37] - wire _T_3906 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] - wire _T_3907 = buf_dual_1 & _T_3906; // @[el2_lsu_bus_buffer.scala 550:80] - wire _T_3908 = _T_3905 | _T_3907; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_3909 = _T_3908 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire _T_3905 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 548:37] + wire _T_3906 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] + wire _T_3907 = buf_dual_1 & _T_3906; // @[el2_lsu_bus_buffer.scala 548:80] + wire _T_3908 = _T_3905 | _T_3907; // @[el2_lsu_bus_buffer.scala 548:65] + wire _T_3909 = _T_3908 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] wire _T_3910 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3902 ? _T_3909 : _T_3910; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3884 ? _T_3777 : _GEN_107; // @[Conditional.scala 39:67] @@ -1791,89 +1783,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3763 ? _T_3777 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3759 ? _T_3569 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3736 ? _T_3752 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2246 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 467:94] - wire _T_2256 = _T_2152 & _T_1880; // @[el2_lsu_bus_buffer.scala 469:71] - wire _T_2258 = _T_2256 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2259 = _T_4481 | _T_2258; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2263 = _T_2159 & _T_1883; // @[el2_lsu_bus_buffer.scala 470:52] - wire _T_2265 = _T_2263 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2266 = _T_2259 | _T_2265; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2267 = _T_2246 & _T_2266; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2269 = _T_2267 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2283 = _T_2256 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2284 = _T_4486 | _T_2283; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2290 = _T_2263 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2291 = _T_2284 | _T_2290; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2292 = _T_2246 & _T_2291; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2294 = _T_2292 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2308 = _T_2256 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2309 = _T_4491 | _T_2308; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2315 = _T_2263 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2316 = _T_2309 | _T_2315; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2317 = _T_2246 & _T_2316; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2319 = _T_2317 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2333 = _T_2256 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2334 = _T_4496 | _T_2333; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2340 = _T_2263 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2341 = _T_2334 | _T_2340; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2342 = _T_2246 & _T_2341; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2344 = _T_2342 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2246 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 465:94] + wire _T_2256 = _T_2152 & _T_1880; // @[el2_lsu_bus_buffer.scala 467:71] + wire _T_2258 = _T_2256 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2259 = _T_4481 | _T_2258; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2263 = _T_2159 & _T_1881; // @[el2_lsu_bus_buffer.scala 468:52] + wire _T_2265 = _T_2263 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2266 = _T_2259 | _T_2265; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2267 = _T_2246 & _T_2266; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2269 = _T_2267 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2283 = _T_2256 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2284 = _T_4486 | _T_2283; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2290 = _T_2263 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2291 = _T_2284 | _T_2290; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2292 = _T_2246 & _T_2291; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2294 = _T_2292 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2308 = _T_2256 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2309 = _T_4491 | _T_2308; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2315 = _T_2263 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2316 = _T_2309 | _T_2315; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2317 = _T_2246 & _T_2316; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2319 = _T_2317 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2333 = _T_2256 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2334 = _T_4496 | _T_2333; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2340 = _T_2263 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2341 = _T_2334 | _T_2340; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2342 = _T_2246 & _T_2341; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2344 = _T_2342 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 468:97] wire [2:0] _T_2346 = {_T_2344,_T_2319,_T_2294}; // @[Cat.scala 29:58] - wire _T_3936 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] - wire _T_3937 = _T_3549 & _T_3936; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_3939 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] - wire _T_3940 = _T_3552 & _T_3939; // @[el2_lsu_bus_buffer.scala 505:161] - wire _T_3941 = _T_3937 | _T_3940; // @[el2_lsu_bus_buffer.scala 505:132] - wire _T_3942 = _T_845 & _T_3941; // @[el2_lsu_bus_buffer.scala 505:63] - wire _T_3943 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] - wire _T_3944 = ibuf_drain_vld & _T_3943; // @[el2_lsu_bus_buffer.scala 505:201] - wire _T_3945 = _T_3942 | _T_3944; // @[el2_lsu_bus_buffer.scala 505:183] + wire _T_3936 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] + wire _T_3937 = _T_3549 & _T_3936; // @[el2_lsu_bus_buffer.scala 503:112] + wire _T_3939 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] + wire _T_3940 = _T_3552 & _T_3939; // @[el2_lsu_bus_buffer.scala 503:161] + wire _T_3941 = _T_3937 | _T_3940; // @[el2_lsu_bus_buffer.scala 503:132] + wire _T_3942 = _T_845 & _T_3941; // @[el2_lsu_bus_buffer.scala 503:63] + wire _T_3943 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] + wire _T_3944 = ibuf_drain_vld & _T_3943; // @[el2_lsu_bus_buffer.scala 503:201] + wire _T_3945 = _T_3942 | _T_3944; // @[el2_lsu_bus_buffer.scala 503:183] wire _T_3990 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4035 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 530:73] - wire _T_4036 = bus_rsp_write & _T_4035; // @[el2_lsu_bus_buffer.scala 530:52] - wire _T_4037 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 531:46] - wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_4039 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_4040 = buf_ldfwd[2] & _T_4039; // @[el2_lsu_bus_buffer.scala 532:27] - wire _T_4041 = _T_4037 | _T_4040; // @[el2_lsu_bus_buffer.scala 531:77] - wire _T_4042 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 533:26] - wire _T_4044 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 533:44] - wire _T_4045 = _T_4042 & _T_4044; // @[el2_lsu_bus_buffer.scala 533:42] - wire _T_4046 = _T_4045 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_4035 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 528:73] + wire _T_4036 = bus_rsp_write & _T_4035; // @[el2_lsu_bus_buffer.scala 528:52] + wire _T_4037 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 529:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_4039 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_4040 = buf_ldfwd[2] & _T_4039; // @[el2_lsu_bus_buffer.scala 530:27] + wire _T_4041 = _T_4037 | _T_4040; // @[el2_lsu_bus_buffer.scala 529:77] + wire _T_4042 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 531:26] + wire _T_4044 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 531:44] + wire _T_4045 = _T_4042 & _T_4044; // @[el2_lsu_bus_buffer.scala 531:42] + wire _T_4046 = _T_4045 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 531:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_4047 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_4048 = _T_4046 & _T_4047; // @[el2_lsu_bus_buffer.scala 533:74] - wire _T_4049 = _T_4041 | _T_4048; // @[el2_lsu_bus_buffer.scala 532:71] - wire _T_4050 = bus_rsp_read & _T_4049; // @[el2_lsu_bus_buffer.scala 531:25] - wire _T_4051 = _T_4036 | _T_4050; // @[el2_lsu_bus_buffer.scala 530:105] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_4047 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_4048 = _T_4046 & _T_4047; // @[el2_lsu_bus_buffer.scala 531:74] + wire _T_4049 = _T_4041 | _T_4048; // @[el2_lsu_bus_buffer.scala 530:71] + wire _T_4050 = bus_rsp_read & _T_4049; // @[el2_lsu_bus_buffer.scala 529:25] + wire _T_4051 = _T_4036 | _T_4050; // @[el2_lsu_bus_buffer.scala 528:105] wire _GEN_194 = _T_3990 & _T_4051; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3956 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3952 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_4077 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4087 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 545:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 545:58] - wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_4089 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_4090 = _T_4087[0] & _T_4089; // @[el2_lsu_bus_buffer.scala 545:38] - wire _T_4091 = _T_4047 | _T_4090; // @[el2_lsu_bus_buffer.scala 544:95] - wire _T_4092 = bus_rsp_read & _T_4091; // @[el2_lsu_bus_buffer.scala 544:45] + wire [3:0] _T_4087 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 543:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 543:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_4089 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_4090 = _T_4087[0] & _T_4089; // @[el2_lsu_bus_buffer.scala 543:38] + wire _T_4091 = _T_4047 | _T_4090; // @[el2_lsu_bus_buffer.scala 542:95] + wire _T_4092 = bus_rsp_read & _T_4091; // @[el2_lsu_bus_buffer.scala 542:45] wire _GEN_188 = _T_4077 & _T_4092; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3990 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3956 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3952 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3929 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3969 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] - wire _T_3970 = _T_3969 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_3969 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] + wire _T_3970 = _T_3969 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] wire _T_4095 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4098 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 550:37] - wire _T_4099 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] - wire _T_4100 = buf_dual_2 & _T_4099; // @[el2_lsu_bus_buffer.scala 550:80] - wire _T_4101 = _T_4098 | _T_4100; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_4102 = _T_4101 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire _T_4098 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 548:37] + wire _T_4099 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] + wire _T_4100 = buf_dual_2 & _T_4099; // @[el2_lsu_bus_buffer.scala 548:80] + wire _T_4101 = _T_4098 | _T_4100; // @[el2_lsu_bus_buffer.scala 548:65] + wire _T_4102 = _T_4101 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] wire _T_4103 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_4095 ? _T_4102 : _T_4103; // @[Conditional.scala 39:67] wire _GEN_189 = _T_4077 ? _T_3970 : _GEN_183; // @[Conditional.scala 39:67] @@ -1881,89 +1873,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3956 ? _T_3970 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3952 ? _T_3569 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3929 ? _T_3945 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2348 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 467:94] - wire _T_2358 = _T_2152 & _T_1891; // @[el2_lsu_bus_buffer.scala 469:71] - wire _T_2360 = _T_2358 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2361 = _T_4481 | _T_2360; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2365 = _T_2159 & _T_1894; // @[el2_lsu_bus_buffer.scala 470:52] - wire _T_2367 = _T_2365 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2368 = _T_2361 | _T_2367; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2369 = _T_2348 & _T_2368; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2371 = _T_2369 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2385 = _T_2358 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2386 = _T_4486 | _T_2385; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2392 = _T_2365 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2393 = _T_2386 | _T_2392; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2394 = _T_2348 & _T_2393; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2396 = _T_2394 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2410 = _T_2358 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2411 = _T_4491 | _T_2410; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2417 = _T_2365 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2418 = _T_2411 | _T_2417; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2419 = _T_2348 & _T_2418; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2421 = _T_2419 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2435 = _T_2358 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2436 = _T_4496 | _T_2435; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2442 = _T_2365 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2443 = _T_2436 | _T_2442; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2444 = _T_2348 & _T_2443; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2446 = _T_2444 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2348 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 465:94] + wire _T_2358 = _T_2152 & _T_1891; // @[el2_lsu_bus_buffer.scala 467:71] + wire _T_2360 = _T_2358 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2361 = _T_4481 | _T_2360; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2365 = _T_2159 & _T_1892; // @[el2_lsu_bus_buffer.scala 468:52] + wire _T_2367 = _T_2365 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2368 = _T_2361 | _T_2367; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2369 = _T_2348 & _T_2368; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2371 = _T_2369 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2385 = _T_2358 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2386 = _T_4486 | _T_2385; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2392 = _T_2365 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2393 = _T_2386 | _T_2392; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2394 = _T_2348 & _T_2393; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2396 = _T_2394 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2410 = _T_2358 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2411 = _T_4491 | _T_2410; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2417 = _T_2365 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2418 = _T_2411 | _T_2417; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2419 = _T_2348 & _T_2418; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2421 = _T_2419 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2435 = _T_2358 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2436 = _T_4496 | _T_2435; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2442 = _T_2365 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2443 = _T_2436 | _T_2442; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2444 = _T_2348 & _T_2443; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2446 = _T_2444 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 468:97] wire [2:0] _T_2448 = {_T_2446,_T_2421,_T_2396}; // @[Cat.scala 29:58] - wire _T_4129 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 505:117] - wire _T_4130 = _T_3549 & _T_4129; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_4132 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 505:166] - wire _T_4133 = _T_3552 & _T_4132; // @[el2_lsu_bus_buffer.scala 505:161] - wire _T_4134 = _T_4130 | _T_4133; // @[el2_lsu_bus_buffer.scala 505:132] - wire _T_4135 = _T_845 & _T_4134; // @[el2_lsu_bus_buffer.scala 505:63] - wire _T_4136 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 505:206] - wire _T_4137 = ibuf_drain_vld & _T_4136; // @[el2_lsu_bus_buffer.scala 505:201] - wire _T_4138 = _T_4135 | _T_4137; // @[el2_lsu_bus_buffer.scala 505:183] + wire _T_4129 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 503:117] + wire _T_4130 = _T_3549 & _T_4129; // @[el2_lsu_bus_buffer.scala 503:112] + wire _T_4132 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 503:166] + wire _T_4133 = _T_3552 & _T_4132; // @[el2_lsu_bus_buffer.scala 503:161] + wire _T_4134 = _T_4130 | _T_4133; // @[el2_lsu_bus_buffer.scala 503:132] + wire _T_4135 = _T_845 & _T_4134; // @[el2_lsu_bus_buffer.scala 503:63] + wire _T_4136 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 503:206] + wire _T_4137 = ibuf_drain_vld & _T_4136; // @[el2_lsu_bus_buffer.scala 503:201] + wire _T_4138 = _T_4135 | _T_4137; // @[el2_lsu_bus_buffer.scala 503:183] wire _T_4183 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4228 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 530:73] - wire _T_4229 = bus_rsp_write & _T_4228; // @[el2_lsu_bus_buffer.scala 530:52] - wire _T_4230 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 531:46] - wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_4232 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 532:47] - wire _T_4233 = buf_ldfwd[3] & _T_4232; // @[el2_lsu_bus_buffer.scala 532:27] - wire _T_4234 = _T_4230 | _T_4233; // @[el2_lsu_bus_buffer.scala 531:77] - wire _T_4235 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 533:26] - wire _T_4237 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 533:44] - wire _T_4238 = _T_4235 & _T_4237; // @[el2_lsu_bus_buffer.scala 533:42] - wire _T_4239 = _T_4238 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 533:58] + wire _T_4228 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 528:73] + wire _T_4229 = bus_rsp_write & _T_4228; // @[el2_lsu_bus_buffer.scala 528:52] + wire _T_4230 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 529:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_4232 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 530:47] + wire _T_4233 = buf_ldfwd[3] & _T_4232; // @[el2_lsu_bus_buffer.scala 530:27] + wire _T_4234 = _T_4230 | _T_4233; // @[el2_lsu_bus_buffer.scala 529:77] + wire _T_4235 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 531:26] + wire _T_4237 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 531:44] + wire _T_4238 = _T_4235 & _T_4237; // @[el2_lsu_bus_buffer.scala 531:42] + wire _T_4239 = _T_4238 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 531:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_4240 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 533:94] - wire _T_4241 = _T_4239 & _T_4240; // @[el2_lsu_bus_buffer.scala 533:74] - wire _T_4242 = _T_4234 | _T_4241; // @[el2_lsu_bus_buffer.scala 532:71] - wire _T_4243 = bus_rsp_read & _T_4242; // @[el2_lsu_bus_buffer.scala 531:25] - wire _T_4244 = _T_4229 | _T_4243; // @[el2_lsu_bus_buffer.scala 530:105] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_4240 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 531:94] + wire _T_4241 = _T_4239 & _T_4240; // @[el2_lsu_bus_buffer.scala 531:74] + wire _T_4242 = _T_4234 | _T_4241; // @[el2_lsu_bus_buffer.scala 530:71] + wire _T_4243 = bus_rsp_read & _T_4242; // @[el2_lsu_bus_buffer.scala 529:25] + wire _T_4244 = _T_4229 | _T_4243; // @[el2_lsu_bus_buffer.scala 528:105] wire _GEN_270 = _T_4183 & _T_4244; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4149 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4145 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4270 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4280 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 545:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 545:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 545:58] - wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_4282 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 545:58] - wire _T_4283 = _T_4280[0] & _T_4282; // @[el2_lsu_bus_buffer.scala 545:38] - wire _T_4284 = _T_4240 | _T_4283; // @[el2_lsu_bus_buffer.scala 544:95] - wire _T_4285 = bus_rsp_read & _T_4284; // @[el2_lsu_bus_buffer.scala 544:45] + wire [3:0] _T_4280 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 543:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 543:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 543:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_4282 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 543:58] + wire _T_4283 = _T_4280[0] & _T_4282; // @[el2_lsu_bus_buffer.scala 543:38] + wire _T_4284 = _T_4240 | _T_4283; // @[el2_lsu_bus_buffer.scala 542:95] + wire _T_4285 = bus_rsp_read & _T_4284; // @[el2_lsu_bus_buffer.scala 542:45] wire _GEN_264 = _T_4270 & _T_4285; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4183 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4149 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4145 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4122 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4162 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 518:49] - wire _T_4163 = _T_4162 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 518:70] + wire _T_4162 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 516:49] + wire _T_4163 = _T_4162 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 516:70] wire _T_4288 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4291 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 550:37] - wire _T_4292 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 550:98] - wire _T_4293 = buf_dual_3 & _T_4292; // @[el2_lsu_bus_buffer.scala 550:80] - wire _T_4294 = _T_4291 | _T_4293; // @[el2_lsu_bus_buffer.scala 550:65] - wire _T_4295 = _T_4294 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 550:112] + wire _T_4291 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 548:37] + wire _T_4292 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 548:98] + wire _T_4293 = buf_dual_3 & _T_4292; // @[el2_lsu_bus_buffer.scala 548:80] + wire _T_4294 = _T_4291 | _T_4293; // @[el2_lsu_bus_buffer.scala 548:65] + wire _T_4295 = _T_4294 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 548:112] wire _T_4296 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4288 ? _T_4295 : _T_4296; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4270 ? _T_4163 : _GEN_259; // @[Conditional.scala 39:67] @@ -1971,228 +1963,228 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_4149 ? _T_4163 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4145 ? _T_3569 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4122 ? _T_4138 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2450 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 467:94] - wire _T_2460 = _T_2152 & _T_1902; // @[el2_lsu_bus_buffer.scala 469:71] - wire _T_2462 = _T_2460 & _T_1867; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2463 = _T_4481 | _T_2462; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2467 = _T_2159 & _T_1905; // @[el2_lsu_bus_buffer.scala 470:52] - wire _T_2469 = _T_2467 & _T_1869; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2470 = _T_2463 | _T_2469; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2471 = _T_2450 & _T_2470; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2473 = _T_2471 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2487 = _T_2460 & _T_1878; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2488 = _T_4486 | _T_2487; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2494 = _T_2467 & _T_1880; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2495 = _T_2488 | _T_2494; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2496 = _T_2450 & _T_2495; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2498 = _T_2496 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2512 = _T_2460 & _T_1889; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2513 = _T_4491 | _T_2512; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2519 = _T_2467 & _T_1891; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2520 = _T_2513 | _T_2519; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2521 = _T_2450 & _T_2520; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2523 = _T_2521 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 470:97] - wire _T_2537 = _T_2460 & _T_1900; // @[el2_lsu_bus_buffer.scala 469:92] - wire _T_2538 = _T_4496 | _T_2537; // @[el2_lsu_bus_buffer.scala 468:86] - wire _T_2544 = _T_2467 & _T_1902; // @[el2_lsu_bus_buffer.scala 470:73] - wire _T_2545 = _T_2538 | _T_2544; // @[el2_lsu_bus_buffer.scala 469:114] - wire _T_2546 = _T_2450 & _T_2545; // @[el2_lsu_bus_buffer.scala 467:113] - wire _T_2548 = _T_2546 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 470:97] + wire _T_2450 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 465:94] + wire _T_2460 = _T_2152 & _T_1902; // @[el2_lsu_bus_buffer.scala 467:71] + wire _T_2462 = _T_2460 & _T_1867; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2463 = _T_4481 | _T_2462; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2467 = _T_2159 & _T_1903; // @[el2_lsu_bus_buffer.scala 468:52] + wire _T_2469 = _T_2467 & _T_1869; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2470 = _T_2463 | _T_2469; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2471 = _T_2450 & _T_2470; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2473 = _T_2471 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2487 = _T_2460 & _T_1878; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2488 = _T_4486 | _T_2487; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2494 = _T_2467 & _T_1880; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2495 = _T_2488 | _T_2494; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2496 = _T_2450 & _T_2495; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2498 = _T_2496 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2512 = _T_2460 & _T_1889; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2513 = _T_4491 | _T_2512; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2519 = _T_2467 & _T_1891; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2520 = _T_2513 | _T_2519; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2521 = _T_2450 & _T_2520; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2523 = _T_2521 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 468:97] + wire _T_2537 = _T_2460 & _T_1900; // @[el2_lsu_bus_buffer.scala 467:92] + wire _T_2538 = _T_4496 | _T_2537; // @[el2_lsu_bus_buffer.scala 466:86] + wire _T_2544 = _T_2467 & _T_1902; // @[el2_lsu_bus_buffer.scala 468:73] + wire _T_2545 = _T_2538 | _T_2544; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2546 = _T_2450 & _T_2545; // @[el2_lsu_bus_buffer.scala 465:113] + wire _T_2548 = _T_2546 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 468:97] wire [2:0] _T_2550 = {_T_2548,_T_2523,_T_2498}; // @[Cat.scala 29:58] - wire _T_2814 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] - wire _T_2815 = _T_1866 | _T_2814; // @[el2_lsu_bus_buffer.scala 478:34] - wire _T_2816 = ~_T_2815; // @[el2_lsu_bus_buffer.scala 478:8] - wire _T_2824 = _T_2816 | _T_2156; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2831 = _T_2824 | _T_2163; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2832 = _T_2144 & _T_2831; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2836 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] - wire _T_2837 = _T_1877 | _T_2836; // @[el2_lsu_bus_buffer.scala 478:34] - wire _T_2838 = ~_T_2837; // @[el2_lsu_bus_buffer.scala 478:8] - wire _T_2846 = _T_2838 | _T_2181; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2853 = _T_2846 | _T_2188; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2854 = _T_2144 & _T_2853; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2858 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] - wire _T_2859 = _T_1888 | _T_2858; // @[el2_lsu_bus_buffer.scala 478:34] - wire _T_2860 = ~_T_2859; // @[el2_lsu_bus_buffer.scala 478:8] - wire _T_2868 = _T_2860 | _T_2206; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2875 = _T_2868 | _T_2213; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2876 = _T_2144 & _T_2875; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2880 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 478:49] - wire _T_2881 = _T_1899 | _T_2880; // @[el2_lsu_bus_buffer.scala 478:34] - wire _T_2882 = ~_T_2881; // @[el2_lsu_bus_buffer.scala 478:8] - wire _T_2890 = _T_2882 | _T_2231; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2897 = _T_2890 | _T_2238; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2898 = _T_2144 & _T_2897; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_2814 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] + wire _T_2815 = _T_1866 | _T_2814; // @[el2_lsu_bus_buffer.scala 476:34] + wire _T_2816 = ~_T_2815; // @[el2_lsu_bus_buffer.scala 476:8] + wire _T_2824 = _T_2816 | _T_2156; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2831 = _T_2824 | _T_2163; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2832 = _T_2144 & _T_2831; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2836 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] + wire _T_2837 = _T_1877 | _T_2836; // @[el2_lsu_bus_buffer.scala 476:34] + wire _T_2838 = ~_T_2837; // @[el2_lsu_bus_buffer.scala 476:8] + wire _T_2846 = _T_2838 | _T_2181; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2853 = _T_2846 | _T_2188; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2854 = _T_2144 & _T_2853; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2858 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] + wire _T_2859 = _T_1888 | _T_2858; // @[el2_lsu_bus_buffer.scala 476:34] + wire _T_2860 = ~_T_2859; // @[el2_lsu_bus_buffer.scala 476:8] + wire _T_2868 = _T_2860 | _T_2206; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2875 = _T_2868 | _T_2213; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2876 = _T_2144 & _T_2875; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2880 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 476:49] + wire _T_2881 = _T_1899 | _T_2880; // @[el2_lsu_bus_buffer.scala 476:34] + wire _T_2882 = ~_T_2881; // @[el2_lsu_bus_buffer.scala 476:8] + wire _T_2890 = _T_2882 | _T_2231; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2897 = _T_2890 | _T_2238; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2898 = _T_2144 & _T_2897; // @[el2_lsu_bus_buffer.scala 475:114] wire [3:0] buf_rspage_set_0 = {_T_2898,_T_2876,_T_2854,_T_2832}; // @[Cat.scala 29:58] - wire _T_2915 = _T_2816 | _T_2258; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2922 = _T_2915 | _T_2265; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2923 = _T_2246 & _T_2922; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2937 = _T_2838 | _T_2283; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2944 = _T_2937 | _T_2290; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2945 = _T_2246 & _T_2944; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2959 = _T_2860 | _T_2308; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2966 = _T_2959 | _T_2315; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2967 = _T_2246 & _T_2966; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_2981 = _T_2882 | _T_2333; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_2988 = _T_2981 | _T_2340; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_2989 = _T_2246 & _T_2988; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_2915 = _T_2816 | _T_2258; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2922 = _T_2915 | _T_2265; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2923 = _T_2246 & _T_2922; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2937 = _T_2838 | _T_2283; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2944 = _T_2937 | _T_2290; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2945 = _T_2246 & _T_2944; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2959 = _T_2860 | _T_2308; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2966 = _T_2959 | _T_2315; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2967 = _T_2246 & _T_2966; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_2981 = _T_2882 | _T_2333; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_2988 = _T_2981 | _T_2340; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_2989 = _T_2246 & _T_2988; // @[el2_lsu_bus_buffer.scala 475:114] wire [3:0] buf_rspage_set_1 = {_T_2989,_T_2967,_T_2945,_T_2923}; // @[Cat.scala 29:58] - wire _T_3006 = _T_2816 | _T_2360; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3013 = _T_3006 | _T_2367; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3014 = _T_2348 & _T_3013; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3028 = _T_2838 | _T_2385; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3035 = _T_3028 | _T_2392; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3036 = _T_2348 & _T_3035; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3050 = _T_2860 | _T_2410; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3057 = _T_3050 | _T_2417; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3058 = _T_2348 & _T_3057; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3072 = _T_2882 | _T_2435; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3079 = _T_3072 | _T_2442; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3080 = _T_2348 & _T_3079; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_3006 = _T_2816 | _T_2360; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3013 = _T_3006 | _T_2367; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3014 = _T_2348 & _T_3013; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3028 = _T_2838 | _T_2385; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3035 = _T_3028 | _T_2392; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3036 = _T_2348 & _T_3035; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3050 = _T_2860 | _T_2410; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3057 = _T_3050 | _T_2417; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3058 = _T_2348 & _T_3057; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3072 = _T_2882 | _T_2435; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3079 = _T_3072 | _T_2442; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3080 = _T_2348 & _T_3079; // @[el2_lsu_bus_buffer.scala 475:114] wire [3:0] buf_rspage_set_2 = {_T_3080,_T_3058,_T_3036,_T_3014}; // @[Cat.scala 29:58] - wire _T_3097 = _T_2816 | _T_2462; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3104 = _T_3097 | _T_2469; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3105 = _T_2450 & _T_3104; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3119 = _T_2838 | _T_2487; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3126 = _T_3119 | _T_2494; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3127 = _T_2450 & _T_3126; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3141 = _T_2860 | _T_2512; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3148 = _T_3141 | _T_2519; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3149 = _T_2450 & _T_3148; // @[el2_lsu_bus_buffer.scala 477:114] - wire _T_3163 = _T_2882 | _T_2537; // @[el2_lsu_bus_buffer.scala 478:61] - wire _T_3170 = _T_3163 | _T_2544; // @[el2_lsu_bus_buffer.scala 479:112] - wire _T_3171 = _T_2450 & _T_3170; // @[el2_lsu_bus_buffer.scala 477:114] + wire _T_3097 = _T_2816 | _T_2462; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3104 = _T_3097 | _T_2469; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3105 = _T_2450 & _T_3104; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3119 = _T_2838 | _T_2487; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3126 = _T_3119 | _T_2494; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3127 = _T_2450 & _T_3126; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3141 = _T_2860 | _T_2512; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3148 = _T_3141 | _T_2519; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3149 = _T_2450 & _T_3148; // @[el2_lsu_bus_buffer.scala 475:114] + wire _T_3163 = _T_2882 | _T_2537; // @[el2_lsu_bus_buffer.scala 476:61] + wire _T_3170 = _T_3163 | _T_2544; // @[el2_lsu_bus_buffer.scala 477:112] + wire _T_3171 = _T_2450 & _T_3170; // @[el2_lsu_bus_buffer.scala 475:114] wire [3:0] buf_rspage_set_3 = {_T_3171,_T_3149,_T_3127,_T_3105}; // @[Cat.scala 29:58] - wire _T_3256 = _T_2880 | _T_1899; // @[el2_lsu_bus_buffer.scala 482:112] - wire _T_3257 = ~_T_3256; // @[el2_lsu_bus_buffer.scala 482:86] - wire _T_3258 = buf_rspageQ_0[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3250 = _T_2858 | _T_1888; // @[el2_lsu_bus_buffer.scala 482:112] - wire _T_3251 = ~_T_3250; // @[el2_lsu_bus_buffer.scala 482:86] - wire _T_3252 = buf_rspageQ_0[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3244 = _T_2836 | _T_1877; // @[el2_lsu_bus_buffer.scala 482:112] - wire _T_3245 = ~_T_3244; // @[el2_lsu_bus_buffer.scala 482:86] - wire _T_3246 = buf_rspageQ_0[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3238 = _T_2814 | _T_1866; // @[el2_lsu_bus_buffer.scala 482:112] - wire _T_3239 = ~_T_3238; // @[el2_lsu_bus_buffer.scala 482:86] - wire _T_3240 = buf_rspageQ_0[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3256 = _T_2880 | _T_1899; // @[el2_lsu_bus_buffer.scala 480:112] + wire _T_3257 = ~_T_3256; // @[el2_lsu_bus_buffer.scala 480:86] + wire _T_3258 = buf_rspageQ_0[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3250 = _T_2858 | _T_1888; // @[el2_lsu_bus_buffer.scala 480:112] + wire _T_3251 = ~_T_3250; // @[el2_lsu_bus_buffer.scala 480:86] + wire _T_3252 = buf_rspageQ_0[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3244 = _T_2836 | _T_1877; // @[el2_lsu_bus_buffer.scala 480:112] + wire _T_3245 = ~_T_3244; // @[el2_lsu_bus_buffer.scala 480:86] + wire _T_3246 = buf_rspageQ_0[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3238 = _T_2814 | _T_1866; // @[el2_lsu_bus_buffer.scala 480:112] + wire _T_3239 = ~_T_3238; // @[el2_lsu_bus_buffer.scala 480:86] + wire _T_3240 = buf_rspageQ_0[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] wire [3:0] buf_rspage_0 = {_T_3258,_T_3252,_T_3246,_T_3240}; // @[Cat.scala 29:58] - wire _T_3177 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3180 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3183 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3186 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3177 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3180 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3183 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3186 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 479:90] wire [2:0] _T_3188 = {_T_3186,_T_3183,_T_3180}; // @[Cat.scala 29:58] - wire _T_3285 = buf_rspageQ_1[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3279 = buf_rspageQ_1[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3273 = buf_rspageQ_1[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3267 = buf_rspageQ_1[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3285 = buf_rspageQ_1[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3279 = buf_rspageQ_1[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3273 = buf_rspageQ_1[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3267 = buf_rspageQ_1[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] wire [3:0] buf_rspage_1 = {_T_3285,_T_3279,_T_3273,_T_3267}; // @[Cat.scala 29:58] - wire _T_3192 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3195 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3198 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3201 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3192 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3195 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3198 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3201 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 479:90] wire [2:0] _T_3203 = {_T_3201,_T_3198,_T_3195}; // @[Cat.scala 29:58] - wire _T_3312 = buf_rspageQ_2[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3306 = buf_rspageQ_2[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3300 = buf_rspageQ_2[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3294 = buf_rspageQ_2[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3312 = buf_rspageQ_2[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3306 = buf_rspageQ_2[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3300 = buf_rspageQ_2[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3294 = buf_rspageQ_2[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] wire [3:0] buf_rspage_2 = {_T_3312,_T_3306,_T_3300,_T_3294}; // @[Cat.scala 29:58] - wire _T_3207 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3210 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3213 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3216 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3207 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3210 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3213 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3216 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 479:90] wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] - wire _T_3339 = buf_rspageQ_3[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3333 = buf_rspageQ_3[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3327 = buf_rspageQ_3[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 482:84] - wire _T_3321 = buf_rspageQ_3[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 482:84] + wire _T_3339 = buf_rspageQ_3[3] & _T_3257; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3333 = buf_rspageQ_3[2] & _T_3251; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3327 = buf_rspageQ_3[1] & _T_3245; // @[el2_lsu_bus_buffer.scala 480:84] + wire _T_3321 = buf_rspageQ_3[0] & _T_3239; // @[el2_lsu_bus_buffer.scala 480:84] wire [3:0] buf_rspage_3 = {_T_3339,_T_3333,_T_3327,_T_3321}; // @[Cat.scala 29:58] - wire _T_3222 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3225 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3228 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 481:90] - wire _T_3231 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 481:90] + wire _T_3222 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3225 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3228 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 479:90] + wire _T_3231 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 479:90] wire [2:0] _T_3233 = {_T_3231,_T_3228,_T_3225}; // @[Cat.scala 29:58] - wire _T_3344 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 487:65] - wire _T_3346 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 487:65] - wire _T_3348 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 487:65] - wire _T_3350 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 487:65] + wire _T_3344 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 485:65] + wire _T_3346 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 485:65] + wire _T_3348 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 485:65] + wire _T_3350 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 485:65] wire [3:0] ibuf_drainvec_vld = {_T_3350,_T_3348,_T_3346,_T_3344}; // @[Cat.scala 29:58] - wire _T_3358 = _T_3552 & _T_1872; // @[el2_lsu_bus_buffer.scala 489:37] - wire _T_3367 = _T_3552 & _T_1883; // @[el2_lsu_bus_buffer.scala 489:37] - wire _T_3376 = _T_3552 & _T_1894; // @[el2_lsu_bus_buffer.scala 489:37] - wire _T_3385 = _T_3552 & _T_1905; // @[el2_lsu_bus_buffer.scala 489:37] - wire _T_3415 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3417 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3419 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3421 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_3358 = _T_3552 & _T_1870; // @[el2_lsu_bus_buffer.scala 487:37] + wire _T_3367 = _T_3552 & _T_1881; // @[el2_lsu_bus_buffer.scala 487:37] + wire _T_3376 = _T_3552 & _T_1892; // @[el2_lsu_bus_buffer.scala 487:37] + wire _T_3385 = _T_3552 & _T_1903; // @[el2_lsu_bus_buffer.scala 487:37] + wire _T_3415 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] + wire _T_3417 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] + wire _T_3419 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] + wire _T_3421 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 489:47] wire [3:0] buf_dual_in = {_T_3421,_T_3419,_T_3417,_T_3415}; // @[Cat.scala 29:58] - wire _T_3426 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] - wire _T_3428 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] - wire _T_3430 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] - wire _T_3432 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 492:49] + wire _T_3426 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] + wire _T_3428 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] + wire _T_3430 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] + wire _T_3432 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 490:49] wire [3:0] buf_samedw_in = {_T_3432,_T_3430,_T_3428,_T_3426}; // @[Cat.scala 29:58] - wire _T_3437 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 493:86] - wire _T_3438 = ibuf_drainvec_vld[0] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] - wire _T_3441 = ibuf_drainvec_vld[1] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] - wire _T_3444 = ibuf_drainvec_vld[2] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] - wire _T_3447 = ibuf_drainvec_vld[3] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 493:50] + wire _T_3437 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 491:86] + wire _T_3438 = ibuf_drainvec_vld[0] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] + wire _T_3441 = ibuf_drainvec_vld[1] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] + wire _T_3444 = ibuf_drainvec_vld[2] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] + wire _T_3447 = ibuf_drainvec_vld[3] ? _T_3437 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 491:50] wire [3:0] buf_nomerge_in = {_T_3447,_T_3444,_T_3441,_T_3438}; // @[Cat.scala 29:58] - wire _T_3455 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3358; // @[el2_lsu_bus_buffer.scala 494:49] - wire _T_3460 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3367; // @[el2_lsu_bus_buffer.scala 494:49] - wire _T_3465 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3376; // @[el2_lsu_bus_buffer.scala 494:49] - wire _T_3470 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3385; // @[el2_lsu_bus_buffer.scala 494:49] + wire _T_3455 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3358; // @[el2_lsu_bus_buffer.scala 492:49] + wire _T_3460 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3367; // @[el2_lsu_bus_buffer.scala 492:49] + wire _T_3465 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3376; // @[el2_lsu_bus_buffer.scala 492:49] + wire _T_3470 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3385; // @[el2_lsu_bus_buffer.scala 492:49] wire [3:0] buf_dualhi_in = {_T_3470,_T_3465,_T_3460,_T_3455}; // @[Cat.scala 29:58] - wire _T_3499 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] - wire _T_3501 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] - wire _T_3503 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] - wire _T_3505 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 496:53] + wire _T_3499 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3501 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3503 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3505 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 494:53] wire [3:0] buf_sideeffect_in = {_T_3505,_T_3503,_T_3501,_T_3499}; // @[Cat.scala 29:58] - wire _T_3510 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] - wire _T_3512 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] - wire _T_3514 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] - wire _T_3516 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 497:49] + wire _T_3510 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] + wire _T_3512 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] + wire _T_3514 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] + wire _T_3516 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 495:49] wire [3:0] buf_unsign_in = {_T_3516,_T_3514,_T_3512,_T_3510}; // @[Cat.scala 29:58] - wire _T_3533 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] - wire _T_3535 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] - wire _T_3537 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] - wire _T_3539 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 499:48] + wire _T_3533 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] + wire _T_3535 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] + wire _T_3537 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] + wire _T_3539 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 497:48] wire [3:0] buf_write_in = {_T_3539,_T_3537,_T_3535,_T_3533}; // @[Cat.scala 29:58] - wire _T_3572 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 515:89] - wire _T_3574 = _T_3572 & _T_1364; // @[el2_lsu_bus_buffer.scala 515:104] - wire _T_3587 = buf_state_en_0 & _T_3658; // @[el2_lsu_bus_buffer.scala 520:44] - wire _T_3588 = _T_3587 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_3590 = _T_3588 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] - wire _T_3593 = _T_3583 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] - wire _T_3594 = _T_3593 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] - wire _T_4879 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 627:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4879; // @[el2_lsu_bus_buffer.scala 627:38] - wire _T_3597 = _T_3593 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] - wire _T_3672 = bus_rsp_read_error & _T_3651; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_3674 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 538:31] - wire _T_3676 = _T_3674 & _T_3653; // @[el2_lsu_bus_buffer.scala 538:46] - wire _T_3677 = _T_3672 | _T_3676; // @[el2_lsu_bus_buffer.scala 537:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4879; // @[el2_lsu_bus_buffer.scala 626:40] - wire _T_3680 = bus_rsp_write_error & _T_3649; // @[el2_lsu_bus_buffer.scala 539:53] - wire _T_3681 = _T_3677 | _T_3680; // @[el2_lsu_bus_buffer.scala 538:88] - wire _T_3682 = _T_3583 & _T_3681; // @[el2_lsu_bus_buffer.scala 537:68] + wire _T_3572 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:89] + wire _T_3574 = _T_3572 & _T_1364; // @[el2_lsu_bus_buffer.scala 513:104] + wire _T_3587 = buf_state_en_0 & _T_3658; // @[el2_lsu_bus_buffer.scala 518:44] + wire _T_3588 = _T_3587 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] + wire _T_3590 = _T_3588 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] + wire _T_3593 = _T_3583 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] + wire _T_3594 = _T_3593 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] + wire _T_4879 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 625:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4879; // @[el2_lsu_bus_buffer.scala 625:38] + wire _T_3597 = _T_3593 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] + wire _T_3672 = bus_rsp_read_error & _T_3651; // @[el2_lsu_bus_buffer.scala 535:91] + wire _T_3674 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 536:31] + wire _T_3676 = _T_3674 & _T_3653; // @[el2_lsu_bus_buffer.scala 536:46] + wire _T_3677 = _T_3672 | _T_3676; // @[el2_lsu_bus_buffer.scala 535:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4879; // @[el2_lsu_bus_buffer.scala 624:40] + wire _T_3680 = bus_rsp_write_error & _T_3649; // @[el2_lsu_bus_buffer.scala 537:53] + wire _T_3681 = _T_3677 | _T_3680; // @[el2_lsu_bus_buffer.scala 536:88] + wire _T_3682 = _T_3583 & _T_3681; // @[el2_lsu_bus_buffer.scala 535:68] wire _GEN_46 = _T_3604 & _T_3682; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3570 ? _T_3597 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3566 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3543 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3607 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 527:73] - wire _T_3608 = buf_write[0] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] - wire _T_3609 = io_dec_tlu_force_halt | _T_3608; // @[el2_lsu_bus_buffer.scala 527:55] - wire _T_3611 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 528:30] - wire _T_3612 = buf_dual_0 & _T_3611; // @[el2_lsu_bus_buffer.scala 528:28] - wire _T_3615 = _T_3612 & _T_3658; // @[el2_lsu_bus_buffer.scala 528:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_3616 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_3617 = _T_3615 & _T_3616; // @[el2_lsu_bus_buffer.scala 528:61] - wire _T_4504 = _T_2761 | _T_2758; // @[el2_lsu_bus_buffer.scala 586:93] - wire _T_4505 = _T_4504 | _T_2755; // @[el2_lsu_bus_buffer.scala 586:93] - wire any_done_wait_state = _T_4505 | _T_2752; // @[el2_lsu_bus_buffer.scala 586:93] - wire _T_3619 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3607 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 525:73] + wire _T_3608 = buf_write[0] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] + wire _T_3609 = io_dec_tlu_force_halt | _T_3608; // @[el2_lsu_bus_buffer.scala 525:55] + wire _T_3611 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 526:30] + wire _T_3612 = buf_dual_0 & _T_3611; // @[el2_lsu_bus_buffer.scala 526:28] + wire _T_3615 = _T_3612 & _T_3658; // @[el2_lsu_bus_buffer.scala 526:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_3616 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_3617 = _T_3615 & _T_3616; // @[el2_lsu_bus_buffer.scala 526:61] + wire _T_4504 = _T_2761 | _T_2758; // @[el2_lsu_bus_buffer.scala 584:93] + wire _T_4505 = _T_4504 | _T_2755; // @[el2_lsu_bus_buffer.scala 584:93] + wire any_done_wait_state = _T_4505 | _T_2752; // @[el2_lsu_bus_buffer.scala 584:93] + wire _T_3619 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] wire _T_3625 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_3627 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_3629 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] @@ -2204,17 +2196,17 @@ module el2_lsu_bus_buffer( wire _T_3637 = _T_3633 | _T_3634; // @[Mux.scala 27:72] wire _T_3638 = _T_3637 | _T_3635; // @[Mux.scala 27:72] wire _T_3639 = _T_3638 | _T_3636; // @[Mux.scala 27:72] - wire _T_3641 = _T_3615 & _T_3639; // @[el2_lsu_bus_buffer.scala 529:101] - wire _T_3642 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] - wire _T_3643 = _T_3641 & _T_3642; // @[el2_lsu_bus_buffer.scala 529:138] - wire _T_3644 = _T_3643 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] - wire _T_3645 = _T_3619 | _T_3644; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_3668 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] - wire _T_3669 = _T_3668 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] - wire _T_3683 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 540:50] - wire _T_3684 = buf_state_en_0 & _T_3683; // @[el2_lsu_bus_buffer.scala 540:48] - wire _T_3696 = buf_ldfwd[0] | _T_3701[0]; // @[el2_lsu_bus_buffer.scala 543:90] - wire _T_3697 = _T_3696 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _T_3641 = _T_3615 & _T_3639; // @[el2_lsu_bus_buffer.scala 527:101] + wire _T_3642 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] + wire _T_3643 = _T_3641 & _T_3642; // @[el2_lsu_bus_buffer.scala 527:138] + wire _T_3644 = _T_3643 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] + wire _T_3645 = _T_3619 | _T_3644; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_3668 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] + wire _T_3669 = _T_3668 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] + wire _T_3683 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 538:50] + wire _T_3684 = buf_state_en_0 & _T_3683; // @[el2_lsu_bus_buffer.scala 538:48] + wire _T_3696 = buf_ldfwd[0] | _T_3701[0]; // @[el2_lsu_bus_buffer.scala 541:90] + wire _T_3697 = _T_3696 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] wire _GEN_29 = _T_3717 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3709 ? 1'h0 : _T_3717; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3709 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2233,34 +2225,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_0 = _T_3543 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3543 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3543 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3780 = buf_state_en_1 & _T_3851; // @[el2_lsu_bus_buffer.scala 520:44] - wire _T_3781 = _T_3780 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_3783 = _T_3781 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] - wire _T_3786 = _T_3776 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] - wire _T_3787 = _T_3786 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] - wire _T_3790 = _T_3786 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] - wire _T_3865 = bus_rsp_read_error & _T_3844; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_3867 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 538:31] - wire _T_3869 = _T_3867 & _T_3846; // @[el2_lsu_bus_buffer.scala 538:46] - wire _T_3870 = _T_3865 | _T_3869; // @[el2_lsu_bus_buffer.scala 537:143] - wire _T_3873 = bus_rsp_write_error & _T_3842; // @[el2_lsu_bus_buffer.scala 539:53] - wire _T_3874 = _T_3870 | _T_3873; // @[el2_lsu_bus_buffer.scala 538:88] - wire _T_3875 = _T_3776 & _T_3874; // @[el2_lsu_bus_buffer.scala 537:68] + wire _T_3780 = buf_state_en_1 & _T_3851; // @[el2_lsu_bus_buffer.scala 518:44] + wire _T_3781 = _T_3780 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] + wire _T_3783 = _T_3781 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] + wire _T_3786 = _T_3776 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] + wire _T_3787 = _T_3786 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] + wire _T_3790 = _T_3786 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] + wire _T_3865 = bus_rsp_read_error & _T_3844; // @[el2_lsu_bus_buffer.scala 535:91] + wire _T_3867 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 536:31] + wire _T_3869 = _T_3867 & _T_3846; // @[el2_lsu_bus_buffer.scala 536:46] + wire _T_3870 = _T_3865 | _T_3869; // @[el2_lsu_bus_buffer.scala 535:143] + wire _T_3873 = bus_rsp_write_error & _T_3842; // @[el2_lsu_bus_buffer.scala 537:53] + wire _T_3874 = _T_3870 | _T_3873; // @[el2_lsu_bus_buffer.scala 536:88] + wire _T_3875 = _T_3776 & _T_3874; // @[el2_lsu_bus_buffer.scala 535:68] wire _GEN_122 = _T_3797 & _T_3875; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3763 ? _T_3790 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3759 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3736 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3801 = buf_write[1] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] - wire _T_3802 = io_dec_tlu_force_halt | _T_3801; // @[el2_lsu_bus_buffer.scala 527:55] - wire _T_3804 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 528:30] - wire _T_3805 = buf_dual_1 & _T_3804; // @[el2_lsu_bus_buffer.scala 528:28] - wire _T_3808 = _T_3805 & _T_3851; // @[el2_lsu_bus_buffer.scala 528:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_3809 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_3810 = _T_3808 & _T_3809; // @[el2_lsu_bus_buffer.scala 528:61] - wire _T_3812 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3801 = buf_write[1] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] + wire _T_3802 = io_dec_tlu_force_halt | _T_3801; // @[el2_lsu_bus_buffer.scala 525:55] + wire _T_3804 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 526:30] + wire _T_3805 = buf_dual_1 & _T_3804; // @[el2_lsu_bus_buffer.scala 526:28] + wire _T_3808 = _T_3805 & _T_3851; // @[el2_lsu_bus_buffer.scala 526:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_3809 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_3810 = _T_3808 & _T_3809; // @[el2_lsu_bus_buffer.scala 526:61] + wire _T_3812 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] wire _T_3818 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_3820 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_3822 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] @@ -2272,17 +2264,17 @@ module el2_lsu_bus_buffer( wire _T_3830 = _T_3826 | _T_3827; // @[Mux.scala 27:72] wire _T_3831 = _T_3830 | _T_3828; // @[Mux.scala 27:72] wire _T_3832 = _T_3831 | _T_3829; // @[Mux.scala 27:72] - wire _T_3834 = _T_3808 & _T_3832; // @[el2_lsu_bus_buffer.scala 529:101] - wire _T_3835 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] - wire _T_3836 = _T_3834 & _T_3835; // @[el2_lsu_bus_buffer.scala 529:138] - wire _T_3837 = _T_3836 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] - wire _T_3838 = _T_3812 | _T_3837; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_3861 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] - wire _T_3862 = _T_3861 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] - wire _T_3876 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 540:50] - wire _T_3877 = buf_state_en_1 & _T_3876; // @[el2_lsu_bus_buffer.scala 540:48] - wire _T_3889 = buf_ldfwd[1] | _T_3894[0]; // @[el2_lsu_bus_buffer.scala 543:90] - wire _T_3890 = _T_3889 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _T_3834 = _T_3808 & _T_3832; // @[el2_lsu_bus_buffer.scala 527:101] + wire _T_3835 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] + wire _T_3836 = _T_3834 & _T_3835; // @[el2_lsu_bus_buffer.scala 527:138] + wire _T_3837 = _T_3836 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] + wire _T_3838 = _T_3812 | _T_3837; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_3861 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] + wire _T_3862 = _T_3861 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] + wire _T_3876 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 538:50] + wire _T_3877 = buf_state_en_1 & _T_3876; // @[el2_lsu_bus_buffer.scala 538:48] + wire _T_3889 = buf_ldfwd[1] | _T_3894[0]; // @[el2_lsu_bus_buffer.scala 541:90] + wire _T_3890 = _T_3889 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] wire _GEN_105 = _T_3910 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3902 ? 1'h0 : _T_3910; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3902 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2301,34 +2293,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_1 = _T_3736 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3736 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3736 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3973 = buf_state_en_2 & _T_4044; // @[el2_lsu_bus_buffer.scala 520:44] - wire _T_3974 = _T_3973 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_3976 = _T_3974 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] - wire _T_3979 = _T_3969 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] - wire _T_3980 = _T_3979 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] - wire _T_3983 = _T_3979 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] - wire _T_4058 = bus_rsp_read_error & _T_4037; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4060 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 538:31] - wire _T_4062 = _T_4060 & _T_4039; // @[el2_lsu_bus_buffer.scala 538:46] - wire _T_4063 = _T_4058 | _T_4062; // @[el2_lsu_bus_buffer.scala 537:143] - wire _T_4066 = bus_rsp_write_error & _T_4035; // @[el2_lsu_bus_buffer.scala 539:53] - wire _T_4067 = _T_4063 | _T_4066; // @[el2_lsu_bus_buffer.scala 538:88] - wire _T_4068 = _T_3969 & _T_4067; // @[el2_lsu_bus_buffer.scala 537:68] + wire _T_3973 = buf_state_en_2 & _T_4044; // @[el2_lsu_bus_buffer.scala 518:44] + wire _T_3974 = _T_3973 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] + wire _T_3976 = _T_3974 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] + wire _T_3979 = _T_3969 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] + wire _T_3980 = _T_3979 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] + wire _T_3983 = _T_3979 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] + wire _T_4058 = bus_rsp_read_error & _T_4037; // @[el2_lsu_bus_buffer.scala 535:91] + wire _T_4060 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 536:31] + wire _T_4062 = _T_4060 & _T_4039; // @[el2_lsu_bus_buffer.scala 536:46] + wire _T_4063 = _T_4058 | _T_4062; // @[el2_lsu_bus_buffer.scala 535:143] + wire _T_4066 = bus_rsp_write_error & _T_4035; // @[el2_lsu_bus_buffer.scala 537:53] + wire _T_4067 = _T_4063 | _T_4066; // @[el2_lsu_bus_buffer.scala 536:88] + wire _T_4068 = _T_3969 & _T_4067; // @[el2_lsu_bus_buffer.scala 535:68] wire _GEN_198 = _T_3990 & _T_4068; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3956 ? _T_3983 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3952 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3929 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_3994 = buf_write[2] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] - wire _T_3995 = io_dec_tlu_force_halt | _T_3994; // @[el2_lsu_bus_buffer.scala 527:55] - wire _T_3997 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 528:30] - wire _T_3998 = buf_dual_2 & _T_3997; // @[el2_lsu_bus_buffer.scala 528:28] - wire _T_4001 = _T_3998 & _T_4044; // @[el2_lsu_bus_buffer.scala 528:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_4002 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_4003 = _T_4001 & _T_4002; // @[el2_lsu_bus_buffer.scala 528:61] - wire _T_4005 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_3994 = buf_write[2] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] + wire _T_3995 = io_dec_tlu_force_halt | _T_3994; // @[el2_lsu_bus_buffer.scala 525:55] + wire _T_3997 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 526:30] + wire _T_3998 = buf_dual_2 & _T_3997; // @[el2_lsu_bus_buffer.scala 526:28] + wire _T_4001 = _T_3998 & _T_4044; // @[el2_lsu_bus_buffer.scala 526:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_4002 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_4003 = _T_4001 & _T_4002; // @[el2_lsu_bus_buffer.scala 526:61] + wire _T_4005 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] wire _T_4011 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_4013 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_4015 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] @@ -2340,17 +2332,17 @@ module el2_lsu_bus_buffer( wire _T_4023 = _T_4019 | _T_4020; // @[Mux.scala 27:72] wire _T_4024 = _T_4023 | _T_4021; // @[Mux.scala 27:72] wire _T_4025 = _T_4024 | _T_4022; // @[Mux.scala 27:72] - wire _T_4027 = _T_4001 & _T_4025; // @[el2_lsu_bus_buffer.scala 529:101] - wire _T_4028 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] - wire _T_4029 = _T_4027 & _T_4028; // @[el2_lsu_bus_buffer.scala 529:138] - wire _T_4030 = _T_4029 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] - wire _T_4031 = _T_4005 | _T_4030; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_4054 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] - wire _T_4055 = _T_4054 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] - wire _T_4069 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 540:50] - wire _T_4070 = buf_state_en_2 & _T_4069; // @[el2_lsu_bus_buffer.scala 540:48] - wire _T_4082 = buf_ldfwd[2] | _T_4087[0]; // @[el2_lsu_bus_buffer.scala 543:90] - wire _T_4083 = _T_4082 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _T_4027 = _T_4001 & _T_4025; // @[el2_lsu_bus_buffer.scala 527:101] + wire _T_4028 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] + wire _T_4029 = _T_4027 & _T_4028; // @[el2_lsu_bus_buffer.scala 527:138] + wire _T_4030 = _T_4029 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] + wire _T_4031 = _T_4005 | _T_4030; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_4054 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] + wire _T_4055 = _T_4054 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] + wire _T_4069 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 538:50] + wire _T_4070 = buf_state_en_2 & _T_4069; // @[el2_lsu_bus_buffer.scala 538:48] + wire _T_4082 = buf_ldfwd[2] | _T_4087[0]; // @[el2_lsu_bus_buffer.scala 541:90] + wire _T_4083 = _T_4082 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] wire _GEN_181 = _T_4103 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_4095 ? 1'h0 : _T_4103; // @[Conditional.scala 39:67] wire _GEN_186 = _T_4095 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2369,34 +2361,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_2 = _T_3929 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3929 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3929 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4166 = buf_state_en_3 & _T_4237; // @[el2_lsu_bus_buffer.scala 520:44] - wire _T_4167 = _T_4166 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:60] - wire _T_4169 = _T_4167 & _T_1346; // @[el2_lsu_bus_buffer.scala 520:74] - wire _T_4172 = _T_4162 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 522:67] - wire _T_4173 = _T_4172 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 522:81] - wire _T_4176 = _T_4172 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 523:82] - wire _T_4251 = bus_rsp_read_error & _T_4230; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4253 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 538:31] - wire _T_4255 = _T_4253 & _T_4232; // @[el2_lsu_bus_buffer.scala 538:46] - wire _T_4256 = _T_4251 | _T_4255; // @[el2_lsu_bus_buffer.scala 537:143] - wire _T_4259 = bus_rsp_write_error & _T_4228; // @[el2_lsu_bus_buffer.scala 539:53] - wire _T_4260 = _T_4256 | _T_4259; // @[el2_lsu_bus_buffer.scala 538:88] - wire _T_4261 = _T_4162 & _T_4260; // @[el2_lsu_bus_buffer.scala 537:68] + wire _T_4166 = buf_state_en_3 & _T_4237; // @[el2_lsu_bus_buffer.scala 518:44] + wire _T_4167 = _T_4166 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 518:60] + wire _T_4169 = _T_4167 & _T_1346; // @[el2_lsu_bus_buffer.scala 518:74] + wire _T_4172 = _T_4162 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 520:67] + wire _T_4173 = _T_4172 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 520:81] + wire _T_4176 = _T_4172 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 521:82] + wire _T_4251 = bus_rsp_read_error & _T_4230; // @[el2_lsu_bus_buffer.scala 535:91] + wire _T_4253 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 536:31] + wire _T_4255 = _T_4253 & _T_4232; // @[el2_lsu_bus_buffer.scala 536:46] + wire _T_4256 = _T_4251 | _T_4255; // @[el2_lsu_bus_buffer.scala 535:143] + wire _T_4259 = bus_rsp_write_error & _T_4228; // @[el2_lsu_bus_buffer.scala 537:53] + wire _T_4260 = _T_4256 | _T_4259; // @[el2_lsu_bus_buffer.scala 536:88] + wire _T_4261 = _T_4162 & _T_4260; // @[el2_lsu_bus_buffer.scala 535:68] wire _GEN_274 = _T_4183 & _T_4261; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4149 ? _T_4176 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4145 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4122 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4187 = buf_write[3] & _T_3607; // @[el2_lsu_bus_buffer.scala 527:71] - wire _T_4188 = io_dec_tlu_force_halt | _T_4187; // @[el2_lsu_bus_buffer.scala 527:55] - wire _T_4190 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 528:30] - wire _T_4191 = buf_dual_3 & _T_4190; // @[el2_lsu_bus_buffer.scala 528:28] - wire _T_4194 = _T_4191 & _T_4237; // @[el2_lsu_bus_buffer.scala 528:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 528:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_4195 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 528:90] - wire _T_4196 = _T_4194 & _T_4195; // @[el2_lsu_bus_buffer.scala 528:61] - wire _T_4198 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:31] + wire _T_4187 = buf_write[3] & _T_3607; // @[el2_lsu_bus_buffer.scala 525:71] + wire _T_4188 = io_dec_tlu_force_halt | _T_4187; // @[el2_lsu_bus_buffer.scala 525:55] + wire _T_4190 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 526:30] + wire _T_4191 = buf_dual_3 & _T_4190; // @[el2_lsu_bus_buffer.scala 526:28] + wire _T_4194 = _T_4191 & _T_4237; // @[el2_lsu_bus_buffer.scala 526:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 526:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_4195 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 526:90] + wire _T_4196 = _T_4194 & _T_4195; // @[el2_lsu_bus_buffer.scala 526:61] + wire _T_4198 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:31] wire _T_4204 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_4206 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 119:118] wire _T_4208 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 119:118] @@ -2408,17 +2400,17 @@ module el2_lsu_bus_buffer( wire _T_4216 = _T_4212 | _T_4213; // @[Mux.scala 27:72] wire _T_4217 = _T_4216 | _T_4214; // @[Mux.scala 27:72] wire _T_4218 = _T_4217 | _T_4215; // @[Mux.scala 27:72] - wire _T_4220 = _T_4194 & _T_4218; // @[el2_lsu_bus_buffer.scala 529:101] - wire _T_4221 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 529:167] - wire _T_4222 = _T_4220 & _T_4221; // @[el2_lsu_bus_buffer.scala 529:138] - wire _T_4223 = _T_4222 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 529:187] - wire _T_4224 = _T_4198 | _T_4223; // @[el2_lsu_bus_buffer.scala 529:53] - wire _T_4247 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 536:47] - wire _T_4248 = _T_4247 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 536:62] - wire _T_4262 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 540:50] - wire _T_4263 = buf_state_en_3 & _T_4262; // @[el2_lsu_bus_buffer.scala 540:48] - wire _T_4275 = buf_ldfwd[3] | _T_4280[0]; // @[el2_lsu_bus_buffer.scala 543:90] - wire _T_4276 = _T_4275 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 543:118] + wire _T_4220 = _T_4194 & _T_4218; // @[el2_lsu_bus_buffer.scala 527:101] + wire _T_4221 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 527:167] + wire _T_4222 = _T_4220 & _T_4221; // @[el2_lsu_bus_buffer.scala 527:138] + wire _T_4223 = _T_4222 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 527:187] + wire _T_4224 = _T_4198 | _T_4223; // @[el2_lsu_bus_buffer.scala 527:53] + wire _T_4247 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 534:47] + wire _T_4248 = _T_4247 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 534:62] + wire _T_4262 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 538:50] + wire _T_4263 = buf_state_en_3 & _T_4262; // @[el2_lsu_bus_buffer.scala 538:48] + wire _T_4275 = buf_ldfwd[3] | _T_4280[0]; // @[el2_lsu_bus_buffer.scala 541:90] + wire _T_4276 = _T_4275 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 541:118] wire _GEN_257 = _T_4296 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4288 ? 1'h0 : _T_4296; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4288 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2442,44 +2434,44 @@ module el2_lsu_bus_buffer( reg _T_4357; // @[Reg.scala 27:20] reg _T_4360; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4360,_T_4357,_T_4354,_T_4351}; // @[Cat.scala 29:58] - reg _T_4426; // @[el2_lsu_bus_buffer.scala 579:82] - reg _T_4421; // @[el2_lsu_bus_buffer.scala 579:82] - reg _T_4416; // @[el2_lsu_bus_buffer.scala 579:82] - reg _T_4411; // @[el2_lsu_bus_buffer.scala 579:82] + reg _T_4426; // @[el2_lsu_bus_buffer.scala 577:82] + reg _T_4421; // @[el2_lsu_bus_buffer.scala 577:82] + reg _T_4416; // @[el2_lsu_bus_buffer.scala 577:82] + reg _T_4411; // @[el2_lsu_bus_buffer.scala 577:82] wire [3:0] buf_error = {_T_4426,_T_4421,_T_4416,_T_4411}; // @[Cat.scala 29:58] - wire _T_4408 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 579:86] - wire _T_4409 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 579:128] - wire _T_4413 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 579:86] - wire _T_4414 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 579:128] - wire _T_4418 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 579:86] - wire _T_4419 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 579:128] - wire _T_4423 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 579:86] - wire _T_4424 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 579:128] + wire _T_4408 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 577:86] + wire _T_4409 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 577:128] + wire _T_4413 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 577:86] + wire _T_4414 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 577:128] + wire _T_4418 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 577:86] + wire _T_4419 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 577:128] + wire _T_4423 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 577:86] + wire _T_4424 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 577:128] wire [2:0] _T_4431 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4437 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 582:96] - wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 582:96] - wire [2:0] _T_4438 = _T_4437 + _GEN_388; // @[el2_lsu_bus_buffer.scala 582:96] - wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 582:96] - wire [3:0] buf_numvld_any = _T_4438 + _GEN_389; // @[el2_lsu_bus_buffer.scala 582:96] - wire _T_4508 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 588:52] - wire _T_4509 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 588:92] - wire _T_4510 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 588:119] - wire _T_4512 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 589:52] - wire _T_4513 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 589:52] - wire _T_4514 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 589:52] - wire _T_4515 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 589:52] - wire _T_4516 = _T_4512 | _T_4513; // @[el2_lsu_bus_buffer.scala 589:65] - wire _T_4517 = _T_4516 | _T_4514; // @[el2_lsu_bus_buffer.scala 589:65] - wire _T_4518 = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 589:65] - wire _T_4519 = ~_T_4518; // @[el2_lsu_bus_buffer.scala 589:34] - wire _T_4521 = _T_4519 & _T_844; // @[el2_lsu_bus_buffer.scala 589:70] - wire _T_4524 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 591:51] - wire _T_4525 = _T_4524 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 591:72] - wire _T_4526 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 591:94] - wire _T_4527 = _T_4525 & _T_4526; // @[el2_lsu_bus_buffer.scala 591:92] - wire _T_4528 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 591:111] - wire _T_4530 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 594:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 680:66] + wire [1:0] _T_4437 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 580:96] + wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 580:96] + wire [2:0] _T_4438 = _T_4437 + _GEN_388; // @[el2_lsu_bus_buffer.scala 580:96] + wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 580:96] + wire [3:0] buf_numvld_any = _T_4438 + _GEN_389; // @[el2_lsu_bus_buffer.scala 580:96] + wire _T_4508 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 586:52] + wire _T_4509 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 586:92] + wire _T_4510 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 586:119] + wire _T_4512 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 587:52] + wire _T_4513 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 587:52] + wire _T_4514 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 587:52] + wire _T_4515 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 587:52] + wire _T_4516 = _T_4512 | _T_4513; // @[el2_lsu_bus_buffer.scala 587:65] + wire _T_4517 = _T_4516 | _T_4514; // @[el2_lsu_bus_buffer.scala 587:65] + wire _T_4518 = _T_4517 | _T_4515; // @[el2_lsu_bus_buffer.scala 587:65] + wire _T_4519 = ~_T_4518; // @[el2_lsu_bus_buffer.scala 587:34] + wire _T_4521 = _T_4519 & _T_844; // @[el2_lsu_bus_buffer.scala 587:70] + wire _T_4524 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 589:51] + wire _T_4525 = _T_4524 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 589:72] + wire _T_4526 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 589:94] + wire _T_4527 = _T_4525 & _T_4526; // @[el2_lsu_bus_buffer.scala 589:92] + wire _T_4528 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 589:111] + wire _T_4530 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 592:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 678:66] wire _T_4548 = _T_2814 & _T_3658; // @[Mux.scala 27:72] wire _T_4549 = _T_2836 & _T_3851; // @[Mux.scala 27:72] wire _T_4550 = _T_2858 & _T_4044; // @[Mux.scala 27:72] @@ -2487,32 +2479,32 @@ module el2_lsu_bus_buffer( wire _T_4552 = _T_4548 | _T_4549; // @[Mux.scala 27:72] wire _T_4553 = _T_4552 | _T_4550; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4553 | _T_4551; // @[Mux.scala 27:72] - wire _T_4559 = buf_error[0] & _T_3658; // @[el2_lsu_bus_buffer.scala 597:108] - wire _T_4564 = buf_error[1] & _T_3851; // @[el2_lsu_bus_buffer.scala 597:108] - wire _T_4569 = buf_error[2] & _T_4044; // @[el2_lsu_bus_buffer.scala 597:108] - wire _T_4574 = buf_error[3] & _T_4237; // @[el2_lsu_bus_buffer.scala 597:108] + wire _T_4559 = buf_error[0] & _T_3658; // @[el2_lsu_bus_buffer.scala 595:108] + wire _T_4564 = buf_error[1] & _T_3851; // @[el2_lsu_bus_buffer.scala 595:108] + wire _T_4569 = buf_error[2] & _T_4044; // @[el2_lsu_bus_buffer.scala 595:108] + wire _T_4574 = buf_error[3] & _T_4237; // @[el2_lsu_bus_buffer.scala 595:108] wire _T_4575 = _T_2814 & _T_4559; // @[Mux.scala 27:72] wire _T_4576 = _T_2836 & _T_4564; // @[Mux.scala 27:72] wire _T_4577 = _T_2858 & _T_4569; // @[Mux.scala 27:72] wire _T_4578 = _T_2880 & _T_4574; // @[Mux.scala 27:72] wire _T_4579 = _T_4575 | _T_4576; // @[Mux.scala 27:72] wire _T_4580 = _T_4579 | _T_4577; // @[Mux.scala 27:72] - wire _T_4587 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 598:109] - wire _T_4588 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 598:124] - wire _T_4589 = _T_4587 | _T_4588; // @[el2_lsu_bus_buffer.scala 598:122] - wire _T_4590 = _T_4548 & _T_4589; // @[el2_lsu_bus_buffer.scala 598:106] - wire _T_4595 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 598:109] - wire _T_4596 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 598:124] - wire _T_4597 = _T_4595 | _T_4596; // @[el2_lsu_bus_buffer.scala 598:122] - wire _T_4598 = _T_4549 & _T_4597; // @[el2_lsu_bus_buffer.scala 598:106] - wire _T_4603 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 598:109] - wire _T_4604 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 598:124] - wire _T_4605 = _T_4603 | _T_4604; // @[el2_lsu_bus_buffer.scala 598:122] - wire _T_4606 = _T_4550 & _T_4605; // @[el2_lsu_bus_buffer.scala 598:106] - wire _T_4611 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 598:109] - wire _T_4612 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 598:124] - wire _T_4613 = _T_4611 | _T_4612; // @[el2_lsu_bus_buffer.scala 598:122] - wire _T_4614 = _T_4551 & _T_4613; // @[el2_lsu_bus_buffer.scala 598:106] + wire _T_4587 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 596:109] + wire _T_4588 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 596:124] + wire _T_4589 = _T_4587 | _T_4588; // @[el2_lsu_bus_buffer.scala 596:122] + wire _T_4590 = _T_4548 & _T_4589; // @[el2_lsu_bus_buffer.scala 596:106] + wire _T_4595 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 596:109] + wire _T_4596 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 596:124] + wire _T_4597 = _T_4595 | _T_4596; // @[el2_lsu_bus_buffer.scala 596:122] + wire _T_4598 = _T_4549 & _T_4597; // @[el2_lsu_bus_buffer.scala 596:106] + wire _T_4603 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 596:109] + wire _T_4604 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 596:124] + wire _T_4605 = _T_4603 | _T_4604; // @[el2_lsu_bus_buffer.scala 596:122] + wire _T_4606 = _T_4550 & _T_4605; // @[el2_lsu_bus_buffer.scala 596:106] + wire _T_4611 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 596:109] + wire _T_4612 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 596:124] + wire _T_4613 = _T_4611 | _T_4612; // @[el2_lsu_bus_buffer.scala 596:122] + wire _T_4614 = _T_4551 & _T_4613; // @[el2_lsu_bus_buffer.scala 596:106] wire [1:0] _T_4617 = _T_4606 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4618 = _T_4614 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_390 = {{1'd0}, _T_4598}; // @[Mux.scala 27:72] @@ -2524,14 +2516,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4659 = _T_4655 | _T_4656; // @[Mux.scala 27:72] wire [31:0] _T_4660 = _T_4659 | _T_4657; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4660 | _T_4658; // @[Mux.scala 27:72] - wire _T_4666 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 600:120] - wire _T_4667 = _T_4548 & _T_4666; // @[el2_lsu_bus_buffer.scala 600:105] - wire _T_4672 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 600:120] - wire _T_4673 = _T_4549 & _T_4672; // @[el2_lsu_bus_buffer.scala 600:105] - wire _T_4678 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 600:120] - wire _T_4679 = _T_4550 & _T_4678; // @[el2_lsu_bus_buffer.scala 600:105] - wire _T_4684 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 600:120] - wire _T_4685 = _T_4551 & _T_4684; // @[el2_lsu_bus_buffer.scala 600:105] + wire _T_4666 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 598:120] + wire _T_4667 = _T_4548 & _T_4666; // @[el2_lsu_bus_buffer.scala 598:105] + wire _T_4672 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 598:120] + wire _T_4673 = _T_4549 & _T_4672; // @[el2_lsu_bus_buffer.scala 598:105] + wire _T_4678 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 598:120] + wire _T_4679 = _T_4550 & _T_4678; // @[el2_lsu_bus_buffer.scala 598:105] + wire _T_4684 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 598:120] + wire _T_4685 = _T_4551 & _T_4684; // @[el2_lsu_bus_buffer.scala 598:105] wire [31:0] _T_4686 = _T_4667 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4687 = _T_4673 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4688 = _T_4679 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2550,7 +2542,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4701 = _T_4697 | _T_4698; // @[Mux.scala 27:72] wire [31:0] _T_4702 = _T_4701 | _T_4699; // @[Mux.scala 27:72] wire [31:0] _T_4703 = _T_4702 | _T_4700; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4703[1:0]; // @[el2_lsu_bus_buffer.scala 601:83] + wire [1:0] lsu_nonblock_addr_offset = _T_4703[1:0]; // @[el2_lsu_bus_buffer.scala 599:83] wire [1:0] _T_4709 = _T_4693 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4710 = _T_4694 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4711 = _T_4695 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -2566,24 +2558,24 @@ module el2_lsu_bus_buffer( wire _T_4729 = _T_4728 | _T_4726; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4729 | _T_4727; // @[Mux.scala 27:72] wire [63:0] _T_4749 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 605:121] - wire [5:0] _T_4750 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 605:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4749 >> _T_4750; // @[el2_lsu_bus_buffer.scala 605:92] - wire _T_4751 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 608:69] - wire _T_4753 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 609:81] - wire _T_4754 = lsu_nonblock_unsign & _T_4753; // @[el2_lsu_bus_buffer.scala 609:63] + wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 603:121] + wire [5:0] _T_4750 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 603:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4749 >> _T_4750; // @[el2_lsu_bus_buffer.scala 603:92] + wire _T_4751 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 606:69] + wire _T_4753 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 607:81] + wire _T_4754 = lsu_nonblock_unsign & _T_4753; // @[el2_lsu_bus_buffer.scala 607:63] wire [31:0] _T_4756 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4757 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 610:45] - wire _T_4758 = lsu_nonblock_unsign & _T_4757; // @[el2_lsu_bus_buffer.scala 610:26] + wire _T_4757 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 608:45] + wire _T_4758 = lsu_nonblock_unsign & _T_4757; // @[el2_lsu_bus_buffer.scala 608:26] wire [31:0] _T_4760 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4761 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 611:6] - wire _T_4763 = _T_4761 & _T_4753; // @[el2_lsu_bus_buffer.scala 611:27] + wire _T_4761 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 609:6] + wire _T_4763 = _T_4761 & _T_4753; // @[el2_lsu_bus_buffer.scala 609:27] wire [23:0] _T_4766 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4768 = {_T_4766,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4771 = _T_4761 & _T_4757; // @[el2_lsu_bus_buffer.scala 612:27] + wire _T_4771 = _T_4761 & _T_4757; // @[el2_lsu_bus_buffer.scala 610:27] wire [15:0] _T_4774 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4776 = {_T_4774,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4777 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 613:21] + wire _T_4777 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 611:21] wire [31:0] _T_4778 = _T_4754 ? _T_4756 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4779 = _T_4758 ? _T_4760 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4780 = _T_4763 ? _T_4768 : 32'h0; // @[Mux.scala 27:72] @@ -2594,49 +2586,49 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4785 = _T_4784 | _T_4781; // @[Mux.scala 27:72] wire [63:0] _GEN_392 = {{32'd0}, _T_4785}; // @[Mux.scala 27:72] wire [63:0] _T_4786 = _GEN_392 | _T_4782; // @[Mux.scala 27:72] - wire _T_4881 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 631:36] - wire _T_4882 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 631:51] - wire _T_4883 = _T_4881 & _T_4882; // @[el2_lsu_bus_buffer.scala 631:49] + wire _T_4881 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 629:36] + wire _T_4882 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 629:51] + wire _T_4883 = _T_4881 & _T_4882; // @[el2_lsu_bus_buffer.scala 629:49] wire [31:0] _T_4887 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4889 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4894 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 643:50] - wire _T_4895 = _T_4881 & _T_4894; // @[el2_lsu_bus_buffer.scala 643:48] + wire _T_4894 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 641:50] + wire _T_4895 = _T_4881 & _T_4894; // @[el2_lsu_bus_buffer.scala 641:48] wire [7:0] _T_4899 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4902 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 648:36] - wire _T_4904 = _T_4902 & _T_1362; // @[el2_lsu_bus_buffer.scala 648:50] - wire _T_4916 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 661:114] - wire _T_4918 = _T_4916 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 661:129] - wire _T_4921 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 661:114] - wire _T_4923 = _T_4921 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 661:129] - wire _T_4926 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 661:114] - wire _T_4928 = _T_4926 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 661:129] - wire _T_4931 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 661:114] - wire _T_4933 = _T_4931 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 661:129] + wire _T_4902 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 646:36] + wire _T_4904 = _T_4902 & _T_1362; // @[el2_lsu_bus_buffer.scala 646:50] + wire _T_4916 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 659:114] + wire _T_4918 = _T_4916 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 659:129] + wire _T_4921 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 659:114] + wire _T_4923 = _T_4921 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 659:129] + wire _T_4926 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 659:114] + wire _T_4928 = _T_4926 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 659:129] + wire _T_4931 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 659:114] + wire _T_4933 = _T_4931 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 659:129] wire _T_4934 = _T_2814 & _T_4918; // @[Mux.scala 27:72] wire _T_4935 = _T_2836 & _T_4923; // @[Mux.scala 27:72] wire _T_4936 = _T_2858 & _T_4928; // @[Mux.scala 27:72] wire _T_4937 = _T_2880 & _T_4933; // @[Mux.scala 27:72] wire _T_4938 = _T_4934 | _T_4935; // @[Mux.scala 27:72] wire _T_4939 = _T_4938 | _T_4936; // @[Mux.scala 27:72] - wire _T_4949 = _T_2836 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 662:98] - wire lsu_imprecise_error_store_tag = _T_4949 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 662:113] - wire _T_4955 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 664:72] + wire _T_4949 = _T_2836 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 660:98] + wire lsu_imprecise_error_store_tag = _T_4949 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 660:113] + wire _T_4955 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 662:72] wire _T_4957 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 120:123] wire [31:0] _T_4959 = _T_4957 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4960 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4961 = _T_4959 | _T_4960; // @[Mux.scala 27:72] - wire _T_4978 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 671:68] - wire _T_4981 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 672:48] - wire _T_4984 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 675:48] - wire _T_4985 = io_lsu_axi_awvalid & _T_4984; // @[el2_lsu_bus_buffer.scala 675:46] - wire _T_4986 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 675:92] - wire _T_4987 = io_lsu_axi_wvalid & _T_4986; // @[el2_lsu_bus_buffer.scala 675:90] - wire _T_4988 = _T_4985 | _T_4987; // @[el2_lsu_bus_buffer.scala 675:69] - wire _T_4989 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 675:136] - wire _T_4990 = io_lsu_axi_arvalid & _T_4989; // @[el2_lsu_bus_buffer.scala 675:134] - wire _T_4994 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 679:75] - wire _T_4995 = io_lsu_busreq_m & _T_4994; // @[el2_lsu_bus_buffer.scala 679:73] - reg _T_4998; // @[el2_lsu_bus_buffer.scala 679:56] + wire _T_4978 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 669:68] + wire _T_4981 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 670:48] + wire _T_4984 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 673:48] + wire _T_4985 = io_lsu_axi_awvalid & _T_4984; // @[el2_lsu_bus_buffer.scala 673:46] + wire _T_4986 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 673:92] + wire _T_4987 = io_lsu_axi_wvalid & _T_4986; // @[el2_lsu_bus_buffer.scala 673:90] + wire _T_4988 = _T_4985 | _T_4987; // @[el2_lsu_bus_buffer.scala 673:69] + wire _T_4989 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 673:136] + wire _T_4990 = io_lsu_axi_arvalid & _T_4989; // @[el2_lsu_bus_buffer.scala 673:134] + wire _T_4994 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 677:75] + wire _T_4995 = io_lsu_busreq_m & _T_4994; // @[el2_lsu_bus_buffer.scala 677:73] + reg _T_4998; // @[el2_lsu_bus_buffer.scala 677:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2709,68 +2701,68 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4998; // @[el2_lsu_bus_buffer.scala 679:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 587:30] - assign io_lsu_bus_buffer_full_any = _T_4508 ? _T_4509 : _T_4510; // @[el2_lsu_bus_buffer.scala 588:30] - assign io_lsu_bus_buffer_empty_any = _T_4521 & _T_1244; // @[el2_lsu_bus_buffer.scala 589:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 668:23] + assign io_lsu_busreq_r = _T_4998; // @[el2_lsu_bus_buffer.scala 677:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 585:30] + assign io_lsu_bus_buffer_full_any = _T_4508 ? _T_4509 : _T_4510; // @[el2_lsu_bus_buffer.scala 586:30] + assign io_lsu_bus_buffer_empty_any = _T_4521 & _T_1244; // @[el2_lsu_bus_buffer.scala 587:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 666:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 198:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 199:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 224:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 229:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4955; // @[el2_lsu_bus_buffer.scala 664:35] - assign io_lsu_imprecise_error_store_any = _T_4939 | _T_4937; // @[el2_lsu_bus_buffer.scala 661:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4961 : _T_4703; // @[el2_lsu_bus_buffer.scala 665:35] - assign io_lsu_nonblock_load_valid_m = _T_4527 & _T_4528; // @[el2_lsu_bus_buffer.scala 591:32] - assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 592:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4530; // @[el2_lsu_bus_buffer.scala 594:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 595:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4751; // @[el2_lsu_bus_buffer.scala 608:35] - assign io_lsu_nonblock_load_data_error = _T_4580 | _T_4578; // @[el2_lsu_bus_buffer.scala 597:35] - assign io_lsu_nonblock_load_data_tag = _T_4620 | _T_4618; // @[el2_lsu_bus_buffer.scala 598:33] - assign io_lsu_nonblock_load_data = _T_4786[31:0]; // @[el2_lsu_bus_buffer.scala 609:29] - assign io_lsu_pmu_bus_trxn = _T_4978 | _T_4873; // @[el2_lsu_bus_buffer.scala 671:23] - assign io_lsu_pmu_bus_misaligned = _T_4981 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 672:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 673:24] - assign io_lsu_pmu_bus_busy = _T_4988 | _T_4990; // @[el2_lsu_bus_buffer.scala 675:23] - assign io_lsu_axi_awvalid = _T_4883 & _T_1252; // @[el2_lsu_bus_buffer.scala 631:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 632:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4887; // @[el2_lsu_bus_buffer.scala 633:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 637:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 638:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4889 : 3'h3; // @[el2_lsu_bus_buffer.scala 634:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 639:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 641:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 636:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 635:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 640:20] - assign io_lsu_axi_wvalid = _T_4895 & _T_1252; // @[el2_lsu_bus_buffer.scala 643:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 645:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4899; // @[el2_lsu_bus_buffer.scala 644:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 646:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 659:21] - assign io_lsu_axi_arvalid = _T_4904 & _T_1252; // @[el2_lsu_bus_buffer.scala 648:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 649:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4887; // @[el2_lsu_bus_buffer.scala 650:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 654:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 655:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4889 : 3'h3; // @[el2_lsu_bus_buffer.scala 651:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 656:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 658:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 653:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 652:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 657:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 660:21] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4955; // @[el2_lsu_bus_buffer.scala 662:35] + assign io_lsu_imprecise_error_store_any = _T_4939 | _T_4937; // @[el2_lsu_bus_buffer.scala 659:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4961 : _T_4703; // @[el2_lsu_bus_buffer.scala 663:35] + assign io_lsu_nonblock_load_valid_m = _T_4527 & _T_4528; // @[el2_lsu_bus_buffer.scala 589:32] + assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 590:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4530; // @[el2_lsu_bus_buffer.scala 592:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 593:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4751; // @[el2_lsu_bus_buffer.scala 606:35] + assign io_lsu_nonblock_load_data_error = _T_4580 | _T_4578; // @[el2_lsu_bus_buffer.scala 595:35] + assign io_lsu_nonblock_load_data_tag = _T_4620 | _T_4618; // @[el2_lsu_bus_buffer.scala 596:33] + assign io_lsu_nonblock_load_data = _T_4786[31:0]; // @[el2_lsu_bus_buffer.scala 607:29] + assign io_lsu_pmu_bus_trxn = _T_4978 | _T_4873; // @[el2_lsu_bus_buffer.scala 669:23] + assign io_lsu_pmu_bus_misaligned = _T_4981 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 670:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 671:24] + assign io_lsu_pmu_bus_busy = _T_4988 | _T_4990; // @[el2_lsu_bus_buffer.scala 673:23] + assign io_lsu_axi_awvalid = _T_4883 & _T_1252; // @[el2_lsu_bus_buffer.scala 629:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 630:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4887; // @[el2_lsu_bus_buffer.scala 631:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 635:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 636:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4889 : 3'h3; // @[el2_lsu_bus_buffer.scala 632:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 637:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 639:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 634:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 633:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 638:20] + assign io_lsu_axi_wvalid = _T_4895 & _T_1252; // @[el2_lsu_bus_buffer.scala 641:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 643:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4899; // @[el2_lsu_bus_buffer.scala 642:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 644:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 657:21] + assign io_lsu_axi_arvalid = _T_4904 & _T_1252; // @[el2_lsu_bus_buffer.scala 646:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 647:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4887; // @[el2_lsu_bus_buffer.scala 648:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 652:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 653:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4889 : 3'h3; // @[el2_lsu_bus_buffer.scala 649:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 654:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 656:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 651:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 650:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 655:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 658:21] assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 258:11] - assign io_data_hi = _T_4691 | _T_4689; // @[el2_lsu_bus_buffer.scala 606:14] - assign io_data_lo = _T_4660 | _T_4658; // @[el2_lsu_bus_buffer.scala 607:14] - assign io_data_en = {_T_4431,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 580:14] - assign io_Cmdptr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 449:14] + assign io_data_hi = _T_4691 | _T_4689; // @[el2_lsu_bus_buffer.scala 604:14] + assign io_data_lo = _T_4660 | _T_4658; // @[el2_lsu_bus_buffer.scala 605:14] + assign io_data_en = {_T_4431,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 578:14] + assign io_Cmdptr0 = _T_2092[1:0]; // @[el2_lsu_bus_buffer.scala 447:14] assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 358:14] assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 278:15] - assign io_WrPtr1_m = _T_1930 ? 2'h0 : _T_1975; // @[el2_lsu_bus_buffer.scala 434:15] + assign io_WrPtr1_m = _T_1930 ? 2'h0 : _T_1975; // @[el2_lsu_bus_buffer.scala 432:15] assign io_wdata_in = {_T_1633,_T_1592}; // @[el2_lsu_bus_buffer.scala 393:15] - assign io_buf_state = {_T_1915,buf_state_3}; // @[el2_lsu_bus_buffer.scala 427:16] + assign io_buf_state = {_T_1915,buf_state_3}; // @[el2_lsu_bus_buffer.scala 425:16] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_845 & _T_846; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 428c5b44..dc50ed48 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -419,11 +419,9 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { obuf_wr_timer := withClock(io.lsu_busm_clk){RegNext(obuf_wr_timer_in, 0.U)} val WrPtr0_m = WireInit(UInt(DEPTH_LOG2.W), 0.U) - val found_array1 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | - (io.lsu_busreq_r & (WrPtr0_r === i.U)) | - (io.ldst_dual_r & (WrPtr1_r === i.U)))) -> i.U) - - WrPtr0_m := MuxCase(0.U, found_array1) + WrPtr0_m := MuxCase(0.U, (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | + (io.lsu_busreq_r & ((WrPtr0_r === i.U) | + (io.ldst_dual_r & (WrPtr1_r === i.U)))))) -> i.U)) io.buf_state := buf_state.reduce(Cat(_,_)) val WrPtr1_m = MuxCase(0.U, (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 1eff955e52c567146a671af89cc25e1f711f9b52..d2203935d11651792e6cd55d2d9269c25534fa83 100644 GIT binary patch delta 110 zcmcaFcVBM9Jr+jO&G%U>*%((&mgKetk_Eitlk>Pep{(=VRzMaPj}4G?=YhyePM*hO u0pwrjaRsZAoNUHx31-DGuAY3BH*%(((mgKetk_Eitlk>Pep{(=VRzMaPj}4G?=YhyePM*hO u0pwrjaRsZAoNUHx31-DGuAO|AHNphZ(v`O1EY17`DHhtZuP0l$&Zxlcv45w<0PcDk7pFqJW5i4@ATlK7JQ}DuSq}fS^CopHEQm!TX1(|L>Z$AG7zF zq*eLz;WlfpS+i!%%y-tztl4MJhyLSzzit>t+s-|vQ9Hk~+LE15r13XBwYq};W@od7 zkYSp}uIbykmF#@7CAV1278Wz}ErZ#)%=Cky{LJcnHe{5UM!hXEnq6Ma6|$+72aD6A z*_CWDWK@_&Y(6)|+H+GOBV-zNr9~ZGna<2-Ok?+;Bw7mD+4<~rv1N?dW4XD-OmVf4 z?KX{ysRzaEih25FL9?-9F4NPqJ(M=%BcY0L=wcvMo$6_?-dF!1WKNmUvieB4tfIU; zV8*I@db+?r6k-1H2>6?s-&eisP$Xpbnz3^|%Z+>L%}CjyT&TAq_?%f?S-r;$K5R^l zRM(h|fh~t}Vem!3<&P-*%?iJIiz~lX;g2Z%I)z`o)sim@1seipv^tZLB^5Q{1JtJmHEMIWY2DC&qNjM zSycGT3cq0SrTtI2_TN+VFH1hR|8uJR)2{p@RbKL?d??_yLndxI@}Z!@Usm{0=7&UM zDzh9Q`FPIktq9d9{CJtmuT}WV3V(;fkC(ghyA}Sj!r#Mu>c>pH!nJe1!e3VS&nSB0 z7?rl2N10#V06oi+U!Mb>LkWuyJZIt|lIQk0rphy4%6BoJ?FqZ~oKo~GOFrxAVZLm) zc*M2mtg2t~*`5muKOU9#$a05O{miFv7aC|cd%`E$m(;h-MB(38^i;Dym--(n{1Ju!;!Bl;YIf){ zLpcvruCU~Dg~JM;7u3wR7St>+9X<1{1+^StyrAX*W-X{CpBL23w-(eaFC9Je8(hb+ zJfiTQR`}JfqYv8)ZrK3}-(GMN-&%0X`q~R_Deq1IVSB+X<=qJ&Y%jQ_yuw!&+{?;> zJ8UhuX<}IB1-G0S!Y{GxmmTan`tTX0UcBJucCZ)R(jIp7(tc&Zz3f?VD?m{uhOja* zEGrX3*q#_jzcn#P{mR6!tV|4Hdt#9C?t~FmCWhtsE@xs0D-*-AGBJdeiD6lp7{d0% zARRGJ4AMa;6T`AHF@%+gVcA;fOZ)AKf$WSc6M#K2Nd4}F5wRx*@Xdr=&`ZY=u_p%T zF$>Hm{Sj-SPq;M`JON04mD~Pi!JQZ))&_tahna9EfQYpLkoAK*pC@~4QO+EWhsRpxK2 z2!a0+;y3Qu1%5?^)X)4Lm%mNrpK32~yZm;Qf7RvpS$t{FHOZIu zTvGWrTz*#7bJNwcs`77H{IY%9W)95NbrkPD+Hxg7**bl9N86R5UBR-@bR=%x=(-m& z>kDS8ry+D;$3(EAth}qTeLB$7vpck@kjgY}EYL2+li5=b&s}`B zqAV1PE@$f7@66TCbQ~xvE5A^AWJ@M+eCpg$v36t!^v5owGMTOAqmlT?ordJSiP~}K z+fqK5YK)Hz^fd2`)KBa~zH607_BK{LGdq2G@IcG8#^Op>!-2!sx@L1dkQ?ktK<>fW zvOxJ%MSbe%&O|g)xvgzk1i}M}twnL8eY&hZvvv4J`|1lL)$w>$q&##zFw&FisVlp* zy#2_H_WZ@F=jWup(7G+|WIn?GopX!p=a|WK$}5aO(R0;@ZMUXFgYZ=6uVR&eeenZQBowXH}`}URf^qdXtO=dEUO+gdS zX8BVq13L!ij$Z4Sog6K0&7|6wQrtclwp7<2x|7*bRv9^byJ|}Y945&^#ld8@RR6RVq=|=ah zx&2L7j_hcg8>ni!FD5&Sx!R^Rajm`B8=bjQSr#l$R2|t@-`H!0I%17w*OD`*M`y0& z>)MvGRn2SSdVC?bXCyvyrRQu#`MyXdb2LyNZ@8Mjnn<3$@bFmWjbmufY!K~vIJ7o^ z{%S2Bj2wzbZgkFELB8q#&YgXZ^26?J9hTk4n^Vz+xyujt zAGz6eD;;gVy>K;=I9)ZJ``p#U0+ly%%`NXKSzg=9 zK<&e0Lqn}I6GzW9PBpfdi)g4H0q7fL@k~d{O^n0g`L+4_j(PO!p+&b}xAxaItO+XT z8gREWc`F+{abHlsBpd90+1^-2SpWW;YfY13gh-x)wZj^{qnX*eSJ%+{LW<6 z%#}^ok{B<$I;(dDs(8FWZ_kZ$`MPe@|466ZZ%0!VRR3UpXZNiv>a%>)s!!v|z&6?L z@ofKvhnJ5A1LZ{;mjgY|1E0s2fX~RKfo#=o*tcfe*H@S7P3HR_#m-gk=(=^C^xe|x z+rOzSSW#YS;b2-c?%C0qJsoU1H#FJ0QhliLc*bg%>a+SZ~mMQOCI(_ruflb$17Y8n!xUx{!71>sOGH%!J88ebkKia#NZ|KUNKGu97e+})r zXCxKxwfpS=>n?&~oa~ z{qrF-=*-w~GXUSUlD_cp?Bb0$91&Mwt1CaUj{LDrH#=7b9>wO4J_S2lTszG$IqHEc zRRF}f{S8+at|nKqr?vus$!yk^@37@D;f6?lWo@`2v5>C1fr+4V3XVqtl?UQMyTKon zafj#AU0csB-bl=!PCVRRd98J|clX1~RL>^YZtn1|hjYXA9ZTnqTJ_ssoUG#Sgh+<>aBTLJKS*MRxWsg9q$#_Uap_t-o4u^Z^mye1ZTEaNyqeL z@&gyP-XA8%K4;5gBGI-7>xVrw0B^VhFy2FsXYy1L3ll5^9!+DxlDtLzPXqac+a9JI z06p_qaL~eF@4-z^bprS=*zGX!j49-V*n)*j-{pq;%{Q=cNer$H-AIzds9$g$N)0&- zG1fb4M9`dl{wZ7$J$+_1w;$sWV=0KE?@$qevgG(ueVO+dEzI>W-m}8 zt!Bt|Y&Y34jobB^78%DeU5(%Gt!X+yj^nDE&h;h7h4gIgiS6iL=wER4V}f%W=gpZb zLo~siw(UbdsP+vH9%!Y0J?Ex-?2k4d%MEK%l=PLEXlAB)TIPTzyy8I2AP$#wJyY0JRr=xtg{`nel zuB9IJ`zE)3jc)z#&y8+92s{Oyg-b`wofxj3Sm+&Y3itH(mb?AG?3vKygxT90bkiR= z>CN?BYrq*zJi*Pb-(<(n{kJk!bEa|6RE6sYcw&(g^3WtL)F?gZrn6(_boil*`ybB1 zKLi_G{fhnZm5JISHV(a;ZTkvA*M8Vn9J|v@={0V;$NuTN7aGn3f5C&c{*IW6&lU13 zRDQFaJ}s6N{H~k}HiT#+5_1+bUi@Wyw%(@&XKc=1@L)c0`)4Y9_F)H=-)ZYt%dhKN z83;Dfgcv+wr%#KNc{5qWhGS&;ygPqvss;`wT61II$NNk8G;fV8m-1&PN&bQ&UwQXj z<*x3POe5qq{Auvx1Fn4aF_saAOcd7l4y6vA@-X!P2 z0okv&+XCkh(tDmO+tzp~vh8sH;RxP{sVED+_|k3LF7>2(Q`;ig>ZHnw=P)rGDJu(x zYb*9;Qv33`U_5oG=Fp|;K(sM1x_9Kzp6Acr8E;CK9brYM6VI>qS5^mNBLkP7sd*+) z$$uNm_BGZ%Gro81^s!h)vl+a!<=EkUcds7kiIbko`-Wo|96c;&=?P_;wuCC8WY`Ps z@pR8~p}7Wll9T1ds-e0-Pwz;qY)i(x+`T+edtxhI8OlyzD|ZN6s{Tat+I)3&pmI{s z%H&MhuH$t_uw@Dbq9d6JtVpV28U92FkF70^6jdAh^>C>a_@zvI&7tH zg@O-K3k{vKr+dVKO*h-8CLZmq*p~`s(_JX%W*jS|o!iXv7U#KqOR7w6RnNE7ch9CO`WtBroWC%5uH~8;!;+4c=y!6p z-*t&3%Syw#u;-;o`iwYk2S;o#KOQcK-^?+s_anx zT2({G?77jwwc#5T6|u4A2g7FY0wK0_M{<1NEUz?aCd|`H^ICJq0#-7qiU{h{I@MpZ z^}e{?zPdnL?d$Dw#mOr&tPppfK*$4s`^~MeQ`X0}b4b?9+@|F>R0j??D_0IR&{h#E zxWFMjzp-ox?IYWfR=C}3166IZJQ}i!&W!~d^?VEj=>e?% zD?3t6D{jh<8NNDDPdKUTe2}v|#7^%s2AGt;pM7+A4qN|FRVt3He{WZ)JH~o%VkNty zv(eHkx8``Z^d_fr!H1WroJNVquGL=HEmuIb#qEt1E-qzAn!uPSmumVJm-U zGMMu|2cjuipG4E#!tNxtP-4XU3>-_m^9pgSORzl6=o8Qvh%PJgv{J4eMx33-foMq1 z z|A2CBKDE>KRJog9u26wDT4@eWb}gU2xwQ@<%Ug0qJZWyz@^@7Sw#)uX-s??}-Dah% z$F=UM34};0`^~6ZFTwrA?bcX!N%kxIrCr@qxmpf=p*=IOf9~{!<_^@WmEO-%%X^o)9YQDrO#aA8gs#JzI9XnnKVk@Qe2@ zPPVTO1ftbWdtE)TG6sBzoAKLa{XV%zd+QdUkMY`(9lF_s{%j-tz_0V%ShkDn1-ney z&$JSOzZs*DUR`pf7dxI7gg_S(P1{p_?XEcA0f%{l&Ve^K_+(4#He zH{VRoPCmj6+iwbLSN0bJb4Se(>0y7izZio5mU?CA1^G?%^U$NcS6blj$GXb5G|K0l zbw{w1$V1;zGfeWwS|&PX`)|@t3-bhz!=>xBTkju{?V_xvsLId+H+Br-t&)Pqt?VYO%$?mRN=U z?SyZHIF%5T92Y#^E*CpmuD9i-oUG5`+l!O!x2^V(xSQW~ippc$4UgZK_QWlJvLCp* z+MX|K_dcg8Mr1wjb~V&3!jDLNU+vD%?LR^7F7@&b-O|hBu~E)59zRO+Ppqu+aM@Jj zp3dNH%e72Rmt)rAQ}9)LejL_*@A{Z3iG-~W<-t`0mU{aF0=z@vftM|XGL z9=IS(IbMPYIbJ=vbhqmSj#jDzTlQ_GcBgp;p-_o4i4WATdYHx+kMF>Cmb;%tdtkiA z7^gBMwmrl7W`UbKz-655l=InDsgLFh%%>uy=fe+DeL0kRN8$qW9pCu~+)6ns z^tatSBg?VobIk9qU*+{h9r<_Sx83i5B>gR3)s#mGlAj8a-_RZz1X{>1Tum(XCYn3) z6F8zl{>91o^aR!+SjnsFi2W_tIjSMaL9}lphdy~8yMg|Ev_(dQl=VmO==Ii>lJ!gC z;o9J&fBn+2bov6?>rB^b`U3oqTuJwCM~E-bIMj51c#~ZbX zV}3HeI8bxqKGmasA0i9r--}(%SO;_kc6y&1%NqOTkwxEZd0ivp0sV2jvFs4@^9acg z-7tm34gBY6?A-R5Veao8T~lLS4`(+uBm++|&a&Acj&ACtpELK!^#kUwn`V@8*Hz2~ zqUK)7Pp%D5CT33qzejd<2KI9Px%7nwgw~}W1zxxu)o+xg*e>PhC%n&OT#1|Q2+fN) zm%F#_=#3=$C&%N#3dz&_!|8X~&ry9+Az7bh$X~2wKgad$n9c5{brAfV)lP^AZMWL# zEc_kTFZPaU3+$-4nw9I@))kwdc>#P2_cZU2KeKR8`K7!smIluF6vWMoyz&5u%9Y17^lRB$EtZ@W?!* zwKJV0k9AM$>KO12zqTaj-Q8Wca{Do_JL|blYu@Me+~AE)d8CE;LC)`<@pe+qpT)5n ztmk*MVLycS>67KmE;Mw_V4Zd_k9Bof-vjAKhEBo$YprrUkNGslN1f7dV7_teo2B*d zR0GZbl>fxk*r*usK0D<&^~uwGZj}SSbXLI?*0pVGgEzVtvF=(Elk#YA?r56UwHp3Y zJs*B1vv8vuN0R7|9miL*J<&|hwa$B!+;7Xd z3+3he%$QthNnfs;p82tK8UN2gB zhQA5Tr7suH;fNXg?WTh*L*}NTQ_Z)5x0&lT<>xO>);H3+2uXB%ybNTxATbWu! zl<>+!*`%~r?yH+`#8=Pl;r(pT$A9IjzNqL{)Pa+bB*eB$I{ag=Tw^!Nt zqY~Rpu{F_sm~1zdC<$y_ESY&UVm=#++Oid!JKy zG3ii45;zsWX-Mj$`D*$F>>pCzXN+sB-GDC~c@N)6+U-VoI!o~;j0cIQeAPT~*o9Y5 zL`LpUZ%V&c9O!C7y(3(2!hMa5TVY;{aC=j}IvI!J{R;Ps-2cn{VekRB3(r3kr=oQU z;ou%eDt7{x-L#&@I`D+dHxIvn^+)xz^gFzN?>|+d}8kC zjwJ0jOwJ!WcNF`R0eNzT{S?bF{&_#e_H{k%kVm9)KgIrda95J{r}F5uEXCz!Yqrup ze;Ms!<9UC6*YR7~T5;|OoCTJz21@QFm4wst|{?u`BRBo(z8>+Kb~#>Py6oB(d+F01;u6d4AT09#})i8 z*1NT)yDpfWJX^Mo(#j%NaRtb{=dsD_H-o zQ_ehK#b51tz={8DaqZr2%_r7+GPOLg>k#J2=Pu*bAbZ`si1;z{&ptr_TnzmKhk)2*Kz1?y0lP_xcs)2j>=6Z z+YVLZmFnq+NcFZ|P4R||8JZKznURicFVY!lTpc-+#1bq&X7 zWV{^vsqI$0#(t*$lj{&)h`0dyFQq;|+Ih9|rny<$9GImIdL`Jj+2l+!XL*;WL$!993?C{yc zer$K=)WmMuZ_ECvJ=$=5WuR)XqvcxbZ1%##1No~~e1zh&52XG(*L$`ij@Dircy#yx zPUKgxK9=zkTF2m*{R#Vr|9$tD=5y1zVsCz7DL0?JxKzyL7gw6RkG=Dmm6ecDiC_6| zoG)Z-GL0>o%%-u;&bgGCzMGlLHudE5^GzY6#xyqhl0wE-d@ewyYw}&{Uo0Zuc8EyQ zG`9NkH(kz7Lt=+%loMqdO@4vdLVkhtXETfA`N14MkC2%U8M~lLmtq=E>lDBaCG*p! zv6(WfT&2D2T00_Fax=x-A!Dy;RC#%(vF}diUZ!O}vp9!OH{8qL&9)3>i?{PL=QE2l z^VyZA!F>Mi>Qczq5B(d9hKz%z5oCEZrSdt4roz4H`MbAg3TUXqrV()-(SKgC8GKLz zLPt#_RJbP}N;J_|BUV-*6qlN;M_8x-Iz>}cW--6m6b~6~_@u-NeJG;k*+OYHG;n#5XAv5g4+VS;#HU_2%)3i~GfKjFk z<=^yB>M8`7W`VN%WJ;CwVT|$BrTJ_J6b5rpobII*+eiX3rL1LgMM|ldUYMc3!9sRr zNs7+o7qjW5Ore;|%o8If8Mb^-K7k#^_dn8^nVEuVR65!*2%vnI`h3E9kVT(DdW5pB z6f?!FMXwbwFwpD03p39a3$19J`I(hsnm*%^T}3aVC2|J2RGDh7}!8Ch6Kw!?>I5_m_J+M^K)T z_FA^gE=p@0ZjP!9Gre548?qh^jx2Gbl#v?(7^R2B`$cctrL&9cwz*?-jIz#TiWw=r znVzH;`6(AtAa&2r%&yI(bKXZvb+c3ACdw{liOFO#*56=4Yh&cGt)@kcQHTaGz#iwtPb2XzKr>lO9X_4UU}BqwCr{7hR>&)$BUNt$^Zee&&w}>> zYtu?GU&!*9LZ|sSEW?_ueusp}t)x-8xy9@ZWe8hVFQI>|W{|_DNEyC+=DyZ8ftT{??GT z#;@8Xo25AS8!}NxL~RYy|Jn~U)1sU0N4GiP9vv%|vWu2&Tr*0VdH@_rAL^EyCPB2@ zEQa1p8n!RV`Bm1FYT|XAJZ@z3Nq=Fto+mpN8q$?gc5?4%AFhZsY-7^$#r%pad7J&Y zu<6BOA)UX6MbLbH4WARE!6;F(kSR{zmUd!L%6SN*luXMmq8-9gn?%0d;8-h2c+|)w zrLF`oA(;;Rg48h7vuvV;QP!l42${)s#;gQ@ZMxB%P>c6RB8~G z;Lf6FPG_SPkHVcITaa2tB9~`U40LIN9B(7=J+|@aO0KHxbF4hsQs9I$uy#8GsRj}) zhlquz?IuCWB?2ZlCvx zoW&RNqZm{|t_=7IV}zWdrJkl(rvX^NY7IMu*X*tq%cV&lS5E^Ko29Aw{PbNcQ?YK7 z1DYm)hSD_I+}7~dWR=OZ9$znwCha@+@D$SX|vK$^!T{wvR<##8B`zM=GB|8O7LTHR5#Vd;O>!#FYM zZi+8X-NCY~`@hGbT}5~tYw0Wb0^Iec!aZweyCA=yXd2rG=iLt^Vn=NyBO7F`?e%)H zRx)HOt!=d}xy`3l?ztfs>p4H3PMtY3nm*sJJ`G+(c`|KuG&Pa7wyRadId>kysj>5E z;Mlwd2;0oD{%dH+Fg-FE>lyD+mD^9OoSszWl_cFWo;sI4dvWj#s^C1cwypF`Nr`m- z@R`1=(g;gTGUBohGMn{G1-Z`&UwQ(2ZZ$pWOHa!5Fcr%dRP|iyA3T#zo$mo?#!=U( z#kktv2CwTpq=!@E{g;sr)>!)4(Q#_Pv#Cpi|PvZI8lX(92B%Z%LiRW)m;`!T?c(AYPOmFW{x+gU}knZUpAH$@; z3`uWddSbjUeWm{l1%;R+?YB6rps%;r7KzDB+%!V8xJ=eDe2EQ#5v*gh z?_B@IVcVp!)R|F-mcyA9Njog#E6)t2(mj{X+D;+L&tvI+3|e775bCK6DLa_r%gmfB=V5DV3#eziCkhX+wxJIh$_@R{@=+TRsmr)FC+ zHl7-Xx8kbXxyTz^HMg6MSZ>Abjw3ELgxx7H<=Is4fMj^Fk1E*=sv(NEA6=~xX3Ft_ z>OjD5H-6$8%E}{+^I0gD(hAC16}JUvb`UQ`EyOb|5g+Y8dkOfq@N7R=c(xxUo~!$Z zfoHETFX55j@yn6l@$-@z9{C-=9QhqTkNl3GM}A!8xqiHqOMbkROMbkR3p+JjSgPTY zA1~EFe!P@Req7~}?<7C&=lR={P&k4V*1ip zU;5d>OJlGlG&puC0WXKe04NtX_Jf5R`%&Viik=9g!#$)C!3ZzI@$$qT!=i{M3i&jy zc;4#ai)qF?{UrwqE1vD|O(%LMdI#}PNe?|KSW8Phtj*-J)FzYQiqlsvj-G+nkooMP zA?4UTNV)Ngz6nnLCWfc2q^XD$u)HPIQw2C^vSgXV`K=I@xl z3tZ7YjH5qc^Y<_x;JgXJaU79RGpxwYx~_C4cds!2!2AfA^@nis?#_$PjLknr7tLjh z+~210619u0wl7twwy6&>!u*)|@u>Mx^G`5eW*1lRZTZynbarJWCxgbvRQCH^v&SI$S@pnuK!ZAKV zoCo4N)-Rvjov-DQwa#UE?G2fKryITS%z_Yrh*TlFoL&fDkj6IzzwFJ9bSpck((E*?SyfHT zF!OXgjSYF&{5P)}$XRMYm8!u!quPu|OBz(EG!Cy-X*^oeph~5Wd!_McNrT!#{mDbh z_Pm&WD^+;mIcz}(q$UFpwRth0o>@a|Bh5k9LO39NY9M8+0jW^~BzVfjvz-B?CIdQu zGtOJw_#>jB;lNg(8c5k{Kx)(g6`peOY-a$e$p9QgsLPk`7OB7nUw$Op`9W&(0|1@^ z@oZ-RsmTBgcrxJG&Hz%Af$*V>KNxwyrvehT3XmEVz=o$xJlh$xuu1q}L(f(QB1=n1 z00n9Z^lWF)0wm!B2tC^wv;aw_l;HM#LfiKVU*FTS zT?cL7CwzTR&vpiF-zU(W9+TXjrX)=rd_A3Tdz#473`t*4)3ap~k(vy?o=&aH;NVsNgopE z+0LLvLehr>dbTrYk&yHufu8LQS|lWWNT6psgBA&GJ|xhym4V38W^VH#fu8LQS|qgj zkU-CN1}zfW(7h`B>DkVp?fEuzXO%sHW8%iaZ8-3}Pl?<6B(ABKulL*B-X~I%0bMLT z^mKk<0dEZfao^%A)5SxZ77uMcJkYaUQ!O6ae0ZQ|JA)PvZRqrx8N3ZsoL^1z!S-#u zuM!Tt%4e#J6UtIr+jbvL=-DcnNKFPGPTF0Z5UI)F!%4e~6CyPkd^l-$aYCdf13F#> zR=bM{A~hL&m}qw~L8K-Fy4h2Li-2}50@{5Dpl7>TwFqeUA%LFk3|a)VqdPq&xjjuu zrFA&w>uGwnN+42`!PnEr+@2;1$K0MKQj@{g)5qMNCQ_5Z*VD(`o+eV0!PnEr z+@2;1$K0MKQj@{g)5qMNCQ_3D-C1LMH99`Fb|rxidOP%(ivS`uihKw-<|2T| z(hMCw1kkgE03tORdZim~`L~1hldb-2yX(CHAbozRlo~@oHQj@{g z)17Wl6RF97?u;Phw3x<$b~YUNlDA5oZpRa;$?xm8IxWPmxX<5T+~no zjd?!Qbh@Y^Qj-DD2+hu~uH42NrfjpXI2S^lS_mB{2;o({+12@Z`2y%dxNMtO2Z>my zBC^ziKd&;NB1>bQk_>TL)N6&Ue zwBS1qgw-f7>(T|Bcuyx>=G(iIoUKR;%Hu#@iK5%Na9Ojj3NBbFi>4_6HPwe4cTq^B zCIdj_$>8GcxE626eR!j1yKY*%9S4p)CAbiyq^+887EnWPE#p05`KplJswc7T_k_71 z7qR19pmnMM7{4lN*B-wr$T_M&>r??VyKFzOHl=xOIb4RbbFYQSWQ#{n^%JbE0&(bYnEw;uDxiob>mGDuw4Dt=_d6=V>t%i~8zTtNoWx;%bl z#1&)^t;s_HPfw2#!)_I#b$R^Apm?a0M~@7OfO^YOkU@#Ma{S1kP^eRm9vKw;^p>L_ zgA#S+_>n;YQKuX|GAJ7AEl0rtCF;uYj)DV9)Rlv-F2}9Y#cr1n*yVr5{Y43NwhAH9}yHRb@J#DLE%wvISL{uQCE&15fmbI%F!c&f}q}V z6hu&>t{guiC`Rg(qelcqMZM)Hh@eDWIetV?q|_-#j|hs5ddpD|L5aF@(AAr8?&Uf5 zD*IKxA_W{2P}L}+SgEIQV%P=~t;^#F2Zd6dJbG|Y@YGw50uD;lmE#8o1yr4K^x%*o zRds1a3@48s926#X4%M*Q{)pdH1uG=0Gu4k3ikmvc>ao(+5jK9*Uz7qD3aaXg^23E< zsZLRPxKL=++xiMJC{a@mMMph(h+&sQv@VYy85A*f^5~I4F;Z_i3Nk2BSB@VU6gPFs z(IbN*rQUKBWKg269Q3v~j{*gXr0Vkcp+Est$2L6_D0=EGN5KIl>dNusfFi0+IeHvW z;M7}=f&)s_ltZyoPaa~}+xh(Nu3a3JxeySB@VC6k&DB(c^$(r`~cD98jXJ96t^yp6ZmN z#{or9J>?L?&O@}O915a(^C&o=L|q;~4k)ndl%vN1g;KrcC^(=*T{(UnPVn-fah;5Z=rd@bfwT-h&f?F2Cv5tH6aKr#iiUxKL!&u|*FT3X6K}SKva4x^nz* zp^&Ikjvg));q;cHz=aZZR74=55++3x3?DL zyM*Oy{*o0qk+`m8z(xMZa<-7orl%9E=%HVc0x*62G|}nXu*?c1tPp{;KD^F z>e|f*mqe=z7fI{#p#Qz)xZp^%YQd3cMYntNxHyoBnsWT%&V&-~l(a679|siTwA)P| z?o3cb(_Li|9%gD?t} z+EvttO%oI)RbCUH373DwuLKf~620q@FOkx`OI5Xge4klML)pjt%78ydnI4N2PnAEg zr_VlEZI)eFDn8&w`%}M0h&vkfNcD$b6U1=x=#fg%R&QG>Fr`FY=lDGqg-yE{_d9@7EUa0Ro&O zmw&;pPywGlLYts~tVFX{A$}u-L-+Ep`V}fbq?|en{l1SvwssHb!?y{F*6t+WmKs4d zD}*Zyzaa|bD7VfKplZF3Pss0Ag)1ujS`|?0Bftp?`0gk*ReC7c8m@@?bt<5x%sNZ` zprxp=(`0(kQoPp-9tE`eU~s}843-SL9DOi2L9t&?IZ7~CCTi+K@m}`XIKi&i=~tkD zQXd&kP#~BU;2nhue6!MPfdWF3)L8(`t=IR`Ifg6t`L!xArJ%A-D}d&;L_wiGa-5(* zajhON;ff=EeG2|4qs|6ENWN2?xu3%ar05$;;R>{<*DeKf`Y>~XqRMg&PkJ)8B2unI z*QJyL5Iqq6vF8LaoIId4mGH-&6H4q^(z-kVqHA!$s=4p=h=dZ5mb6Y0TFX_mX2HSt zLPUZh({?TNQR)N*r9G{sM5$$>u5cjB?LMn)`jxxd>J+VZ%GN`Xg45nS3KaE`>jVX= z-HI2i!ms!hDHx<2x=Q$ALLqF&V9>feK#x;#yX>^TYzR4e^l+0QZW>R7TN?%VC8W18 z6bS0W-U%7@w)IR|ZIScoQ9|J|gQi{-`F83xWubD>uLnYQy(oiD5AYVV?J4AD!WAoi zB?=<-@$v+P#~me8q~yL|2_zg#Kci{_imH2yR`5xQx}pI$85v=JNNxAsek}?*^?~;U#n~M+ zWY*|c%HfLF`1L60qzoEsWH8?DgUs4W?zwEZ;&nbfN`OA056~xMfZo-!RLB>@6~1>2 zq>zmXeb7EZF?!c^tWDEzgTobX@f)Ndlyc}Q2F%HKN$qK84qw>~SNynNlLAwHd_O_q zdkI-+m6}bh;&XxFig)`JDc)6o4v?U*zhcjFekEM-^L{l7 zc1d1m4`J8sG5VldxZ-_&Jqn)n#{~&G9q^0+<+wm5>dFQfohq#1a|Ki33jD0!t40B@ z{sbXGhXk$~9>pKP;M?x#mk;~ZD2|onb!q@aPYvj7!G^p3oFPHS z3a&Gd-uR<_Eeb+OTvsv>=XPa*oxvyldK8H2Pa_g^_@L-vXYeV%9tEM4L1zyTr|4m4 z@YjAl3Pkm%7703}aEDC6at5FFD^f5@IdldAqpmZ+WX{gubAC;V-_;**B>PA`_(Ae)gO8!=!`?@G3g9~ zreBYOXUd>62LSbq0XqD!$AJDIBthpM-aHCYDN$DrfVaVC5-C6y#BcY#Y8Bw>&q@+> z03vsD{;w~}FHK6V)qbrCjP>Uy2|6zETB0D95_J{ydsR9iaT*e|E)Q_0wO)S1L|WhA zw?u)e{^%s>KRS^N%MwYKT9Wi1ok)h0N9#V5badkU_(NU^AT7r42Gq8bVvZ&)2$OW6 zA~h{7$gg*#i-r4(;UIoE;ML?pQ)bcC!w1bIox3=tYoVF+AG1h?lSk_?lm25C$#C)j zVLIDR&rg(#eZwfFSw~hk3)V$#qjQi>~s1Kq)Vy zC-o;aNjjl=GOR{yZj0rz+k&3TX64E=m8(=#pXgfc{h^NvA5_ zaumlxiMsj#4E)piM7khPwSy~uEec-rucs&J^u$}TJH^RFUCDmDDCZ+d{p;yT|M`ey zIIW_cz>@yg(=gdX;A>%&0Uz*cKB#^WxO?O5 zBKYmWSHz5P@H^=40M2fvpp^comRm6$3{(PyF4w=*lZ@kY!+Z}XM3W?*R= z{>q;b#UAz+yP+Bpd|mMMWW*2ReiOegd}Vo0pb|bckROlDn7z|`7!h@rJ|_yyI9rFpw^!BIr`jswV#Cy@8M?p zIVdmOo1%Rn-K-I`KUW@gn2`NNR{l%yLxp<<+^v!sz?<=D=s_MkWL2}R|z;@yw2P6S^JzMp!4;t7R&3z?O> zxF$?q-(VVD8$!ivX9;l1Nf*2b#Tn45^h6zjPn)ZSz+ikUPc_(4EAO9K=Sen=uSgO6 zVDLj!mEXnBRB2dD=ND&lbE^fq5GFmhW*T?h`t;JCt=rL_qHnK{X7QPNmnX|`Bp&!=@)d$MetvO|4NnoJQ{f6t{mlw}&unWRWm`SP)s5Yhsh1t&3urQA?^{g;E zm>LykCsX6XJkAu_t&6Fv!tCaf&`u|qx*^PyOx+abDW+}-^E6Xa!h8u+_=<6gsaaw6 zFm+p)y{!3;FwZbGFU&rs7KM41sU=~aW2zv`^Gp>5?&XwqyC-lrhotTcbAaproG=HO zdML~xrXC4%n5mZv^CD9(6XvsQ%eM-1gn2I)<|tFI5at+DuN3AuQ{N@bOH92=n3tJ) zwJ@(R^%`MbW$LxUoM6pAAk1sbd%ZBPGxY{x-eBsD!klF4M}&EksW%HV&D4(y^A=M- zCd>>|KQ7EErrsgUX{LTcm@`cMlrXbQy-S$0Oubu}b4YFbhomjxbl4`j9Y-Onq3G zt4#gAFz>OJj|g*(d4D9#`%HaQm=BoxxGJ!3zo^$-EFds7Ye}wr0r+!M9kC^&P zVSW>*{*^Fa%Dlf3<~K7+{!W-LW8SBQ`7KQSgD}69sm}`Y+nD+%VZNMm{EINZovF_Y z^A${eQJCMs)R%?%N~XRl%rIw;JyGWCowe~hVSVZM#2 zqr&`grdoyhcG(}{gfQR1yf$IJlgm9O%%5Ohr!aq#sV-su6jLXJ`O{3D66U*@dWkT9 zhN&K5zMH8t!u(mL&Iji$7{8;H{<|5^B{b);MgZ6)YVr^nXR7TU0;B;>DY zlg=mzI+sSV?Hh`@6*#<(Hvbh<8!MHUkI>CiPb6knc|8-QHoB+k3AEAmRZk#Bw^}`s zn5|9Q^=M_c<+O>msd^uWDh@ z@`D#>P&`SF_>(J7Jh^D{$wjRedlFmQpIqy)Cl~Et(Ptjhyw*)K{}o}YZJ$iD)w)k6 zY_;!`30p1vWWrV(Kbf%A%1<&i9R8IN%RTvOQKJRUlM&n z{F3Ms;+I6NcGbBrzJ$%bp^Y2$X&uff=t}qTu!wGw_TA_97(w^OFcw_bIOp~^meWnY z%B65Dq;szRyW$>WpL@C1W9)P8+wHVh zxmJ%Qb(m8n?FGc+www(Hef!u_-iqk_yS%W@ok8_o z40S51*A=qBAV-KE&d|g*xkawq9=<7TUF%)!t!vohW;y5XN$#R`i*j+PbrQ}^m8?eF zgO<$3vyyVJC9Bfuz4yKw%S+gyc8_3%^PfXxEZ!QQ0r-)~rQvhbi5b$^tr< zMwguO#i1;tb7{8B#xBj4qA62O5IVn4Lge=OCRUcyt@C_x$_iFD1(39LZ!4?R%}r9s zz0Q>db#AxhmRA*!PS5lsKE2&UOo<+c<&K+3-K$jUpv`&+6jLJSCqrPEj&`y%WYY zu-W_2CEp6Q-+s`%LgK#LAYXgJYbx}1N`=gh`)BQ(j)i9)kd4!9;aD*pA2Hbts~FERLkcqz(m4C=d3WE;8`x8z>Q%@l8o$oAmZqmkN3 z9S-l8@_6g5;^3Jxht7z|j>yg^^x!uwo9R;I`6l`b+~Nv;=ZUw)_aE%GYunjLO}#PAS|{)*F^0(iGW;H((-)KlwBYC`zmlC9%Ps@T_<4f;rq1Jp zCAzyKk*>%I0(EAwXEirJbGDFQFpWd-WhF)8U0(FjbW5)y3Q(SkoQ^`tOHBLPZ*9R6 z!l6hH2F3zi_&sQw=~nm&OVq$zM9xI|qLJRnS-g8yVs}5hHKXdhe06+X0bF-(kL@#f zaaQ)gg=qNekpT?brTo%p7MGYWTK>^AE~_}R0O2TbeD1~*h_*6AkzqLA2)=;f_!^oD zLXiq^sNO zP^4Y%cyWdh_lM(8E=R7AKe>ugGhrG>H_~Q<)Wr}Dn(H;ljvokVn<^=c)ABWfNLm;v zrZU3lVQN|!y-a0=afT^O*z^kvyLDxqgmKO|kBL2UM;QG~%?slKQ;WhFU}{MigG?2K zF~n3+7{g56!%U_qrskpFn7Bphx<(imjc2Lk=Y%oJ)I(v6vBpQj7-#CG!nnlL%Y<>6 zsc&6pT)Xp(>q=nBah(s)$-3~73zkz@_FOTpl8vtr#x|2HW#0VN5db z)xx;Ryw?aL&AitN;}%mtutBRhC1zOm2Zb@s)DH<`hN&MGMwY2J31gP2w+Lg7skaK_ zHdAjCMvkesd%Ii~B8)r6U8>Yi2xFd&{V8EAFz;Q$Smdnl7Dk>^-y@7A=Dk-K%PjW` z!YDBHOTt)Tx%Ua9$a23bj8&$7T^RQ`^+jQ#v|r^L>S-1)E^1srJVXvVSF?5J}!)xG4%;yd<#?fu-$(H3UZhb z6uy-K@aMvKIa7ZjjBn?1|F1A!!DallFusG^293**O_+9`~;F||t=KhD%s!gxDVjly^bQ+tK+PNwz=<0qIp zAdH`6>X0ygimAiG_-Uq&2;*H$wFu*9n2HPI-Autt{w!1N!gvo;9m4oIrj85ay-cBh zf1at6!uSQIP7C7~WzR%Y!uTcT^$O#cx#oSscpp>egz+m(^$X)yS#CfWzsA&%Fn*m= zFAC#-F*PEL7nvFp#{0R9OTzdKrmhI%e={{9jNfFr>%#agrY42)+f1c}@d2hX!uTDg zriJlArn18L5L0u)_+6%Q!uT*#cZKnLOf3lG_u10CF#dqcT^7bin71N~KV-R8Vf+zO zYr^E3$#UN(jQ`8j_Y32%IQ4bH_-m$qP#Ay1sXru) zzh&x&h4FVxy-65<&vI`O#;2M0R$+XGskaH^ADDW(F#eILcM9XPO#P%VKF8Eg3*(=- z)qX}8|IGS+Rv7=nsXr%-e`Vg!3*+<5`$b`VfvH~>#uu6T6=8gdsb3Sumznxs!uSen ze!noj%Dn$AjQ_{fZwcdTocaM_{2TK=h&S!~7Nc#IEa`V}XOSIEmK^^}bZ{a(2Tt`aQ_%9;SPeuO{RUm7pi>7f*S+T5>(OX(C zK3lWWEuw!F{p%=n{SATyws~H`Q1tJxxt__Vv7MiPU>YOJ?{C*{XR5Tjg7s=tR`@)r zM?W3?3^wdh#BV|t6FA!?JXc}!w-Ezg1?$S*cHj+v+@p9LE zG5X~wkp7i*R@H67_&SfJuL#?aU44sH4?Ey=xcZHGk&{}Uz2;%*x{(r96J;>TBL|3R3m>?Y7Y?aj!Hf`p(E!v zuOS)dq2DN#=N9P?ekWk;uzK1GngJR4wbaT=ewu!i^ubtL#OR3OC;6N0lAQ2Z4Dp8= zX9(DAndx{W)*0(EjeUr-l}OAYlF+|c9M2EtXahcvhT0Q55k-!Zu;`MAosQ(9Mq>b;Kqp@*(d&e;XH?{K5*K@^t^rh@P0p<{JtpD(U($Ug4BE;Fm-zqrlHUeD= ziy&r8|Kh#;bcUvw@k{|h(!TrCSvli`V%XYO*(P(eJQTamp;S3Ppq(%fU6t0P5u1$N zjK*%n(!%_C^A~8;Wq?^%j5{P0!!LY;9Qu<#k@pL9YrScSl!#?xvr+T!V~7DzkheFp zx`Ln0(y#GcS!XPFi&!ppCmOpJ!%vTeQ#p9qPz*7(QABy2LGnLFGW+G|r(^kOY%zvF z!^OybY7PV%#%NpxVwjrcl$Kdo60v)7#))AKI?8fbgPvo#=MiJK#@|ft9>$=Ey};w+ zkuX2ajr>xKSis_lQtij~F+vCx%d44r@;?Bmr15uMokTlZ&^E3!P=6958Su;(MzLOS7cc+g0VMld z#C|&VE}9Ck3Aw?;;b-wh3Y3>wAv3s9)IdlA5(lLoR z!e!hf*!A3GV}Gqg2j@m7LI*m|Guoye6ti^eyXEI0`po)%UUBr&ZfLxN0cu={yqvtt zZ(-Ii38d?ygQ3_5uxO;o$aR_4w25GC?1Qn`@5B(YN^{jd3~v_w2ZSuSe%M)UR0A{; zstGjWUm$QJQSw4}5c|dX9IVH#s>vBX$`$Z-%ec{OM9SBj2}>*FdJe0rKN0&R75Ar` zf-&sE^k-xCL?N?mj$tE)wZM91+Up8W#5?vEHAYzsd$PKc9>HRMFn2c_iv1O4g95(s zQdr4~*x#7H7>oUN>~D2PHsgg1Nzvl;;^H)N{=I2*s=L$;);uLWygBw65&Og7k5ME1 zBO2ken}a{bGdo*qS0jPt04V2q?b*g}qVRBSD=7{j&veiMB?kN%*+d^Lja+U|@^ zn%EQVZmaF!R@;dtYN#O{-DQ-D$!e_2t|~`%210uaYr92>mH- zWjGg~O`WbyhuNlP7;vP%SO*rryn;x$IJ)F!$KrD20%ei^}UT2BPTF@(G&N(HJB zX~JGVzbN0!8F42=xxD`$ckX2&x`YG-28k~ zNZ_p3{S1wpKs!fqCL($TK6db8@LQvx&LNu5sO>LNkKUk80W9cGyNG_4K{s3?2@#)Z z7#)5W|SRhvOHf?$aU+!tdlq>`9(; z;VqYHP61x9d!PQtt3s(xL_aNFh{AeofW9PmAp+;AuVvF-hJ^$gSMEpfcb!J!zNJx) zHl;DM!*OY{F=;7=@2%xmi`1U$Ct7-}KY&4m7s24Q}U+xd;y zjM@drZ&`)JkJt-xf`Jfk#=IilB;MlrLYfx^-Y1D3w;J5T=8NL3QCNf32tJ|0y@|j6 z-o*A5-z%hL@7vhscM9`A*;4FF@W~aLSqU}*0h&^Sa>otASChs3LKYDiGAYJ6 zenSK{GxeL47bxVsAObWI@)HOjT8@jr7S8v(B2dTF?@=CrlkwN2S>25Jy42h3J4a*Dh2~=AAiTI=lJk9yAqz=$`Xt^K| z0rK?x1j1{0d#ecS<$PERSFGUuv8)h(!#c4N4$zloIWLGBU&}v7t^VVT0h|^1R3q&j zoc6hrG_;@-Q^j}~#*2R#EB~U#RBg5#B%hCne-&TA*)1#ll4;Dlp3sYI@6$KP_hDpw zB?=S1T2d4AtBa~UO$lp-^jan-UD$>@d+!@Q#yD5)-*HgPlm36Ms~M~HUy{<5ff9Rw zEMGsA_%?aFkJFX3n50{Ie7P{_)(;C=dtdV6rI6yOpDr+?bm;MFrkipo0b;%c3^F9p z(t!Tp$(PP9yL2HyciB)5>rY7w(3j&Vy`&-No*7C&9q3O{&b6i}n(=YY z%boBP&b6iMscgiFFY|CJvTjbR9%vaV746^y(2TvNz*#YCJB0INrVg(w&06VtENG?6 zt$eLlT01s?uB`Hjau3oSRivt^i zMNShj#>jlz9m12WX?ilOBiw?RWSIgs+b59(yScs<=P>aA(F6EVY zF@sC_E)j?`?^Pnu#?-4(7HybhSwsYA-^5QK0<<;aClEe6V5i%6y$dray7f$Unp*HH zayF@a1Kz~svfqf;gqZguw5{E`PF8D3@mQv-u>1wYtpdKvYTpK<+;9ip=AX%m%C}Qg zx3=;f>*Th|fb}$bOPd!QcL_PVvV>|`g?^1|fC%*v7yq+*Q7*yqSmd9)4JWU0aX?Q~ zA>FUylPPI#x(CH40|(`{53K_5BMRCVB5xTT;TZqH2IGyKel{3y5_TA}dOxK6I6fuQh=- zwA%m2+nyE8ktcbmK2o#P6e2r4#;Q~@g>f}(&(QxK&dMS7EBK}1o+hKLOt zBA_DDk77YZ!H$ZGT|p5Q6?<3yZ}Mhyo89b?oBi^8{`zovGn4n7&%DWGX0mTGu&A1i zUV|smloHrv#C?H%XDektoN47z$J0uDhMN295%Tw zadlka1mJ=mx-)O;1tm1tmN(pj>NMCMr7{}qfKp8w?1)k=)>y%H*jPabvVj-Ofe>Wl zFPH-%$i@+PjtQx!1jT#P3!((!ASnQ1sF!_Kj1fXrrW9abc1a~Xp^~sQ61D-H(_B)- z2v0`BcCe|vONupw>=hOW!8s`hWACX91Dgx`Dp+a(aA68fRDlzo8)zg6wnFm;)imK2(i4&FR47 z-f}$1BpU3C2B$D3I8Rj4xEh)f^8sG7?E7OWK4=0DgDcSL^z@x@s-VqoY%EDX@h0#{ zxDvImg%E9iyD6AOg9DImHlqXgBOM5-2gjDeJ|D*HLoT&IGa4*MTXUH$s6(`sUYYDR z#f)I9WA}knTTt7NBkOz`9Ew)&WmXgY0uxe|pl0vI(|V8whoceBQ~FL=V3ga=|z80>`_Ev45oy9Pq=TL8N&@-)4nu{I8!4O{~KuoHZn2B)I+ci{(Z zx*(6Ph96ae({S4dGK6{i?SB<`H0B{=~eO=ogp}WP=arOAvx=!~t_41lhm?=0FIt&uwE4gw*!boCa@1 zp8Yg}H8k~oc#ncmxoC!fT9Kek+A;?ArFsG@l7BqM#nt@+SxAOK7Er2L_lwuGi z&(AIDLXd?} zQQq^QzLO$N<>1}mVdKV47|~E@L~BKB=aD+m!{BTZ5h+RYNcCEkW8GN8(IcXDAkZ>; zBm~vtDIN`h_IMI7+_De1)r0v`yoRF53X84hfLDXj?l6A~aeBfm5`;Y;W|5%O8|HMO z1ak?SSB}AQr^;(EV|+OuoP}SKq7bjxHQEv;g9bhk9SZl))MKWfYcu!+fW@N;qVUF_S{_!$4xq86={wQ zf~yW>9BjWDeJwBgO7!&rIj!=77Uz_h3W0NC61hyI7~3CxBQN@N^vzg~O$*+l$FnQ= z?Whxdhb?UYwc-L?c+Zf zDwADxw&kD<}kxW6v! zuji5@+0Kt}=W#Szfjc3*DF)$9N3ors;?8C?x&e1Khn+24ax`;CuzBKk!-OCk{=|-$ z-EP>3CC@P-$ObMk$8I-loDOp!1le#M=GZ-hjfi0mgdiIj!(943gFOtw<2f8GrMqRn z3GYJiPBeBFf}aE6U0iYu2WO*PXcmN2ZD8Ur1c?-=Qi}kZ4TGX^W~qCc(%^19^aV6_ zK33I*aOjI%ax5M?al2weY^mBn!|cLc>k8hl$I8>o!1Eb~@5hGH*g!nFi{S{vT~Zg1 zkf;?lXqI{eI4D0kI0K$)+zJk55b#np4Iw6`n}O%9y?C0FX>2?~Oa+KbT~ZGr5{;on zdi}5m5PontU7n_Ug8v={5b#(!9U-nsgJ=%FOMH(I*U{Jvgt#6cX1k<5hhU>;yqcMi z;tBSE!VeBk;;DucoWnc;DOSo_i)C_p0fHn!zMG1}3Dy@ZW6#2A;EKU~_?|lhiWME9^&eTWRb$ zY%VVW%*!sEF6++Ns3D#%2&vklH(=L1Yc4FZCbdXggpS{v+Kr;faz>(Dp2eL9zGd^;F(k`&B=%hkHyt+yz~oD(>t;5 zgqKdF=~s;AVrQ2g(_+5|@-*FXnhS%qJh)9OEmh4`wp-qeg>m60_R~^|8(0HNiU;AB ziQYzf^I|QIvx6&n3Vu6U{J2&v-|Y$kFX7HYHOFiqKkmEa(3OKInt zk1Y12@nho0!WR|ebzvA0mKlXL^TzcbnG>%M0lyG2n%KbJg`nhdk$8i6Ly#iJz!x9m z@N9AttRP5&ak!v>EW@i0pCcWISEu9S&>DgrAdn>K>f@s*l~*4Qs>EByPhfUW43kq} zg{Z`}FGs^Xm)y#UgN_YF;ECw~^IF7u47w>N@mBHHk@%@`_=ev?KJpNUajY8Y?Q45q6z`QAKR@0Z-f&~XNTwI|Y+@Qcx-{d#0${pNF|J-=L_yFj^ z*omXBw6E74hmD`WUZ>)N@<0LV>1dC_VnzfQ8a*l}4zW4*Q0Px-d{|&zUVLc$Vi>2G zFrrTXfdk9Ok7rl*0R|!P*zpnZkxYA(_aH=P?z}N<=?o7-R*IkhxZL>I_;|Sd%2|{y z2-@oGP2&^em*hnT#V0|NLA!h!d5fI*lmMBoX#(kUq7L2`yk0NOi%*ST2Avb~b?OfT zM(topfpIzUD>9rH?}4t&i%*MBr=%Te4{K?~XTTNTw=s=h!zycPd}f$HBwDa<6B?g| zTdofim=O}}+myy{#4R_4$ysbmGa8?RTW$@Lv)Pv8X?z}TxjjrERxQ}KIgQ_iTkZ~% zPHamH8ow8}+!rRDlY-69gAdF?^IZUAUGhTU+jz|_%#A-7e+Vuhxi2xs57X_jau?^4 zviK4h(MT)8o*=NyBe|qB{wTC;HXvUbhbQNYl9n{#otxB_y;<5qGKLGB02#lC9?t`? z<;rBR|M8KqSBC3#WgfU`RhU2@9%jz#0CySaS(1L|U|UZwAKZW92XyRtHk0j@r4;P95T@dCI*H0lArNcT+%51YM4Nm zob(8%o)mj@OPmyqZ$qv(fa^_{G-k@Z!{mZ6fo}T3Fu5p9`qIcP&O8PW;Y#rjW6nI? zBuqU1CoX9c{}i71(t5NGNehj}cfxHtz0*r7!mpdpbK|?>U*yGi<+g@jLD=$~)zZwu z6xE#gR{_#1G1)Wep1{_k$@+?5^V;Iy!13dI!=xXL%t2Rt53Yb2r%l<(reCzI0`a2# zDVG$+e`fbSn2%Q&|20hd)5xunxy+q^fQ>(6k-08u7XLI%2GYpgxZ^+AvEPMf8rV9B zM&|Rat`&7$+a=A}R+xr+4{i;^Rv0*J!L|;jk$Z6~1R}W*h}4p89YQ1bacI|tt%WW* zfo&a1BlqK082@u&{O?4zbr_92fEEsctu~}T$qdxlL<7k5cMD|$c3<=Q`zo`H1ZHCwScWHUDAqe zy(CN~(a0h!ehTb3)g`Ujj>$B#7`L7VTid#%4cj^;Os0m(rD1Yem|RXHOR&V*P@G4--WF3$Ear?uj0WN75`GHy(X6wRJiyD>Jr1FY&hoGgQpf=1U?U|)b zXlVp&8tIZVSPYSJ`KQd?Pck+Jjg1A(aV|NNUH(^lC@X!3dkHE}0_Djr>A;lXCVVZW zx#;Q3VaF9NIg4K;^7V-Y^)+cGdmwi@TDb}e&v41v@mJGMzA_}yor#67g~Hdlq$4}z zbzw3qOs=O^Bi_NgH&Lr8O1Ff`Y-%;f%v@@nfYQ7$xgkt$jOA3Pv>E?Z!G)nTcRt+E zT%Fq z?vit$p->Dqb63Hp)h_A68cK?OQpHrDK8&QfFp_pI(?1s*+7qzpNtblh=vM{@x|>k{ z87Ta$OS&=r9;p93YgU7^YTdERsj9b5WvvB_$mR{J@yD`Hb>WNh?XP2hqZU zJon$iXqe2QR(CY%QtLdFAi!o0A51BPQ4$PCEG(tgg}ApynA}ROewe9At$`@jqSj!P z4x`pEl#U1!=q5&B<|t~7M(G%8jYFv}wJ`9jus*dgNUQKTYF&sQ|m*N;CA#-m@J~!Czyx3#7>mp4zQS7Utk70)IBIc^ZEv*xnZ(| zTDYQAA-oel947D+NG*9AQ>V4dzNYYQeytYHk2;0-xTG(;R%h~SweWt}^ngqHMScjA zN8u$0W`Wd!4+@N@g%9y?m%>G2BO7j~g-iHQdm$WmDYbq;D3E?eX&JSCLuom+@Yj#R zmDIvtG748y3x9+tTnjH7Ds%Ge@k;D3sl zl5bSy1&IHKUig+v$_uws8>dGXzC-E5Xm1DDd(S0<3%7^K?e*Iqrvf7lknI zVhG#6oZ1oG|2d@_asO`E|D{WYvi&QlU4Z+)q4ZhY577&S5WO&r?O#dlIPU+E(wA{R zbkv2=QD4m9a3HtvcS^V8&Oc%2UoIKWlvh!^1eO1zbSK|m4JJ2KgUJmem~suivzkrm z*SOPxojEQU$&^>a^3MEXsTQGjO)QyD?b;|sDg738ARwR`1O$u%gXB?ED5CZeSgeHF zN1+4{Nc&I$)=a4eYo?552U!y)Yr~`>Ox98Q7k_}S29W^O>bPVKdpcPkCL6+JBP{cb zhU>yI&nVT0Wu8$wF8NAIOhClhz=>v5)#q)zi6oXxtk$SnV`xUz;C-VSyl;$UPnDI| zu5!f6`+T+Ls06Pg)!=nx95h_Afj7}=CxyuqVe%xkk44Y4qIP|h+EBY8N-##MK1>~7 z`3O+09hzvVpQHH>ZtV?Q;ll+J+1AZ8KL@w=g{}QuatYh|e3-ltCR@T}YnZ$kCNIGP*?3~Z zsNE6|IGoxip#+hFENmLwHyUCKbGtKXEG(am+s4!UaDFb^HW3bRNh}{;KPSaMrTGzl zjaQorTj2-#$?!;$Uw{R#fKAg}GKH0bMVy!}JnmP6$Nj0SR3Vm{37f8U$)&7R5tf4A z*{i|t?3Y0)@;n~?CYoQ0+Y5j_M4|JQ^miQu{2-EDMv@sND%O zE5hXUFxdv{dh_3_tF58=HTffawF-b+=aOl_Yj?rI8>!t5r6+GZEPY?f$xOLyJ4~e*7`2pM(iCkk7(oXPE4Qg}+hjGg#UirCrpkD>Z2{d7^iq)&Ao*nQHr^P7!g*m2j=*AITd*5e$?R!9dA$c9Z!w?Xu@L zEH*D)nkou&Q$@L;m*s!zGxZ&{aXLd0gm!<&PrRsFn0!z38}aL{ zs00d^y5wrs9vkx}S_Iu@5pS)+> zj7zR#rB1?9^Km%xgZ+=fI8U#DbT{4&L#7F3&p)~(O+<7tV9PX0a*iL+^ zE*eGi`{B+puyd?S=CM-+r{J@8(L|a*5Dz;E_D^=n?QH*#)W#?9qRVLhVB8P=SrPPS zcQE7g5$h_NKMZ$X4Lh%K$(?K`2EZ3xNApMEk)cB?f)4F2X89*-V+efF&D6%g_o6w} z#&Gwdxio(?>dXV3+g)-u)A^a&7>QnVH?=Wfy9l0}f1&mYtU~3Tn zlKfq=$_eC^SM)|?f^mu>7^k=ou4sE5n%op7ztj9Xd5>HKPpC!kgnB<4psJj*+cs%l zc%{iL*hy>!l^0#|0P7?c;Pv$iYz?=2u{U_Zt}e-6c!d z^TmFezYf*@hOPg&t{EfIZ z54J{JvQ({=q>0p(U3YN-Ki%ResKi|I7(7-5tZ=}l`Mc0o5$q^-$+Gkz$P+4?aB+3C zQUeN?x#aQmA;=TtFfc7X6bnN?UJU*Ca#lEH#%H6-N6O+Ouyh?LeWXiP=t>_iYp?hi zEPX7LuIrMOy3&;cWW^1zG|av&hS|5PG^HCSxvB}4h8sjN+#pu#N>@Iw7Pr9CEur)Y zE?EQZr~n7wicf}3r?_M-8?s6{@y1C$ZH)$C;%zZZysgk3u0`dEx5LtKk12+G%sO4^ zq!T|2OT#FCF^uxBXK>ss-OxaHC=HWtH!=e=&;Z+Fq~fu!}(7#12>}q7|t(-;ryp`29o?+js^xp=^-w8ni;qQ4ZxkN817t~ zbOw_AI|>bqhSFnP@{Gn~O_KaO9!pPv(i2_sEIZu8c(}>1X^Kmp(;Y6U)nA4NU`W3h zhV(Zx1FO)$bl7y2OP<#lXqwdgu0aDcp)@??zo6kw8oMsO9!t-L(l@wd3p?C4JlxH& z=@yr4g{PV5lYI2PcrI+Z%_T3gG4_-ed3;i(-+?yogwl7p4Zw}H7;dbuXinkyq-%K*mR<~{m$>9rcDONk3Xj63r7n35PJvv-JJ#aI z)5(+C`wBF*67*KNdtCB9^I_$yOKRM0WoP#dTG|V0-@4=jW@!gn+6S9{aLI>oj}C;= zX_8vkFKFXeQ25OyA2A!BpbZ#IDu%(Nk2N*gBFXFjqJjUQ^nRCo!VK)<21ke*rH|u^$nk0c<_aCA-=2aqzgLF|~2< zxTGnyapbrJqHIH#z?WwS(UKPM@=JG=w4^qUC6}B?Z5&H3Ihoq~@UW*+`zMrIhXW3^ zf5%K)_&g1NcP?p1Z5+cbIfL3bhFbzbObz8zpLZOvY-A;8^GDzkcrh!17qc(flTi*0 z*?7Ej)7nBT+};1U9a-8FX@eX7l2+Lmwd%8&H4x8X7R?A z(0$QDKd{i>C0{dWc#1rr5#?v;l5#Wxx7HH4wSL2l_r2l8kLhi6Mhg#!^9 z!r|DGvEcxvu|N51bP3!jOW;Pim$it$u~oy3vIK6F-$IKB;RtQXW#K?Rd^!l5-8A@| z5K7Z&AP*%7QDff&sKch^ji)8o@FD$@nGise+(5;LVrU6WFo9)rN@j%v1vG>My(Kr$ zP%U)+O*B*orCZ<|M%Y|#r6C-VEV+$_a741?cKBWq?!6PfVT2&CNNNE}_oP>0Wv@_j zA6}F9!?`}-lJB7E;}%{J3t`hkF8N+}Q782ZOVIfbL+M9cvX8Z$#t8iw4dGAmC6Ch( zjx?66pdlQ7D_KQDIO0~ahKAasMgD(yseeO+u9GT=YYN9!{e;S^N6>Xy+>(v zv;wbjrSKZ}BfIdU)QKTpE$S4ZbQpC?Q96P;WhfmtWv z7m?Bi)TxK%8d9ebN{y-06s4xr!6sgMJasVIq_hQfFj%AX1nRWHawk#eG?Y%E4vr|7 zwxZ5ixUCI!a4fmBEp@u!wszF%fzlb&IUl7C)WK$7dNy_X;kHiH!De524s{0OwsWa7 z45e<=8G%v{>WoI|JnG=3P}+;eqkQP76ngMd=)r%2AuI>4j?%s~9>bmRf>R1FI6t$U z_!D?(Id$+S@X{ePUdV^RN{2zQi(T>yD|RIwY9x)<#+~riQVMS^zZPzXfaVe9qlQcv zN=wJXkGtVtN+IZzgi9}h;B^*f4__P`JZy4GRB$4;z9%i6Tsj3p=}V_VFald^2WK;Y z5M)d4U=D;JTRR7HAOzVGI+z0?$QHA~9GgSH*0jMK2tl?a4dy@yvXyBt2ZF^Hb;-ds zlN<3oM2L$#NU$F`L>?r_1Bu9k1bJW)d5|CvG$IcYwb3V4x zkH~XAwtkezb3V2Pl*n^FwrG>cb3V2(lgM*EwvrO&nPfF)>l%qX=VMD8i9F|H3l)hx z=VL4Gh&<d$=VQz7h&<XpqkIf4edCteCxr;pKWAoERp7XKUm?F>l z*i=lB=X`A5rO0zWHt7=cOtKoY8I~f?`PlSIk>`ADa-_&}J~rV|LYJm+J# z4Uy-3?8YJToR8f)M4t1pn}^7AK6d*MdB)d-Eegi-T!MUpk4unG@No(92|g}CKEcN& z$S3%?1o;FXmmr_u;}YZ(d|ZNjf{#m96M6sO667TxlOQko_=1T|)NP38TAOR!(^F$waLkKaF<%KHbGV87(! z_m8IX{=p^KFZuZWqp7@qaLH;a?;l)(yyWBekEZhe!6n!)`S|^#sl0!13HD3>@%u+p zdH>)N?3e!I_m8IX{=ubq<(f`nHg`^qo)Es27CTY7jv1S8oKxd`zJ93^|Cm#wx3Z`y zpM;cCqYsTVj5LBl?;01ePsmgrF!4>zNT*0=wi_m?Jr~)`B$$=<8%prOn>>`@1ARxJ zG>qmyfzoiA|2aw{Y5p%Ljiv=QN@Hn35lZ7}L35NQ(t-{s!F;cte4&&YQ)uK`lrE)_ zNBBxEHDFfPMwF(}$lEAQr;+_A&7ib8O4m?Y7bTd1)CQ$lly*UBHl^KBx{*>`F{#GQ zl=i`Gb13bH(p*Xhp)`-up(x!!=?IkWqI4EY^C`U%rF$v;o3ams)_~ZX07?sJzKzmC zntv#kTSW7ZL1_uipNM-Oq4~2=T1xZp!oACA{zE7&r}>Ygw36n(hZ2Oue1pu5m)r46*8K1xr}f>tO!MGHEkw22moGk=yAh%?_z3x?p{7ihsHC~c($SEBS1EtrYY zE41KNlwP9+ccZk87A!#NO;aT^)7To6_R!c_4tsX3@BV+d?#+ zi&74a7oe2OzH~9De0=%1Veli-)bft~M-CX&AJ)!jkvtI}zct>lTcXq;ECvBmX;-N1 z4-ym4u;}TPhsY_*50JSU^PS2k3>`h_%>JVWjVK>qr{n0+!zYe8Dd`AeP%UYT;6laj zQ^u4lUp`m%qFIGl>~GtD#E5RgCX_=o*%M{ayt0Ba_`$C%4y#U$;Lb=wr)7m@@Vnt} zW!2zklWy>tul^Gzj)S?7cH7Z|Aj$7Gq5r_)o%)aI&b}GP=GqS%Ro;2x$N}Z!#1^+B zd@+7R&;HtcDC>NgYOwDj(N&ME~*Q%ORWBZS=%(1IyvdlVG(v zEV{vduIV{!{4g-mX4I(B6Zlu?pcNqb)I400w< zJBaiwk)AEmjw0k{_IsACi=3=RY(hKP)NFj(0H` z&iy<>@QxJuQAy>PozY_dn56AYf2=4!PNd^Sxd}<-nEu41Jkz^Gl$(^aot2xMlAj{V zO%>^-BE3wcm#37!LTsNV(koN;PZ!&-66p+)UY%6W*8s=Nl>D_RcCHh8v&4B{FVfi} zy+NcmigGul=-({1-y+J*5&2t1I#;B(iFBSwZx`k75cxYr{w|T;EzSx=5spMY=?!4~z5>kv=NYr6PSyq{~G5xJZ|abcIM)igcAo zSBrFwNY{$ALZs`G{K?wWda-?jIG>FoeL|#9iv3TC^l7nulSrQt>9Z+zpG(PaPRTzn z^j;9@7Ljfh>5C$LNu)1}^c9i5D$>_P`nurTmUJ9;UA!T-zbVSSCDQF;``aRYN2KqH z{X0bZo=D#p=?5bHP^2FTy^lry6On!@($B>Hog&>Owtp_tFU0oUBK=aNd&K^)ME+}$ zek0PoBK=mR---15r1NL>yHD8pLG1rg<{5_0}h^VAV;KOu{~F$ zc_NL7l!|isA}tWxqauw3;)(kl#45XiLVh1UEl@2`1owl}0>yz6o|Xoxv-?A!2HvLw zWg9HcME7E!*tuN9BB0Wy% zHxy|jkv10ln*^G2zGfmlUZl-M+Cro)MS6lrPZa4%!rsXuJw>FainNtTTZ^=fNKX@K zTVdyPk+u_Qdy$?Y(lbTcL8NDi^lWh+9Yxwnq@6{2j!3(R=L zdY(wn7ilkHr?<#oAksb}y-=hViL|ds`-!x_NC${?phyRav|OZvMLI;JL&b52iS%Nz zeYi+Rh;*b#M~QT_C_hHz$BJ~ENXLtGf=DNd^b(;rN#rMsbc#r)iu6)Z?lO^HF1BAG z(rIG*l_Eb~)Z0}eKSQKfi}V_i&J^XY73p;%oh8!iMLJugH;D8`k=`Wi+$_>tL^?;L zw~BPGNN*GAJdxfm?A#&JJ4JezNbeTue39NG(tAaEpRjYkNFNaC0+Bu_(uE>@NTiEI zx>%%3g#R8E`A3BPM@4?ANFNjFGLb$m(&ZvuA<~s1T_w`hB3;8$dpXGstPNCWP( zE`v7~wg7#Dje*UCbgWmmBCw@X{VgO^f5oc6t91(luh**xyj2l+uOjeKr+RhkR|Gyg zul@>9u*yo|Z-fN?C2me&V@_aC8S5n>Itcz=UsePD)&|QVa!g=nU>9tSki!F?2fiRd z*wcWt3+yJOtPJE(0A6@tf%U*w=1|{c54Bf9ed`7FojKG#KTxw3PyHaFe)NKZkW$m? z^W`ZO>Sqb{ixgYpxDRfO`&{MUwsA2l2Eo66a*NWLizHP3gt+s94{z{bv&q04HQr1N~k<9 zCSY3Ro(Pz4ey>IDU%n1)aRU)85V#U+&M1yyJa6)f`OsfLQDiX~Ku7Ze1j z9#l`MP}L<=4KFB&l{JJ4*7W14MvA8nl~A?3pdf755XxVhQlSo$P=|X#LENw*ls`{3 zRy8ik>!g1)M6nF7^BLN)b*YGw@OulgQWppKVN&Ap&n7(@B<)OH1`rGz@c z3+hB;D1V+(p-z%eCwoDiVhrWaQ*SGtI#oio@`7q@4CT*LDpVT@b($AcTVp7Hp88nv z)aeqcoflMlV<>-~QlZX}P-l8UbufnV=c!K=Pn{*9&h~=pXbk1gQz}#^3DwyP>YRfN zwMX$(7YTK)7gX1S4E2=))lEWm_kx1CPp0?2^ZY!zs8Huis9s)Bz0INeWDj+rgu2KJ zs;@DWzlQ#`Qhog-RDUlhSOd(o`h2~N?p2@$N~l3zQ02x@{yg=q0yS7d4e^44nW~1K z@(1;u0yRuRUF-!l+!)H=8}j!G)CdVR(hCaKVl(uVzgMh%3e;!`HO32S>_LY5L4g`4 zp~ibbP53{BnkbCt~vpe~nCS9n29Gl%l^ z-uJr#b)|%w?ge#~In>pDJf%WiBcWz`L0xMM<*)Npp{|oqv%H|L|38JAEun7kg1XTd zDtNP>>ibt|Q@2Q{IbKk=nnU@z`uV66JfEUz)gAC;;o_bJ1E%btV$Q;U7 z^@SCvMG|VU7u1r243(=uJuIOf@q&VNTTGu4e6^{31!}2;ddv%InK_iN>MK&99+yzd zy`WZ@L;3QQ3bj%~t@46eZ4TwjQ^ks>)<~$eUQiVW8LC8qS|_2_dqKexLPAc6{x2q)YD#2o6MnnHFOo~842~Q7u0hH8R`hdQ=28!^IlLd9Av0E z3e*+}wbcviMRTZ^eLM~a)wqOLB-E>3P_G?iC^ZD&bqTf23+j!745j|s{-%U_%L@vY zHZ$#~d{v+NYx~<0>K!krcMmdD6Q!Z=kWlY=LBZme2h~$e6{rs+)Q4VBA01?=#|{U5bqOab zP+v=^Z@i%P9%N6Qq(FTup}zBi`u-q8ovc9ZlTbf+LBWEP2eqF%MS=QBLjCLo^@};w zZ+@Nf5S7 z9VgpVL$5Z~$Qa7sR5~Sk(P}KAns`CM`qYM=^3{0~6{?wpI^GKkmdZAT+UKYG-chQr zg@kJ91$BZsl%LxSQK3$hP$zjo!2;rjp0ZB$?D^M~b z*7AyoW#2$Ig*wv@)He!L2kEJ^ygYTbF_f=RC8C7CSsf)*Cod@Yo`RvL{6Q($a(V8}NU)A@M(j{CZq5673!RHzdD%8&kRDTIIzzYh#9C1*geo>$X zNvLu!sKEyr>Q@D7h=dyI1qI*JIH;ad0tKy$CDd>)DEPF;L52E5@zh8OHOdPLzA|!9 zq5f2$#z?5KUQqDC6GJF#f}b8;g_04mmRCfqRfULHU;Wfyil<~mtmPFEYneyH`ty_u zb*Zer%e<-&zBOZ5eL-KI`djhT6%uNi7ZiNs#t_P%r&Orv66z{1s2Rpk{ye3`d0JOX zsB65SW*S5J^OOp8t%SPH3kp8&WmtXwJf*~WTGvac*Skjo zf1cW}TzxWj*z$@Uw#;LP{dr1-lCi^LCfW$O~$*Ih3!3K|)IPEs;IE;T zE#^>u0-6I6iEZ%L@_UQllvL;3n;G^P69kx=h?LG3VxvflIKDP=Z@^}dAqzzga_V<>+US(Vu! z)<+WRV=t&rjG=t}U=*mXTz#KPsL#BhcK)A2?UGPl3)fm-7(@B1z8Z?Bc1x%)y*#zY z7%J%N#X*JoNdG6-qAgYk4j4YgJ*1Utdeo9imj<_tI1Qygc=Txu<+R zc`EZ}tRE%RPhLqmRFdrRfRBJUkzP_l3}`* zSD3CSuK4sFOT_inK?B%JFgX}3~(xF`{p{jd9 z)i}sd%A`ZPOhO&v1y$1+D(Gi6NI;o%Xdfz}YI#A`Hiq)orj$vC_F)q0a4)DMjG_E_ zN||(M*O5?1dO;m!4CT*L&6UpcXbE+U7u2!lP=3O61E(ucbtP0iFR1#)Q2vI3RHz0L z>Nqc`hQ?6-MqN~>MiQ#A7gQ5tD1T!K?Um|lDxsQrK^<=nM}ngdA$@*$sl>#D@fip50Vf1g1SI~ zI!$`2t(T`xH}{mU!F?5~orG%d1$Blwl&{zJK8mN#lu#YKpw2Rf^3~8URG`k5P#wLX zI+;WH8cVoHf$A)w&hdikVh-i2`uZzS=SrxqUQpfsPocU?s2*NWJ&mDkUjwm&6;H{? zecLN?->yRBzOOD}k^&_o_ieApecL>8-|p+DN54#g>L;tOzgP7QFs?pd;ctN}6ezit zwe7W*wQatZwZFmrD-|fYmbLA*mbGoZmbE`m%}}6*$?Ch?wZ(v2zrt2@-0e7t|%jQ1)a$o>G=3u%}3f7#d&Q&M=JDwMs_%9MO2(txUh(L*c|5v5 zs5=xW8INvz#iQHi@#y}b?o^;;Ji6@_k8YdCqx*xpOM#N{=(blpx@{hh?hop21xm)F z+g|bLws}0dKdAW%l#EBWz2ebr^LTWBQ1>WMG9KObibuE2f?} zdxh!R=3%;_fFDnto9wAjFj0LW%cJ^2wsG}^96wOq6sQ~t750M4HHV7$f$FY6$>7tF zSMX`bJoq%^3+g-tN(P^Xyn;_d=E0}_pw3sIWbkRoEBG{I9(?K#N(n0o$>7tFSMX`b zJowZfRBy#oGWay)6?_^p4?YbY;-{f25gehK^6ER(>*}jze)S#Z2TFSyi6#QR6$w0x7SD;|XJW%j|0VM+k zLtcS`A@e}Nke}6bgKC>PT{iS~UJbpyc|-TJnr?8I($M8H4ca6-utA z8}eFBH)Ot=Zm5f&tM6jPQ!@N5j11@(ON0bvEBru>QJ|(ts4KmorXOUeu?o~x5^9DQ)YaxtGyQmKoB}1|r$S!w zQz7&CsgSQnmx&6LjGqd5#ZQIIP|mUlNC?NsGyKnR8Yt~DkyZXAE+q`)P2%Z_j`Hj0dr41=m%=50<};=J>&(o z$Q){kAE-+eC>iS#@``l{na8?>9`ggGOeY8}lb(9q%TvqEJ+;yg)a8n&R!OMUUQlby zp(^}9DRUe`>m<~AFQ^UXP*3=Qnx=T_NeT6o7u3_{P`=*#rYlgJB-ArrP|un}ZT91- zs}v}?qF~5tMZu8yih`jR{Xi+RK|(J{PrdBrsaMQB^_m~3s})bZE}^!0LA_xPpnzg&(Nv6(||O5%P-Q2$@H4gueCzHCuuDMpoZmuj>2Oy!yWP19gJ} zwNFC*;05)gIn>X7pl(#4evweWdO`hW4)up0sGAh1KPA*(UQmCVL;dRq>ShJ%KMA$p z1Ii)BP)^Vf)Gf(Sj$A&>@mfC2F<(9`zG~It7U~ z5-+GyV<>;sr$SYiP&K@u%FLl^`l&u;(xG#xgsSBQRofiOS3_5!XC`J!0< zVqH`yxhR(7wJ4Tjz9^RCXF1lOGU?DcR#snKuj;F3UVRPxv?*mOopYRoYUl;k$Qa7s zK&%SYSVA@Nf@*3G)iQfe$p{X|D}uwRLIj7eu>{pq@_WdR*Y}Ve^Y0=1`wdxzlHWsi zyuOF*n12u1-*3n&)G4w}o$A%5TA8;gU%w%%P^~3Y8!xESjG>&<{WNrCBC8{pdUCv$ zdUC3;)RV8yQ-wNHda8q$r_M6=l&|G3f-00;>dEn1>dC3XQcu1@zg4Kt(o^Snd8&)C zr<|^S+LSU6+36;sx_d$OFo*K>IIKeTlu+k+L7i_7zM|>*9FDx;Rycb@BC-ph69jo+|h9)L?T@`FcuFp@vAP zpzM|736qD1v%zXL5{De zAZ2d5Bcp;Guc#o$JSxZ^)I-Wpko+F9fW6_mCa0?;$(p-$VB2 zDHZAp+0dtXHS{Zu8@fNJ#fqoo`csa_`cnaChI6&}%5OVj!T*Hh)Ge#O-no7Sh{3Yz z@D~Pa;cu?A4n>^V&JD1QN!O6pe1DEJlbi_}WvpxvHpgH?j0Bt;otwlF8bU#4DpYs9 zGgoh)Vga;YRt$xM&dp%tHfNqNav}-B|3Fo@-g@V5y_I4tAt@c_c3=x|wom{vjBCE$ zgAC_h~ z!V1$PJSLB@EcpnJ!4a16BdpZBWsUv->6OP1kf=N`3zfGv1M~*H&2+c$2EpA@kqiw@ z!>Qm$*yub#tg;d)axMwM|In)I)~Z?EUhizyAEPd@St05AT<57kQ||g)=V`b|*tM1G zY=WcNqG3PD&ne$>o`R!34gWUrBR}uFAga6zm}Ex7b?en{u-%d`o;IOkr)JWLb z%1(}1a9$+C;e6QD2?yK)2ipq&UgQUS&3RoMa01DJ{|PBz2dr*a4^?;8JMZZadnT5Y zhb?fnIq&oHEpXm&KH!HfaNcBxWfq;cKw>9T;A{tpIkdoe8zhHARd<|i0Q3g@dlUY> z1^>3gzqdKyhx!KesU9r70e!^$k!V04ag(1pJ4N;O5!IWA=e*weT5q-)XU>!7o9BEk z+??mI128w|IbVRp&Nt854N{_}ckzSAZBU6p;TRN)K>^m1ztNxDcX|k;bNk-er*A+% z>y2W|O>RJmGYiWz3*(t38c@=Cz&ZTl{0jbM*Gh61|EKqG<$ja&p2NgL!B$9}MPI(O^MNRAVrX2CIR=cohv6-@b^>#(#| zN7@DA0L1UlIVIxCBJEg%&MD2QE?Qru>9V!Xf5xVvuS{I5XfjYkSGzf7MztF#(<;|A zQ$9qiT+2+kW=?HUYn7M9nw-PUlxt~^T*pkgwpRHlGv&jy%Ey=~AFfrdYo>gJR=K{J zaviO=k26y~QhVe^X39rtkKDve`DpEto0%yeqg8HhrhKecxuuzMU9IwoX3F)n$|svC z*VihaYNp&ktK8a5`8aJopJt}qP^)~pnQ|koa(gr7#@cf}(@eQZP6yeC1^K-(1h<>B zmuc@4DL2+YGp%wbGv(v8%IBCVH`gkkYo^>ntK7{@xusUQhneyTTIKW1luy(u_cBvH zN!!9MFl!_yYn3lFQ$9tj+}BL`RIPG zZ6w3Z8p-Ke<&kE}?X=3H&6L|~mB*SXpP^MAZ>D^vR(YbCatE#QBs1l+w8~S=l+V_7 z`j?tDl8##C%gvNKX_cp$DRHQwt9-AS@`YOE`^}Uu(kd@7Q|_x(UTCJ=PpiDhOu4^Sd5M|w0Il*PX37J#%1h0Z z2Wgd;nJJfRm6w|-57sKLG*cd;RbFkTJXCv0t~FC0rd3{NrhKs$dxM$saINwaX38V9 z%1@aokJKt}GE*LEso6VHRXpj7Ynetez@>VnDaa!e<%#_D#m0vMao}g8J z%}jZsR(YG5@+I1;decmKlJ>~k&6Fo=mESQ_o}yLWVWvD)tNgy1@}*jbeQ2h9nO6B@ zGv&***q@pyU!hgrX{J0)i~YHo@|D^n?>1AOu6;GyWA0GvzhXBTIFBOlxJy`e>YRUUaS14neuF{^5164H)xgr zHB-J(tGwS#`6gW>2?xv?$<112%S`zet#Zgrd5%^&$4vQFt#YoJ@?5QQ#7y}%t#ZDZ z@;t3_)J*wyT_Xv{%^JxaTIE7B?MP|x(X_ZUNl<(FmS2t6huT?HHQ@%&5T+>YX zUafL1Gv)iVjpQ)1MsmMa`3N)R2eisZnkg^PDj#j8{Ge9(STp5?TIG6X$`5Ik8<;6C z(keGJQ(ml9ZfvH!ME4vPZfd6du=dEun<+n{ZQCu(+V-Q`BcEV)GZmCrC!UZqv;V5YoUt9-VZ@*1sjCnM!> zXKfr)PMQBWrtmp>lQpw2*+p-%Ru(4D4R_T9aOE6sY%*|YN&r{5o1U_cvB|WUuyA+1 z$)mC`*+Xygm@G{8)SIlEg~{{uChKQm@_c=bA7^Yby~ca#DH|D^Ot10YddeooCetY| z&{H-uHknS@M{lxu7A7y$n{1hd$&2(RPt3w(U%knbvoP6DZ}QYEO!n8CY@LP40s3}% znz70Bb~#XQ^7JfB4$_-!pM}YCy)Vx+Hkp3)3=R*G_a2;%P_~|!sIx;$^KcG9IrPyFbk6t^d`%*Fga0ga!3{?FVUMEmW9bl z`ldeI++=_g~`kHCMRWK@(R7l zDOs4DrhhcJ)YxSDqrsJWlb2^)* zFAI}5>YMr<#wOF7`b~PuyNpeyH}#wKCg*2i@)o_xd$TY(M{n}}EKJ_2H@P4SlXLYZ z7iMAdHoeJ3S(u!sH@PGWleg@hZ( z{!2=Qp7LvBlj)S}^d|RaVRF6R;SZLs^)7L2oiA3zJ*)CUdhexm9m6l7-0^^(OPPF!_?+WHbwtFKe56IBsk*y}x`# zZ?Z58ldtMc7G+`bHNDA_EKI(xH(5OkliTzr%d#-}hTde&EKI(sH(4tSlW*yp`eDW< z)BDTqdXqK&DGQUI z=}n%Jg~^?IldZBaxl3=dO%^6U*PCpcg~>1UCfj9Ua<|^(8CjV8Qg5zDSi$g=@V6S}?~Rnh`@%nn_|!W{E?>_2j8);^ zE5d(uhGbQE|EgSjRc@|Tk(<9N*R7UYSid5-`n46gHE*iOJ*yP=u49vwk=E-Ty7ipQh@U89bzoR(XJ#@@ZP-L1xNrwaSCdluy?x4>eOhBX?MO>&_isSyjnjb4XdQ zlXTE3k2F(0ORGHEO!;iB@>nzFj#}mMX3Cwk$`j3$J8P9EnJJ%>J6ZH4Ny|g$UTUC> z3vcI6forI%*2v{mGty0a8q*An06}-H@^mxh9$MuYX39Oa%Ga1FpO-sR8kt=+BNu3^@J4eZtR3{x`r>8-BcObtmSB#7a#BmYNK0VyofjbJt0kCMHG+Ox zBX?BINPn%73?G_NI#A(@JK=N&YK`1uPQr`~(i+L|eVR-pTRE=b?)<}lW+GHXb zqBZi6ISFg#L$yX0SIx*Ut&xY#jj-CeIQJ3FjdrPl5l|kXy;hc)DUZ}1dAXVLDDAng zG*C{u)JJRaR~s0Cb04E6SZko1bb*c4`e2=b5g-_+RnG9~Af-8j@_6mJKVf#{3EFdi z%0M~E`xCWBHklh?&G!=RX*_FS1e7Of2{L>aNpWD(X-w7{dBK1n$;cG#X>2tx0;e%m ztNfCg@}*kkSIm?z(<;AarhK{9aoY@(lN@)2mf%eTBjC7cTIKC#%2#Uf-!V{5!k?}s z*kND<@UPM;zi*~IL#zCunex@z=fzJ9l*PG!1o$(xMn0>Wk!!WJu*=*CyBlAp^~Dzk zMnHL%wio`=O!<1P@>gccv$e|Km?__&RsPmY`9`h7%*LL`P1-8^!QjYA7tYOEf}aeG zK$YF1J@;SCl;>!V{F|Bbty<+j%#`P9mH#qRzD=wAkD2m3t@3|n%D3yhokz@+@6a7N zkAKMQfFDF7cWRGpn;rQst+HdLe79CPY^FS4tIWT(cHncqN2^TDj(o3Hxxh^MK5bRS z%#`of9@#Zhen6{S%}jZL7CUKB*lVQmL9KGB*^w7&m1~$OKcrPY#7udSR{2mf<;7a% z+Gfg2w91E@DL<@Lu4AVBh*tS1Gv!CM%Ey=~FV!m7HB){}t6bkqd6`!EI5XwPwaSgm zl$UFjo0uuD&?+}GQ(mc6Zf>T$N~_$`OnJ3d`9w42HCpA9&6L+_l}|NOuFxvCHd9`w zdzi~R%|KZ^%(0jF^;&|{4UB;D25slx-b{I;R{2abPI^gyLrXBhzzDSQH?`+}iJ9_STII=R%Gdp`3f`TceUqyrJ3>$t@2f7%I|5FuQpSDU#mRRO!)(?@^xm)A8M7aH&gyd zTU9rhDSxaz@=a#SpJ^serKi4YXZKnK% z7W*DE<=tB4`^=QT)G9w<=x$^9DwsvHYo3-eRWwmlpp; z1LY+AzqJG}8yF#hyjPrg7%yiNh$^-Su{L7lb0-%0ocBh(90+`VBJZtUg?ZcKfhS`} zuglv}k@vwfc^|>{Re3ur@^zKK4${BXZ zTr%BpT{9O>F?&wtlKZ;#vg@%R`=qwkD|7KQm-Y21t6J@ox#Ygo7F?dWY|5z($Xqhr zW5Y8SPBA+)bIE<(p2_>MBJbCVygy(%0!%h2uDs&qWP`wy)+#aCpf+6HjUo++Zw4LI91LdR#fc-ink$|}o z2!sd_tr4qgMgm$Rp{f}PYK`Pn&4{IoFp1=v8-XyDfUPBom>Xe^4e8oPB;UXYC_B0* ztVq;MIY+A;H&YI4u?x+VbG6DvX3BY5hm?IVEzWM)_z1W#Z;oIkr$Phq+6ho0kx$-sVj!p|7?{2{{DHT4km1H=kJlkdhn{JUu5;KU0K6 zZPEL?IgyNq%)>+0XNPRCHYe|Yc1SnlA>(++hU}2Xt<8!5Zw?u&9%&e9B<6)Ig(-OO zoJ&ToiZq8OgSx9CCmm7|Ikh6vrt&!LU5X2dlm8=0PG?mdq&Pf$lw%!bO;G-u3Hf~ zx>Nm%NWb-w0rjn?B7-U-Lzj@;&J8LeBR0YF%QKNtv0BNG&E)#nX_?W-g1zx&fUeWa z{OlMRTUm2)IKo+(kI)W|FtJQ6J~CtRyyRy$Fr4|3FfthoPvz*j#UNg$9Kx$HMW!i5 zV`DSY@M9c(qFvqQ4xe7#mL89s7^)sZpLc~hs*1%pxzWSq3h0tTq#7xS^bSl6%nZzm z^cJ1Y>BQa-y^sanP9P`JhrplS?Q$Z6_6LTOP-0_Z$9|HdZVcw+c7?)a)!`z*=gRAO z+dCC}Im9_CcV+S~(KyEQUb+-y?VZm)>kmC80R#oF*xw!5oh?T!Okn_m@ccOA&uJyo$b z|3KF6t%|jK4`l7Ws?_QIDb~_j$o*BZw%|b49;nKhE=;kO)5zC!nQWWS{mEps#sfhAZtsiV(qB|S$nuD)}B3( zwMQb4ivI08So(v#aczJnvd6^3S@N^dmRROt(Z~u=TBSTHZt=L)s*j3WVx2NRbLFuS z<;0jM*%BL)v1BCeH>NH8+>7y~63<3tL#Ah=b?5c{eJS1sz=s~H-+kv4eWJBEdK$UW3Yj}z--j|{7H?^w;ssnPb)s(YV7l^#y;O# zC7*Atn$I`+_j&S>sz;uYK7TgZ=g)x8pXEM(F0vVGH_#Z`IeP*A8Jg^tLpDTS2@-e< zfXr*~9?V{*6qmjf8Waj60a?M4gXnQAwNf+XKxS8Mf_Tkui@-H;(7Uo`=Wb@vt= zX*;jGx8?OR3TlpBnI~4RNCXwP1C}AGTedN>GkHm$$mbg(Uk1oCk*_vJeuQ2v@++(7 zq#p_JMJpNQMCpPPBkwR5BraWc;_q;j-?Yu>&jU82myMgz%Li&ke=E)CW%FkAvT-v4 zqg81}FK1{*zh`bnzsqLykN;+b$4xY&e`PcJFS!~03rG5o*WG@zW<=p#x^gwEq-I3J znVV5E%7L2^&8^Bk{59_0%x^q2Pd3W0v2P13ty@9!%dl^Yj7l1-i<8%57iR7uUQg0v zLo+nW`^4PhEWlUp(tjjT|L#s+3ANsH8y{WF&2{jB;N@_L*+nz6jv z&qC4LGZsyOh?Cng7FGLXu(~{R(X>AK^~#GWur$#j1(wnXUQDn}85)JI33iF`9a`-F zV#4E!i;0#bE+$%13*V1rjvmrH&AuP&W^A}k#*&fA@>TEsSam2SBCO~s za7ItV*|bVKqYsSF=z{~Dk@{fuf$!|zjRucA9+Cuej;B-P<1u~_nn?2L8JtgHMY zxYMIGr#pOS)f|5AfgHZm%FxcX)RXhr`c=X%6326^BP- z$>E=5m$AclRmtJIs^;(>2XgqXSig)7Bi-T2M{huTN{649?C_r8@bkFC&zBB=M|3F* zWS0Uz@1!~W^Qt&J$4YMCpBwx8^D6oK^CW*SkUh$ROg&1k1Nr-NkJtNje6qjcUP$k-<{-egD(8S137%RNBBp&!;_ER zfL_@1gcy!=28-&3V7Jo)Gi=wRva zA;}IO3=SW{9X?b#Jgp0VQrCrlRTYP;UHDhV{{E^;{{AY--v(Xyumkz~t15Nj$wzNM zFP8oup6u_7!QaEVzegne9h^;~Jgn!>RTM(K=y>=yG3kyMsO9nI10zseX;SjrU!XRB z1IaXf1?*3Wh0BJg1prg|@ zp-L>63s`1kU^y&yOy(o7lgM=Thk5)~rk=!Mu>+k%<&T+Af5&C!VQ0Jog1rj@H3PL} zlo`Zu?hnAvHHm;S7G<^{BGTlBP!1i%V##ugnIIy{T;7aok>dlk15V(uz$<}T{EC3j zFMu(JUd`fo0{P?+dJT)?fdPa+6AwBdWq5U(DX)^M{#qLNf84zXm=wkHFg!DtZ*O;J zZ!gef5k<);q5>iyAOezuoEZ@j6~qK0NK{clf(T*`1Qk(9CmsgOIf8;2Gbo6dPz-$4 zJu^L3v(vqM{CvOfeZK$myFX)ZcXf4Db#--hb@f4LKC+_g+!?`&X5txf9n6TCVn$r= z%?NXe5fSKJte6pp>eK@EA)ANV$17>iy&KeR0@XFQW`2O`beDe(?MR{L*?K)P_)qj_k;n5l%DaNC*1Sc=NUDp9%Nz(oc1WU!(erKrrp zqH-gM$}Ax&v!$rKX~cx6ELMn0BfEogz{JyUHqtFY7~Z0DdZM4&6McZXD=Fvtl^P%>b{Z40tsgA(>h%WoofXrfxcLnL<5* zOwExpH5bd&9FVEGLZ;>w&3E0aQBXfTaNy?EMT>w+i~Sfy_^;c+P1dMa6CP|_bY~M8 zYh1tsz9G81=x+EQUhf*)gkxrl*v;p*#$}rj#ZX>2BcDo+d?q;38`S#ef+Ouft#5NV zvOa8reBp7#cobFvuKhbuj(nx$$hVXuzH#L(cg_{lL%4C3c9}!gZ7ZpF`I7aW9NTs= zHb5|J96RJVy1_WO=~Y{dqv(flEU7_#gy_V(YZ{50gvVo)LqkPA)1TuAF#KKMh<+h@4$AW zx}rtiUOyT|dwnRjp@8=kOEa)j>;`HPN*UNGWMFYTu(;^XKJbA#u)TpLvRZOvweiSm!N_Whp6XO1xXU~XiCWmD*l1np87*~Jj4xn0s!tUD zbz%`#9}h(vK$C`nChA~R>W{w8?yKelzQbZ(r}52`>tIl`8rQ+h>jaIQDf5L?=U=GQ z`5_0+Y1|Ww9x6HA2y^;S;B+Iw>BA&%S{p^eYi$UxbqKv@+UoN(O7EE(X7Uq`Xk*1O z*-ZNkC8M|#I@(m(P!lUhoWnEirs^)joWnDH)|rOKI`|4dn~F9&aMq!o3>IxJS=R!y zt~s!-ga45I?RozmN6_euwvx&$d|jQbIl)XlrJ0R%LeuCGSeHRA70{hW9ypmC z*xvHfT9WA~Os3XArlUkpZPE;EuI4l*bzpPJz>dZPJ6d#i%z+Qgf$a^9J2(0Nv1nU7 zuw!9hZADM*+Kc{<`>#gUL5}QrJhBcjvg1Wh9n+8OP30s` zH?mH6WSvBRo&T$moghcn1&{0m7+Dw5Q`cxW*LtNJ(`a{RW6BddNK^J^`)C*?+L-na z1pS&ggGAWIG(%p~3>YO&TgJKy%W~LqiUTqP-;*s52wl*Y6KT)Lpn-QizNbYlJy7 zqWvWJ08JAixbH}Z{RkEKk(q$U$yGwJKVJp@f=s~Ql@9w0RkRP&xN*S9MofMA5a{FNA zTE_q=AnI`G8%ju1U73%Qc%Ax=mefmt_cZnSHUcCWX07 z6Dvy>`(>IfBOEbDxC!FQh46t|#zV#v#*>iYF4rtK`4PW!=5ZlE+JDjyHT2H*QS6~{ zbY4NO2tVW@CHsvbG|QcL9z^ot<>ld4{A5Umqrg!K#3e2>mYT~=!+gNJ0sj5WTxOkV zJ|Jc-&yM$`ksEcg<2`89j!uW;6w9n>xn zJ}5Bq_6;(oF^XdvqY%>w1$^suy*JCVC&4U-yaHJVdA@H|VnlO=-9;%J>1O!~dz7Xv zo&YN}!Odir->9DDvwe}mpdZe77CxNuj9Fn{svH;(phTKuj#hIhG}B7Ep%T8UnQ|Fi ztvPFbbRNq^p*VRg&rdO_)=ZD+Jjz7Lz zkObkbu=^-shH0iOshKLw3(V1=p{gL3`7NoT9x`&PtD#n8prJrrrRX)#T27u%9=?P_ zm{NYw=(on;iOn)s7{|lkQuAT6vAMz=Xs$HZnvYl=%$3%B^I_{rb46Ctd_-uM{-7wh zzMBuaEz98g?q;FyMuWavAoSfSpzm(s`p$d{$`(Rdp1B^%7C{-95?Kd%IuO1TI`G!& zxSfMp*@Ai0qKl<#bBdE)%5^o}G1n!GMM|KlBJN~v6n2(%m?z@!r4aFl4qU`hPaxteq=-L^MSKN_ z_`^cPS5`-Frpp)^eZ-qE=0VPcF?NCEJr<&M*6a3uM6lOuHY`N}y}26Cvi0^LHHU~) zt+&-r_fWR4w@0X9rktd&_Z6G5%i}tHDY(Aoz`2flV$rpd>+3Mr*88g3etV6a0q6T6xcI zp=}twJ2f?%`JU#~mul$u>|@p7i4F3eT~Aq)Z?U4!@okn9xEg&SEN~Tq!RdXoP1?N- zfP9IAbkg+0&gvDGgLYP8zr`O+NQHWo22sqEP4?l+nqu`+>;^Y!MThoqqP_?2jspe; zg9tb2BBzP2-K2HLVO-wRWO)z_bf_(MtU&G8SRSHwKFjy_u^8%RJE0vR@UMUsh@J5p ztN=kQWQ8h-8ZRIZSx|WQHrwjQa03W7iT|3^E{fHHIE`haN=61sR`o2`In)lCJ`;H+S%qM=2UYA?95*>x0oMADzaK< zbA?NU~vW zp}8r0qxo+3_vU7?{9bHCfGYXXH(}$RZH_hSM&E*sdzSeTl<^x1x#kP7nddhYaBpLGP3@f!+xS+_yiCMYY+ngL~-p{#(fg`UBbb9wYhCyO4G@%*+pu`m4O=D3Z% zf8gemGY-!U`hhf`wqWz=12CVq2=i&HXXYB0fJcLe0JjZG>>K@5kwq{1MOYR+CkfuW zMpKup4mvoKp2BYYvN~4D2k9)PuRN>790q)VYY1jTn5*9k<03Fux9HwGft;*LBVmiq zTgy*=TqA+lGFxm-uqV+`TQos?bj!L$a~?r0|1AmQ8nqHmG5xm)GV|N0KtE5C&4$sh z9Z80=6iNPCO7eFHF3C>6o+NLVlDq>;@^+Bq9YT`7my)baQ61f_lH^~)Bw3`WHZdw0 zNb#>y?v_G0A*b7U5Nv{6@}Y*uRviPoLTmRq7S(dIRkxud%gt6z^og?%l@>8I+_&0S zss#r>3}tTB9d{53->R8;Jj#anD7WgOxuU-ZgC4db(LGO!?s?JQT+ux*Rdj!oqPzFN zMc3)q6Wu?g=>CaC_YV-=KZWS-%TRRpD?~R2BBsc$Zemo@i*77iimv6TOyh;dm9e}q zmHDC0Bnzla;?4LlV+;F3-FsC;iayjyW{OJt(3cD21y3%F7h*Y%TtHb+rNK|`;vCCA zaJg{$b>$*f;K@a-5Xl7;+fV>!l(9%=auKsta#5m|3yewza#8BZMebr}gP*;PFN&@^ z3_kG-a^}bOK((IWi(=RY|6|=s3Pv_sf@yD`==QR7gCDDbH&CD0tu$!Tn(AvObgS@* zE&&mDUZcHwtY$`g^-ncPqr_gB?A2qnGux|wDniD>J@<4-pW02-Wa7IY#a{hWtrTGL zeyZKGK@W<(`llI(&U~8jUi}kIQm@p#daQ0{d-c!kq?U&MUOiSnv%UIf_90q`s=fMW zI(IeMtA8e9slp{h`e;J->YruI_s_I^rxKEBT+YY39Wq%nKGP{2v6nv1>}B?Wz4Scu zKF9`LVeQYdvy!lv?w2(z>s-2*?t^yDUYO1QpZC%q!(RFmv$grD^}6|q^_%%w_SxpA z**BUWXRk9q6U!^#OZPGIV+V=7bbF(2tby1|FNLy$#a?=jaZs$G*h?p&>=3?}w*G;# zL&aVi90##RVlUkX$_{h((%IX*%Sd)5;SXxr^CA<89NRH18#GG2p%s5L%TJNbx{choCT@udyGm3 zLUEEO6y{tW`vO~2NZ1%|-*3~n9=K2-dyJY@+w61ID-*THs3EsalOu_`k+)?Wrm4%3 zPaP=Rbjuc5IJPB>S?Up{NU4(&-*KSy_oUS9AM4@nLi?vmX%8u-euDgJXL-b__*u+L zhRaxHqInS}2RWa1x*e9zQLX4Vk zbmkA?@;CMnHOv$yfQu)aE*C{0xRon5Gut`xV|x0(Tp%8;nm7;Q{4 zrbwgoNS+{PiY8b*mn5q7W4>czRruBzW`1WbHJ#+(kSq>h<;Knhb1cia$fz9~0p=LY zOLLPa>hKlr(~q6!=@sjJBPz~e7KI7mcFh^05Ww?Oh3W!D1T@|`Y}Y+Er4g#_I*$?& zs_iVB8~fvdPYhxueY^I`5`YT&XBp#&A#mT z@SQB+Jc4&1NbUyfgiukZ;;=&#u#zGUJ2df!+>>q^ z?Tj9f0Li<3(u^yVlQq20_BlWZze5=5T!p|9a_lNW__jd!iH-^}R(S-4FNF%3bl|4C z)4OM?UoB1b$=FoC8dl56Vzr#&vCeqO&C)SHjCJ4JCn&YIVBIuGv-KpNs^4pTjVZkO zUSmP0o^pII2Zy1TnAmh-seV&Vy}X#QZ^X ztws=YCYT>LU}FBDGd}uoP3q2?SsBclAM7KPyiDQD54y~v&a^q-7;jufr_EIdFl~O2 z)8;CeHnYXF841(oCU@FMM~Jaa#pyW*&S|H2Z`$z0%>4gYY#!z`q-TZ#Ze-4voPGsP zJVE1`ox?c2Gu^b|>#^`=yZl=a%0C*)wo~ic1%Qg6rJb7m&vduBQy0iX_6$3#Gs}1C za>+ZgbiC2q=qF`~KRy8Kv%K%;EQaBa5BLV1v;6Ip;?xhs=@ubQ9YCBGI^tw@_7n$v zDYWpS0~aT!cTb#dmEyD*i_@(jPK$*&-6q9pE0~O2oce@`)2?*lbcbj~Bs(Neq8i6LL!JyXxQTq^bp)imu;dd*!@ zyts9Bw-7IGUESk~S05=}eN^IguVlK`YWmSu$90O;;(nz6mxgkiT1`LN>Y!ClSrWPP zqvp0FzbkRzR+GGR>&(|5B|*8>#B;!B8Qf~(IpDcNBm=AIfdikfPVe4)eNfKVhwyxT z5a#PcV!p1BtUKCW=pm^(cmM)EhTZAr>q^ABM^jlRllG!{u{)ipW4mFueSvbR|4(h+ z-GqCON$#z3x#uQB2R^P7HH#+=FWruz0VN+UHA@S;1ena#<*de{Zv8!p;^4TlQaLU^|7ZzH^&asW6uuQSh%OM z@VCmsKQKps(p{->5}8>*^OKFfu!@d0CL7b_YI-TZPTx1P)wJ+Uah<+N2A5R}{cRAm zf@K&k3QKWlxK|uedBHcr#A`k3!6e$nv z<%%?esrdGRPerG9Zz{ecr{cSKD!v0#@m(<$-z#!olFl0ghEEa14qpjc^nHH%CZ?p^ z*lbB<3EakrjK=)`Yp=PVyxc@P&N!mh0B)tnsgaF3!(F-iAo z0`=)>(q3I~!T8m&uWMDtwgcHFQSg^5*C)Cq*{eAKL&Oj_J%AYBvqD6S2#bW@|Ja)_ z=Bg1uSWhKbCyZt4R>V~b9o(y~O14r^b%wGkJv82%?y~rEKE1CDVE&e}j{w0F#;fY8 zLChalRxR8u=g{8Z9E$Da6WyCjvfdveM}(UJkI%K(kD>Mcv}-`OxZY1vaYR)l*r&UY zLwL7O<6Wcy=KD0KCb2z$|1Wkua+tJF6CWUsS*}cJpuPq}&sp}_b(OrJDs3Mn-zr#p zZE!(10E1zT@q+O({QI%7)7TAO@m6MMvn#{{b+Gza{XK)itZx+VHyUURimW_vN{d$k z_ZxR*U`oJaJ=}?1Jx93c#JPI|W={dXKErR!;6Kla9{MA=apBD$Hw(~yNZ=C*1A#B$ zC@a7VLLMmJ9Z?2!HO${3-^Sjo9p)d|3G>hFW6gbHm7V}gUIVa(eif^99IT<=#47zV zSVO-HYiJc%Lwkiav>VF)fU-Q}Ln!+b%5u%FP__@s3d|Nz_7{}pTj24J{S9Rit38zc z17(GLS@kTboGW9SjE>SQj=*DDP{7UNf4#NXIvYY>`Te1ep=)v6$Y3r0N0X49uf>sx zFzw0h(|$FnnAi~rS}B_R#>FU4#Xm|lu0<)*lr!w;DLFPcKa zUUwp}{sD>oS4b&8Ljoy{=YUjY!I^sPcrHklWq_2%^Pnup04a^BNF6=tGdn7zFCOuvF9H!u@RXvF|8ltWMbk^4Wu}!rVO_@KjVt2`v_L{b-OG1S zI|+X{r4Y23sMNP)MTMFp)it>fY&F0xr`YsVwg~#b0KEFrG*SFelL1(?Zbn6N8R(t4 zcXr?(_^(=(@w%1q29@zcF;bRh*TXNf$05;5ym3Z=H1xnLv1MuQ?~s)kYO3R6L@+Z> zcxzd@_ocX18E;BPo-h_D`4JiK*)Ml*7Nk3Qy)BWczY3V zsa9zi%WREr;z5bj1Kendvf&&t;1*zlDfR11hzytOdLWWHfJ@SK#IZLopo4E%B& zu4CnD_I4!c4b;>;a!jUfuEv)lxF5%K&DH1>m#*$sEPjk~D~2z30jBEI`XqG%)a;Ro z;N_;!wXH+fI9$Yt*UA}=jZiC3M<|>0^mM#kpSDoHxWTtdA-G+w96H%5!6;5r*9_dmgvuH2tDq*?maYN|$Tb49^0Qc0 zZMaWSk##{9WE0F@o&9|FOOcA4tvNe#c19|4SLHsJ`=Z=mjWUWdHV&;{GT2|?tY|FUOO=fAA7srz|0b>c3gm^X@_5pGit2(_t&{Xv)Es7AfQGAztS zcx}#kT_8RLPvodJpAoI8nU`b*k7~|FsbLt+^!A?>)uf!`&KwBg=SO|QVWZPZJ0yv;lv({+qIOf_~Bf91jZ(=*&Fd~K{{r} zH5M>sW<1R@9ihyOYy9h!nQ={YK4oTHx5kcd45J+me;zk0<0C1hGWDoH|o)# zc@`h#+MO2yE%;*w@Sh!Yn{``b;!xV#I=?t4eFFZH8FZP@Y%7F+fKKFM&1H5mk-5j^ zVqf)0qr6z7-RRJYHM)YX5{WeK1FR=5ad5(iyjIe#sg#2dRi4ybIHt2dsoAQ=FT;|a z)TGT8>eOAV0(h%m{t=Bh6FiMUds9E%MwyV*ZecOZV$GsX&cUpNm>;T@6T`GMS2vLh z!xp*GLp(Rm#FMgDQa#Vj6`6T%N;CJ|jL*z-Q>t0F(6CE`dM0G!PW_~1y2;0viAHTb zXUGJlGlYm>nI_r>`PRzl<_g3zbSv)p7$#=sV<=Cz74Mv(@+;T8U`*#jxz?u%-SIA| zs;r@z+EiWC&@3@>K8GpQ8k#T}ihm8An#ae(R(ZmhqtuGR`Wiwu4tU-&yS}oi7)U0I zAk(QH;%H`?&`7#c)X+R`O_fx+)*_TDr95R0`mPw6KE@MwnkCArsfp;P=4nl>pgSQq zmx_fgGMYGUYU(Zv!{z8y$4yO5dah8%O-;?li#l#<+TE2>AuK3?4>@wTYU-T#LGYQn zdTLT_gpSY)Mi)#jfY`f&jRo%(Y>reEUQjr(a5DV6z3|V%zom<(W;Kq`3x@u`lSGEuXO6|>e_@thu3EN7e*&3V$YbC0$Xx$WR@idCFfY$fvA zTgm)IR&oBbRwDlg%PweUl@tuJN(&oWWrc5B<&oC#x7?~xbe>gSG~Z&;_EwGP2&+8$ zfK?M8#>XdttHn9yyc%3BRsn=2#wSBrP631_#-~78cEM&Sn+j!l1y4iSG$_k0oD5~t zp{$_rd?=d%W%-4FL)kS@7AgD|%C3bna52Tt@LV_XGgPjd>pa&@&H(T-!C`#%!Qrl( z>qA{PBrwjZqdB^WZS`Fmbh)XcxpX8fbG(hjO1qBcHdp*cT2q%N17azPm(>aCYVUy0*(3qpVYYHz&59rW9h0T5b`=KjD$3W>yd@UD5gLlk z6vZ#qmxEEMr*TkFr0eOPQz5HtJ^N54AL%MvR})l7SJ}E+50+eI>sHTjpPiZEUO#ig zeNJYEd;QD|_xe;2QM^gkg;|rb;C6i0(^+q4z2~hr#{6o;n`CA3n40fG-)|h)k%G|k zB1p=rV=lJpTKQHz>pQD%)&Q$M2|t+&deqU&^FWVUSyMo_@`s6XvPOY!09eX2!7%*E5C*7+gmfxw+E-yw?S{y!5QnG zgDK0s;7OR%w-M*e9ihP+4R!e-c<=`DYI{0Nfc9^oSPeB7sp%e}p)R8i*&{U6T@42Z zt$%AGephC5vY{qm7;SwUQgW_>uqOAg&YWxBYc7#9r-I*}$S_jNysBE3njds!9c(PM z8VafBlFJX5oU3I#=t^8IGnasb-^-=mya^=yJ}&iKSUm|he^W{L{hoxIafr_1HvwMv zB%E6SQbjhR6anrpV8OR2VLo7>)w#kYm^ep7;i4 zJy`3B$m^ED6K6;?CDmF8#pH6V=ug;22GMAN&}bvkXrn^oA&CZLuM=pra?p6#l^K^% z5`&f^bX|u*ON2oyi9stB1}h~7lvVLZDF(ni5pBMavZ|Hls zhh1SBFN7(+nw)4Iq483HcSkVOIaduG$Pt>We(pem&p=y8pn;5+q#my#^%~Vc){%i6 zsmbe|!9b4GxX@GsIkI{KsZJ8*cZ*j_f3KpkgcsR1thWOJ%VPSGoF2^^nj=u=|lFIn2mGQS9kH5#4tj4vf z;vXAEW&Crnf;RI1`pWySJNbWo=l)l`od4Gk-hU0_{{>m`op!7Fe?cmI@n5kT{J-{! z{~EG7{?8B(fz`GJpKg{7J3G||EV_GR(cRG4hPR+9?HH(Esq&iJ#jqOSWm3Gz;DYpS zgF*y;Hi{a{VL2jdOu~iK)&aOJ#B(?Zu9Mc#gX;!)+GU|T4hgqr3fz{#W!>4$dT?F8 zzFj-iuP@=&Pl4Mi1+FkQJ-DvR-fj@;vX^ihrNBKRNQbyM;_~3SQF->^p;37fZnG4) zM~W22h`v!nEGdpCw2iSCkM^@$uo&W7oW&{Mj!c2uI)x76DAB{$X10KP6tfZB1WQo3 z$ELtNisHKp7UWxuCB||vVRsn&jDO%BPbag#ISBq;Y(8YJgn#!yq*D&u>p97)uug}6 z@3mH2>)_u%vkJ4Kp6P2fFzo%t@^trn;`_zboj#eGzOsHwYAY|6EaeBW*_7=LFb++& zS6<`s`d3zLInS}n|$R!eiH)ymvv9bp|~9cj(AT3g#K zXXU7bMPRv+Yqu4f{sl&DyB**38~+&jc6+}4F}^bnvXA52A9E0tb>N$R^LQvbo^Sfi zl~C3Z$_mUyP}T{`@~s>w>kMTPb2pTo0A+>N=}^`M%A(eZP}UX7imY`|)(y(y*4W%HR=#tWKWM1YhCz)9oH|iN4mg{`x!CUtk9Wrn}hb1nkpe zbZ^(FtcYXK;wuxd8vX5_Mn`h-3kqP_v3p4q`V&}u`MvuyU1j8CC9->K*1P_W9wN_{ zSb+6BIHKerjq|jFl(mt&lU3FvM~O$d$wflFqgHh zC2L!&SbL6~b}QX!ccqwi_6TAbx7F;LGBEMm>JlTXW@lT(7kMqyxY8aT_^X7aokCbH3ku6+VkG0_BvuH^l^S806ecWywK_$@f27Kt zL3+Y+wG@`gnG4I50~Z!dVrEa3!ZHmD%TyrmG(qC&Dq*>n2upj-!C3~v(q5M^qoyoV`nO_U_D={d?qSeuG5%+=v;|xYE84{+4ipi;D`O?$ZvM3v)a~ z!hQhxe;!IDiOBL(X|LpjIN3N2Y)&taut;KgrpC0*$unBy6_oKD6h(KFoLN=}!Ank& zGr`7vL{Ov`*tn0n6d5B8p)o2$=rKu=fCR3|NCJ=7qbe zSeZ(0sfMclQwel1_atzGl)xu5m%yjwXkK?WQ*f-xCD6HA=}4f+XCv+o5xIIMb)ZMNrV zkRp#>q)WGx&=%+vE9GdV6Pg46NNWCLKOdX}&x<+ml9U>S-S$eVXvjJ6hBpVum=eZa z%C+M^vfG?Ndeg;8HOIH$UaGkaw%`YzYRBpwA5lM8Gc zn3V2JBv22Tk~$dvDGh* z*q`WnZ)R_GN!HB%5R+^xknBStBcFOCGy6L4@R+rMWc12jR}G^;vD!_E-&H3h&!zlGVdp zRyU`t?yB2Or1BbdZ#1_*cX{31-iCSoIq-R#;Pn?CuZ_KK_s?sX*G-pl4_5e4mUPpE zpVGMeZn}GVBvPuICb%|r_I2}FVm$A$1iln3`BJh(G5fmN>XkB;Be?fw_E&QDeT_Nt z6>tOIPX`VCjmHu5RCo3@q8!1wJFOGba6~x|Pt;vaRS<0`d7>`j zV>h0MCnk)kYM?0-@kF2NW+RX5@TK7Tx036Mi3r`_rtChI?WlX0h~LSH$e*s!1f)UB?w0fy?=&uewb3w|*}LFN5@IJ1VV5ApkM?f2_rYMHm{7H8V?6Ypx+}Vm1v~F4hF;{r zv47%Rs8tJK=9uswobG$l4YsE?C^Ni2=R~BL{j(hGFLXPY?v}78Pj!dv2rMs~Kn$&c{rlBU#0L@Dxl#l~7 zPleH}1p_oOlacWugdBhf`G+LrA1XrrE(ys4yg}Z~d1(nbP;0S)pi;67)T|MdECV%9 zxKOeT)GbzE3VH$CWCJr$6Vw>J2O7C|*8`2zi45rqH_)D-Tn*^0jDhwjWlhdhK4HNP z#iNQtI6TnjusP4;FnlSNvAvSR&$}F+la|ASG?8&USwVoqgEYn$jj4)qj(SQX$;}-AjID}lD~6Q{QX1ncZ|#5 zc4_%LxO)5@oH2g~XUyNh8Su~=j*Gai(lWf6??pvJRo@v{p2xQ8`daFBZfA2f0krf?`PG-@aKTO{1JOaFLV zB1@X1ie1R?gfUwwRH??dgObDe=80_A9&Mh;LG~yV+fV@3a3a^!F4lZ_i2`u8Dnhgi zW&gQ4PgsaO8YS6hM~Evds_Z3=D--#4y6J+8 zd4v0qNnq=6vnFc;)oC|tnF4)NYx-tgY*hfV);;1-+%!&vwQ8tTa*cV!;ZTi|gI5QS z1|^5}T$XjS)N?~Y&lL$h*A4Vs)X{Th9glPHrQlpla;}YQ##m`Nw?LEpjhm?fo-EMV z|CDkIbay#ndlBHt0!^~>AmFj;d7jqtU*Jy$(~=~$R3wcT&3CPlA>cvcj+18M7Fu++ zb9P5=E#5CKYooKfGP*@KtoudpjyclI>D@I)_-hHUR@jRE62&3?ElJzol63tgqureG zS-rt1$%Dz>NsKSjs8!?w-VU|F3s3u zy)>-kmrnuY~ug??asj{I+Ut7H%8hW$ouBipUwSA!^OR0d)4dmM{tzj3LN zi+;f^g5`cI6!g4Qh)Q>m0SJ0d)CB!w!FTOMEzm!vah#E#s10S=Mq{IHq7Ia08Bai2 zT`0>n?l%rf)Ppkq9ElsaPQ|*uCuYV|K$|R>M!l$*H3$_m@1`la$Gc3Vu%HwVMg||^ z1bwHWFdF?bG5Y#|8Xx_^a`X+w=mFi7$!eH|oAa{4*;;O7pLVgq%%2V8y}gYcydF<9 zYP>$t1pZpW-w};h=kKk|-?uLR2gs3`Xx(^&an#Vpk0*|&Q@!Mekw-NHU zocoNzZ1{P(VXsb{3djwrOq?#NpW#;@*?4v096PTvG2-N=&n8ALHtnVx6C+{vCoX`K z!nWD)F@{e`{+qFf<-m{PApqy+JeJFUhs#~!_qSL+|DC@g=KNmBzs9tMm$bz1D_Dg8 z&hJ(^zeoAk7=9^A{63S#`0xBSl=HjIzs9tMYfR$zb6JA_Ud)Q!-;?}nOxqGxB7X18 zO8D=ktknIzjDL-3TfRDR87r?$j9+Zj-jJ9ulx4F#R>-2vW=U4|cw$no2jlZxe;G(6fHLj;3f&&U)qqEJhbWKiD}O?S(ljM5O-~2MrGoa(gmyhyTmv=$E^biF^EC z#))56Czh^G@OZQ>s}n0=#j)$VxgHWLy$BU!s>np?&cDQCsJsl;Wv_fqGO^Zy9_hcX z;HpsQxv9XXiG-RS5Fhw7k;~I7Uz5y6z$ZDtBkd{^>m9WD03__xh0~Z$dfAXnJn0i+ zatO4zm+~U9G6dQToG>5((4O+4O%>4iV%fF^t09)lXIKriW--Q`MYRmB=h%69Xh&mQ zr%Qx4HksJy_cPt^rzWc@`gxAkME%ra;40&5Bkv~{zt&*POw-NtemB=R#3{%IG_xuT zqJq!`2Q{urylEJ#3y!NS=u}y7VlwfT(&1%=|p8V=>nMhflrM&nc{g90pjC%QxMNBKA!Wc zhvyv91>m{W$Ma@{r$4v-VM}_>#m{V@sXZ4bqvQ1a(8u!@fcPf=t4eGGh9}6`Ba-v6cAvu%g07!(%{)NsuFt?*xW4AFMD89 zvH7(!As-$w77$J0`8eDKK9}bFuc{cb9);qt7++XU zq@lxYq%WTrw*};(IM2s{A4#?5e^teBeLfV2+p8lFcPMbUBZxzhj{`*L#vvdu-lnS9 zR^V`F8XQz>@m&hhxGNwU#R(ssB_I|*@xQ9#QUyA9rx6W~4h+a&73EaFhiEjPNB0m! zK~Bnigq8s)h|7q#tty6;0iis)7hgb6CnxtQcywQoN40z$z~+f};D1%c^%OYVAI2kh z8R0s^u?+B5#aLoDL{n@-vbes}V*#u~D^m2k-BGs?EfsmZJhcU|4sv0EVY!mPQXsP| zutF3!@R4~mR3`&HLRh?)g-{kh5GHeIl@_Lk^J-kE z74{&})IguZeEO_O86Hvc57~_noBR)aO*n5dDl%|gm0su{0`ZV2;LQ}O?cvLYX9}UjP zRz88B0^(lG|Eh|QQV{sDuo;PVFoemg2seBtuM&wZy?F&pZsX(d4D`R0|5X*YRp7AN zjvyJKOm+yO--@gWlDM5u;%5~kMi8aqT}v464{t4*7BD=|-2f^GqEhWO{I9CGqhfgL za0ZkR#mk2nwn?m1D2YnK1wf~hPwf{06UxSixfds87-VcPylf|V~AAfl7R-0JQ1c}wxhqft{SUGa3 zmNRf8nQ1QqL2% z1GFJNr9P}Sv=<1;0NN=&w2xuIZ`8IntIeGm#TBeJa%R+Fbv$PVxQL}UBCnFWB8txf zN_wt{jghaOhvOc=@bG> z!aE%k(&@{APPtP!Wf+$sh{@v1U7-Pw%4ZIpgTtOc>R;v8-xeyt#GaDmctc^ozd;ly zO!tW{e3)K|3;@7(0YC_BeO6xx z>>O4f3G6}aAWvYywO9{7x?pU!n}FG(h!BA{=wj!mH0Y>oK;Ae2(3$`(I8lzhhJBoy z(;W6i$I;q@m00lWOE7sGum*w_^H>8!i-Xz04lVlg%cK4sP{8&a4a8*ee4pUo`vh;u z8j4O9u!g9UL)alog1=u~g1@i1sYMC?ezgexezgexzUGRoOYlS4p@J3**`bIQjaZ{_ zg1?`J;EQ~Mr&`m#&oX4XZXr4fRugn2@?(n1xCgGb7B6uoA=)#j4BAh~R>fc4Ke6el zNAXeze{KhXm!+r&|Enr~P@xzyL520R@ExBx&}fUZibCFFw4lN2xz1b2M4H>&rwYo3!ZAY1NkB2&o2Lz* z_8JzH4HydbQ=2>=Z1OU00*WiO3EWJky}W~(Y%JVUS@>IJ;U98c+lD>Z?lRw)!Dzv@ zc#kzkv}wX1@WDAKQPO5huye>2>R1+OXtOQY@N2K3k~TYnO?KfXp|pXMXiA&kQIk~K zd=sFJIHKmNv?+st4zwR~GMcuHs|8`n~psPqO$gf13a5 zOv3#AtCN6tLGDvY3na_CvD2t+bJm=rk<4bz5uaMH76Of;EgOrrR3`JBkbj;?9B}gV zM6v*a!@1D;&|0#V0$PN%M9^BXRtQ?`SqEBfD6|{|?Fe>+fEHy(AZSOjBQdlm9cVV> z?@UF`ma;Yo)uY+bDpY?;hpL-19;5nGT2w*TIfI0M zyd$S%0l>%>`mAwfvK$A-8b4`*@e6+#ASaU$Gb|X#uw%q{89N4z_gHqUYP@^WjW-8q zOv6@7hxLoH-({+bRoutPX@IYeRostGI>R{mjeUFQ?fJb zEC$?=bw&d|ft{cl@bBpc95N+;&v3wmt-ov7Dx!S}TZyiw<4u{7hxjvcUz!=&g>@0+ zX~eo9@^obouO2QBf9M`#QmmeTXddDXte(==53yRhv2Fs_!&x_k>xt|{6|R4#!!=}z z{+Sk6ynNdEVd@pk#_p`Uz_khMj&MDRo#f+c*R%7n-uhE_k7z7q`A|Hkd$1m&uV$Z>Q~>Gwrv+P-vj37OOTsz(=FL6=^vm%z0J>xFPVnVsz83UskCUG`OnE_mwqX1zsU ztypi=S0C2L?~BsquXJOr%@68S$~0A8`dA8Hh>NAQKMx1Cmk6>7VUr1kx}gA2eN?zm1EdIgvuZW*I$LU zN?Fwhv%#W4TQ(Rq7{Z3AaQ`SJSBTZ{3c?GwD{_Xk|jl7boKSczXH2qY?#3AL^cdz zcMdzp!>$NU)syAk7Q?h~i~u;Fq=RKR8!iBy#D*h4=dyD>KoF{n)Sj6w;)xVqdw41t zC^v$Q5FmT95eVdY>^vpNW7Twfi*|mPvSt$e7AL!8>c6hgplUV6qcf6?6iD`ABN3A4 zv-1U#jz=fPy(VHvqu3}>yB`~cYG1%E@P|Z2GsiwbiK)WNVdiKuCUMaWc#$q-7YdvP zunQ4R7qN@*2)GwXj9@eyEou*9qfzZKY>YnwBFwqAn$!`(lw9Ef4wnd#BxV3Hmo8=( z3q*#nixDDY*;s@&cBR4gn-4u@O8Dorg_bYQm#|9&^a^$ff_^Ex)EOo}dJ*Kfj9n(` zoyIOh^)6?ZV=T@TRj*)Ih^l9^D^S&OY@81g%V@r4GeYj%n)xE2HJorF5gtBwg4*f? zd*p^L)I3=&d*hSIVg4-1_9-`>!No<`fe&Zn(J-%MSNg*gU1m0-YX*L7wLiGS^WB+ORjXkOY}*NG3=60P)kPGXb7DI3wOeucY}$qW1e6#8twnq4hAxrkkjI+@HS z`<)0jPhnF;y)kSGsyCHQmG$t|O0&prs+2i$y%B0QR@O|F`XXIIH0QK+8k;6C9m}R6 zOsBKy0#nyF4^ugKo(z~=pu|LE9B9;b2Ad&ZU&>}6*w?UY94d$lGvrj;EYiJ$K=Khl z|CcKJCrL@6=9$WxRHqc_))1H$m-#|bl*zP+l3)y&7H$v-gbx?S2mmM2FgecMVTA>A znz2AEL2|r{PT9Ibn?MVXW-#M{D5>O?{&Y$`&NM17M-HH>vVTXtFzqIY*RmalR4( z{t$ia28?d82!IV=#6<1;X>A_Ptf`FyGt6SmQc4F{Y#*hCo18+iP#b4-Iwi!a5RPwT zytJ+pIFvByT(|?_L6-qhTqFG8?!aaHWZ)1zInAFJWkjrr^4SPEDGgzSEbhh~YP&SJAfo7rp@ zYBQV7#%-SUEat*LlF6I=?&~|xp8XNvejvW z_~KtQE?8f^1d~`OfUGvZi~4x-x_~VZlv>0VAWGfBZo!m~4W~%dwhvcJYY5V{H4zXL z>Dsnh>8H$tS|TV>%Qipmsj#8LHlz;aDMP4{P$v-bm|X0Oz@ZK-8I0qE+a~WK(I$Sl zZSp=h>Li)G-v@FSlB3&wZ7evWS@av&?Uck%F2iEoK(keg?Qt!OR8OYaK;u$QJ}BDx zr^Zmbg%Y0>mNn3A(z%{XCjG!IzFpum3?}|U2DiyzSNJenh{SXeTZF|F>fOq274;rr zx1xHB*H`F9EBI(3n zXy+24^4_WC2Gt)@LZ&7mRDD2M z71?eL@%19G-M}0dl7A1oM~u0W-Gjz_FS|E<%!i0;#Nj$IdXKby4hi%Y7IvT9pi*bg zNOk7tT_|iCt`lEW0eMvddCk{}a7h}bhx^10_&CtpHgwt?F1B#t+ z$z)GCcDQ!0?&t4$>hgA(OVKQ%h)mj z=2Nx|fmzO$6EI)6V7~Oh96`W5z#b4_zGe>~Fb}c^37G9Jm>oWt)&$H$>>&Z>2lfyG zvx2Q4VE9Axb}X6v$p^#Vow?s5_rvUA0p(}*FoLp@tt3z&Hk7{pZnnUeHM|X#OYTS5 zBLe0h>=6X>QT8Z-326@mW^O_P2xTlhMksX`%46&?0cAgX3_)4NR$(Y5kR~dCSu31K zB7oUywwl8%$ziJz%r$Hcfmz^SR#NEGtSw-6KHR~AYx*Tcpn<^q;5?nxb}d^gK*rcw z1aci)CqR1dXGzIF65rGUF|8~*)?-B_s}zuIR*4`z&K@Vom81-~HQucWkP}@@%Lu0H z*?IxL23wEdKf#^|$MmQmreJxwtf^vE0#Z#@g&=KU8wjR#Q!s6VS>w%7WOjNHxuI6) z(IVxi7X`l|SyInuPkU!(WD4pSVcZ~4-j#ch!44^)KwoNoX2%9RRwe$W`@)V6G<0_W z-#0eflG_OK!T^*rUq3=Fl@j+FK3o_m>4 zz|~&T$R~9dLLY?}umi~i0=)9>*#rLFG68UWzzFFeU0S^yURj_gUaYCXlC^4C96?`ih5sMnc2 zjp{wao{{y)UaE`6cOFCaE+5YNY#G^vi#cr#lp5T!};dRk?Gw2Sqi>* zsnO9jkhZ0yhcBeP-6bvh5=d(=ck&00w7O|dP$;*$X*4jG2jJFo>^Z@$-t0NVt>@YE zjs+*KOHtoVH{Dg!QUUY@_JRP~m%V_1zQ|sb69?a_HM`jZm10W|dAe!$oyih6Spqi> z`etHXoET(z=f zM^9Xd=LgDfQZmWE<}0v?kHoNth+G)qCOIAL5RnoqyrzQ%oQ1+@<0FH#AEJ9mg$7Uz z(L5;>MCUvun*=&saZObb1=5N*SF;Pa%S0gUsF~|kEoP^*z+^l{bHXUFiGT}R^g~)D zjD^ZU(BYnvFcv7QQW~D3J2-@eyJSuPhjmK85H14J1&)WCRb*MDCriS3Q3pJ*%{nDv z@(ifqGDa-~kf|lgs+1msG;dDuc$kvKFh=}RjyY5lB1G9TRFfr{!;Z%>t%vHKa>h?< z0S0w6qXV2t%;Qjv^ab(lsfSC{)KJZSAqe+WJ>0t~9j;K;4#K@mSrg_Jw`^ZyuZhLr zUiKPV3|?oi`-=hTTldH_I)oa8?TUcuuUJzn0!g(>?(-MrkuZ@U$A4uBm5HHcGnlmAn&~LCe1fiF)HxQxUWN(HO`c#cjx)9%D zZwZJGu(uGzx7ph&i0U{z2(K-9&?omOL2eO0;94Q?uy;gXE7&`zuXowIqA&088k&V_ z>eJF3*7r}AJnZ*=VM_1svG+vpkFfVp@0-{rZ=?{d1I+VQP|U;AHJdweyq7F_)bDz9 zO4pm&X3_O3wi$K(K6~HkS_BHgs3EvOm@2sIGtveFm8^EV21okEPSB16N;N>*@d7hJd4!Lnj>6jqrCfO zAFvMuEjF+Z5G}T_El3E0v0Yo)R?+4uwiUJckbQ{Tpx7>;0>?Ckm}l!OEfMcg^0ZIP z%N%0rjrd`~eaJJuAY35;fJ`+=mUu_gKu2yaLRvY8Eb!|jjw$B(@bo-?)<<&!K{Jz3 z$aBd_$A)CKpDVBsj;@k1H~pc^$b=Rb1ka+}XCt_;=GsgETo?rKwvWL~ zB{473+^(VnzDO5q$BFqa{B6RFx=0h*7=*3fd`5tn?t2)V7B@Jw@Mdz!2Ou6av5z@g zbL90~;f#RWF?5T}7+CEDOlNwK> zHD?>#S_k?O6m-x0IfJ8D3`gsttY|P8R9&pb`mq2S*D9+9NTI#zGM+%yJULHga&(&b zqOxR(`|<}5>6n$t6ume&ML+VV=uMdb7#p|*Q{u(&z{HrF34lw2w)!VN2J;C3cTv-& zL^m%bzFg4XpSsY1APcGu?Xn$0-G!ZyDkV_=EH5IN7>fp^;>IPI5)Yn&JvgDw-fI)R#ax z&V0jH@)aKCIN@{;-@;MY<1`VB?iT73_K7f-zG0srW9d`&sbeg`?OJknIZhmeg!fI) zF2{*n+Tk^+R=px&+@NF|-(k-Ji8k8t9+C(_$}H1A3ov_9N^LU70^B=8;rOELei3TnsieGWiCTeL4< zm+7O;=0weHSbqB=_&PK+P@5`rt(&MZt@vxQB|Gu-n5c1*mF$Ar#8Ea;6Es7)JW=yX za#3+`l1|hlqz%G7TPghJEhOqXJYd2VV|MN|2g|SeDY6B z7}J!f(%BDHrzoq6QKk5>CTWxv9oZytH!pnl2$lJTO4U!YE0kDKRX<5%a#Qs+DdQ`R zlXM62#JHNInM~BU0zxlU4ymYEZgMAv-Dh-cfA9X%HnvSj!!K+bl7=tX7v8=(G8!j! zG_Tf7G{`5xufrAb0F_{l6fbBqS>Ru2gWB$P0{-zU$krSbs*NInuGXn(aorC_iTqP! z2$MDEXykopbF!wJ*d9Ju=mTD?^V~N7$)FL)@WD^k9o2}z6H3)qON!$_`qf`_mN*Lq z6_GF5mqJ8-XI~-_`HFp|5RobAMI<&{GC52F(74lzNNhN&jRrnN!#gKfOo~X!i*VXX zL}Y5lA~IFe4Hgm6$A2|wM??h3@WD^5j)+W2zg2UeB;;GQWnm)nHTznK$e-+MBqHCi zZxkXjT^EbyYLP2Ls3lDxr5ZssWP6yPSBBGRy2gkL2#J{EL`Y_2EF?2B5E7Bzoge#4 zwEdZZ28G6frv|Da+XJH{P}B^~kr~wylj%Blprc9l`I_W`FfsX-eJjM|Z}u$`lkeDf z3Ng7R12LH{$NN9itFmZ%IGwJ^Tuf4R$+a1a$+a1ZiAt9sAwc8EP)s!1VAeyDS zAi;eUBqC&%=2kVB=RjIjSko*``W|YY&(g)QdwmEw1`3dvgju?nnP4aCFat3Vh_5V# zOH2Nh8Z4d-w2>{dbJeyO@lFB0_bRJ8ScIMW&b9|BThZOrY2|Xy~sS9&X#*u7u>@<;Vp%DplZJh)H?IHfHl-w7?!rfRa+;h_j z1lM5ARTJlkG_W-(zR1j(tD&F}O9%@tZKJ{h?g|AW{IFQfO&F^*vj$h4V_&VTN%!)z z?eWT*Tmt!dbP>-SBh~mkaj91A34ulId-lCp#M-m((IWN(`@vbn0xwsY^D=hZ0tx<7 zqFcCI;y5epe!P1xbSK*>kn6y9BII_lT>`lx=k9iCXXkot=?T6Uay6*+p5E=!AK8xr zN>}zHg0h?KCQ#t^N8sY`0=!m2h!&5wa}oQA{Ukv4WIrL0d)OWVvQG-gTd)bhL6*Gc zf&7{MEI{^SKO>O8uwMvBc(5^m<-!2{;C$Ev`78TXfE>bpMIe7;zY&n9rhr@&a0c;X z6nEgiv)={C)7kF`#(hwcpT}2%uzcl0d7-UoyueIDK$^tA zB&<06WEN}i@mje8*0JjkV z0D3k+fE#{bIbYAe2=p8%$sy1oFd9%#mZFSS>-lkkdnJ7<%yc+vj5GqkxzIRQ0G`Xg z2;e*@$wPph7Wq(`FIvp!U!+9=loW^-DVOf=QOgOSQ3wqS1<(cjivW#4Nd%!$ReGyC zK9uvQN=S?|z*0EHlmje+)CjzUQkL3RchgVLC2aVP&GE#goTCj(5D-sNwV zo&#wQT|E9{xojC7>jM`mQQnk|GoLV?7meS0CoD|L(iHL5CY_a-CQrc8gy4 z-G*eT`{o_@G(8P8n!UR8A-|b_=@?|gd0ejaQCQ36PBF2gvUIfsz6{?q;<`l0Q!xyy zSPXeB{~|+9LP^pgo|_A8LRIN{7OfH#oc zB6@pODNj%nmR=zAIdi$u_Zj#arVBPV-*SzyWT_X61w!$Hqjy8#K(ZH~z8KC{2?s@- zyyU>ih(ML(WYpia)0fF%*X{5?aW>zETbBJ2^0P zZu}Zd@XMfMlF7_@UR+@^`U83b&t_rB%mH7=_t^NX(gYSqd`MM6NMh=hf&7*U+1|;& zh-{ZbNjaA7qJO1?K7*GvPqxvG+H_iGV<3FAbeBKx{&EDN8ql64&Kl5JKl;%A5q=kb zuvOH}hSe;xWw@`tDvNlWy>m#!IpjO(DocNogMALC_~%-y^ykJI0WAGK9N-Hz!!1Mw5RmZOd>9?mq^T%FCi}x%@333H;0OR^6UrdaP9weRq3CK$@4N+!QSLS zIH=X#E94fWkI*YbNB|+%n+*s>Oi1jL$N#EI|5YILD%QW%Lg+OTx#c7D8gUi^Li;6! zBH;ar9n1f!${@E?8CB2{BodEX(#0%7HofF#oG6%U2-uCe{hn zLg+0*2_K=i$V>!;3Veh(yTHp5YgbhkRUq^>Rx2JtsS3EP7}|R#MzSpF_VLQPNI^9g zk@tzb`(t=NaGScU#2-Th=D@f7ud1wuVhkT(8wbV!OLB;nR8|KK0lkQBZoxJ$Z(am< z&r+VqEd#fvqyTQdRb21%%s1XU1fixjZ=`7cVX%4Z*s$hJ70o{;S3jWlIC=_R8W?4* z6wN;mtiK>DVZC!_k;i>J_H6+TR2e%Xta&@!9GN9$$9ujeWLSQKADQR6-(C|2vkQia zF0*_a9Fe^wtT{=Eftqg*Owh{s>aga$aqoCU{X<5y1HBYzWNuLDH3ks)v^_ zOn-`4#|2lv$faQ@y{>59Az%PNFjrV}cn?05amQnVh{dxdLj?vBho(@cE4CN81oJ#;DHJa^6)?+lLSS~o_M3p& zD-33#3T7+>=7~Wq9S_s|YE6A|RW>9;n(t}Xf%U!>nU@LL_fUpG<}T(EWFhq|JX z_#%z!!Eg1vM$Y44(4sz+))y_r7in=2{C1Gv0uQZ+CQ6;3$(1))%@gKDwqy^lBq{T( z9-5nN<%j57j#D12kuz)PqoJ~Ng%ZJ4hZ5s6ALs9(+~| zH3uqd`biz28oFmICAc9_s-d9b5&Vl#@en9Egiw(mWE={mhl&=h`4?%yInl^#A;tGF zC_PNHXv4oqi^HMhaM8j^Lr@M|CwWF}ot!53sF?hX0R%`fO#XKKi-2zeB~1|c29523Y1gM{( z(n5uW`XWUTi;FZ^$hmkj_VP$6Y6%#$6xem*Uj(~WP}0i5&N+;T&X&-62WGZ7i__lw zxB7^9E^n{CAz9wpU0%Vz6?a+2MfnJUP8a?~&^Z!Hj`WDdRYQ30SjQ(&p^PC3e_`DY05(+oHbff(Q5OMKR;nnb|m*Wsn-RE^Rvzad$EqfgU% z?y$Hn>mr6Vn}3mEb%m0y-mrvLn>`!@mf$6QSfp0&SXvc6ul@ zt}(j{X!H0Nfp!vQHQH^_sHZD?* z>5NdN(+e=@C9qq>zX*0GL&?cLg}Bm5g7PfLe=2DW%~~C41K%JgkX@}Dzv+Zbn=>`H ztf`@VrZznlyr|5N)np27+keFy%kQ1^b#UvNMXe1IqDP1Tdu_oUIQmRWkGJjE8=!!oAMunehV3+lib zkI%YcntXjB)S#n7#y|A&c&yGBBElklSb<+=bzUA#dk3` zMrz)}hQMKQGZPw#<+?ZM(%wSPuH;`t&-Q_mKHe%IHu8K6+80XuiWZOZFVdnPl=Smk zP^8oeAce=lMTncV{RQ|{{EL7e03`!F@N$Pc5K0G%7HjwyX)y>&AV!|&g%1QQTO+mi zIqspen0!k}HizoOOX>lr)oa(p0$eypE1#)WmiB32_#T^ejPzXZRPP!r4%Awoe6@Zo{B-m}v1V{~|5U zfs%9l7IdU)w*|>y0C*2oFN!jdAFA0<&D~aV3dg~O$hxWMpv{zWi67fR0cF?7c? z0!l}S7BBKI(&9WQInQr_$8@14{S1ffC^)}(dLp6G`F{06(eLa0i}ZUDlw9QW%iTe8 z%^Mv&>Whzdp^b(XqXo1#`4@r4H>hJmppC&H8T>dSJ_P4$(WWG>+-+IC%XY)c|blpBN^p8=Su{>@A5B#@1;<3X*ztz>TVzt ze8*gat~L;`%ADSi6dFQ%3lGsuMm*l=U)WU zI4BwCKyvn!Jg+cGHDz6{IbYx!4Q{uf1@Ll>r((g>RggRxScg{ zPjfN(x*De6_e1ez(EfZ+5~7ZK|uSEe-UU`LCIA< zG{=;K{DFyl;WY`SO0vdjHtQl)QZU9D}ZX8wua&Qx2029UF zKIUI!aNJ)sDP?f}{@U5`N~h4L2t)pORqzcfBwtP{uW+48u^u0a_0@pc)dK6!`4_=@ zGL%e4SWA^9DRE^e%qh@rih%hA{~|D_LdjGFQ&{Y~kv0Se7~IbYZha=COTr;hnh+|- z)1cdF0;R9`7eQ${luY+g;v#3)vuk77xJqLo6-|(RUxl@;6pR@Fe}?G$8~#Q5z6MIJ z@%#4aAYo3#>z9OiEwsByg_Bs-`!3MrPfS!IX&0zJ!Nm&Ew$P>gSYb~gx^KkzRC^F}DS(SgaeZU_}7hYH#(Xg5p1+{M2L z%-K*f+lR@IL4%rns)nmVi@x%v+%379VDqL|v<~ za;S{x(w=Y`A&*>G*J^fALAXa~R(qHM#EQ69LG z!ZHCp!oLXgGE9*rE5ithWv}P;2!IBvIU8zTBwP#qes3AH7-x& zyjmz`wog6Baa9QzR0`}406>jNB%`xybUF9do83Oy#uB1h!&ms7iqzLvhVsWFbVETv%?e& zc@NsZCt!EsUj+6hDA}Zfosp$~SMV%Z^tl<}ZWeuZ<6opt?rnYF>r>L~11SAKwCK*i zNDJmM`iW@Kn}3lOpF+u}UJE&{&!F@((V{Q^A}v0LlFwxevV`5Mkp;Sh-J6N} z*#9?ZADg2AH_zp_GlP%~76UI!X`Uwm%VW{7u zq00DP`(h?d<4O}LS@+|MW&B_z zI@JgMJplM#06&X=5#T>S$qxiL+%^pWUlIf^G|NtCxl=$L!M_O9T~M;i;{lioGN;pz z@WYRy(MbM98tsOX-2{~jQ&3qNbU>7M^MU^a0Dcm{NAoWNd=HfDA;94pYhawqum(*| zU7f$Tvc~1v_ZY?8;!f5W_e71WEMvKm1wVjImuOvC(09lxV^?}J_5BNf>*vTw=0 zHBwR9uXI@Hx$y5xrCUlrid57XS7T<48zU8LI$OXN!N1$tpX~2QMa^Gp<tf8S~HMU$^16-`fVdSTNs@b9dqcQ(BzQqintvnI^|k7oBb zTiXoqY~HGQ=jL7E->T-XH-9Tq(V}~cp)F2>f4^<9x5d6lMavc~JGMLl{+-tH=9UX1 z6|EYzI;K@S_;*mN5v^dnt#-89*Xp1Dr@iw4h~ntt_{>tzr5xpsVvoJ|F4zOuv3qv0 z#jde;qkv%Vy&z&QsMvc$#jc4ZF=|XK(O45>zL>=C|G?98*^6Kl518+Z|C#;m+?(B* z_ulO7?H#hAxJU84#S5TZQha0a7?l5%a4z908%pFZQKm$Blz}DMm*^-PN|r4dP_j14 zwk7+N>?a#a?JRYo6#6ZlwRF+aB~bd6ZdSSl$|q%{G8n%yb;`6Z(@r*2>|1e6#SoOw zee8W?+2FI@XP?hOlsA2T_4!>k_!jc@^{s-kv2Q0|9GCBK-$}kxWkaPEm9|&fB^#>N zt=gt)dz1sJhE@$nd93P{s#j%0wZYZGt4)**)f-jsSiOsEsNr9uc@3PuUlzY2e#KGt z^&8`de*Jd%#rd6*4gSpE#oryJpMNud9H;+8|3&^0D1Y#O>i7i?ShbP+(XfwgZ<0ZVbe@HMrQ|PJ?^0 zq0!hzGaJD_8(nF1uh9e9(ClS%ZF4u-&^)kt`{o$OHm%$AYSULXv_0DPa@+4@Lp!f_ z`P&sj8QgAGyScKVy;Xbn_8urlw4dC5nrsLP51Jpe2<6_OGePHNL&qW=D|f`a_H5a+ zN6+4}p>OlPUHkTs4gEUz8`N*8Y#0zeVEzEKAGB`J-a+ucAzu%uX4(E_hh#%kKve6fb|^1I-Hp008Q^GZSN2;Owi4sKs@AHutAbF@UA2DIMwE9}{k7_)Y*?Lnb@A1uQ0`iNb~VN` zI!AQbX!u=pP{&1OJJMh}jym1LcL7yD>QKEw#3^-GbxUGJMOl zEi+L*+nQl3=4)%UtaDQ1t;ROPHjLx8C);heYh=Ur3ft>%$9eAPykppo zktnz9h}&^WHtd|XbH&cpvSFA1u2#D+e!CXz+PDjGu)E0a>bw0=uGzhRH^y)G%RTOU za6Efj?diLxKgx}JV)q=E4SQ|&dhg9F8}=33_xZj6lw0=2?StL@R{K5oXGGa_fA{^! ze+SkbIC$U)$`=Q<2i;`D!OI699DFPr4ox}~d1yJxn}?ns`cpO>9(j1i;n^r(9MK*@ zyCe0EbU4x(<+>vWkKpyOJXRlz`H5{D+cmZa%2ly@W8t^4e;;){s*?>z*B(7^^f1b6 zM}IvEzdEKpmg`tvl>CL7|sFJ2mTTf$t zPhU8F_cZ4BO!G56&meBjj6bvFOr&f$Tj6Z|vzWKDJC^F7z_ToaV@&qbfx zjPl8O+w+*;^SRGgIFIu=Kj!?L^Ydi`v&!hntb&g6J1^NT#_gEIB#51h?@Q*8ux*vF zZRg$eCD$`gYGUWz+|H$ooksuOm3Y%HVM_O(?7cSQ`aWh}zuGw&uYUa^hj+i|bz6tBMg5?il(N1m&qq5;8v-_|{ zjh-lXc*)wZj34rNO^^A58#Xy^?O~SrLm#*C*!}EiV7jxcAESe}I+0eg>>sNWV@KpY zAGH&cS>aYJ$HzP$({uXh9a+bpiLafeLA*b-WVt@}shOT$igfn&)a6Nu^D{li6zlNq zspKqhvZ#pZq}HJzD)) zsZTvxrXyw%2lMVxTZ*9kfbSq^1m5?b@!f~T9MHQ*?+zMSyGQpLt7566YdXRfeUR@S z<-4q!C6BV{NL&1YzH_v3*S&_NkGAQEr;3Ap=cuzt=9d~q-E`zr%>gH6^aE1M=$p13w46H7{1wn}TWX*#26^5l{jrft&V#F|cSnmxg!gl)UDI=iMboTg7Q zNnt#b1*PSQHcocJqvDlW$FzR3#uKI|In%|-nm##NlXXrH^J_ZC>E?vrel(mdU|*z{ zsWzSNbam42zD&u*x}_&CF!h9VcjEuz4XUzxM7lirfANY}HwJUDUg^;* zOg$sr4#2;7$E%z%iuFma-eKw?>3R_U#YjxD;Sq)08S%ed@ao44uCc+wTi$#R(&#Z_P)xoY z`t|}eRQSsqe=%K*#K4&N3=PcN3lk4y!-ea-?K-CJBL+v|K8an3wPYiO3ng|TQ#TR= zq~b<2KyR;NqlF)-`;nUO(TvWP@Ql|m7A6KQX@h1QIC@r03|bPR zlm;yR->YbK==myIQK7FctY_ne?PGijfxVtZcgQ%J=t5Q_mCw`5!!!2GV%5Uul{#mHT739pdZ3tVMC%f zM+qzbT;Zf2#z{>bRSfC}a#R{rtN3^F3H}T)n zMG9yBc+PC<&|;uJqC>w*(t11Rm+?0xYOyHc*B{rfO?_Jo_DA+@8f@d|zpq@}m#q*k z{_$Ph)Xl|!r+}NQy80^N>M7&urtU5VJ%!v|)#cX+mrpsDH+6e4@G0o_Dz5Lt)(O{7 zS=Tppe=+ze?EWnG>EgjOCd5R1!kAE5Dr&MVA~Zg2XqbkFn2b*x9yA%Y^pgv$Nc`XbZeZuIIR2xt zDr}nwlusWhrokd6!~%kaCIoN8Uw?$$l^R!$254v z#8^=9C>9*sb!?A_8_S9t)7TM{V_~sFlVg046~8;MPXv$U1&?U}i3zg60D83u#s5Ad z{X9Y;c0k0DWyXmL3$uy?KL|Jf5(L@;^fPeMF6Sdh95n7fV zTBhM8Cd&)z^h%(EMGSf&C6P5}hjVA2vpQSl2;w*KTJ0;>Sb;X@&?1{-sWwA$-r?_zvz|M#e zOno6}8iry5Q(+jYgklgoCqglGhN5XWib+hR;YgEcyyyAmk683)7erL1-l#NZ?-`s%(WC;MJZZrYk$;{?BC zc4BgAFw)vTE1|yj!IN^y+J*~!)4f{dFaoUVy z(^wXhoJM1rCi(3G`(Fb5mI&~)9pI)xE+#vT2f5im z&%*AAKu`ODZW`=j!qY*pn+^E+>}L`1=_BBcK_CCoi0y86Urc=ZnRw&m)5j)a;?qgS zr%9)O*7Qlr>(5iz4E8{Te)wc_4k}0n=O{oFJX%g2egYSCJ3WZ$2>13BnQ5aZX5_7oLf{kiPSR zX>JhCkj`^M;{5PjA2$3V=KYe)ne#8Gno)CG0@`P!w5KbX-#p^G!Az!@y zo3b_83z08GzA)ws)0`n3L*$ItIfER-G;h>me~Y{!@`h>NFwGspIYjPwD|e7{7=QHH z`6Yc@mHD!lB87+)qD&#CX+$`PNF#~Th)OD{#<@r(B9*A6k~ir@7EWSrfCPX0sjd3l zO5_ufPyQ_|xSecx$zXl(ya5-ac z5zZo<{GCp&xT{i|+lfmTap{tjG-ymS!eK<3d1sm-hq9py7FL8b;g>^MF0K*TMr50$ zWE*N@%s9enM8-){#v!M%eJkrYERO&1$e$_uYLKSYcE;o*97iOdWCe}l z9abIgD6VaHX5n1Ixjv+Gz3pImc~;?I!ofbYgBhKSz6&B8Ox(44@4Ht2=9pG?4x8-~ z{uV4Axy&x|n8;)Q<}UwbpYfAH;bbD0eUw~A&T0KBpCvp+&h2>)kH{bTUJgAnZQi4$~O!!XLSGc9Xrg2%H;8|1wmYvRvZ%Kd$gCEdS=F;^9Iqj=tH`dFl1a@S2W8lV2kxqHKjE;#Va+*; zC2>=y4G>N%oYs<@^WTo;jpOFywS?me$Ne{Fv9x{0H)Fza#hWpUelw;FoVTA;tt0ZT z$h%4xvGncN7Y;Tpcs=33!htQ_VbzY$cmW|CSX@A)stX9CGuzwYSHkdf{R&O^oy0(q zmPJ}NdP-_5jj35Uu}ICSGBs1cX_SfiRjN+-CEEtPp~%o8L#MXPY`fe`XSmbDtqfK*OYOEXcv~XsTr&D*H#{4C^>49ARDqRofO+~sE={hy0 zXyq{*Q?_tuk+M^L$|mQwd2@;VDqHvD%|*5r*;<+TQtP%cV+*Gi89Pm6Yzjolcxj!B zw-Cu%BNCc4Gn;4lWXSnoHp1^rjc#|KsgM9v6AsTrN!Q+?dOSlZ#xQ zCUdzmpXcI1BA<(Vp4#*Fza76Zrwd0HIX%thbP53LS2xRF-7lZXJBrjUQv1K9#n#L$^G=sBDss?o)!}LyUxLw;Dy7B1fS*;JOzX4{q}DB3z6qVo_|-4 zOe_11xn4NE$o1(W*Hhq{T-0|H$zCM;w35t|avsKnFC1Sa{B)D>DKOr?$nPQYzR3GY z$(L!VjWPEN=NGv@UFCiXVqEkm{H@3;yq8G+BKfDK#Gcf1F)jci0K@{2?iPTz7J(YP zk5~l6B9PR?nbz7F7XlFkVj)PE3jqbR=^dd+{-szC#Cnj{@_cg6$G9elKoDy}x?K~L z>%wB*U#tsaT}VzIO^a=fYl8>|u{NaZwSj{B^(P5Fe4tny#Nv<^6Mk~fi57@jcKGX& zJS!h8f)r%P2p=N?MFdJ(cliHues6-M7Y`P}B7)_= zI8%Cf?VEt{9%Jq0aSn5V3)Lst6(xL?6nr(wm((fmDT0 z7l9-KDZK^2hdkdm!Q{thieM7K^dX%qJ=%I5P&|Oo76By!Dm@0lhd$@mL4~gs%oRZ; zg6cy%SbDYhCa})(`694HV5QeU_!#H?Cb(Adg(A2_aD5CXOV2jn1ehORECNgfSb7eI zk9F>Ef@}(p5J4t_>|;4vdbj%~(0cJm5ojXN(tALB%=3Q}Y<@gS1e*x9kLhf}c1!jq z-~#yy5pW{lL{NNuA9xdVeteY(x{p8L!l}g=lMk2%-Wm~j!YM>zNI4%c4Zd|E_)>1* zg_Dc1R<3>5Q!n>ec;V1xRh@cL74IrAe>%|`P-{uF1}d=qHqe47(Sg3(5m>7 z$s!Pi6Mi};6k|_+R?1;B{RJJCi*FH&qVPo#6Q9-xj0>X(M)5Zf)BWE({M5i&qQrf%uD9 zho12lv8DMgv0jQiE!Jww@d4wSDFV|%@}&q4%L$H8Iu~^F2kR#8|7i5IYRU;Wf~NC5 zVht58@=0A&q{>8ZFW%e~^5gqNfQkSW0cv?Z@Fqxe@dF}AEpLKE(1jk<-BI+NH2*WMu_9o_8Y|wvrUDpK>vEEw7w21H! z^Nhev0sn2w=;Z7!f35 zE|Tg4#%pL1z^Ul^DXEhy+NJ$OVDg=Ub7B!r`|~YgI&IFeaUm8#EEZyMGb@$)fawQH z_4oy`9;eM*E!N=lF|WooSp;$_&Br28(?_7bYo1Nl<<9&Yu`Ua@c$dqF{g&+`rk_4t z5&>=5lO=*l%uiB$z<9we0$N;fi<@9+zz0k|ow_0xX|V_-Wf2f z{{CrP%SDijwOqUzPoq9yx}N9aKZ^DI{qv<*YsA=Dx(^uFbP?!jG@o0#1B&BInIx(B zfx<|BODydvGxEa2#W;T|A22TOBG|?9F5a@IaUXd5t@|CZzKeC|Q>{Cq-<0z`;~Fml zK8@#h5mYG`RF*u}insEI`Ojjh7oK6s9xIOR!}+Iixfek%mV5D*KArf0m7PX!=lnAM zPm|Q=_r-eu;qs|io5WaJx(^uFd=dERB;Q-QLyF@|nWSmD?g#J(V%<-fVHfT##@SMQ zfY$zmze$*vKN1N*IHsjIrZ|q2^F`wu0FeO18vt?BFCF?o{Ifl;ZvZ|K*8wS)d&N2@ z#@dp6z<4bnl0Z6K2Q0}E#j$+4#E2V%4H7;Oe8H+_^kPhIB7MUc%fR$Z!*Vg16+>B_ z4PmuVwq`?FN0fuuFg6_J6zE)(YuN~P4CO^OO0s4eNrO_0G6%~1D1A^?N7)o*Ym|LZ z4njE|d8;>?^CzD2K2yR$EXWV`HtYn8sSqLan=@9Kps}pGSF% zh1=LLjg2Q8Z&Ma!EjGbsCd!p;qRkDIzp_cTK1^d!UhoUUX;R}?**m8%}DEF`xju;n5PqxxA0A*da$}tS(0=C-m zD$09ojZ;RZamvQlI@Lwlj;(W=jB+Vk@AN&&$83W$#=*H3i*_D{ax~lMd;sMcwn@vF zMr*@1Yb&E{$YQihP_AcNwAWGo#J0K=VHy`7w#}s{$}ib=m-Q$QupKUcF^#J;+v!>p zWh=JJbq>lkY`5zlOyg$D_PCWnS&{8^8;CNT?Q=VV@-o}+{u$G_7i9Ps<=zC1gwZ;G-ji_?dqoX1Y;@1T6fPI=^Jr#;%U zGaieW#v_uQ^T1emJYwfPF@~N+*#%Gdnr9#OwbvP@@w&;r$%u2z*pOYyI3DF(b~$4- z$}Q|_CX7KQC-!}&N+_$dYng_l9M5iKdc=On{29BMxiR}O^JI1-^9pt=i_C6k@nv_i z^kH|itikpnrpa=Q-OGx3&03z_&pMC&lJzcokgW>SWNXA8Wp`nZKjZAlXHVJF91oZ# zCu6_nT*rRPHG@6N9n5~uGm>fYOk;oKtsq|H17`W@Va^#kr;w;;@tl z&rqrc%4S?HZOb&Jy|_bJ_(|Eq+_7v+l)bo9S@>7kJ>0qMeU$%jZMlL>Q?5LBDOZ)d zlrP3zD^%t#6~1Dc3ZdMs0(`u}H{87@&s4by&sZ5TQ+XE8Tp9CH`6ka=B?Hf1#hq!YFTgbZzPzx1UzDSG5&sJ)FY}@S4onl^&5H%}K-rfU4_J;ehL@-*^O7}N^HMdp z@Y1#HnWmN_FH;L+R;w8=TWbo+NM5eiHIz?y`P#^ZwJY%owR@xN&wXm+ylWrgzO|n) zO&x1qsZMc}HF)K^)p?b=eNfKlRqEyDRqKVI+{mle*Dy`}OuSlPTc!!@$*VU+&TM#! z*JxzJG>ts@=Z)(zP2=|5uQB><9LfC~pF{Z*4`_lpZ&IAsY!ZaB3$N8=CCaV5b`!)w zlV5n9rkJy)<$2wv-B9-C^_n6cnr`Ivn<9prKIMVUvN27w61+h(#9Xs}ykRr=SFMaw_l8;S|anykkfBXvciKQ%B5I$G*ICN93H22Y8o` z$Pt|+{za#vD68?VonA0aXD8mRb48T(c=yhT+s;#Xk1qa9)1?LP*%jyC^(pV&4d>sj z1n<)g=ijX#@7oRM-)#&3vfE>(>2AgQb@xRX$oqFkEOwv92lV)p5A1oK59s+f)AZ8v zLA`3BY|RJvddf7tZTOJhXx}@K5ABWiy(jWveb4dX{hax*ehrwWUsFD!zYiZdpgbQj z0OvPg2p=`DEgwCo6(2PyoM{FvtC_>ACA zd}i*Ve@>S!JZ^m!n zYsTM1`Itvfz*tVG#y3uY&revyH%&lJnD8}^nTS}Kn2B$h7>Ke1-#QUvHE|!`HW70+ z@fqJfDL>OpD$93F`ik$Ibdv9z?9DWjv-16u4Jdo?1CtRWlehANQ|$QRDb4tiDXW-f z%6c9<OBLoVkt)vnP4byvLh_y8SE@9Bqf~kRW2wr5>QdDO zu}rhzgj9VYW159dQjLY}rOy{`mHZZEko*_5mI4-Clxi+%Ak~VPBh`t#Ce>YroUyE! zRBu@uls%;SQB|bCsL4zdHA89;wFl)1so`>8snK$b`|_<);K9!oRKy0okEHzy* z1LX>-*@_=f{w6hFS(s^7R*?)VFQrorj zq;~7JOYPTRl!7)CklJjhCUw{_S?ajqYpKh|>(UpS!lbU7OGw>fW=h?+RFZmZ`C00@ zwT#qjYp~RNTPdl}wp&u)?IooCJK9JCcC3>I?hKa(?W!yd-ZeuSvOAA7ba$jQZ1;0% z_@2hnh&`*Mk$e7@M(@ifeYJ0$G-lrmY3%-bQpkZQDfGav(zt_XrLaRGQuv{7rSXRc zN)rzMDos4%CrvsMB~3o^KWR#A9cgN8gfuPonKb=q7p6JdLz;0E^L=!gH0#)MY4-6s z(wyUarFn6#(!!H1q(!GDON&o;mLkp-ks{Ackd~eMS&BN}Pg;Kd9Ii9G8FRhJZ}Ls7 zD67l-nVwH$4Ot!3+<0F$fYnA#%NyW&x)y4Vyg1vlGoyJvs=3kJ*PH5)7hEQi-rhU~|DrW-kmj53EdfPq6u5 zr9aC8wg9Z$XZBzV!OG@v23rJH;j{mNEe0!}vk2G{FrS=WU=d&ybH;%!1*?=Z1}qZH zH`hk6Wnfiu%?FDDtDJi&*mAIHxhH_F0IQmZ#$Y8_jXX34tH7$~?E$tL%r9?Kur*+x z=ko$v3l@;i3Tz#if4*R_^cF0dwr zXiRs5H7`VCx(BRTA)2GTU@Z#K9PI-$6s`fbAFNg3B47u=S{6PHb`Y#h;q73Dz*-k+ z33eE)U6Iehj)1i-@+Vjb2oFIo-k7+A-mg}{!3btpOuEDo%5(NSP0z&aJH z0Cp1Wi(xPn~-`?C07V3)x9mM9N)8LWSaoM2bL`jzMi_AS`J67|5o0~=7X zHrQ3L!6i$BeGfLMWIwQLU_(o`2D=V6q!f+S4Y1**Xsmt!8&Hnw62*gde&ioL<^gN69W zV84KcReT2a0BoGkL9mBl<9*hGJpv2&`5o*r*hHTjU{Am%_*MaX3O3od0NAf!lYD9H ze*>H9OJn~GY>Mwxu;0O^`wj(r4mPdQF0enqW>$&<`ybehs_nu41e;y8HrNZWSyjWq z{sNm@wIA5uU~{To1^WkVe$`m8mtga%O=R|73~XVwfh@Zh2U}3R3z!79xOxLHE3id1 z;D27$U=cOoe_l3VOZNF-Km`V5|Kjz_eiN{Ktd2fUWg^2IdO3!T&m#8`$~) z__LQg*v0_(vzHDmI$$!G9&B^KU@#A`O##TKUY=lE0+3I=yue~=76kJK+g4K#mJw`g z%?V(cz;@Ie0G1hSd(DerS-^JH+z*x&Y-b>~%LcY5klJMj+Z~8l@cImFUm#+^D+k!# z2KT^nf*ok^HCQgN{f)35dF2K>)ClX5S01o~jUIsI1v}E{5?DU4!_D2m@`D|1_BU7o zu-N8^8Lxt1$D1Q&yb6IGYtt93FxZJUEy0R_#kKtotSH#2wnxB|8snabBgs&bIdeD-Cv`JqIfTcE0^Iu(Dv^v>ygm4(#ioMPTK@E(MJP zs{nQ}=sZ|Ouq#2k!F<3jcSLUT@&)^@BXW~hC9rRM_6DmA_I*zSSQW6VeS3ga1-st2 zDOfeIYyF0TRR{Z_Uq`SSU^fO(zn_EsIDq=~1G_m0>xY*=*sVcWKfD6Kej0KYtR~o< zA?Lwrf!!WX^Hv+|=ixMOb-?b94FszTc7JRIuzFzk#y$kA5B6Z}Ww1c7UxG`5H2`}Q zoCT~Q*u&sZuts1{g8P6q274Tg>jM_d*wYXi`(|LzLTK!pgZ&mV3d{iZ zJftgF3$WiqE`YTJ`(MZ&uvTDygysfo4fY~b3)Tkg&(I-YZNdHy?Euye?5|K7gZ5xA zLum|x!2TKM$Q-;n$YVGUeZmTOb!5Jrjq3{53CwC-U9iqz(zs(_UBGO{tp)o6%sMPP zSXVH+FdMLLV76fm!McNK!pea40J9HU3Dy%#4x0eh3oJvp1lAkOG3+*2A25gTl3;zo zoWniAz65g$9|6`6%q6@%Sbs3>_*z}&_+1{(mGW5Kd7t^yVemTgI2un@2uOPYX%f_)aT9c&y}u80L-VPH8U?tz7a<%u{A zHXbbZ(oA3z!166+U=zXeE*%Os39P`0pH;{{fo;RxI)+*i5jZ%O--&0xPks57=z5;>!+!%>gU5Yz5d{u#!>jz~+IKiK+oM zAFOoLeXs>!<)Y4jEd(pOyfxS&unNnof-MFszk>W=37F3c@`DJliYrcnEd{HzA{s0b z%y*?1*fOvxEB*$H0;{}o0@!k}YAbt#tpKaKDhO;PSdCSFV5`8Yui6N<8q9CiOt3Xz zpRalewiYa4)sJB7!2DO20$UGOYqb~H2C$l|FMvgZ)mgm_Y$I6h=n7z)!0JV31=|c( zH@XK{3|L@vAlMeL`q6YATfrJe(|K$IYY!8Putpolf$aoqy0JUhF0dw> ztiX1IHQ#s#Y!6toO>}&F!CGvh>ya1O^3h^fwkUz z3+ymhyUoYJj)1j|@c@ej3)=hw>?m0Km>popz&ge(1UnAaA%^BM4y7sba-u#vkcK7Irnu`34b zC$Q1G=78M-8@1aH>^9h#-37qzfPJ-_;^Qt@@NSBapTWlNp>e(k7P_0p`94_4p8jCJ zfQ9X84)y?S+@9lL55dOoSqJtAEPQWfu*YB%_j0f&U=#KQfIS79ysr@0uV9n*(YgHw zHgzAJ+cU5!`!j<54mN$?OR(o))AsiQ`vYv|{)S-x1DkQ+2-u%svk$BSdjU4;V2m2dr&cVlE|A5Uu_%+x|uz81;GY4-5w(w9mE8xw+799E$Oafbc=o*+6*rLO; z!K}d|4i5#h0b6neeR$h~MIJ^U-gaP1k8}pJ2a7sV3rqvH>98Eknh z@`1Ml*s3GQ2i}fgD`R_rIf1Q-4Fq!rTOE4Sj@3lFmJGJ$5w-71lxM- z1z0Aq9mlSNWd_@R+!rhh*skNBfn^2TdHfn!Hn2U%4}xU}+Z|UN>@%=^aeA;EV0+`R z9(m^kI}nHU$U7I<{U}eF+IW--u9N5>VegG>EcIng+ zunJ%oPm^y{1iNyIe8UIq^65}8U$E~^cLS>g_U&opEAPr+-=9Xl@~#4Q^)&L8cU7?K zr;)F`tASlRgKGir>R>;d!L@*Q4X_($BEdcf`|(UDm><~9v&bdh{$RJxBA0jvfc{7A6IV2>F)|J-)G?F7cOUYeYmTujR7flrS8nHBzz zPmuE$D7f9F-gfUc(b$scvt?$>ICLQ03uD<5TFJ%m3i@9Lxj1UHKZ9JtyDeUk5p_o^ zG|*y~RxZJ`=%|UNskx5YD?1wWR!8+-cT}I%SL>)AI;n5$NWLszNz_rsgfr@(?CAJg z9W|pdi9e6Stg>21&CtnVV@D3md`F#>9mSdH=(+ihp1aOUBpHuJ1arf(vzA^PW%n^2Jj%$-#U_eU%+uQSZpf#%xE}^=jyx z3YqVypR%Lx%ygtT-;w@xN0rTYG(g$Wbu%56G2c;{*B#X}-_amtM>ouLRMUJ%HH{s) z9CkVKDh2s43IE4OJNXO5=hPvJepq;XKl+45vM~c`;xj3a&!iejro0oMMP1IAwLDB| zd3>@gyS8v`Y37(mC@se(&vIw8mPaWqA5EU+-exU-rL>%o=@XAL<&L+;IX-W=4lrwZ ztkQBqYEQD|(Pk}&C@m-C@+4ahF>868(sDxbPO{~7W-W&+EhofHV#{=8@YXoT`?Kp- zvz8|)E&q@_-`Ho?@+76@o5{0$%&g@pO3Obc&+-McmZvE#-%6h4t7a|FP+Gp7Jj=Jt zTArn}d?$I9ADXp1M``(PvMjsJGi!OC!ZOdAJj>h6T3(>EoI81z516&QNNG7wvMjq7 zbuVTn^Dj|au97^V3THd6z zyggZ#^-g9j$0#i)ydO&H3aj@rYk8~Ea>5&+BwNm6*7A0x<%D-UNw%EBtmU0b%L#9B zl59DzS2z@8$lXwCtZe%eBnDYx`4axk2(QH#BScFQw&%$+K)QYxy6gc zj7({{aq=v8G;3K>T5gg&%ZtqUMn-F;nG0nemXGB}OFYZV3ZVmhFM$xae>@_(wc{TYp1vCXU zh4C-{D`rT{{IFTKS&*Kz{g|JxqA5gH_^o4t6T-GOM)9uF|fsO1t8T+c~Nn zpW_Xcb`KNVb9}1O?w`c%oTS9Y(G?NKUHl1ZnAC9w`=XR_C}vT4SoXVroy~bSXLF5OPl+( zz1*+t6;xrxRajXS7O2A7sPx-irQI+UHbI3gP_ef|g`H5oR+Gc!m`j}Td{K*!QJ3>7 z?5fN6uiJh9s@-E1_NU8>*X>>;v~y)D%tnP}P+`t0%sp|xu3joEi^^+rtF$Yq(ypXR zyK*Y+Dyg)quEOf5utqAZP2xCn4N}Az@~CT9m3BQ<+I^{Phu02PVIx(JWvoiOFa@)9 zo$I>5b?)n!r(;{GVk=tlI^=WL7?tDQq0(-TO1pz9?P68holt3aMy1_Fm3H5%w7ag- z?njk&cU9W`qSEe(O1o!?+qo@F+|DgRrQJ@Ic6(LY9ad>~OxccacRQCjkGNe?VK-FR z9ToOag*{VYFA_6%Nrl;~FqQo8o>|#Wlfyk%;&^s1nfO}7y_^d3S7A+6?6p*3?Nr!6 z_d&+IiCX;ij{7j>eBhWzsk9rb(r%ney9p}orm3`>rP6MD;+WR)#6G06N&H%!tkTX| zrJYyeanWT?e0(~U>o#33m3H}5`Y5E*u9!-@QY!7rDckYwx+=;w70h3S)m34QRG2}9 zwN+uAl>Ur!PL8aX#m3H5&^l?+=wYODX`-h6}{+-yKUQ%IpD$GHJxhRiClSA*J(k_!q zyX-0~w+btu!VL?lP1*+Il#;CL#r)-BlCMp^Fn5uX!uFLc@-@Y!> z&r^BbBE{=){icspwgX$KWax9P%4;{Pv{Q+3{ce?Z`&H~6QMSXe#Hp||D(q_&c16i> z9^b3<`;$t$yDIG-sI+^c((buRyBCSud9cLoJgimPX;j)dshCCuv&Mivim{%w6 z!_z~BWl}P}-LsW)9RmweVcin1m7aY}Sw>g(40pc_xU==Z!OFqTA+tkvhr$lU9j`jx zaD3?a)bSrD$;sKt&8etUNvCQ~eohUXn&58AP3POr_naR(KXv{c_d49PUfQhMT-t)# z;@Yy>Ky4dsckM9k1nmOt4($oNmp|`v)#b6vpRUZ+#x;Yhv#YzSmunW++^z*(OS+bG zt>jwWwT^2e*EX&}u3cSwx_;?8*mb1qSl2MTgInnu?Hc2{!*!4ALDyK<6Ru}mFS>r~ zdfoL$*SoI2xIS@x=C;r+!fmJ9Ubn+;$K1}jU2(hNcE|0Z+cUQp?vlH`yPJDv_gwBJ z-OIWAyEk=j>E6zLp!+aft&Vjc=RU!Gn)@vG?K-Zr(aAbzotG}NF1s$5E}yQDu9&Wr zuAHul&ReX6qt#>vh|7dvu3&$91Q47j&0&-|KGb zZtMQg{jHbuc6tZBi{3+@NuOPxTVFt5R9{M8Uhk`~ruWy^(Ff|A=neWd`XGH5eRq8y z{Q&(C{Yd>7{W$$Z{Z#!-{XG35eWZS+eyx79ew%)`e!u>RK2Co||F!;#{(JpT`n&oE z`X~D5`WGI|!`egR;ppLt=*f@BsO!B_HDS^%L&+4Vq{#(hhv!#kH5P=@5oZH$20MFN4!&ciP0mqTC^bHYd_1 zp2Or0lW22;!!+)&lH>r%PLiKVEBf<@KF$#r`XQq@)CJEcl?b`AKz8sj?eKjCmDU{@w63pvc?Yj zZV7j?ryT`p2OgH+KcCvxKjY_ z#TPEPQ!U!jjCSC0Bkt6kHe1pro)O|sovDUrdbra7s^J+N?lc@t-D%@!{ZO)@jJY2$^BB+LkLAcXO+OdW<@dO8#Bk4#t5!*rx z&t7n+V^qVl65Qzwb~x{LD8-%5ll%j5mPrChLLu@p9F_Ad+KePwj@LS`AX!bajwBjW z>%5s{E6EOs9EEZqw$NId5Vh2#;*pJ0yG7#w#z70n%OXw#O&j>H~0!cm45 zc>!%Y(WVxgj&4-bQO%QT-c-wsQ*|s#?TQgAK`&cKo26;9EH$Y>H6N-~rdn011z-Xk z>yiYLG@|)fM5db3rU3`(*oveRZGJ)0mp1$3n00t|nLAQ?b(}-5SO<|8Q*A5tyNznw zNp_IzB)Lg)4mxeKkj&kto%tk@MtP`>_vTK!KOQ&>E@2PXm4I@(u3IC zu@G$r(Xn)=c5O*&VAJ6RjU=9l=Z>6ec!r&4_}b2zac|qd@L3^ACX&o{*%;4CwQMBW zNj@XVL6Vd9=Av3|s^y_tUXpwy`AG_p6eKA`QkdEmAt_2y42(6>e8JcsB!7|okK}6z zFK!pWcuA5{B&A8pkd!4UM^c`o0-35v;zQy~Qi-H8NfnZ+B-N;Kb&?t+pOg5J_>%;X z)Fjc`PGYXFnZQ-M@#K+=$;5lLf`CL~QEtP)8T+H6MBoL*+2S_?>q z6SgxMZ%Lc2NZOOMCTT;`mZTj?5J?A;jwGE(I+JuEdtZ=rrOj?6-AQ_o^d#v;dwWx@ z4@qB=FG>25^d}iWO$JhJ5XoSYAtXa-$1sxNv^j!gByEnO+GrZ4uc$VLWGqQANeJx- zB^gH&MiNdko@4^aM3PC=crwWplBp!qNT!p_Ael)riyF@+nL{#{WFE?JmbWFl>@Bw0(ciexp(I+A(RWB}DRkgO+( zB3VE?7LzO?*+^_Y)uO4kkZPN$wwxq_WEsgKk~JioNW!VzT#_&nKQQ}8_KzW&MvVE` z{{oS7F*chT8^~#zl1!k+<4Hnjb1X?K8tfJ%t*LQKlEx&{NScsLCz(nz3$L})+3A^` zryYLau`WqHlKLcp_6?YQL#j0*X-v|Dq$x==+S{CJ2CB87T1%2vB&|u>khCRfN7A0! z1(9?h=}4@TeP?Fhh2#s8t|Z+^x|8%E=}FRyUeTMR4@qB=FG>25^d}iWGLRY%A{k6F zgk&hmFp}XUBS=P4=c7nQlYB)ohGZ;R38q>INhrxUk}#5RlJO)HNG4L_NmQFmGKFL+ z$t;p-B-2S|kjx~RO)`gMF3CKS`6LU-)IyR)w7HmM2}uOWQj$p8yNqg4B+E%wkgOzG zMY5WjtfAUkl655ONjA`qXp)Vzxrt;mZN^Y-3k}Ius%<0LPO^h!C+*lpvYTWN$zGCu zB>PDYkQ}7Ohe!^S93hD%IZASjz98vN(u<@o$v~1JB*P(+mTIF&#*l=Ngpo`jnM^W`WG2ZRlKBwHjcQ9s zB1x8$tRh)UvVmk137wJEc9LBX$&+gPNe+?3k{l;FNpgndYm!SO-;rE{NExa21IbS$ zcS!D$JRo^Y@+-;jB>yA%3nFEs+DnMFL}EiiqiaoLW$i@bLgG&1LE;UOa!@TZ2^p}C z-^@+5d?W=)ijWj1DMeBiBE>hUK%4lDC1y>NXI-7dkEA9^9g_Ma4N00nqyki%%iDM84oZON%^WfCokj)X$ZHY0>nkVv&@GaCu{vu$pY0whIA zN|4YX+Lj}s>|RTA=I+nOZg#kTcH8k005q2aV`OAp zGen}GXLi1}y^J_)(Yen;GMe}P#^J`TOVmromEMv8>0NHNA6}+9Dojv{@ zoSikdbFy>hcCM(|-mv|F**>&=#B9H#OZ$5yYv^)+Bh?Pu9$~gSZFe!-mv&gIt?&vq TgBG-zBmt0&*%@P2HjMorR literal 554810 zcmcG%34C11Q9u6PNSd=HTe2-nw(MPb+o%PJd#H8*pfyX zjVyWB>x2LS!jV7%Uu}NH!ayf ze)(jrdezm{)!pB&?yi3G`XgU`{|5}iXxsg)Y1GcIthQw56KVWSPpz)tzuDPrA!L}Q zv1j^DZY4XPY{@MavxUXXe9KUFE;IdLI6t#GpA8vhrcrN;jAfTsbA@bb<-y|gSau~_ z3>g)s5u49VvG&|l$OxH6U1?EASEe)b8PnK1B#D+nc6L5HU2GXA_IPe?F;iSEWV=nH zV(LLLyJDXH+o0JvJeTR|sSnMW@#=6zICLeDs!sKERPV2^51UhFw5bNFz`>@{N-dX^ja?J^@}ha=(Mir^!&y0Uto8T{bO8dIaA zHD+UA+u=wA30nh7LiIK`VY`|zswC8@3Dw)(91Uv1sGU$23O*e$qt%&|EHj2O53ykR z{e7v?>RM7ze|#(W%?f{1;kPRM>Yc89o5CMe_?-&By3Un9q3}l){!0{ob-gQphWWv> zuya}R4~ep7}$Ho>9r?dRBxtIZaZX_C7f+e`0;XAey_q`R`~lAe!Rk!KfrwI$IP{5Xc7)sLa0ik@Z3XFC(jFMpcaAw=@^5#Tv=%;Hn~EHj_*9O_c#!>;@(=CeJL z&-U~vdg2i&FZG;dzHGN;$!B}ctNNp^{t<=0tnkNF{V`YnW#+RzlF#;BXFm6rAbBqL zmZE1_@+HngQ;ME=CCRg%S%tr>@b4;m;#ICaiwb{P;TIHse2Xi8U*Rt+{O6f3>lNSX z+G9CGiRWd>XZr*0xX8q-UHw6KTx6CdpX(J><)u$$Jyi;SS>e|x{J8X}EMLp~P#xh) z`px)26!_Vz@Rt?-KIU`1cDVIApy*$geAfT0#h18czbbKiRN*fx{Di`fvtMPLEBs}J z-(~Toe{lV3=oIrASCVhxT$OkIZRo73pZT)f^Qye-S3@HTe_7#=Dg3zWS3{Q-{<6Zq zuJGfozYX28_|$I8%$N2|sq%YVoXo27%$M?a6@Fa$ZT1_B3ZMNs^DTeQen$Fj=3D+; z@|P99?ayWZvOkyQTK=4I?)rJGA>^D-dX_DJPV!;PpG!Xbb6IZK^5>*ynfkJYX}58qVf75;7J)4Z@;E&aKi7sA%MNaAEv^0}Sw zSb7L=)$GqD-sTnlsKQ@T_|@#srF_xi6AnjRKObIK_|>jI4?k4&j51&9`QXcxglcy5 zGNIfZkjtYAKg@ituyp8LVWIGOQO$g7QO)ww(KFv#Q_BIyOKKiq){ctCgZU=k8E$!h6K$fd4xR*T( zZUrdH#1K{{hGk`92-_0_>9-~Zsb85GmX(PiY)=eQ-kku#%EYj|tnihIVOg0N!pg+3 ztV|4Hdt#7|m?r?~2$YFoS(zBZ%EYi7cPEChJu#4-%Pv1+PYlp+CQN5yi`Wwb@e2yy zo*1CVOz;Fi?Gv#U`h;7vpzv$l_BRvm1Q4+{0MzehLE&2)0Lf3d6F|h;0I+`M%X0Ur z`rQd2azNo16#lacKjBUQ5o-g$_9%RN10dVSod6=r2EZ&Rd}{+he!xt~2|)URh_wM= z`*~uJ_V=jvxD!C+ti_k@$$Tk)UX^zzfQY>TknO4Pl?{NIa3_F>wE^JzDtv1L5V;Ba z$D~6~<$~C7DiecQa2vhE9zeswnNPgpCvR~z2bNR!no*S;7NtHk8@)uNo+U38f@-r^K zGQ{mK?U|N*Y0n;&pLO|1EWYfoIakj~m7lZtW&3x`9Gt7`DBgRt?Mi;Kb^6|}wkyMX zf@Pt5k+^xY>wd_rFPN#Gy`h#}4}ukC<)L2V!zFUsz(~SXR}?R|0oO%RxLE8f`_JV94M|WjCSU8 zwP((?Z0lSdJm0o+;aW0q9z|ywO=(QQ5w~ ztf%K(=(%Jj)3`rq;@K>JYGrWO(A?4M9kY{T#qF6?`%;PDuFu`gH1!6yRm7Jk%;;Q|m|MKsu{2QIyf4#um@1TvU#h4G4eox>XO{J*PtAmS zdV4N~p4vuX%<`v$%RNV~CvN8w&FjsuZ}5C^XXVY-g@Iis&Mnj>@6H9c_aEI=5ewbe z{a`>kgco--hLf}XkDfVq^j7P7-=p1YN9#Lg=c^7c%QZ6G z`rz4Ab#}1o;n^)WyKm1OXu5J_SKHiRRnxkd>@4PLo7Tkj_F`{z=1OH*u>4rn$^G?> zy=Lfitg-BRa_02d%$0my+fufwc}?7iFXZ-(#;dRPoU16`AIW4|0`>8RtNE*mu#dj-F|pYCKlHH5wW~c=}dZJk!x~3j=Xver>+K zV;=oFu;}*d_JP`lH9_TE2kv$!Z)bxi)&=!TcZ1z8I~&VrK$mq^-D+KYE>a)af`L0z zwf`!Bzp^7zf4n7Ces{8J=E|1qNeq}HouhjKRXkvzx94VmzOEbfKiO&b+tE}7)jycu z-F-WY`oz;#eHu>%cF1;*X9vzdynHkmC|{?6In?ta@Of5c{%vSA%eJ{1`yHuCz zP38w4#ri9Eb=|(PZxsGbtM9;;vS3BH(!#+#f#`c@_H?kRe|WNWrTTE=wT#s+qiM5p zZtNi(L~FKpOsoyGZ9K2e({rT^u}r;>to@S7b-^aNMrnvt}fp ze)P;*zM(67`dIV9{B^V|>{{-%`|S|pi*Rzjd1wA=2l6kt`BR~Vfk(+JTN*lUj~-}R zZ*D;Or`_^XWo--hM$W7?-)y~~q5Af@dbiXA*L&KzJcV!JdFjTnA@m2?dnBRQdw1^9 z?z*b$$=Tsu%^j7%rL^~~t9NI-sbkBn*6h9D%o^b%GUwWpDeK6hz0MxF6<;`g>`Y@| zs>;US#j+!r{)Ii=w+AkZ{zX~tf?e+6)>LF+V5Dh%=oR)G?>@!Pq!uT;Z}(0Ot%MDKI@LJE!Rfi z|LRZPJ$=5duJUGkmT+dL182fbAL@sns<`dqb2LEXGI*vA?M65xyAxWw_tDe*k`NZt(oW zV2d12#hqKBXWiAa?D1o}FdpjK?q5qhtR232l5pSVw5M6=_{F}_D)oZbABM z=tDbfx9vfDmQ#Nom=B>nXU0dGf%mSJ^!bNp7jMSlhuHo)SAKK@`D0sdb*>CPip?E; z3U+q5cA8=G(}P#4fQSAA4ObVgCRehjwgZ33Y}S@PZOdc64UzoH+DJoUAzgJ7^FZem z{EoyakHf=ud%sY|{hd#DZSP;anV3JFc(}9jdh2TM-iMc|o`+n!xxc#}&W+S}EcG9? z>UW?xXZam_{>J#PqW+#;Z0MZ6cB-Lcv94pOx8`B(NW+QSx!?)*yJ^>6uAkrDXLeTJ zir-!c&g`s`e(A~N2hVR`A0fYscrjYYt3)V4xmmAibZ(`k&7+M>? znIwO4e8Kf6HRLbEWCyPcxPQqX&f0c89WH8U`rO#|L%>teS+|^yx%0x+6AQf~P2ry2-k{t6 z%bxj6&X>KtVK@DOlipn4wFaEgyc67R`|Epx{XX~K%6QG0#(h&k*AMW#BIo1bNm{2- zddN*@zs%|ILl+J_oP&P|KJDsP?2oTpt1V*R&|72McR%Rb5BrMacbh4FyPNK@fBN3} zhI7DQu-VpsI;P@th5QPY-)g7d6Uz#ISNekuA=-z;ob`+sf7zbx>$KjC<+c4Yl|B2g zgUUZ)>sQOK>slEMHqm?-e2JZo_BC%Mi`Z|B#s}Q_V@ow~aIG~r4*qf}pXRO6`1um~ z*-4UD`IYzjEBAD-WEvqqsK{IVY4Dd7e)Z(GaBOc^g68*qQ#;*$Y&?Eo%Z~1q=@;)& z`?tF7pIY7`=fOeQuQP7Fs(Y?=&z&BD|GSk8RPQhLAK7&h``e}|>=%P~ceIyJ_4FRA zG(+*_v{^RZb!NG7sv%^S*B@_9mEE1JX%1}-mY1*B4o}3lR)k|$y3G1iZ+ByT{lI~# zz2)l%8b_-y_8h9Hj9*@;M|zsldmfhUXuK5J@!Y_35xgx^felpc{!2Zn-rgON!^aP& z%8LD%7mk#b1x4+S{h8GMd@dMI9j-ZisX7pC42(T9dU)RpXYWolCCiSmp3{jJRtGAp z1F_M;OV8Fk8>r;Jjb-~AYoDEXX8iQASVglLytM7ubNlaIJ=hZ`J(u^7#Lhc{ z63uJ#)zyK@NkQw9Gi7^@*B!xrDHMo~X0Bm9QWeYa-?D9~0ImOIzLmj|@^#9$r}`oG z`K`;n=bP%VkG>rWK1eMzbk3gc5eK*2YM;9HXm`c_R4|+FLOHkMSRd`)VU{PCCTkzw zZ@JdKdb*1A%4f2N<)F7ZLi<%3_s#nda0_g6p3AqT%H%%vTuXiTY^q|Qk@mm&^F#eD z*UcE#bF@Cco2xC~mg(8IIM9Q6aM+B5jx~jVKSTh`o~r9ztEZzw=UT2O^QZR>VSi)R zT&rm~hk5h)Ty68*BGz%IkCksTu^z*I7qZuRz-9j;%iYUr5l9~)X5xmi&WyU|>K!3>@!yteL2P7I#qbtcV&d0J;)Z|+#Y zIwn;SL48`M25PpiiyQ5$3$)L^(H@}nCa=e^KHPf(@eceQFt@`_Ss&ZZVOcM8hnC+^ z9XRN$TRF}^`$VkY0*Ced#_|1Ow<$m7_v%1B;iRtfLC*3IyS>jCUs8TO`{=nj?E6DCsW|ri zy(dDwG1hwv>)2hLjh0@yFUPZ`H#wCHKDnt@grhx&Emw?rf}ZaVg^|SCjcv zMMmQDT20#;_VITogE{YWAexf(Ni@wZ>`h|-Bu2f@z_G+TuMfw&1k2NWehvBp(Pc%R z*2%Rah|1GA5Dm$>d=2&qRIXi4?e;^67r5m(&lp#*f9}z?&Mk;jU_P<-4I$~#} zVXUjMFGl_MbS-7!A5gB%r*_(zDtGhC^(pX1>&&6auI1CWw$~wGd0VcBC(RvN{+{Z< zPT60{`@IRW+pLuJxZXW=4e^o60W<2>OK^X2yET?wlKsklX;1f5u9o9oXwMAnpF4fN zxdZho=7O|OBRij)qw&z&HMB0ScjgBlbxXfDH->h-Ztl?XcU1>~C&bCRikU~EZf8Nf?cNUXIh8A-;7f{uP(XLi~UXu;-3qNrk$z2cG_2-#d_)Pr1TFb76!PV z<{W=_peXxk_|dkVTW=+2Cm&&c9WVv8EBlMVxua%?^sqlWPz=F;OT9Agg8U}>dHB&Y zS6blj$Ggh6HOlARbw{v|$V1;zGfeWwTCR1>4&0)B7Ul^ahf6nVx33?O?V_xvsLId+Gw$r-t&) zPqt?VYq7t-o>+zcorG`1HSM~4#1x$9wBkiez$DE?|;cZR|lSw zek^`x@X_G<(Y>8_2G0vqj+Y?fjaN@D-RnAmgO%#Qw*A|w-D#dd98}^=;sf=o9-;BY z<2$gE<<_%k4~*9s<5b4Oc4j!=EO2udxQvsXaz48%_0fER`BbFzeE31CFUL{uN?c&R z!#uXr3~Kp=TPbJ7{dSsXWI5J+j``j7tGvFbBmYkPw)KHW(%<66PI-zPe%MLR?k9hp>O;bqRz<-{`zHPr5=KkK*H8tM#aCS>WGVm1RESnAD+@?x#|Kya>L9dzyF1pINx4{L)`%cpOMS zOYtw17ihHF)Ag%Z4{-k+yO5@J%Qdc-mJ%go>GUUluU<{8Hx>luF6vWMoyzz(-P9Y17^lRB$E ztZ@W?!*NxNYzqTaj-MwA6a|bZ5JL|blYu@Me+|bQVd7g#& zLC)`<@pe+qpT+SStmk*NVLycS>67KmE;Mw_V4Zd-k9Bof-vjAKhEKu%>#cGfxk_?Q^=K0D<&^~uwGZj}SSbXLI?*0pVGLpQq@vF=(E zlk!|}?r56UwHp3YZ<&N>hN znfs;p82tJzUN2gBhQA5Tr7suzalVZGcGIDjVROsyspdPt+suuc@^cp^>lmmBF^y8jr?GbWQ$1GD6BxS!?vAb4$Aj#G{sV?W0IJ$b6(>M;C&{Ylw= z`SeKhTou;Q&pGGeyXUHazx4IygBNynEaih7Kd1f1e0}F?_R$M-ExWqruAMpoybEd% zUgsVY{rUR#+nHL#lkmPn*`%~r?yH+`##j6Iaes_=oxpsAb?OL@k2%P=Saq0j>wH+pBDR(!PNF?jEj(Trbi3F;!7rko6uJ>OXQlIX7I}&@XW~%=K61A#44~ zeAf?qpHmfax&EBHE0$9-ZZ<*l7mrh3&&GKi>^`(4%g?dB%KXLYp7~4WSLZLTmok6F z*$z6-n3L;b?{f;TJsoaH0;d8v4M}}8UroP={X@$8jB#zX8}Nnm?va~GyWI#+XDQx< z@gVV(ubKxAyYSwL$jJTaE$R1)gI!IicZBOrxUZ3ME6i&VZg0w0C*x4OU*Ud{`+vDV z3_jp?;rWN+RJ1N39NgzP91Pq7^1pZ8O2U)RG9c|I!lQ|yn2_9SV4 zD$h;JQe1AfW;^Zkm(eaZo)6^r9KW5d75zsT&(1UaG~x-?J|6E8@OhkY_qiMw;PuII zkAB1>#4_V&+qq$i1MoiH@zWRwil3JI=SHrtCEtVbE$dg${d6AjFW5=rl*fxTzDVz| z<^Suj59|qSYvg(3z?NOKU&nr1>aoU`rN>(TU|q#_(>Q9e#)sSwBfesd4`ttIRx{qa z?&3YAxnaELgMPN_$@}V_=Vcs*{Q$2&s)jjUW3>m%oh_9+%5tMDCvg+!^^Nq8a($G+ zIB~{>+_#9L;!osyipCw*VT=oVy(8CQQjcdHX8BWzThg;z!9SjD|4;kw(9s+0{{_Wm z_6^bcgvS;9F4nuXrn@efojhB%t&#mY;UiIYnA@4wwIOG|=5>!XUtd1DtLqNpD9af+ zZgwAPH7i*Eo>R^|V8vhUdBBPPZFBA3Y0W3rdNQ>%TQy&!wtyohz3HIK#) zUYLwOKYgj%921dHthf2vmg&0c>K&C8HN!olq5M$FoURWBqw&;pdyg*+o=5zP=i6Gu z!=_fw0&l&|D~)5@>*lU@*m0Yw3$<3<2K#B+58H9*NNodfZ^fr8pPB7PTytu)BTM;1 zW67DaBlVZ6cER4W`z|fiTl;hwcPgvHIiu9eaV?6=9ly&jC}N*JusclWDWpGD_G~dj z`vd61JTN+NGjV)*WM2=xFdD!wN8?pJOMEU;eg^aOSphMqQ5;gnCG2<-`6JYu<4HSYJjp7D<5O0;eLfH)eY9_p^BJ;-o`(A8DM{ zHKz7G1CDn*qW#(y-FpZUpNLPwubvEa%XLiW(qvSgCsRCx<|T?d^STN1iF{wf|K0=7 zOR#5j!}kUFykKCHbi|2r@_ifs`xiV9-e_+u%lOhc9!}e~0ZoS7x^Ic~1_i1F@ z*EACL*9H34m*#WRxnggAVJSDCy|7fww}#c6^gSrfc$D8dxkM-%f}~(loaF@;6=1PD5gs zX_OOX8clwI*+PDS^k*}R6ZxSWzQK^04;g!)N|$09PwN!G4kh!`rm>YWt6ZhM>{>e_ zS8_AOJ0as4)2Q7Kud}eVD--o!Lzn5(p&KB?FXU=67XXdjjO+)$oz15|V zaRB-^7Y!MQOe4thXiDXq5lw~r)ART4%oNa2&zVNVc|`wt#b)r;3J4uFjZopfd??XG zpQcz@flyp(vL0cb{u3BYO_{~~VpBY1wBfrIEA%yrmWze#Om?;@RVd`wQY$NY>cC^B zA=K26(TNXVC?YUqF|&|coa@cwI~wc7riILOA>RZmT8Hc&bH1>_k}V@@26R@oFq@gC zk6;9Hd32$ZuVTBx-_5dfWlx7iqpN6VjD?7rj)fzu1F~r(+e~7H(1E7EJ@Ls{9-n}lqnQ* znR#NwB*T^u$|tbH_{c~)Gc!{#jY>y51_6}sQJ+sZ53=Y}NRLp~m13rtwdl111_pY) zcVXsYvCxXfnV(rHrs-QD*~RpoTzbLBn$HufdVWS)0Ey!L>~wk_&3e#kgX#P{eSrin zrX{zquv*Ma&1YK%7H6{S*_rX|GOXx$GD+8d8phpZzrWl&IfC+xwAZp_c2Qd6aC1~; znCa!R-H`QYaAb)arHtGVz$iT|t{1&+m(DJ3*yfJSG0HlVDQ2YfR(g_J7+42d6qro!^*_k!@Tt&}|`Gss@F6#=AIM7Z_ zECuM{n`k^5-pgA(+~2ii*s2xjEHPab{_F$a91dNP@zMq^ohrtGX-0zQ1D00$hGwn?&;NN!vkh+LWqO}2f-!ksM583tSx z%ac=S-1pogLdp`&aF&nKYWd71S$XrTs63l4GstI4f#V!lA0BO^x#_9ll>uib+QT6v z6IY(cqD)GyE?|78*9tT>!j+f?+!;nIvmIt-p15m;wAIXV_*+BT8oz3nY?b2NZ^%R) z5w$f)|7$Aam^@c>H%;heW+V*ngr2qvlx0aY1qCb=T})z zs)^Te^0<-BC;f%pdYH|*~z`5eYhglu#HK}7xOE!Jg>?Qt7D4m* zHGH#-2BSpDLZ&!S z&$5XYMp=_GB4j4l8M6`qzEu`P>k_mZD()o28L%=R8B4Q`)h=tU@!W}ay3A4~vq%JU z9yt1y^qORFjjO#9GS?Q#vpomZll5>bD;#f;)?zIh~DGJPLP; zY(Z)niCmsZG0>$6a=eYg_t?gxE4iw&&$04kOMw&4z}oE$q#8)H93mE;f`?P4P{=%h zs!LD0lH@IhT%IR<>q`GBnbw)MH%5taC4xB=b_0yGNIsLDb{^vLVGChhc7IOpdtv_A z$`WK{s_@i`rLr}G;{&w$+WSt z)U~v=E3P6=|2YV!#?PgJWAi#7Y%|9PuA?Et^vGnaXQD?{Za=YddQz2Fl622Rsy}`9 z!q6F1!FgtF+v%B-66t}FGksU35tf)_#AO|1HtU%Ra-S2v^aQr{YI@R_o|NffDwZv% z>bW#9bS9lT*8|W@psrDiadn^#&fR%PkEA9BE+ZYR@$|*932MNzsY^o>aM%)ec$>d( zd|b8-oVa;j!$<3u$R;$rq=pA;O7gaAc!;@_$aP=V5No&8#P>5so}y- z4HuSbxUf~jg|!+k?Df=PI5j><6Qf!O;`!T?c>eYzp1(bb=WkEq`P-9t{`Mpu?5jG{ z+dG`@NsSDqdj=-PF)1)Z(p#9mHqn>9GH`}sPt1|_TO3x<*V}80#AGJ!8o*3GomF$N z4jw05ChHiv#D>5K)-l%CKX75hHfcO{X3U}GaArl)4$Jt;GsCHL&!w}rQ;72OczOVX zR@e`OdMZQ8j;ArK;o8oo#wRd%C;G<5E?t~RkM*4y80+iBsHhyk4;aq%r4!Uhux+dL zth8B`$|7gwVbDmfRwb;KQx%P;ucTnpHe0MOrMNyvkht7pwm#XemIvH!WtKc{UbSeo z3!;`Ny3MVi-DNdaqPNp5ChMg-3X3x|k{*YvwT+a$2t(0QTPz7;p?cJ>7U#mq5Nc~@ z8SfoAlO96*y8`UgY)i%`QWNl2Ty;Aad1I^QcC!)7t+?HB#HEI?I|Zg(O!W>*h8O#& zlFgtRqImn!)f!=@93Q9-1nhR>C$6EaJkmIyg>osapqy24TX1Fv@lw=6Jkt{K(Sfs< zfNu-W_Jf6I`%&V#dSC>2_WJS?9{C-=9QhqTFR9^?-|@?l-|_Rv@A!G-$5o!|$4j~7 z$4j~7$4j}eQ^SR&8ZPjhAyPeq$e(L|EA&rQ6 zco~kDC-yiNMLbc+rwPULR*zgrGv4VhIZ#+}aiBMy=)KlEgojFc=t;p^TH;}CCZDA? znFLpyzH(vg47`TSXAcc2$L>MOO+%@5-AD6_OQ{{Y9HsPyA% zhs+-`f4Rmm%n#$ZbT+p*Bg|hh-%Hwl)iiedveQxB*y`d0TB<2z{yMNeeBsQcp}rexgUrTm8Z(TMpG^EZJj+J|wFDQx~0<^vpSAqJ0=IBJF!*;zM~&gAYD=I@vvC$oMR zPTt*l@zJ#Td+4IMY?1rhG+v^1k=6F4D%CdiAx40_#XU)D{v{;~NdQMAIJnnoE~z%=?*MO;y(=Kmede{TK-wa#B+g@Cralb`9$ zOy9|3sOlFw@b_x_;L(x>RVs~{ASRC?D7cU=gv~E{ zvm@Qg4yrUeO>0(F(=wVp9ZzFJ9yb5es|IqG8c?NbFwdwqEm8$JX+GAwo!lbkg`25rr$~xUU&{$&;hB*07PwF%%^A85Zg#|EVmF2RQc3E%2oqX zqXtOul#6FO14vB$qV~1YN^{TAvz7*=j&))BqKpa`9|u0IA6U97L$gm+lp* zz`efwNVfBX)Z_;MJO$#}&Hz%A0T}RPz_XnJq$UI5Lm7WC@~}?@By1HRH7bA&PnmeO zGiYIx@WF#kX#VA7|+MM6@G zgrpA%^lUeZ770lo66o2^phZH`hXi`IGiZ^J^dW(s?F?EZBz;JrXFG!y32i0(pl3US77uOc z^qLvGbW@yPP4oHr9lRD34!qiDs*4lKQd-+~A5Q4mDw#-41|Lq^U7Qf9$>76DyNeSd zH5q(3X?Jl#q$UG8UIkXWiwPn%8GM*%cQHYvCIh1$K0MKQj@{g)5qMNCQ_5Z*VD(`o+eV0!PnEr+@2;D56Z7OIFWwct3Qqj?F1-)(`u2A@6`bd*!04^YC(wC-E*_|XAkP-Tri zJudi;Yr%Ki2R?eXE20J8aUiTlc_)}I6UBQv;WFReo#bpqT2LMb@=6rl$%V^~`l{f9 zm9l7>0#H+Z$Z;2iL~1esRGthj-i~YWcHDwZs|3vv-V&IMYh3V`veqIShuj#m}r995uos(_h2wjWrV(!3oVF2gyg zS1s~5YC-GN0$JWXU^sa|>+%3Z-aKG9c|hy(059G=U^sa|>+%3H-aKG9c|hy(05#q` zU^sa|>+%3N-aKG9c|hy(06X41U^sd7z={JTo;<{`^AN2mCk`}t^C(cEL|qmD;kU_LAj~^Lv1sOzZ@=(Cj(__T2TZL#{9zQZD9_r-LBZDHK-f|RVP@=9J zKQbs3>Xf5L1_eL8Xf5L1jRMc0;@*I1WeZa3s0S5(CHHs)!>M5KUw!uW}^7z3)p;RZ29vl=r^_HW6 zgA#S+_`yK|Ri_+1IAlmwU0M;t$)g7cg-M-5HLSKj?l)Dz3d!nB^<#zNrcSYXth9B6 zjkoxVQs6>CRb5ejxKJ$BDM}9)3XOVOUqJ>XYRaMLs3#9G>~e_KMch>1|{ms@gsxcrcOC}WKg8kTaJPZO4OBu-uC8Epg@sST^>IaD1hqNriTJWPrcMcjX0VV3n@#BCZtWG(498m1k zTaJPQO4OC(#{tDtopSUzpa`m`9Aemch}M)tK~!%Z1qYO<%j3rZ1y-GM^f;hUs<#{k z2b8EQ$BzRFuR7)EaXfWoTYaugg;qOKf24k*;>l%vN1 z1y{Z0C^(=*O*s@__2eOjT@KN@JboNd%+<-G#{q>^z2zu4phR6cejHG!)hS1h0}8Hs z%TaJZiMn$9IG}*5Q;r@76g%~nqu_uNb>;YRK#^0Y96b&wR_ZNB!2u=e$~hi3KH<-% zK!GBtnrsvl^%O-6+dQImdC=Q??cfu_o7n<>KF6Pxa3avPnF;M&Mtp)imVfh1p$qJlETvsySB7bB#TgYb9(+O7e$gfBNm_B}*X!QY1 zGOQ{{y0q{_EAXKQ9LPjXIsR~G zLJ4IUlyGN) zXk8vZ4k)1M)CaUK54NRa{$^01ppS1R;+wfKl;CGVAN)*EtaGP*dWi#?*=#|6N`XEC5-$HWzd{8>lv8IB zaN<`e4;iIG{6N8jFbb90Rn&(~6BH#?UK5`Qmw()^1QL!Cz3Y)LkJPsrh~ebXBbB19-nLX= zN{PD80VKV76pZS_uL+8)dh;kAOCNMiP+T?2XVVMm)unLxXMH-9AgmPD*h(>1Pq|7E zHbJy5j}1QW*B0;r0-Ph4|C?W-0zQ3&HbDVdiDs`t{6+|e?&V+hD^!3;IdvBLeIJEv z?Vi?$Zxa-)-A%wPHG*nZ2v-FBhA5Du+&V*ms*OHAAwQE9t_b7(m94J4*In!Fr}cf zPAh=s8L%?4%+ctCK60F(Kyj@eFX4(7zdi+jlu>5`ASB-@&aCI~ohtfNQ@8@=dhJp$ zrw=nHD5@;i@T4bWEAoR%i9O34I)h5`C_vQ5o)iAqvt-zq(8rz=6l!)2E?719y&fT@ z97TF`Qq0*^v}VD<_d-O1q#Q+{H8rQ8w5QpXD0PBpT^{Nxf`jZntL#y~9tDc}$aR8( z)84Wbhp3NSCn!+uR=i*pe$B5)!64<(Re~_69Ks|hgzYpIXk8xiadyS+vNL`?ir=Gf zw@wei&Cz3_VAiikfuKI@oseN~ThEl$7WaI5lu)?Lps|M{-;O;~mOV>;JrJ_nhcf8& z0An%RoWT*5oT3Xfr-m!O$*)Gir#{S{ps2dHXa%2?s4E(9laUejhtzhj z@@rAhsSms-D9-MnA+tumQVv(V)~`oFCuPuBBZKjFA7s{6a?fYO6|eW{Q3CV{eSkh8 z1N5$*r9!?KuJFBMAcbsn>Vx(PiqX5SV{Mv#8yv29tKT36p_D^cF(6dFOKML$bNHBV zxZ)jtO%QWT(tA=0=Q~)*tj%M4Sn=b2H402gUS|-HsMKs~6<;L`SG?P=NWrfD93Vkq zf5o2V{7Sgu=lp6E?2^3B9>T8MWAs6_aK$h9^(c7O9~UI(bigwPl;Z-Ks4E*_bgHn1 z&lOCCD?aR3qkva`f{>s?0#^->;$QcvQ4STPyv7gFp7`Q6Q>6jY!bpgQAC>!C(0G zCFSjiNmGx)q;je=bLfk%SQG?bcI&frTvMan6N%%Q0n9bzczZwO* z`a_QdopC5VCY?dB%&$kmGiA`31AuzQ03CkVV?ciplAv=BZyp7yl&C8Qz}w_Ai4-6U z;n|JN7gmnNmwZGNo^jP>Uy2|6zETB0D95_J{ydsR9iaT*e| zE)Q_0wO)S1L|VVsZ;1j`{n1I%e{>=lmL-xdwIu03I*|+~kJf!A>FC7y@rS&5Kw6C7 z4XAA=#T-pq5GLtBMQU1FkYDde7Ypl);UIoE;ML?pQ)bcC!w1bIox3=tYoVF+AG1h? zlSk_?lm25C$#C)jVLIDR&rg(#eZwfFSw~hk47d z$#qjQi>~s1Kq)VyC-o;aNjjl=GOR{yZj0rz+k&3TX64E=m8( z=#pXgfc{h^NvA5_aumlxiMsj#4E)piM7khPwS%jEEec-rucs&J^u${-7>>1iy!g*Y zlFIprq;=N%U5)a3dQyKrlJvixE*Xw(fK7QJyM*r`KXqup`|;|--bZ|5b_)L?fKx#k znoOhlkorO3?#;7{;I{-{88gDcZ>2Zi@8ni+-ZX?=PfK<_Ay2T}gD3jB6n#{=H#LOe z;e3)zes7z;s(jtY`r3Y1*(w?J+vBaD{b@AI4iWsW;A^NtuZ59RiK*fgeHJ=>C$n+~ zZ^Rt(HeX3=29~DbulyNN>~r2?H&r8ouMfU~jCdoiQSs}-R~G1glUgmmNd$j@v%X0& zLoQIb0yTCvhleb0;VcLPI8~mzQ?RNGJb11r@;;R`K#v!>Wo zy$HTD_#@OXKZ;*37Vc;7;{qalH7-|tfH=l9qJDhXK*dLxFF%I9tyC1Xeiy6yDb(7N zK1ZKhulBQ$;b*v+eiq6L_orwdNEdhn?a!4*9VTSo$I9OiKUBD1z!hFu%fhD*oi7%B1{yX*qQ{wlk_d=nZ^AQTeQR_hYOR!4Cz0 znR?*E@QsE03z?OBxQ$HS5@8x$n?l9uS!rhpaLP#+ya>e^(5m!A9f41qtA)T|d@D~i z*ikF5x!K@JSELC3M)0Fl6&&?%qhT?fU!2X&trqCsne^P6Y20(`(@T4{Zby5HzP&z{ z#b@eWo-D(Wc*%&tRHjNs*afchrRtnR&#bY^5r2+8^+1%XPbg?jxpMuXz z*vkpZW)|_;METQLV$7qfw`*#J23+5u0so)ZQ3QWK_y^SDe~5l{T8fK>wGKyVT{(WT z)6@9m&NP1CkM^0N(G3v0OboX_=b?#U3Wgh{;0+2Xe$MT}&G4Z~^Gyt<@$#>cDtGv5 zN_E;mlSUT=1ZYeIsfN@Hp%wZbKz7Dy5Uw`*_|gV_tWmpJk-kO{!A}Q2Lmh}95eBB) z1!+1wAUEpt6gcRY&vL(f&Jkw=rT7zi3u$r5V6n<_)cp_rf=;;z{!8#*sgj>Z125c@ zqa5=smM8W+=)q^$5`hJA7a?QW<<%TM7V7#5ZFUj-V(?2bBN+U$Fl)?h5hD=%3NU`? z@PIIP7%!pc|H6>A?|Q&j3}A-h{ghiEgjs9uM1~OJN;~WfP4mw`z~`fuR*PA>gAj@@ zi%>-<7=;{Ont)Vx`FaVwj8bAL-z*`_ zUFL35S3_S1;F~19%C@tz9j0*rRc#_CMt|v|6TF6;Zjzr;x9{gjH)WSGT_5t?$%i-)D2s||<8n8%r#5M~!sXsvFht_t%6Q)r!&T+&Tpo?_~jFi$geTbM6lYD$ea%$%G7rW^BPmH73OuOzDJlhSo75auLP-zUslO#Of`(@g!K zFmE&U7GY+X`XOOXG4*y~PBZlmVa_o1Bf`uw^<%=EW$Gt{Imgtygn5UlcMCJe)Xxa> zE?e~;Vcuivy~3R5)b|T>fvH~*<|0!c5N4jK4+?XMsSgQrne%>Fm<8tjiZEB0`ZZw| znfiz@SDE^#Fz+++E1CLtVSX!9UliuIG4*9(emhfN5$1O=^;KcMN>X7%n6H+a z!vSG_CsXCZ{4S<~!h8)=VPU?Osi-i&n<*j8?_sJ+nBU9PR$;!5HP;C9^-OIS<{Ox* z73Le6suSk-F||vW-_KNoFn@rly~2DGQ%?)?2U+tzVZNEECSksXsRP1%D^rJr`9n-S zE6les)hx`nGj&v$Kg?9CFyFycLYVKA{Sj^x=8rJ%m@t2o%k32Ak1?-Hm_N?c31R*O zQ>TRalT5utnD1h$N0>jw)EQyEo2j$H{As4n3G-)|IxozhWok&6?_p{L-`}PQLw@4i zoyp`iN_@o<&lsBu4P9U%IV5PJ)b7>UYzNwg7f#){R=D%WUbEWd~5xRNmiNx$GZ)Bp> zM)y=bfi}9n>IuZ?R;woxv$biv9{ zr1yR;mOP}t@eE~VXK9oOxSAUClj_>`N@Q>c79w()2%eEPu6=R`h@r; z(I><&i9R8IN%RTvOQKJRUlM&n{F12Et~&R{m$2D4v~i<8t;0D5UFkj^7ST=8zWdxB zBk0~3#)2Cf=iL6ra=PhPxfG6tbk5a(SKMRlb1&C=jD60XTaU4i?-*jC4O^JP+K&@) z)+!rzf_rNn>(b4-d_kRcnH`JirY-v(yMZ$-qgzGUGIY-oOKCgFy%CRvj%r}G!9{tT zL01jy&bNfTKaa(9oo|Ub*XpsP4s)ucy?}U}oV|k3IWAQn_lpeaJjO_QWgi=QWLe#~v1GX_cE9VuZVAeZ30d7HT`7G7-BuIFw~{F3pzN+@;x4G-b*OLg)8Mh}=Hk#L9BIb)HX7 zS;6Y20Ft)uZDp0Zxk(DS*SWHw&h3`m^2(yR)^jg^Wf|Q{!gD7qi|Ims_EoW)D{^j- z-P|U1I^3u*cdFaSYWLb%*`GSMq`94zEz@v83Z+l^boz4>-y zo4gm|vRp!WD*^8d$&YlkEM^vP$!yDr{L`BUd%ZY7iL;sMY_~AFjT1PX4gUk)C{nWb ztS-*XQ}RjU6eZ)=J7HV{n_Y)4`Btd?_JigX68GH(`Pvg+Q=zw0Dr9!tKWpc7EIjjo zY@B8b$BUWidrhJ6zu~;!dBtKgQMyGo{DnyP-@{+T&t#WyuY^@e5&m-c@1x-V2VO0( z3tcVd=39nW*W>3<1}@y(;gq4INR|H;34b+W;8hRr4Yp&s={sn?rceYQuc-6gqG~6S zf=GEJQWmMe%Q&pyVj(+|okc#pX|cu1XYr(XBoYZnaQj9SmA!&1g_r1cLV9OlKtx2O zGKy5ZP_d1b;T5|(ElX&r%pC6AjclcgXi}u&nnn3@s5bp2frGP)J1mT@O~+ex85oaojG&(jEFQu_C%ovziHV@mm<$M(O2LWSMWPe zye)p<(7=HGa?o^sem*;0L_$k$VPUmM@6WZ2(L0abBJy;kF^X2fw;v9ucidW6FRPd2 z+P5)B@FvafrVKtIGP}CiWJ9ni-jtciEa3tadO_0ZLk$>}yJ?+LxTUN&EJfr%~rtHwJv8K$}CLH zWQMcF3oKVgX)n2X5SNPjfa7deM_uS)D5fVXB; zotLkUZzzE4&h4>%1~1Oa9vF(kj}2qkF6EcTvbe;2(ejU`aaqNg1qerh<8wEkK(v*) z5V;7)8yQu64NV21$T%Do>$8k#TF6Wn@=Xa@FWdIZ*=hGhTHQ-|rqS(U5z@YwC{H~u zx5l9)T!(Vf)$MgC(k^$rI75j0!|^9qBiG2ET*s)nVH!s_(`JLz#Sjge$r@zG4}`Q$ zl@!Kl`5HkaBa9SN)57RsDl3d$rsjlkhN&DnUzyrvorKYEoWsN(nHRKlY{nW63MaZ1dv>>GtK&D8e`V}_|W2_wtYn}spU z)LVry$JE<|afhiN7DkS#cY3>A79xzh#yzUkj|pR*jr|E>EHLj~!dT?2?-oX$Q-4Mn zOU!$ZFqT>Fy}~Fk^?qTju-q>QqsVd}5XLG~9~8!YPW_ND)|mHUVXQOtE5dldslO(S z=b8G5FkWElqr!N|)W?MJBIo#RVLW2q$A$4yramEzmvQPRh4FRF`vYOToT)z&#@922 z58M3@pdg0{LE#%10DmrwS1|RL!uTdG_pgQV&0NN(gz+uhE`KYGS2Fb(VSFo7e=m%0 zW9qZQ_;$|mkHYv4*7DE7cop;hRT!^kxqlPJcQWq_!uT$xz9fv-F!dk8cr8=^DU9!C z>c52XJu+|96vp>TUbIXYuVY??Fka78NEmNmDk6+GG8GfX_c2u|jPGY^i!gqGwNwk^ zP0ZUSj2~obhcMpEsXK-77N+Wj@m8jG3*(2F+9Qm&G4+%%-p*8`Fn*Y+XN2(%ruGZt zolG4R#*Z*{SQtOb)N{i4F{X|Pl4QNxaR%Bct2AE!uWZn28HnpEH^BS zUu5cnFh0Pkqr&(lrpATwL8dMVGL;v`$Jo+kVf+@CyCRI=X5OkW zeuw4Ogz<5v9th)iIrRl$e1fSLh4Fh#y;K;VWVx>s#_u!r^}_fAroK@af5_A~3FD8L z`W9jQF;m|vj6Y#5-!6zbK4aIojvv`p+bcD>Y3|KnI~@HTY)+k`9X_qmqrV%8emwdKYy#|T&f0P%zgn2i z<`!r3E#s0L$}MI?(NBhr2#PzATg(-)w%@6)Q>~2-Hk_A5^bezd6pj9V^p9!Vys$K% zo6Z&IABgCmMw+l+j{eyb6*+*?Ls9%#=qcO0zI9gBi{DD*=Cc=;Xg9lpI{p>j^mppG zft0NA-$bH+9sLxlK-NwdP2-rdD%v2Ux3pe-8fT?jME^GW=_qu427w6MJg;CV`uEtt z&*akx8O%R0jZx({z8kkQRoY!8do?P%2wpfuKO6lVf&x(lfkG&#X)1%z#4UGSE2W%v z`C1kF&(VL0Mkb>F3S4P6uAwL*L}CqrlCkV;s!+(F>L(6q1nniZvZ=G95*%ikk-5>- zUx>DkDuo$j-WFkoSYNd;!_x1>wh1%B z)DB@rId!KnV@%ZxQ!uq#n3YWJ5oQ(VcuJUCm}(T}R!+r_QL35RPrtBn7y10dM-lw@ z;1{s%i5>C=636hBnW{fp!mo2Mj$=onMk00;eeLeAChipSYjWQk zYmFMsv7=F=MT%&`LGqWY_5hLH;owm|IpHbiceNoIOQPRFmFE`ekBNQKE~}@lz#Nc~ zUrVj5}oW2C3X$p_i>ECZLs_k`CRcn zeT_R$fH@2t>pyOwbhPx12%|Rf_Yj_Q8-XsKMWD20VDWx_Izv;;M5cg1Y~T8HR?aw~ z7-9fbw#gik55;bCxK_>&XeSIrSEV&+#HM1?(O4!nBg~&O-%FzopVHjwigAa8V)%qX zki(1eXZU`BZml;hkrJ`Hv3pVTGqHKgvdrpAmVfc*$~t4YTf`P)`DiR1Lkvwgm4lZJ z#W1UkA(HG2lK(Z5*)K;w8!JX*D>1BTFGQZF=D?bEoW@lkhFL>SX_=K46W*T;duJ`ODQabU8K1Dl`7 zuQ0TY8w}KUVI%{d`NA015bomTe?5R?e~Z|=V?Rw(0Rlodc{scWU%fzinH4hQYg;5& zEcV{m`>^_p;gcEO2BPl|m93LA$G;^VlZYcE$4!C=)GaplLnS&mH#!kI&~cv8Hua#G zrJLm~KM&DoH}><2qnCC=<6R6;<4WY4$-8_6vwlgiUKfE3#XgEfBTYuG%e1CV1cYNB zi^YC3_FIT7a@BqZ-Yoi$2#a$4KCyWX&`78z(1?G5z|AU4Ug$33%Qyps_1IN4Im1V} zX5MZYH)4)x{6;fjX@y+RVRiMN#{P_o`|~Zq7ZyfyfCp4quvyBY~BCqESXe2q~a z!`>%|^W@cOOtky`2T=*^OiBt7u`k5F7>j*2_N7fAfZ2tH#@(g_;@%A5|3n;~VTMl+ zY=|9XWSGIB0cLPwn~4Hu8v=_=uz@8+g@lF(ZV8G|jZqQ%6n=y%8%jjMiq#lFY6_o+ zDD?^$l=(L{|kMu=K%H@8|7So>>?u%^|h z=k7LPkNOI3qi0KOLa&lBKnVRQZDlwYpG}>vO|5KG90nw6j0oA(%r@b4Ssbe|qNO&` zZ7U6%4D-tfu+w@XAh2!vc8OAP5;Id1_WJon`R>oCI~mI5{r`Gxd0B|l;w99dDFpSo z^j?2c(KRn#P|`guHMSFz(Xq3+`T3@h=vO~=<0jC~Q4B=HIe{M#e<=8hD5xQv7%*x_ zO4Oq_s8aw7`qM6=|IDBpFOh_Z&oqn<%49*VWRXHwrucF|hH?Nh^ar@`rI##g=o%A< z(&SBlN}7kREb;1~OGrqR(MDhP^Q9xf$Kf`hp+Ol@D_?p^&FOLv66KoHxAlBEN@`Bm zZcq-cIo%@UB0h&JmD2RRDtwHG*4fVH2Co~TqYD`R4*G~CySNw;D*_vnH!+g1G5JBJ z5Nv-9Bl-m#@i6rwEy5uDPJYCm3_W{lPXOON#jFsSqD zk)2{`*(k}%%;2HBhkQ^#k009575SDlsIX_Kk7eij7HO>j7`zTIcsRWz$Ge*<5%GqI zc)fTd4$!zIae(o9M#P(h`4w*GH)Atu7a+fv6%zPbA1}xW212|I^NRQ(fn|j9T_rCH zyiXE6ZZ)`v%@2upL}AT4(VG|wvN!S9-<#OJ;+u%H?0p;C{1YNzvZe1rP7E2D6Mwh+ z`UN?WEl|$FKdX!xG9V;=P6R@n_C6>=7f40;i*Lm+jlZ>Cr7M|*>otASChs3LKYDiGO3bt{Hh4lF!k${7bxVsAObWI@)HOjT8@jr4$k-6 zBCw08k5e9ilki9>y`@w5g<>`PbL1Xy;TJEb3UwvD^~E1T2_e9uuiOm z1N1dp&I_W(*YeL(tG}HwfU^Q`o3tbC9h~+rC243uC#H(=FoKs0F;@Ofjj7sfIY_<` z5&tf}i1T4q_+`_WcRisO+1{tGk?+IE_(~Ke;0;n=Ezz$os`4}?tQFF0nVfWCo9^s+ zv2VvhjR{$`$^Z_d<)mL(zM*EU*5Aw0p%QyaCOx`F$Y*mY4A8kg84S9O!$Q{Hm%MnX zE(}phfJ*uUJzmXp8xJKw%$I;ch6Gv~&>uYc(g7Muz#m@%`hXIwKP4?dU#Fw=l7^uB zYbXJApg$#zKo`^0JD8W9YfVu!A$G^KdG%VNRA7c{FP~iZg1aJWfnnWJ|MFx^@d%iL+K@$pv&JWfeR*t_%d=2(xpqj1nxn)ND2ArS|r!4 z+JiB>I7-WjCz;JT{AIkKdlN?$fM)afAwxPt=fkpYuVf3brhYR^zbFES0;Uy3*hTQDS@AH3sk31>gC*OIJNoF!nvY57=22a7XS84EclwPO7HYmNp zx&u^+-2sFkdmF|W2toEzj4=>`?7bIbAZS^F250~=OtV2*TMF!OgtScJHOkeW?2q~C zPc|&^W`F9E2(12O@8+vN*?S=gR%U7H>JR%hnj~uiPp}#s<>sK(;E6P;1oTJ77@#bG zt4zv=l#1Dvw_@`OmIsjKk3i`smt-@R-y+LjAn8|^Jvyj&oW=aLxk%E?Avg^*O_5?99yOb>>F zar#`|(Q|6hV0+$ebB?6JvrwuyzVA&kxgBa$FBPXyzUl|1Y2G5b=M6X z;kx0h!63E=oT+M~1WqBz1mF@FH5{JUFOVe{fa_0Ycv1z!_Qg19_4MX-?3k*SXQe|SPm;HblBLxkZTmUSY#HO*_nMHHDBby#jbx)TRF*fHRo8FLg zp-YN&Y*H^;ENikKE@M12M4?wr#$Gj$k|ih&ror>E2MqxiYD@!^0UE;- z-ApVxiUzwQd<+ftLTMZgUWC#FrU+UI6@d_BKb(y*5Q6L{u`vchven>$a4A-DISmd# zg;y{TSQtSXQ{&CbekqOFAoZSY43CS;Q0w(6Rf>gIHi-e6&0I;G*oV{Qh`tG&!TDs9 za|;a)Ms~L`c3^&F2SPF&J1m<3nf}x!ENw!ALs8c~Oc%5v>Pl@)v4G2lf2J$d6s6GG zPb1eyXz&u$`Y6*1#zCzhBr}ATeGo5eAq|c~5G-s3*^4KFQf?M_6OuuAld~TgW6D#jZ30jCD^cru8k~SyH$dqr;7UhxHo|4l z4|8B$YcgiRx|Tg`z{nvq=S9qVi7|+Gb0!4YTQc$iA-9iQf#h#E89A?{kZb}SViwv9 zo-Z!Nir!$F;{BWnNms`1h!A`cVACECQ#%^FF<`I(yY?vbkWzktT2R`*4C9kv6a%?#%XMbkS zzML;%2^E%yx{qP28A+~T_$4pr>zr@0pyanScoS0jo{|B083$nB4oW}4&K#6}X5aP} zz>WoM%ioyw;PSB@KnSuW2#kRcWa|zX10l$kA20?&ko{yg#!|a>lV&t{E9aAI)8L&b zIW#yIr7(lXJ2?}AY*BzKV?vOv4PZ>uTFq(jK2#HfT?f2BS zZz`AYh72D+e&WbR0+Cxc_vkEAH}@F0nz&y|!j4rvs$#_(TR8W)+~dLBGPfSM@8cyl z0GEEe2v~Ia0n_029L!rdx0D7SMDsMI!ADSnfu;;|p_30msU;0QhPkJ}ZY@kZjRqHB zT5H(1g=uYQ@Ci(72ivuz*!kZfPruYyuP*gb~Q0Osm0 z?>cT&8LQOGoG;PB_oQ6#TI`iO81@|E^}@V82Bl%J0S&9agob|%|HLSbgzafq>1f!L zhPh*5QyL=1!=^OMod_E>P@2qMJlO~L+^ICU6fbca4X!{5=Hk^TT|t9uQG!owLAE@F zwgMr@)}%1T?j*J}#bZnevh^s8fe>ViP#6Ot*~TZ);Cig$X1H+fNtk;p4L*lyune#X zr8!Jdypfp@WQz)@mNhb4Tfi6y$(6uYh!;`K1K@Cuc6kUkjpD5cbLUpfWpgK6+eAgI zf3Vd-jHULErqKN-p+RB(OsbPjHpxlwz82y>1-bCPpPUK5NnoL}WvQ94WHcQ!SEgpd zQ^2KoP35VXa1&0$%yp@m@ceZdWInTyq-l!NX!bKlC! zeIxhn0BKWkLyK#QZ-~I1G4WVsOwq$XcV|}a=G=Fq84k^PkG5oY@UC1ZcQ-pk!GbS; zeEUk>QG&)8M$rMVVf!WVD}`&?2z_Y;~M#iXww>1&siu%w=t^c^I9 z?~)oU=_X8qMTT5hWH^E)-H%DI$dC(*3`er0MVRylB>m}0S17y3xgEQ+Ps^1Q0`C7sNoMZ9QP^c79Z{h34&xt?>t;lu4Jv+fsUm~*&1fhy zYDeLTVmlu%qG6i5IIc~axTH$<<=<(6a#V)DK@;|`*hBS9A<~M@;6J2sN%lsHKo6y|# zm2_i32g4ZrFMyk1-+0mMt;H zrW>|OhcOU>Y_SewAOzWZ7{=I~!Is4^mO5v!Cqj5Uhsu%%YM4wuN8(*+vy;0b$=S|i%m5UsvhK$aOX^__@m6U8LUIjoz5JrU zxIEOD6;O->e15qSNlZ&s1J7OG;bl&z(Wyw{N+5BSOX?$ucxTWo*|FymUU{5MswLRt z2_pd?X=Wga8&gO$2M2%yNaAK1orxrF1roQpqyZB3|=mG$Wc3W4A24driek z76G9_>{t8Ly=yq)(CCv<=0+G@LW93zy-x>7$BOAfeU9bt%oR_y>TdxiInv;31&mh9 zVDnmZIoy40Z4d1SLbCm!(O3(?qs4ZpH5MI*{4n!sW8z zjIA2t<)+>hYye~3gx&Kzgm_W~Y*b55g3IHjMQ39^H5K31+4qOhlSWNuJGMq&%0Bc~ znit25+m<=W@I7{8N5ZBywjn6`33sB5f(uPD2aUM^&S{AokpJ$p`Za)!kjaXm#W_mc zG3=vaa*@;#hu!}S#g_GWm})r9-O28igdKEhH%{ueJSvn6JFyQ-Nz_SR0s+pa|?TImGT3aVmpu<4LSttbHWbHU__rHJ`6M#9$q(dg^=1gsziDcZV0c zjM&*Q5Dy$Pwfh)Y5iA?Z&Ot0xw?>KdRy1}_taDbZQ|#O@IUV*XPZ}ME!KZ4?b{sfM zF?N2eD?@aHUkgJvJ;LOSFlhrvXkol}n6!l&|H5#ab~Pkiz&@?#ljjJMqYZ;OmD~k<<5sY0p+DiL+ zea`R+6WQxjYi;Pw-xZIF}o55<5V{gCQ%$uYXErY;tTW+6KGIdmD+%N;0NWW?Zu+6+w>NZlEA_)_qGy(%kqWo!nFd&sZz09Y_;4+jg3 z&xl=9$#wA&=(?=fwXy3dX-_)9sSvT5aL4y+Ok+2)#=0^#J50`GDWx=a3#Qx}CLLKy z6B@e%Q|5#T+)BZIFwoqMDRaZ56H94EWA|ao{b2%rZNYxcY3w0Pc{ohqxWHh)7Bu!K zraTrVu;WC!ocDsCvW4!u0M@$Xjlk1*%Pq=`EsVj!V4=*5ukpjCdu-grnWQAP1XeUs zim)dLEc0|GDUL0Lp3N5Ii(|{#KCO(P;6@(oGnxCQ;HmYXCz6+4v@hzVT za{@b&Cha?d?QVlv^8CWC0?VV>byxsGeQ@Js`#gK6XuObtV7rc0W$ zRM?C=4^y)t6&4O#u+$+m@+hW)Ba#b_NGGw>p)~RsC+!wNYOzaBW~swy*`^YcULMK}no?28Ir4@IqI}$aG0@!GmbYPlZL`~x$X}n9$WIjX*Z*YVA zCGFr(P&NsbO$Ou?mz>3J|7$(K%GlvvhTzKqJl!Q78Tk4zxq;FgwDi@Gag9sP<~NCa zePYgYrA_4s6sYPCY?zA(8dOm2>5lu&vy|El1^Qkwe+Oz85HOUFZebBPbX@ox`F zpIjQVPcBSHE9yS#KIW3n?&I*!Fxc>b3s8unF!xNIbz+DI@AG?df zzcdUCB2xY zfvD*tNPt^J^XRo5vNf;(gK6B++nR&rHTYZTr&B$}8)tOs7FAR^w123g{SunNH5Az~$G8cCh z$;+nJc~~TuTHR2J@$-~)OyC1!O7fXyqq|p}C&3;>^YXHQPJWo&POYA(vWQx}Q33~> z+xcQj9;}j}J7V4u)ar-1HN)f%Y7N9l9cq=KRF_)AP&$TMBTzanOkngFjgk7)8i!It zYE49`5w+0qE3YxN&`B!~mQ=39+-B6e8l@ItawoN}!$?bN%|z)GYRyLJG-};~Qfq46 zj#3+Hp{r6}J8Ge;QeFpYp|?@qSz&S)wa~XFuM@Q%MNnsI%}1#VI~}HDnA}aRMVQi! zT8mNYL9M4z>P4;PDD|e+DwN=9Z!WdgVB}(IJ%bYLm9g1EBh4F#O+1KN8!)RZOkg~H z0VBhx^%6?(pm1-P+()gKF+Ms>?x)r(7#T;c*HM~4tv6AcM6GvGni3`tgbD2JZO7Lf z@?b`PC`{nZ>k&A07aR8~ILR9`uZB~BxqD0AwalRm7Axk3$z#;og}P=@>m!ulo$&E6 znNO`xF%Bc=UX*SRlLge;hY@J2uTTQxeT&jPVX}}~c&=35{b2&5F}%aol+&2Hxm}Jm zc@Oh@HSdvJCvToh`muX;HosT%9*3m)F6kfnB}|@#mmJswQWt)@U;@p1g1fuq#S__b zJI!0dm)i553X{du`Uy!bqt-7dt)SNLD6OIve*MTRrxt$6$XiQo{0NZ;9{6=s&WU@- zD`-E9v^N09=Ug&?(Y_UFZ-S&3Tr!Z+hF8>DsR|r9heUTbsh}VmoRd;kel~6rSD_rzmWN# zOGYs83Tjv5;CzeHeLO!OHaFzM=7y0BTmv&RDg7QZBalg5GKzs$!a>sfX339IyC#-& zsa*%9d`f>r3^)YjgG0b*P)MFcKy_*#jm2tE`#6-2r1WP5z{x53aB|8RR>`U`SsfqtJlj*N$nOV;r&n%_E1 z)`!Wn)UJ<~X-Dl7Q0hSK#wfuHs`@b1tl|+M|7=v<2~?lsk_qg=bpxD?jpjIy+D%bM zS8BIFse70_7bY9SMxk3FLntcS8zY8+&cFA;Bz9yEx z7n1IC$rY^pOIRMJl=UdV#=e(Pf{lIKP^l4rK7T!sd)6ga0$;l; z7T!qh9w==JlQ+Tydc|8|@;0?Ez|^g9tT=X&ZDF!AOyC&k_uy16g=DvlB$;lA7z zCUC_6E=<}9hl->0UYL9U=ij3mDDXN;ABGA1|D!PZI7~hXlTX8BPndiLM~oxt3rapi zX+O2`nJWLQFxeX>(3`#plYL>bAI>O8T?g1KTnLAVBjO;n@!2c?7itg2EBP%mh$-MKnpBB$rRYRPC>{eSHZoS zU5|Hy0$3<1fQ6D7Y?Apl<+kS&7TcX(p(-0y07(s9GLw~RjipY6q{c3p#Y(loQcWSLnM-bDrP^bulOXA2 zm&|6R&csqMoE5-ub`zAcC!v{JQ+p~(ZD{t{*`46VDrg7A+PmatHpKrx?dh1`k!GKZ z`7m@9z|eIIn}qN&x1bBn?uMD?LuOZ(+{!ZXvA3WH&F+bru;NkxD=xRO%$caR56$k4 znSCMiVwc>`GV$TKU;xd&2r~yk=3tlH!7}lAxnL;G?#Jh(g5i*PiA(0NOnihc7)7%O zV&)jg9P5%hStdSJ7fhhpWtce$GAFy_E_SI0sEyCs1=DEuFs$}+$e-?#yIKB^)W#?9 zf*CY>1m?qdRsiGKT&Da%yr3Is_GrwU1(`RxVuJA*zv@FB>pT~#h&tt>w5vOZ!@4?bjd?-o7?M9B}|Hc(Ci2K zFkP@6@?UYu!)(>6Vi#}QgstKgDqqKuV+TOqaLFTVk%)MYtur zLJ_V|6u=5a0jy9w$`ma{MX*9q04o%aF-3oc$=@`41@hbr8K1l4alYOz2h4=M-xb>T zBh4=X@s&&FGwo|p`?rwvol6!l?f<~99pJDAeSQO4=3tn>D#Cv>>kX{*H#o5!%lrYq zgMiXsuo6JC*W(}vkFo{uD7z3IIsyTj{VazSTDeZ4?UF?-HAu5JU@8pMg)mS*!BQ=n z{T!ykigqD9Qas60ZJNCiQ(+`7gpqi$+A0Zqt1HIy!a{zzg+%}$ z^|3Sz@`W(SFVmH-cyug05lc6Q(xon0t}9)!LR#1iOE-toEnKofQ@U}*%2;7bEDip) zh2U?yQdhc(>_>&CW9imV`V5z>f_{{PD{_S}c@)Crv6`)8C0%&q1e>0P3OYjRvt3fI ztFB_%tFSYcJ{L-NamgB8>4XdKilw_j>FzFB%g9~LJ9#0@KZP*=tkaQ`>-)5@4=T6_ zO80fiGfcruRL~!i2DoIsMnS^LeBoeJPzI%kxa3);;7(KkQ&k~MRU32)66`w)6^w?` zV_fnaQ}6&P7!OGkT(VK8Ai=(qQNa`_J=G=8Yb;ipU|(FnFNE2y5N5kgth%SLx~m{* zhD%=1RhQ7~uf^)FgVNW#WHVE+1{J_0SO}Bgiy8$@61v~bsNfbTeXC1e((rAPaNFF0 zrRPBDJ6*DcRrd~7Hy4ubamiMA#L3;nm-GwoholEw@-ka^PwJ6P6B-?tYYHEM((_!h z4Rl6vk-G44NSg1G?V3wyR`JeQxClu-0i~aG$t#*mNO%n_d9jbzPu@L6P9UA7%6O6wJ6}$kY zH@oBwrr<7AumzH~y5vorf`sn69TmI+rC)W)TbfI6p3paUVCgrY^qVeuTUR=vZ@z=2 z;k$Gpe3yPlS3031?!wZ$q4WnX*{Lg?(B>awY51I7_^C_YWfv~`5zMKDFsHu99+h!* zvk*>wOKOA`39bJXs)E&_LRcMopQ(BuZTLMT{os;a%!U>3Gs(`l6=Um9sOccUes;-j zrs+e}^cy7o?vf8+jt;4^i zRK)!eii)9hHJ5zG6#Ni90PiP7H6ZDTX!L+f_Oj-|oq9#Jsr@Q{R4F=&+PJD*bTqYb zRk`R`_!$^}FBKh+XAM{UG{-nvRG%-q7B!&u2dLr%8a){OnN8x-dOzl4~(g}XKhQCl3b*45h>=t#QAzaukIv;+1RzCH4I|s{7 zR@99@0vEw9l_J=svX4C(Wzvv?_4Q8aTPIcYt)e~%h1szPX2<=|Frf(I;5$K5pFFAJ zwl5lpxIus$?2<3pt=ZsEyqObn1?i!vVHju_?vk$<=_~^!%D3vGQK$r_)*_f%zh+7z zG=$5zMH9jSI9VIlY>Os`1KBi$YqUj|h66b?`Y(UCE`o`&2qwyJphv_A_G*|Yi(sPs z7J5Vomu-u#4F}-Yha%YRZlHlIlxD&23!yX{PbRI)_UcWbMK|+x{-RsJtA>3Mi2rts z2x-x6n16dX5Tzkp{VlqahH9hn@1~(+P`U?x-Uz$PeKds2ltmBF5H3>|Jp?~xgt?Ev z&l@3;N8zW8P)eO6Pf8dS7T`U(5UzEROTL4qkA?AuSPV%^T=Ko{rcM|YmZI^OLFwf# z`GNJFCP;b}4dM6sqH-F-<;SA6G=yt%MbFR>F2fZ)OG9TN;yD_^50FLA(-3}VEP8>4 za2c)WMH<4Tv!X3D)E5yi(@=kuw$o4Qvg^{I0NN)4$~2c<^v zQ(xSW#f_g=HgD&>56HcsnZLkF4VaYrSqwS-M+XRbp~Qu z59(mIFYZO1VVKsNIwMf(L!Hqm^`*`@l=@KzZ-wFkG?vfTlZs&kFNP8PCs@~V@a`xc zN@E3>2`@Os@Pc!YW#V`7;!)JW@8ZQ{Xsnp8jTM83QZaZa{mhD8ja5yev7<2)-dc*` zt>u@z_rc3~WZCGU6Nk~_%ixP|_}60aW+vfc@LW?hWasc;41^#%PzPfm1lhSd7y}{5PPoAs2tjtx4aPtSvU6%M z211Y>SA#JSEPm2U1|Fvj8!;f>7=A(n;~+sCc!)Si5C~+abP3jAVD1X zh&V_P2Sy?e62yU%h=T-iU?t+5AKO}naVA-f*;!X2&iSz;u0)*kW1GoDobzKxPl-6^ z$2N(HIOoUCQWkN}kL~&ran6q&87bnNAKTw0;+!Ab!G&=qS&iAzjv~(avBMojobzLw zutc2mV}~V)IOoSs_!Du?kL{`wan6sOXe8pCA3I7-#5q5qE?^l^UdP$?1T{Mf-zBF_1-Bb`K?^JAwqi8$xSj#t7s zldQ%~B|j!XT=HWQ#3er_L0s}<62v7xCP7^CV-mzAKPEw3@?#RjB|j!vjoE%`&LpdGbIFfM5SRRz1aZlaNf4L(m;`ajk4X@h{FnrB$&X18m;9InamkNK zR%5ma75Om<;*uYeATIea3F49;lOQhnF$v<5ACn+1`7sINk{^>GF8MJD;*uYetj27c zCGuku#3er_L0s}<62v7xCP7^CV-mzAKPEw3@?#RjB|j!XT=HWQ#3er_S&iAQIONA9 zh)aGGF8MLZDwXpGmmn_r@%f`v&L3QYe94c`AEk2s;1c9Z zetiBYmGcLeAYbz1^GB(iKez<>k{_QxO6B~)C971%foF8MLZDwXpGmmn_f$LEhyIe%~o@+Ch$f0WAkgG-Pv`SJOq zRL&nRw z{81|B4=zEzv>%^8O6B~)CCHcdn@668z!@%f`v&L3Q|n#lQsOAwFSk4q4b^Wzf4 zKbpw-gG-Pv`SJOqiJU*U1o@I5pFf(&`GZSV6FGly3F6XzeEw)6=MOGHzO)~oKbpw- zgG-Pv?Z@YjCUXAZ668z!F)3DY(39BWolzY&DR~`k#x@~mREI@bIMh^eip;1!P&w(8 z?@Y?54m-@6Mw-E*cl9Cc*JUayjQ`wbq-&%b%ZANiTO%(sX#|b@h0-WWb5R;Y>2WBH zquI}+1RghEqBMzS|AEpJnv;prr8K8HN|(``RwzxUIp?BuC5`mqr>9h(K_fSzbPbI> z&5w7fejSZ$MCk?^*@e<9N^O*8Q+gyyH&faOrCTZOfYR-h_CRS4rM*$Qi&8vBsrp<> z2VmO0l$N1%Kc&M_dXUmlC_PN+IF#m5dJ9UAQF;eT^JzB8h98*^R$oZ7VY!P*Ptfd4 zlor$Mqp;jlG`k^6OKJ8r%w0~iZ$W7#&3*`TSJUh#P+CK?SE2--g&&~=E-^o#w1H;- zhtfux6Gdqg&1sC%W}4FurI%<i%zcgKT!zvPnsXgW@Y!`X zN^jGgxhUsrX=UdO7&UP4fVu(FB5`*<{tS7eZt+rsu^2c=rQD%% zJc#c`!=mjfs>moQ2#`4%^_|Nm4jVK0tO273k1U%|_na|fMob#pDxn6ksFsi-cpxi zeoTI3&jDa7+Fe&k$i75w;a!I~KyXRX7BJmphZ3#lwyw zJX?gFM0kz}JB#pK5q2TxkwD3jfDMxKq5iH(@ou7AcMKl|4ADk2~ONtLkiVsbQv+Ez06d#@tXZ2k|MsPci z6nsaC_~?XkOwSmRKQww4gN%0$!^vo2vS>n2H6ya3KMf@HSzgL9!iST|AJ|MyeMfi}wJuKpn zh;W`re^i8ziSTg|&KKbV5iS(rA`w0z!Y4(zScFSN_>>5r7U5D6E)(H$5v~y7N)fIS z;c5|v=|m>qYpi$loBs=S2EO5k4=%O-XuRNQ!SxioYmuFNtuA z2)ByxWf5)@;dT+eBEnZi_?ie`7yNc4)WhzJH$?iIqTE{|d|RZyBf^~`d{^YZC&Kqd zxJ!h)MfiaTKNPr+MEqkBej>t8MgATUekRiQituxh{)GtliEzKj|5C)i65-b({6>V| zitsxTexGpttbKnFdJc&EA4U8p5kDxxpGEkK2!BnukADL_zl-!g67)0s{wdP`65-!T z`TvOYe?|BohVcEaV!i>Mu=}_o4tfK@q_~w7x0B+b1imm11)QY(3{h_wuRo9}!Yq*< z5g`>}wg_`Xxm*!OMS4txZXho{?*;Oq-U2=^w+R#mieP?d6DST;<6-qc37anhHE`Yz z93jFZMOZUXi|5xC@j4Q5aCFX zK1zh6ML0%;V?{VllpinR6GS*sgp))#S%gzWI91>-74c~zyiA0bi*ULqcZCSA6zNxq zaE3^~TEwpr?RKq*Unj!rMRU%L;){j;B_jTm2%i?=QV}i_;c^kK5aCJ@t`gyD5tg&iUPdwlYXWOYu%sp- zN>hbQ{gA4skoqr9Do8v?vEPq3 zZ9ZS5>L-#41|=!WixeE&U`onY^EFUNg(NA*ixfBQsA(9SS_WJDv_jWc##6%Swm96ntm*GqGG99l2mOkQsBmGNXlQIQb`>pN!9ft z1%AYar2JW`v0|xXB&lP)NP$bUDXDsXns1>(s=g%Ez>5@kR~wQF_?l)GDWp!2q#AjV zI?J(#A{w$@EI#rT7&5P9O#-#jN>RrWBttF{5yhyb%CgsmkDyg=T zR68$H?TtzKv(zVwr8-DbXL^x3%b1iuOR1zfN>XQgk?Lej%Ach^RV;OmB-PoA)Vao_ z{8>sR)kTsz&x_Rghndt@ilw?rQr)~rbwA9czE()}kfeHgk%GNYrgL9!KaVadsS72k zK3=3QGADI$`lR|vQvJP14KOCMey5Nslca`tks4}D z%AcjaS4a($q=tKuf}N{|mhwmH2ZhuKNou4QsZqwH{JkL`P)LoIq{euWf&<$OE#>bO z>qmvuI7w=}7pVz{nbc1Tsfm)*Brj5v|4&Ixk))=2k%Dcehqd_*DwdiiNnPee3bwbJ zlDfjri2l1m>PkuKDlbwq%t`rr@B2d`b+sgQjTfnF%}HJF$5JY(8ziZjUZiFjlkzus zs-$j|q-J}Oy6OLv)XkFAEncK&4T5{1-VlGNQ^ zq~@BF@?)taQujzw_j-}K?=X|H6ieMNNj>02>Opf-zM9WbNIfJ;J?us55pz<$nolJ) zPm+4ni_~L>nN(P@)Z>!Wd@oW9%t`rbzAS~*LP=_o7pW%>GpUF|>Pbmzu@@;g$Hnw1 z!B?NkRY*N0Nj>dFYNZ!5NUb&}<;zmVilxdW zsWo1t)*fb3)f7_eB&lb-NWqarhqa^ER7gE5Np0{V^_)2=U(HuXA+=GGdftoFCUa80 zI=V{g1xaeN7pWHyGpVB$OT8pXZSf+t^)Qn z_ae39Fq2ZhA-^F>z3D~jt;0;JRB67qC8>A3NWoEOrsI^a=4+ymdRLNq&x_RihnZAU zh14!dYPT0DI1KZ!TB?~s>O)EDBQH`PA7)a`6;hu_QlEN}+H;smwNOZXCQ0q}BK7%U zCe>0Q^@Sw0&x_Rl!%V7`Lh4IN>MJi&=Dx#0Uqiwv3aM`-sc*eVeRr5Gb*e(@dr9gC zFH#2%GpW-QQa?&kKY5XY11JycICZ*0>Ssyn7cWx3nv?q7&r?Ech14IC)Sq6Y{xT=! ztD~QxkosGa`p1jZzviTTS*ndf>c2QCi+GT-0_LQAS*mR!DJv*RSze@UV^Wsmr%w%0 zNM%S;VJ}jd=AP0GMOv=hjpH#jiRp3Rc z(416p`lPB!Qq{dkm6(${!Vf9M&(k_mlB(%Ns+KvaIzC8|8ZYU``Dwnb3aJxipK9#Yr%H`U z`P)kOjzX%5B-PZ56r5LWXenQVCs9c?m!w*Fk%FVMO-cRer}-3*SnFg-s-+jHR_3Jq zOfy6!b&4c)suw9ZP~6Z`R%<_&QoI+fGbE`tUZmjoep6EI{gC=X>FClU*7EX*Wj~Z| zO6qJsq!dR%tCO_UIbN3PY)s0Rs}fOs@~m?usV-im;P({_E#;5Yw@UM!FG+RvBGv6M zlTsW7t?rUk4=++Z%}HJCr%x$9c~&n;>H;rPy$>@f#V5~_9Qt*4VrXAf^^C>=gR)0xqfEOwF`G&(vN^yU)21!zby-2|?NgP&EKPyAR5J_sN z7pY-~nba=|so|2;C0?Z9_cacyrG8aNjg+KDd69yj`Z%nl6z@fAj3hPIixm9o$YCX= z_~cpRC8-Hsq~Hfo3`tp&{fy`;Dd`bwd3nTIRq%-QHBSAh^eO2PYk7IZTIL?H{w$@E znl78~3a{pa-<~mSzMwBl{iRsyDoJXF7b*D78$(k5ETxjVMv}VLi_~?-r2JXxAH`DF zOHwy@k(y~t%AciFQnMtf8@))uk9`?7pFd0et61tLN$O@VQnwhB@@FZP)UA@#ZC<2q zHzwuJQi|`eC4Gl2FW+Iy+;`ZYrBqVVci8gs9k#0AJM3qif*(asI917#zQdN6@33X= zJM7C+Nu;Fju;t}DY?=EGTMzq~kOM)*Qjf@vKF_P8KYDmOdcamlJtj##?nP?8IVoQ| zAyrZfB&mg7q!yWz@^vssNU_utlGKx4q!yc#@^$yAq?SlhPkE7g+MJXxODX>7)>27o znHQ<$=A?XCN+q>Il3M9SYLz)DUzW;H`qXMks@#jz8go*9K7#}l&wXpHB(=_q)HCL! zd|65*wO*2X){E2zV^Y>eKO?$I>Ul|OlNYHMj7j-Bnjll@Q=28J7rjWmWKPQ0Ryvi` z7D;NW7pa%cN%?VT4k#NhtZkCib}v$|7?bk#6)QlM=6h9=dd-W}>&B$~^(mFq4oT__ zFH&zBld|6SbN8vF-jSqsdXak9n3S(Cqe;#8o+S0Y7pYywq^#Y3ELEuVsShNn54}iz zWK7E6Mpk9tjPKiXo-exx(ca-lGM*$q<%3cG~Tym0fGLbknuH+;nYoH(h^+ zjMhuM`)qj_vh8&kvVFJ@L$0rol7}JNUWXyuhx;((1`4T2d`PgV$BKv{Fl0L>Nx5F6@(wepMoRNZhi2Q$q1iTfX!h59%JxjVNLs4c%Tm=2 zv!#^nnRazas>F*_jl)bz*`8@1AxRzSMXIJTsi2?TAOU52rd>;ts_jLpjxi~JeM;G$ zX&)s?)%7BEv@t1vmTIQleaA>r$9j=E&X|-xODWqk?c*h>dS0aJo0Ib6rWM?i%P0gl4{~bs;Mz4e`^UHl;&$DNj3K()xw;V zuTv^jQYT4LCwq}-5D^?H1QmrJZQ@lu>YEH`6i-St)G)d}oFH)_|NnPP*C9k() zDd{9{dpXJ5=1%fKU!?jdq}oYKwfC}A2XjmLTHIGjoheD3k!xL6@|o+Nd?7pbo1q|>V@vs4h`n7QHCd9H z;zeqzF)4eRA4|lQQqmvY_VP!!&Hd5+k-A4ACH>KDFMo8~+#lT^ zse2Vt(jVRS@<+GL{n7oAx=$e`{n2eNe{|d2AKf3R`xR2sAKmuyN4L%W(fyHnKp`dl z(QPk(blcn?-5;q36;k)h5&Z$L5&c2)5#84`^N>PHo@H%&on>vCpJnaOQV%Pn#+g@i` z+vaCk`y=(JLQ0-xZF`+%ZCBweYhTT$l3FH*gymjC!ivK@Bs`{AYNaH#%8S%$b5iAg zo;(*Tq}E7MYrROVGbimkm$hx~Wo^HozNL1_=G*Pnd>@!M-$#B(byk{BIyBo}4$Zc?L$l@UNV+Zx zDe2H`dpR`Q<_^ui_BaGpQqrN>_Ht;p%^jM3Z6`<~B^{b=FNbE^+@bk@K}tF_+g=XM zwz)&I{k@-#t~TEf^6op}b@%;feD_(!>67|Nk~-)`>Stq8_OE_Oou}M=ze!TRdy)FX zoYY@_NOe_6NjF{F%T3p=f}5@%7l5EjO1kNWyxep{=5D$n%a5hHC0Z(E$D1z{@@T%0 zW88e9upd&2UqUETlFITT6)`83?T1uP#ZuDwH00%c8Zvi24f!IaI7@`2^J&P-`7~ti zeCm(Xg^H!5^J&P-`7~tieCm&s;w%x8&Zi+S=hKk6^Qk{linByWI-iEToKHjM&ZnUx z{d9E2FCkP@-hH*a?!MaQci&NdNcB_tR9#8xXfIO7n3For52^kNDd|!f@^YyRnY&c_ z8$4A~(xo!w>onbv`DwbL zmg$p{j)EaCN5PP}qu~F7lynpfc{vJ(%pC>)7o?=4V93i+Fl6p181i$PZcy!09b`v8 z)2pMOW!};KoTeKbp>%Y4nr_JJG~JN-X}bQJPbDQ!(+zo@rW-OpO*hol&)qjtv6OUw z3wgP}h0NXGLcYc+m6UXU3wgP}h0NXGLKph6)F{PLePlnDd}kz^71qbnR}XrM*1N&MzPc=X{pg(mKtMj zsd4F(8ZSvr@FF$QoRpuFPlIC>OHGlarh1XO)ST31ek?UkA$7SVHQkHU73QR_@B*!DoJX!7pZb{QfvK?x=JCnPLg`Y zi`05^QXBk`nxT+-PLkT_Me2ETQoi2%lsyiiO_J0LUZggglX}UIrLI*hB~KI#d7UU2 zGCxr;wA~LWW#dKY6=|tgy)5;bxutgaA$7fCsW&94H@!%`WlqZ18?y2PaG|#)sdv0c z?KCI#o*zpoTU0{tOH#YMNbNQ!^`Re9H!98dktFr87pYIoN$v4NYPLd3{&IB4>zAWL z=D!>r+UJMVO$sUL!4dNE;0T#}aD=|`L+WOQ)VH$vzVm9n@6DUgs+t$6 z>c*t}HJ?hVM3SoEMd}E1QZ@ZFpR$$CsU=C(_99isoRqJQu9A|6VmV%iVmaoAV)^rR zQAx=|u^g{Mu^jV5u^d0gu?Cf`bWVNQd=0#suc3MKHS*J^lnr9eiIP-fFH)t(r2H+! zs-&7oQcb-`H8UsGGJQ))4-Uu6gTtwU2Zyh<1l3aV_mCa0-$QoHe-GK;H)NHR{5@pH z>-Ufy^WQ`E_YGMkb-L_Rt-box8RmV;*EeL9R2xaEtrw|w#-yAMemc6c6Vj1KJvm-S zJvmi4>dDvOsggQdTB?(mrOq+7l&|A1f+{I_)RW_N)RR+%qn>=ZeygO;la@N)%Tis9 zE#-9g)2Eb;tWFO}s;3vJUgo5HJr1j+E|8>pdy%@(oRqKUDV3D;b#c6WT^w^?7pI?} z=2JGZI{l@k26$O&ps}U=Jte55q_2zPXkHD>)f;q z#9&En_!ri6;opiMO@kuN&CV^5#-tlaYo4Fs%p_+4;wV-&2+2`Mh?0PFt8<&Ep%D~h zszUYFI(O;yQ!Ie`OOAxXLFaZ*amXItR=N{k|;M_t1 zh%jCc>Qz3HhRS(*m5-;Pa=x=bTzEzM)Q9$2qSsjqn^0bPhO>}eIoK=1S(Mm53!!}$ zaXUO!NewGZYj|4Lur#rTr=f!mEi~SmN=UEQ>r6EZ?-1N9 zYZFOB*KpSI8lH7F5UZpn6gi)S;6Lcq^=ckb&0g!gq_3kMu~{K$`b=kIpcyxPrt>`9 zB<$YGbT&b4w&>W;@oUPqoQ+WH^YFKc*Sy8qDw_N}P|1{r>(y`2aIN#YSH)pjaoBlT zv`E<5#x9O&aJG{Xa6RnqgbH7V%C^DZc3$BQ=M7QeM3Mpj5t73ytY%jWRdd!lyY25PLP;EbDVcUayY4Kj`JoEdJF#E zhQD{#8NxZ$IKq_4)ifs`I)mCd9(1E*E-+m)i&jvv*h(= zIbR4fXF03_X67tsA4u$avz+}P#asGwUU|$0NE8Z3p;#0Ou%7&_{@Q-flQ6or1I~~7 z4)lv&DfZmN4ivw#u)MM`URk^YC0qww!>`V7U|)8xBo6U^>1$JlcoGP>n)zXs^N#_z zVtxn|IRB)GBdI?3Mneg1%(Ck1GfG77t599CI)fOihOsh!v!cpC4Q=ZlVbr>T zBedX}X5b^W;M!*3ni+LOt5w_vm{IpA((DD zKc$@~k|x$bb1k@w8MuWOe7+g@BrUj`8Te!^xQ7|Ir54=F4BSc!?rjD>MGNj@20m5W z!}^+alGC){erDj)wcr6};MQ93AT#h8T5y>exQ!M()C}BK3m$F;Zl?v0Fax*Oc9KzM zouq>nJjM)srWQQT41AUrJi!dyQ45}A20mL0o?-^>qy=AU20lj%zRV2VSv%-YH|r$l zYQa~UfxBqIGt9u}X~EZ+fzQ{1uQLO8)!tP%n1Q=#Yo28W?yd#THUsz2f^Rkh_tb)K zH3Rq3f^RniU!VogF$4G3g6}c|U#JDoH3Rq2c9MI|z!zz2zTXVoR||g741BQ`{ID6g zpB6mN4BTG}e#{I!KntF41|FydFEj%W(t@8b0}s}M7n_00wBV=Az(cg)rDouvTJUl+ z@GvcSr5Sj*7QEUFe2Mm!Tw?|vp#`rq1CP|wUT+2-r3G&=1CQ2%H=2RRXu+Gzz+<)G z&1T?nT5G>#1|F}id8-+Cf)>2Z3_MW_e#HztNeh0>3_Mv2-eCrwq6NQc2A-;Is<+L+ zmuhR?X$GFA1;1wozDx_=Wd^=n3;w_iJY8$BkIcYVXu+SDfv?ok-eU&7N(C$YHiK?&A``aUyZ&pdo{XNTk|(&HD9L%e`f~1UJL%g419wY{G%Corq*Bw%?vh6 z3;x9ne4`fpn;Cev7W{`9_$Dp*FEjAXTJS$+;9Iod|IEO*>cHWE8Td9`Ckb0-o#b{c zIAjLCLkrF@1JBWdGtIzvYQYgR@LgJPwi)zje+Yrzf8zzekCMrPoJT5w}C@FFd^i5d6_-E&yDnHl&=ZOtvr zz>Bqg`((4ey+m7cE3=xP(t=Ml13#?=pKb1MV*Mi%dfmdk3XPSXm zYQY`Nz^k<2PG;cMT5xAGaJd%T#RwcePw&TcgnvJ#@cDX`HPcYpRj;yk8Y;VmyXzdd z>KdyI)Jk&T3ir^nJjPgMiceU$r(Wf8X{hX_S6MF&l^5t$Hb_HdZ@tPB(olJ!zQs>8 zR+-x3ee^6#ja8<$_(gh_O^sEivh1s8+1yxVD$9%YDo;v7Wk0>jmT9Q$uUC0W8Y&0q zRi2iH%7J>7tYebtGPO(v$0CHQxR(L**pB%Ca<6 zPS&d&nuf|LdX>Y|P&rkvazq*`FV%PTQRXUB#>;7Xm1ELSd6{12xHME=u2(rB4VBaN zDkr6(@(R7mDQT#@Qm^vTG*n)tS9w_)Dre{)4W=8bOno%CTCeiTG*n)rS2-gMmDlQ3 zUXzB(>+~wGOGD-L`jO}cW0k3otT*Uc&N5b++Tt_yDrcvma+Y4@&1tB-QLpmWG*r&k ztGqo8l{e{C&PhY%&3cu0rJ?c`y~??1sJvC*)$cV{ncCHF)3dzaSY>Kgzg@5L!8BCf zp;!5E8Y<`LRnAL8<(+z!kENmVF1^b6X{fwguX14;D(C7|K9PpXd-N(7r=jv*y~?N3 zPn>y#a}X3nc9gK=vi(xR+-x33-v0u zrJ-_>UgaxksC+`N^0hQnKB-r^BMp^{^(x;?L*){ECwkjhWojpSO3!kqvC7m=^t4{( zduga#s#m!y4VBCEDnCd=<#K)Vd}ORLwRu+PReq9&%9Z*H-(##YwZ&KIRqjng~4(@?ox zuQE3cm9OYk#?nyvs=lk|8LLbkFJIHEEJ#D;>w1+%X{g+xS6M9$m2c=(mZYKbO})w^ z(op%9US-WRRKBfOSvw7t@94YwQN}7$$IG31l}D$c@?E{kW7AOio?hkgX{dZ(ud;p` zDtGBsHcUh1ZoSGzX{h`_ud;C(DnHb#Y?6k`kF@VS;bz7vQ{Q_&*0XG3tTOfP`9yz@ zpKPo$b)xuG&$5-V%2bwn^eRtHL*-|Bm8Ykna<5+H8EL5eT(7ci8Y;igt8AZ!%6)p3 zXQrWYzg}g>G*o`6SJ^2Im0#&qc1}a(*Lsy*{Hr9b!r#b43FAk~uL^%>aAYu?V0dcy zdky%25jgx~_$T3?I+tYfxD zD9@~UOL^u|4eCFW*^-bInWvX$wk^->_f1j|IALI=FYE~K}e250vyO2{xP$sIP2FR=YExN zF4l3Ln!>rimh*Z1EXg=$evoixuN3a&blnb~%z;Kbc*q%A@L)4=8!dQ<8Mv($Jj@K- zP7A)o418whh}7PlIjW+mQqQdp#m}uK9kt*wX5h26;BjW)PFnB;Gw?ZD@FX*EXDxV& z8Tec+_);@)m&|EmEJ-*XI&-=K7!TggybSK4u39BmR!vDa?Pbg`Py!6PYr)r;fqQ7d z*O`HPYQZ;{fqP}n)L4JE0a#dn7F_#spMyEDq}KKt7L&W6V}a#X_YLhnv&sKB~O|wVXbpX=3>o6 z`;>ta0FTt(D@)D5qqH?IHv^B>Ui(S|aKf!VMoWLSffBg(v04Ue48RFD*f^~X))^=P z2IIBhN`5*>>COP2puP4DW;IXLUi(G^aDw$GX_ag;SHimQWbI{aHc$fKDOv_E8GsWm zW2#ok)~YGFRC^iQ43xlSOw)p2F#}(w1;1tnzFZ65VFsSAHQbv9-~{Vmp=I#4ff6v> zm0IvlGw@Yf`tKQl6X?&-GT3FH1n6I_1%F@$zD5iF$P9d~_IdGB1F*REkAeR6S|y)V zP00<~R`}dp37d^)YHhL4KnZ|nX-DBN&A>Nm!C#w!XKTUVnt^Z9g1fy5+1eAi zMcZUQ8PuF`uyLHyi3YvlEYHQ{{WS08-Udhim_h@T&%xb<@3l5us@6&>_%)s|+!Th(@4t>oJ zXu&yVH9x2YN6o+wX`9M513#>-Io}NYh!$LE2A-#-ovT4vw{T5ugR@Io!Pt{Hfd7JQ5u_z5leI5Y5*T5vrx@M0~vff;y-7JPyk z_$e*;L^JTyT5zcuc&QfL)C{~#3vO-(Uake7WCmWL1-CQ68)n?%LwBT#a!0&6p*PDTNX~8qiz`M2J8_mEUXu&s` zfj`tX)h%Y=kF+)4W(NLP3%;OiTMdGw@z5_yIHU z=UUninSsC1f*&yh@6&=GH3RS0Ui0H-;4if`FE9gtr3EiC1AnarKWPU3MhjkI2L4tH ze%cKDoff>z4E()zs$F3KPI$2WLEGM|43xlBdq7LS+zkAqw&t~F;GeWLKVt?ys0BZ3 z2L4$Ke$EX1ix&L68TeP-cdsmyPuAGpS(~8Ae%CTE`E(87KeRoril40i)G{#qWc`;G zZ1~CgZ!LY3Pu2;~rvGRen0>m=dc&EEPIoo|*JO(j>lt*P?#$e$vv$_c0PpGbS?~4E z%X&W+crJSEnye4Yvp#t~YY(Ka$l6z)^)-)vTb^~GepoHr(4(wcvR>sSf36>84%`V8 znp9r+fLijz%1eUUq$@kQ^1?}Kn^#`)XCM&TklDSrRX?P1XegE?BG#W)!Mf5 zlBtF}r}Dx{SJ<)glBtI4T6y6lwOuMN`E$44c70pDxZaf)Pcd0PkFu)Piz+Yqb8-(Z ztGsN|r46jSWU9qRR9-kq?Xb#A{@m^PtY6Es{w&Y>2lh0;j)mfiL0j%v2s~%45IYuX z!QJgxE3^Iu@BbjX&*M85tY>h?f;gm`?Nfk}^DKx$uU;~zAgbr%Oq;9gc&wN z+do_bB>)cV9@8TEX5dUMxX=uorKO#4-+9~V=hw)wDW1gTk>icPRonhDCypTBcH*Z`HW3^3+NDU}!mDGZN zvx)ULDas(hb{UbzmAP5e5~IJHkzHw(6sv5&sw`cdLH}n}=2u!}9#+|iRoP^9M&AFX z%4oGn(?~P1xnwcyEG3Pd3* z3W-s;madU*;##g1*V4i3TBb%XtCXC$mh#Aj8j@2JNOp*H7bH7GdJxO}zLXyEtfuuu7U~|3lNQ2G|$|GfKBSRZl8zRHYBcm1)w&5}|ZX-OuJRg}5t(o}P zOm2*}shs*`&^NUNsOxZ*KRZSySF~IVYB;;{8qS6qrj@A0M^#!pEAiP46j%O87?}== zujJG-i$R>BRN>W`BG)QKqvI-N!|Q0!(ynH6gI{0GmKKk+3{?xE&AY=!S4Crt-0Y!p z84O7wl23{v{Q{E$*9T@r`iViO9kKs|QOJT}Cy)^tK;WN#?K2|7{|k&Dp?G3E<3Ez2 zCI&MyyF=lU+He!#9C{<~d#6FHh&YiOA~WGuu}O`{EOHvuFpGpEH$qw{FoD#L%!V|0 zeGP1m^mT4w_Yp|!_Q9W>$qYvNM&q~6O`g5-7PDSC+h?zw9X0Khv#ZuCXGcwYhnFD{q&*@($T6=g3}pr+KfuE4~OrV3LCQoRA32N*2ya z>eNBV?VJNTh(@5F!_*<(+{E;7cU82_Ih3}!k$c2slf>=*@<__JgS(QnjY#J9a8aw)O53AV(e~h>v^`dpHhnlrTS^akyeit}9ZK8$s$A(~N!n6+ z$bzbHTa=_NVz{ zrEN)7v~4()wx_D1ZPTH&Jsnvp#<%a_{1EoWwGN)hmWzk8#Al-|(aM)aBdY?Z@x^blB|5ayl980{l3Vz-7ve?5pN+`6%ASp$bruLqbcW|M zW{GO>0iYh#Z*Ryfh869K1;^w$DY2$%kqzQap<3iQ_BtcZ5P{??<|aMcxP!cng5YTksytUZxb2Zi|ktyvx0v*g3aFD_?}?ZzDTZ#W8YirJB_n zWLtE6r6nWN6%H{ntMZ~LcgQy0TKF1%P~IU2Biq>912Yl7SLACrdym*A-*Dgc-*#9p z-kH@R@5#1%Ke6rJgPPvwZMRF_FQcL5*qzz3;#ebSxDVkhqk1LJMD`_~3l#ZsUF4ep zc|P*pGm&3mREzw{+Bs#hGLcG4X@ZuK-OL2>TbEtI$e>cKyt*(|FP-#g%&Z^HL zugA!fm6lh>StxpUrA3oS#K@hM7FEY&(7LqpqA6qY>lHUsU~zmv3M{5kyqO?PSsI0* z39`ic4lVJ2Ghw~)o2iDpnT|-jnQFkzbOgVdjx@ZPj;ictI=1qgDUpi7&2*f$``6cZ z{~d?w{&8HT-G7I1_upaO{dX95{~ggobbs}c5IC52e<*6&{h_F7_lKfY>Ha$^>Hf!8 z-u;i4-M@kV?vM4xyMII3{ZB~j{tcn~pTN6+Bg5|Bw6gAhQsv!0k%~e0Kbf`^PqrVy zmxdrL|ITMGV=#E10)M9|PYb)Er&c-?sbk@;Xyx8Rny1-a(Qd|yIyWV(FS+ZC+_ z#e|0yZ39;X-%n_}lq=e8d_}ttaYacFR=bU_Xm`};igv44RB_rUZ#1%tyhV2N&d)nx zV7?st=U4K^O50cEDdM9;JwXCXvq$|v^=Y(ErM1waHkPBFP3XibfMJ zWKZhcfsckJ&BN;EA<2q`joUFGw_aFEIE8+~B=E3=W?O zQw;uDRScdRO?3YxpBWqcvnm<dtb9)bv_D&hXH|d7(FVYyozc3!czo^m>{zZbp4TkW6hcfsV zRT{z*Yi~#gNrMkgH25Ge_+W1EG7p36hVXq=>EKC2_&(zvzOPC>d|%ZJKIBja-&ds} zJhAqMbf`4=utb9o1%nUc1|RNWaNQ8TzbXb#8p8J*8+?D248Ff=2EXJ`2H#($Aw046 zhIE89_{cJa{=vAw^nlD)r7u(!bwKKfAh{<2C# zcw+4h=@@D6v5EE`1NI)v?L97T@8E2b%iVhZSV6(ni%x~VX$do4pr*&053E3O(B+A5 ze}P*34WzQ|E1>_1Xt?D6arYfyRus#^GqZV5nzLt@rC}wBq9jof6%mclSB; z>8`G>s;;iCuC5+q7oEocgS%>Aw@@1i)1cv%%7*Go7tv|7i(+*bGr@5$2IK|MMQ4SC zD)C@0z-6`qmxlI1>K^zYRHJXGIV+=sXlQ2}gnyU`{A;PkhmZJC2=%C^c!myqDYj16$yrj<(^9h`nvX0x$DI)@dOe;Ib6`eXFJ{CI z-i$Dp7!iTq1&SGQpiV7dAF_F%eVmf^+`B>DCQw~-Yi1Wzr}OMU%|Q$CvH)PU^IUieHRtflfk0%q^R78 zMP(j{%8f!)=1Wm|!-xw}S*#G1CU$$}fQhHyY@%DxLe*>&?J2xQ(KOLST}O3lwn@^M zs~!%~vrX*&>Y7~Z0DdZM4&B_ZZXD=Fvtl^P%>b{Z40tu0AemY$WoofXrf%AInL<5* zOf8Tybu*T!1t3#53z=FNb#I7*hKz{7ftyxGZwD&f>BlI-zwQP%S@T}ac(8Hw-exk^ zxP%9MLv(j^3H%SQcTMd{YEmwzH8o+gQ`6B0oTb93V;pQWmrF%USSpO>h6PK7A9h?I z{|yVpV!lf7|IyH6v#H<&4+>}wi^GGkI9LXQ#o-}X9C%Q`O%8Xwpi4M_g0t0?l1g!x zN(&q+J))%2svM})ENRThkxb3(QOcT;{{b>JBV<}F$@G{@rUhYSS|iDH36QA(sJTXv zNs3N7lPDzvH$>NGQjuR909mVUA!RM%M7xJcTXTDwk~mQ!ZOt_+l21kp+@+O99gw!8 zVb+`=rEVAxgRdi{%(G8CDYUrMK~TH_riUw-zHkkgpC1=o5nS zMIfM03d)~g6i1(evV7wxqh9oBDC5CCYr?qkjO0c@Xg6k(+nw6aW%4IuYPU!lSL8_T z7Mi`XPi=Ew=C&{bgLAtD5!&aa(7vD(+7~66Cb&YomJ035nMBbG?W;KnZOf!_b&hmw zsdGlj$^U;r!gpkW%}mE46FGr1q_B?0CDT?AVkOI}XX69f$nC&yGU~ zJKmM-*euzxMY7{P9XsBa?3nD%j`ehQe3;3O=*JtPAE%?AWvUrhtACyoM_MI~SvgLS zR=Oz8kO^{(ae~pO#%jFt6_tv;(#NrOT1^ zVH4ylk0Zt-unKVP-=1=0yOJZ{QI7b=mABkES4Y&d&saGk(xhoJFGM8WF>F(YQW!QfZA zCs)1QJErMa9t;4^wds}Fq}frk>lgP-o2Fw0{5(AKs;Svg;NwJ}^maxE1naHX{lp3- zb}L-$#%IH>lRZ?aDm?6piMOzmeZI0q*ri^x6B@*LIf(J9L6pcrJO+vr+)l={Y=h{M zH2$T;$sL8_POJ%SzfiZG5W`v+2xSaxC>dBe9$2~Pu43N@=D_v_R!a`75)Z5v46IW0R6Fay z&fe#NF+4CPx~ua~4Xmyl7!OGG;_+j3VPFu73LM){4s3`kiYWTj9|5GDtAV<~^kE{WVtfAtN>9f=14i`CO{=&sFNY>ArIs z_rzk&B&VBWPB#NiHy504A$ilrhVyiybam*BZ0#a9~|) z!MY=AvaUL}=ly#e8AfLtsm#LH)$27Un3<kK37B6>PL`^erxxI# zRrJ^GpBh zAQ84PjrEcJ?SOp_x0auSjj*HPd6y8j#JdE|+7BDi3+%Q^`icWwXfS{_P+#n#N16*X z0eKKPl1YUHcAG3zSb*lFn}>!R5XDZERG`j`*dV_@7*TKGa>*bvPOK5;%!mz^-~&t@ z>Vua|U6^$^3(;^wnL13>-^n5UEy^lHIMqjJf5TP%of^{LE%s4a*mX;`@r_V;Z(^rA z{c-1qr03$`_=2}=O`LorbbKRae*y6r<@YDWBLn=cXgHzbai$9VS-F76$xcGSkCEI7 zV1JI!9f^I0aNnK{`>`tUki*T>SZeS%xk@PZ<5b`;$OZhJ*|5J*Mf;0$p*>FK5{mtJ z75E9cfWIdj_7f%efMS{KgO?NGzMx{skW`%iC3GTO>UqWTPKQi}kT=c(Cbt7U5Ag+x zlxgNNovjZ^++tJU?{dI5#A~@scLLcHuP@7V!Qwolo15G&c7-xFFE%|KiRC)K3PEDI zT}!ztl54j>lyj6dd1zHcCWnj7RHxwr!p#cDd4=6j2|q$`USU_LYm%++a?QqwZhe>8 z-IXxuV!zB*r_+Wb<_I@IT)7ZFP|JA0c-(jrGTfbHjy1>0GoaD@X#Yt+)X+QIN3n;- zkwqoNBK(ktl{9Nux04 z=lEYlH9Faoyq$xLYK-Bi#u!93LIK}=-Qdmh{HYMQ?t9d(RAWN)$RnE2VyZ_T$(ha%+f9|dQbqOHzBAth9e5j*6`AWn2i^{4U`*sS^mHJ6DRkf+HE}$zLH1Lqj-s-p%lB9cA>ciOV;eP#tALlr%OUd zaFSA-V@oL_n$uV^mDBckCCp4WOIol)EJ9{4kJa#{VD++nce((U|Kru5&70>#~Sf>_Kt{ zvQFbLr#|_0nv??6Xj`XIp<)g8w*pkc*Xfea5(!_YiBeZe_&R&4lH63n*J-X@QbT=R z(paPfnknK=wnZW0)@Hz4@3o zVa$fkgfVu&ELj_(b*eSDUZ`MKYql5BfZkk(XIZs9NX;Q4Rn^+v28B6V4Kw2`z1ml7 z#tx6`@TK7T`hDj*?uo@7mt3#LTz?$6UM;x(L`_bBoMdyF6QCz+B15lblcA?-0xesX zvDni!f#&3}@kbiWuo`=&CeYr_Hqs4V3aFx%;A4*%M!_Y-3?M1y%}p9TEcQ{)In#{X zk=mqD^Nvb(Q>DQ)eE|#lyLP!+(D?>pn%|IEEAQHeXdA|sWF}`b-_`hnsD^&mK3WZ) z*dXuP^_4aG7Ay7=-v&9MtFc$YLRTRioZdLwtli51$k#YXCs9A_tlnS+XlEt%Tl~p{ zOsGd_5XDT{Y#*$wDONwlZg8_!bZ8IV^gVEg9565#Mz~oQJ56-$X3eccy2QS#aoq_9 zI@A_BR-pDgVOC zb7PC$THgcbdk9yyyvV%LoC)5;7tQy~4Tk;p0oAWoAoASRkw}>U~0wV%cDUQ7Z8~1$k zLZe=66Kve`%nzZA-%%(upNGvnzoSr)*B#3E9fjh&!=Q}cQ7Fp070TX+veLYnQ1$_o zK{C^VOFV9E4 zcW-W@8;3)*)g{NJOFE}ZdTc=X)#Gq{m8pas(Z#4_7u|S?6kW?vna1-?)A4AS%KS)Y zk_A*I@n(FKvxWVU?!_u1MIY%TGef0)f;*f2K(rCHBf>uO4rh+g|-M5i}O=xu-+=%xP$0 z6Pj%m(Ny6QB7HO=d-bh3^L?w9?^HrAP>z;L>nFM$GFdaW>J*OHOCM|YGW)__`bP6! z$Ok>b+M8$RrC=}JKW}8-nRGAR7ww$AK%4)c_tKxhUiwqBwfUL#n)#{qi@7!bbn~

&#E`*P2ciXug;3YZS*16np7ojC%1VVlTZE$_^5H>A6P3_`zZ?or1Ead@pVN1!c{| zUK$(+@#bPL-51JQID6^*t=@8-zcpUhS+1chW4VSKYEZ}*(U$wZT;pukEPjYwu3O>d z`Vd&ITZx_ymCLnuFCA~ATCUrME!WOo`V-hoV{~#@vfFt}wz1bWhizOS5&u#U=Je|Ft>)z?fu;ZNk)55+tHhO|#Y>Xe{+Ho5* z1p{uVxPsAf-vz_z*R$g~Nx|T^I=oAg@lGHZaGwK2u!|H7?bbBjLnRo!!vw>z?=dPl z2t^-HD9m|0_64@41620?HjV3n3k9;rs9CkmK2xcyMZ}iMklUuol0@Ce+w5GIgKZko zrVf;Cx@C(j9NUt{9Q6n@q|`~;?d(Dacv5N(i1&4Op#w6dw6By>KSh3x^E~2Y;VkZ@ z!)I}v4j+nbDBx0hVvbTeR3)Xu)l!O4$w5l}yyY)|>axHqd81=FtD>mC)}#oflA}u% zOb>0owujV!$zO{trK1vV^q6eis5l^x`+3T1%vRhJi+efASsdpihhiHFI9p{-a%+^E z&~_6zqhQjXL8553YaW#@g%q3dGYfIPcXPXLUQH7{IA@5?i|g^Q7uSQwhu`QY09IlA95Bc7jPs1D_*gK< zU|yP=JW+?QaG!qsTu-l9o8d+UxT?%WVFLKA<_u8?;J8eox;>K>fZ2-UYb zj}j58Z*?{ccU;Ju4)Ke^BcN+ThCxx!jbx6G507N#_2}5tul{5R(YKnQWLJptj7~;> zV;~68k7k}(2s@uTW;3&;6skvgeDA=T2~}>_5JIGJuTj57QNB3yGKe$eE_CxRJBHG? zLP7Hg-hm*=mk3Gj2$DR3OR`xAn~jN3R$%Oc&Bi1s%Qss>*<>gyGHXNGrBDVDyyhY) z@rzUvf0-xoW@|9Az-w!)3X}NnG&^x2@j`Z7{W&dE)TuaprwLfe5QpzH@rT@#ZW`^3 zo{$1*gyH1eYQYssZw>GBeT6~@|D7N_S0QkO9KS*kzAX^`N=Jnlt2~0jmqLY1-*;2p z>D@EcXGl|hCN|Y)z-l>Dtd>`KtTSG8^K{G)W8L?5XQlQQth*W#ZEeI;^?Qx4F@rbX zYb@x@Q;zSE=`mjtbH0k0v-h2txF;6BMiTQ{Ow4P5nAZwoUgr_hJj0y_Tf>OCLvyW0 z5c7I4Kjva$?$8+@kb~a43KzdIhgq}3K1|8W49@J(}8Oq-kBX(JsW##R-l7wkKyo!-4^!&5W!|6}omnA4D;849?Oxkz&QWjOH! zjc0ZWNrVU?@g*V&PdW%E(M?=|u(7JZjR^tzv4A6AX@PjUphwKc0sL3qFeC&6?fwM2Hu+u9mvu)mMsFUzK<*lT6oIO}lJ$T&GwqRv`WVKq$AV)wIi22d#3-lE|H1 zn%j>2uEf4uP4ZHjGhcT}f^w^gXMxW%xYfk7z;lO44p!63eV?yR@7{cUSkBi+@O*t3 z=IbM3zCJ2hcci<}Lt6DrAH(i!^K~_1-I`3+$+W#_UhK{$>ez1BZI4wh_5Y~NyPI%t zt>j+X<(``k9r(CLH67ONJNKO4J?^cS+~b!iqF?>% zzc5FC)Lp6J(L;~IY-tZWkAARP!7?5VV42 z7_Q{UUx)v}YJE1+m_G^;;d{z{Fu`9EB62KD@Rx;%OozSaD^ONooC|x;SD}n=BVY5h zD10dv={F=ZwNvq@Z0^3d5GUVOaWeWH=EYB0yi`Py{-g^6`X?3XPh=|cz+Oj@^1xoM zNOPEq@9z6lbb9xu;$}G&x8SL`8K&YEF%{p7x^GDroezdj6k>-b!`|mZe)=Y+q}hA8~}KK=<9827>-xhnIU=Awx(GGwNe-|%C~@lOGe2i9Qx({X;Ia5@EliE@3STarDR129Akanl2cv6Gb|VnkRZ{Qk$Dq%lv8 z0K$3}!8&OyQ@0|nQt03wbyc#Jf~qr>Rq3Jeo@|%JU-IdFc>wb_m3;&Vo-|%jR}Esm zPFc0|XE}%V1m{qEH=pR$ ze`>so^yKVM&8bQJSHK_i3^`2tQxhK`j#)0xXrR6ZL(f_MwCgE(K~>tHlzgjT?X|%L z-3SbZ$BgHVm*Br28Q&W_!7F~K*~vT};(^**eXRkWL18v9O7|L#GzLW;cS?(Q0rwhr z=3q*|b3NRNT{B0x=tS)Pxx2Q6U!UPOX7HaEpA`BdxN+gtA2&3k^ONQu`A3_7idFhjSn?WyHMB>p(h0DJeiy6sOJEKC zA*`WQU=95#tf8Gy_7{{D86QB|-%wU)9uH-Ep{&F_#Auj+XB{A*2t57?6UrjiF;K>h z!BW1gdX`kd&vGS}inQEqE-q9mua*fKO{Nxp`IY7A?nRbYB4rj&cgFqa=N{XaB? zguU)WVC^-QT85BPeue~6nkWUS%7ZiYszd~&$}&Jo6HzEDFhEKZF(}J7KuQyFC=*he zNI)4sL+ap3pV>hreYPik5l9vck0~0(aLG5s5=@k3mp&^mtMm!$GEv?%ov4KtNNA{g z`wnWubA<_YCgKwhmz9fHP=ug0e->2L_eZm!^s0hnhr6p=Xg? z270gO-r0fwz`q)#6Z@wVP11>Gm_+%ST@R0TO09kLQb&E86i ziC|`&^47}Ny)ebC%EX~$_KTpitXE*~N9%cd%&8Ba zIJ~_`9HCZe7|TM9gNTm_91!kCBynVJ%CAt9V*@F_!k}0sjuz&a%s4CuUX)R@9X9Zs zfnSQlb*y5|-i{=_fts3Uj>*(5*7#Bc_scO|i#0mMrK`IYOB}1*is8#$fT=pUK1rPb zHGAeFc*PlXJ6&U0LK21Y%Q^?s62aiJC$d5kCy0| z(;m$#)tccjF2bc!jmuT=l&JesZQ3I_uu^I%L!X^px{8VIP1Goh^5g@F<5?7KK4UBv zy7{ysIz`Hr4;ffQ!vis}Zdh0&_JCXjCK42wxW9vP#wf;?=s~w5R#bCm1hymG6)vAe zD!_O}v)rMBo{qB{&=%@9H~3a51h>nTLnm7$7)1|t&A?4esGQ@z3Tl#V=_;^*Tq7{A zIFIF3!F`I8^2X*trqle@`OoFQ7#UIUe!({d-$zCit}1-C@CCWQI>(6SY#drY<*>iP zTcsW~h&4j(;D$r!E=s$_!tM9_FZNdmj`1%errM|By_&X?4^P;=JUgOc<(X$$`PNHT zfwj{r%zN4@%G+)g7j&>n3ZAh_3vaO^MHgC8VHe*3W-7OfdrG_b1a24SRe=rMi`&I{ zgf)DFHuYf7rcT~zl<`K1Q^RfQp`kXlus`TBoY1IO zScZk!2=C3^Cf*lF4962Wq0MJRYii~tS-}&Uvr%doCUU*~XC*W#=eRQm?qYKNmN=C! z0gie+&G{sYyRFd|baA)EvKj8S7KR07fvriSL@gm(vvLyWtz~C%HAlFj9qEtKwQWm3 z+fe#_I&mf(JHhW~VIJBV4_5@=oQJ-TRcc|eu<=|10RC)Z4<_xp%2xbvE-@Bklho{u z__iP$Gm{z%m@+e&WtompW+pZMb;``7COV%oGpSo+r#9uJgg=j)>BM=IQkuIgeD_de zNk}G*MO@K(S5BN}lgcp8KDrhdGQGND4dg~c$-HH$hq2eVRQeyCPX z46{sgbrZQT%0zDT5YLS>@uck4s+s5JvfMm3mAQLvrsn3ksno1nXxNoOJrlBVr+(7f zy2;0viRNuRXUH_AGlYm>ZB4Wd@~zdTn=25@(5<-VW4JOm9|Oy_74Md#@?)AejOlz} zTAwC#$GfDevW{kIQ*}{Cv&6{x9Hvz3Xu@PD{&jR}9v=@|ENRSDYDHmv4JR81Ja6mT z4U|pAKyq0G>*`bwaWvP}ghtYpqK@WyYpSG})*_TDg=Ne^-xVX%$9Uon1~;Zsl_sK} znx|D-LDx8Ls&toyHvwK5j+-h?dah8%O_gTjMIAR)y2og^<3`{^j@+#(o%22jK37*y z71c)Q2)$%n$+QxPy(`&J@^;C)krAb1OQ)1hga2+X-BbFfbn(=w!4Z1Nu>Tzwk8$sR z=i)KO|06CQ;gT6`KuRYoVRo@>YmJpG=xNyn6RkwSlU7;bkyfg(9sFHkl^2y+siI@7 zisD69dGSUoRs5Y*R?@<%RWit`ENyJnE`7^lk=F3H+^Q2j%VN>_R^8Y!R-M>ri^W!0 zRroMIF&$hj&N1fb}f`eO22}#>!1u=Oo>xH*G=M7mFs4X=ej945xh)r7@xm?xa;PI zP}dC!jI-)%jxOS#_%02)+|<`xIue#S-bP||R9|zOD>0AO)aA*5SxokO_3a7D#UOkW zSHC6)sP#3ACf%3S59(^~fX~?^0p4M@as$nZANvE7rGa)82JkA%H`KiRm6!(&#b%1) zm+8yFs5I0#C@9hmbWnNaJnd1jtSqDJS)qz6lx#aT0 zCFg1x54sXp%giMp;mf$xn>T=jFXvLvh1HXA^B0wbuka+?Oh9xNzX<>rPn`fOZUIOY zc`&62aQ^}B=>p+`hokW`yjD|@z65zooPA12&1M-ez0eTI@p~H_7Rlb5XVfI2A+lZz zT5lB$$3mLqV570s)aYY1GbUNhjeD&Yf~L;31azrHMXLwBOC`otV6bso^zantCp!tf!9;W=O(atzzRBj3QRhwDBb z`IlvEfIEK@O-Z#wg<^6!7X2G`N`VZ*)}aWEHWH0CDl{IEXh8NlfySW@8mnBHaS0_c zI821D>o7PBVQ{F#;7}C?t0e}MRf#ne17MzrHm7$+tiv@|omH$l9ATIPtJX$_yYIh0 zVF zjvQIDfo${^r^2UTaVj&6ypB&Ko*M=Wlaq2e@xtoFtFSLgC*Dja-d&e?k1ttG>sBYW z8b&(t1z15Z@PBRh{&g?^*Z1zf5>@!03_<(IwE>wxO||0`)6ZUMsr{Rs+0DiWeDNklt-jyCT%C zk#NBe5`cTCcn$}_b<&o5aNQtJyKd-?L&B|}0r#-rvhM6=J-Du4-)0h`zA`v7|Vn z&^FHEJlfB0&EhE9FToNb+E2ngDg$nt3_6ITL=Rt^*#d4`W+S*smZWgoXTUv@;=2kK zRvT-(<*XcOSOk_E zh4yh`)4#x|vODlizwwt*Y=QNX-4I6)(E};K`bOmr$Vog= zY*ZR`JQZU!;hBEwXjF_|Dok9vu z4NAeO0>u$h3RVG4xfHafQgFIP3eNPTfMHYI%Vk7Zj@6{e z%0XC;)m`vc3CpnxVVN8hmdRoyQ{*I82+QRfVVNE#EP%B-MZ!O%%AG-a!ZJe&%go$` z<*I!b7EEGh&yvD&H5QgxK;EkbiD#>X6aiL?*eV z8hYj*l|Tn`PXaec3EY^w1U@TA^P0PvI^K~$=W3-Rfg+!cxI0AT>iNupV!7JiILtVL z%GD9R7}Z3sI!U=Y0_5riG0sLHS1-EbJYLGx@hZ7`$y)}^L*Ztb1vBk0IQem|>WGkB z7#{3v;LPwgacE(`N|wjYnr!p1Jfh>~&YCbJ9+m@_Ah5~NwyeCO~4oplgux;Qd z&7Fxva=K`4q;bl5N6n5Oq6^|QIx$tvoA>wK_P{+c`vYlve28t24`8t3Au#(RIpAB| zv)NJJafZ-B`yVtJ^_+_Dr97vM>4aY^A3+$1tgQx@nW#U?tMc*5v2QV0Aa2)n=8)YWPxk-~KIG zJK7T2A{guaS<3Dcq&uN&~U6*nX0;fV* z(p?jNO5^go>+b21NU83c;M&aD*WG7{@tnsJ_)@UsYsnJD?CY*sDKj~Odv9THm$UC1 z%#rQD4R}8tH1xL~N6eGm+1G?}1n2Iw;KG4sI?;wW(HJyT2OaYZilMCRp}EaKS=qxL zrN)b}vWG6><7Ye%dnAo3)j%^QVh^9|W)qL=@TK7TcarOhi3r`_s_Z_K?WlX0h~LYJ z$e*s!1fRJx~*n6X}_b^afKMb^|g2MPTI~sM*WXJ;y-pa|M_u z12qxq(K_i!e@do2Yao)yE#BNTwy5Uj?~+W-T{8Wal}v-OkqI`WbT>9glbTN0G}Htd zq>>JsFW;& zHERSV%V5nDE|e^Tb&D04f?mKj*}x3e1U1H%LL>L?dZ3Xyks)2-2HTe^R|9%0W3YXW zvLYR>r4dL)$pTp*j9*5yev5fsGIsBZ<;kj8kJVX;2$8CWChlgm4FUsK| z8f%<#c!<^-S8#ZUX0|9fJjA|C$qmZkAv*62u}p?&F4hYULq_qw+|wAs-yw*(=|p}5<-)t z4F<(F6!4z%JY&>6#XUgSN(>U3pmnq^X#w9#6ceZCXpJaP^)Xtz9|VltP}F32E@twE zk2P9jv__`#6N^R0GUI;fSr)-K_iH@M7C)=Nk9%071qZn&@P4B(StuOJ3yms>B6EUq zvOnIIESBb|Viz(xXUkx_o-Wbc|-VPHmYn8mpSF z=woz?4mD-@<)S?6ETfY(##g}BNuC0RuW(~08TZ81yaN_Peg$m55OJm3r<--zPPw=u zy<%scH@FX(1hx)0YqB;_op!UWV+!<5t?8R}u~h-cTK9-UantB#3^s;ICD)Wk91hbc zIXJLA5|kX)b9vUyQqK(oJ(m=Et}E!dGDpvu`+1y$F9qjPl5=fbGseowxrLhKZ`@1` z@MNLJ{-=~%sJqJv+lv5C7HX262LWHGp66LD|Aqc!FfB<^OGVQ1=pxq|83G<8?l@^7 zZlT5IJ7;%%e<9EAmWs>T=Kj}@T4#l4j9zSX(+>Gou~ZaRdW*De>?!x7KnyfzO+#R)-f z1`iD$3yNW>kv1Ne3gQ}mRlbg4!C9dn7+)a&4R4kF3c6vhQDx-2Rs3oYMUBcJO#WBL zV%lq5Y!sqjaEoBM-wFjiFBhWH9b^E4o|E-K|5)%{m23d|$25*LijxhYEZ=Bq)Jryk zvOME)DBB;(3XS`WhRMcI#-Af`1J|ioAK;0Z@f6S|52jHsDrQYW#mu{D%Fp@bEL$N` zSWt=wBZCicg1)m*7>#~~7<~gkjgS5yIr@Xe=mFh~$!eIT9~8kkZQc4t{_r^lGk-LU zO_8P!Uh9(0o32l`g1;l+@5rXBi}$39|6E(VqbV3)M>l=KIAU1Sb;)DW$quPx$H$Z1 z@{A3U)7KX7a(+%Hd#q3PO(pw@U)CoFt}Pkq{W4hmGQ|I7l=#Ii7Ue_z^3h(4lk!K2 z-%j>_8zX-!xYsDnho6@l_UhzmfZR#xUitb| z@^J@xWXReQo*OoKbP#%>n@9oypDq$=dO&>O(?u>%uY7$fKkWmb<^Yd$NGG3i(B=b> zurn7-Ax;V3Jm#fK5`xer`!}slzGE1xOO8vIbWWG_NF_HpO(JisExAtFP0pjC%LlDo8eLQcf8J=@V7l7v{KAtxtJpH-t4_nf69)4y6P3?I&86BtRr#_yG z0OC9RuR8f9Ff{pXI{95X`Td6E4-)K+B8RcZrrn{~gy@3#BG0+k%%|FXqJRLKAAM{V z^Gq82uR8gw0-Kve`ehGnCN_K0N%`=Iv4Cg_ABP12eU$vu$AM?_*v1z=Vw-6oy4n;l= zcZ1L6aQ;_a7E$1^7++XUW}(Baq%WTrw+7^)Eb8L`@3JP2=6}^?wgQLSY9bG}D{#0y zh(prHVF~o#j{jAcRVZ+{BMT0ywfIhjXxteTjaoiB%Rnr62C84G%jzi5xhsokaCBfm z{;DXa`rSmM`8>LtAPRC)*GC9mnNJ+Y|EkL%Wk9H`-h(foXOokA6+F5($fE{64i7;8 zo%vsNSz`qb_l5DuT}HUha4ZA7RWX*>jnNd_kSaUC>9GVX`jr{_-R_`Uh?a^xUY^bWz_5ITz)~QyEU-e9HSv*I6{?eg9w97VPFU%)czICf4)V$S7=Rkd|EkL%%R(rN zSA@wNTBU`l;k+6bYK7fTG&Rttg-@Tg8N(w={sFrQVv|3j2kiY(IS6e_e?;qGG;jeV zaej5#VTutwNC@I8H@(0-6y(w2K93&Hg~=;}QqtN7@B{(i&SBrc53y_?CIkSQ9^upU zsSKK`wZbEc8Tm+XMz-+@{0tEH68=|R)>c8_N5f_$+QASeuOi&=nY>CQw)Ex|Fu9$N z!$#=;0sdEAcANr-)pi8Q2xYQE5dBu%_#}Q#L1F|^D&94O@&54EkZA$K^V|(! z3cmpE-Szyhy6kwx@YdoCC?SfM4>4?$m{ur>G~oiE)77W;OMuG#{I9yKrvjaI|D}>x zPtXE^KEbEjiEge#I27H?Jb2| zg=zaI30A&bJxR6-z{tK1e7@U%8>Y+}{#RXgqJoi6;mk#zT-89^KOLMl1O4G`sxh&i z2@-3N4{dWOu?pl;Eoa~cGShrYZ3t`?$_D$CdJn|?HvU&#c9Mcp8^g8=HK5e9ME8PN zIetLlkous;lzNV^9iR>ODfLl}p*>GX2GCCNp~1~aaGF%HD(=iE8^NlOGh;utpXbZ~ z7qRq46s5Tu(E{U}8^6 za=fmv-(M$+6Q=u>E_|5okRvTx&i|^*t^ypwJOFP5?DuqJRR-IxMfhXy!JB0Mz{WbV zF{ABVMccOmZ3UsxItCV!amM-vmExtrqJ4hm00lWOE7sGu||Rx3t1yXi~ZUD4lM@q%cK4sP{8&K4a8L0 zBA?*j`vh;y8jDUAv&N{C1K0sdf^V)V!8dDeYEgo3t`WgE*NEVoHCJR^f*;5Z6tuXF z9f)Yrgf$5#_~tAGzuhPJ4`hmPQ`7fZhD_J@h>n8Q1RaUMyP z&!94BKPFohe|7)Zrl%fd4>YH#?YQieaxe|08diMcw(*Qmm$QbizHUW8=xwk=spjz+44wM2Y6gdHN#h<>~w z`f)l1?_=|jf1XGj>4_91((KQL&WF~DwGz;5)(Sy8lpTto#b0!w6^249K+q0jhY4t9 z>@WoFaCSI`w$XuRL;lW8aMJ)g06E03IFkqoKkUskuUUF({!qe>l&#yc4>m~OLv_pCzB8}EEq?zqr`aY zv7^v76N1I{ncGqaU-kvo$USb&T)(kj0Eim9hG!xpgwqn2ySX(sU zcC4Lhz&~aiFrR&a0smN|0q47$^x%MD4e~ksV+{tJF;^S<>+SDZ=ISx*7(t)?*)fPd z$FgHp^!X_pefXpc(C4RY^x*=Yp%UA(_5!s7SbK!paqKu1YCmT~Eo5%~oDDUwLpXK? zL}C4`2`m;?urqIc%@vSPJS97@4q}*1SO+xBj;y0z5Gj!h6$tf z=W<}ccuIC+oy33-W}VQ0J2Q|PFA{{J@oTmLhfK*|a~v>X>#v&eifCWLR-&uvcr#{X zQ-4POnPoERboYoRP?m$u z>sxa@Sx?c|VXPjZX!-xn3}-?PyrWKREHGrHIcy7Xeb1g@=FFNAAv*4xJw=wf5K z{9Y5f;Hlq-^$~rwVSP|veOX_>FG`m`ve6}E>ilm@K|N3XlaWj8oaE0+DX)RD{R~Ho`}QkOl6w9;$Yn z^2IPyVL+QU>NcRrdwbGy8aqv3(}SIcusNNb?qg#YVX^?|0cz0vCSr!P`09v~B|~5I zl=`C75L0m^8!52s#YQ6R&R}PF*hS$~JyqpxB25d&2!QiRI#@=rQ36mOHVOeclbz`S zf>2$w9GLkco=D-f-z0Dw%|;85{n%&(@+@|i66Dcpx^1GJAEd0A>YqvtaLF`qZK*}o zYKBK=3>zbm9LUBXB+q7N3nU$nPMmv9#E{Nm=ZM;a**U28ST@!l5*5t?yR#Bgg_pxD z&}2;Fc3QxTbS^tr;53w-i*P!Rorg#8qBnwZY@Dcl5*vqVpU=+sM?i$R&{mT=LYPu0 zJiy@+A(F&!7ol%1UBE67hzw^JAVe-?7b3K=D-E`neCQcd!at`i&G*ImB6g90K7w6@ zpkK@`c81B1UIatOv+<(d>1;fzcL}=$W5F{DHg7wDO%PQ_vk9o`L^ja}iDk4{vl$_G zZp~s5&>BuSkq8f;J3(!Af<1CW7i#ua%ih#f>MVbj6#A5##3qShp3NqqVNPa~{b34n zT*@vL^~SPGQN7F9Wqv(OjuM@|fa9{*YqYldiZ&f&iB6BhAkXy&S?s6+ZP=SxN`g!_ zq*CYk07_jd#UMN=dN;;5q8S~r9P@lh~}KOPG{2vrW4q7gy{@6LtyIq=3y!a&yxX@u}Vxt#)0N-XR?_B_9QkF z!M=)JohM0?9`J{a>u?pCl!Pnj@4osZNRN))1H$lYOCx=Q1r~Bp3sx zg&PC{;lrgi0pLU$rlz<%tgrxCGaiT~NKJLoslB$ej6e&IW-t?hD5=!t{&>oB0bmCK zO!EQM0&N5{JT`^Ta20Owiu~wL9#ml z7HWHwDxah#yMvf>LL^6~WKM=k5f-naKR~@)Gz27Ov)KZPIczpU;u?01T(7|Ji_L<+=~xsh?csF%pUpvSu4mWdHZOV>bLn5H)J=Z(jhyZ|xuN?T*bM^I&FlsQ zYA&0LsRs4tv3a82A~p}zyOG`K)T_OLvl!~lXY)n9#cV#RcN4qGuZO8$M+6~i33{uK zdSf5;Oi8Jm#jJ?7CpS*by0$uP5MTVGQ-bx?OE8Ir0?2CfJ37#l*9B~Wpw#Vb0ix8+ z>}E{)_}LVRD*Iryw1yyErHO!`NLSfvrJpem>WZL5E!+IKr_uu*w&fb~XzmvXc}(5u zi@-q+EE$aB1X88$Bhe;)xNT~=8+DROt?+>~MRIhvuZ;y~G>d*CyPcBwsRyxGH_~j? z;=j6*sq5v)L64`a%1{#Ww#25YuT*`;%y9Wx4~}6G3%q> zQJ@;v9^Yz`{d8kZG8m2mi4ip$+e4Mab`idv-7XMb$8JXm-@)#1ND#7DXsk;>4+=VC z$I>`yT%$yTPUyy(WJV+(KMd_mB}{i{cd|Rh&>m-ZqM_Zz?vmUk>m;CglM*D|4MJ7* zTbjIgYPmu62b7ShNeER}D61mdtuek{1hyNP<6Xv_8|~zf+=aP>EfFw3VoMOrrEDpI z`I&&3N^SLtei#9>j4cyjwy|Xh%yPDzfceS=^R*AAH374Ntq@?oVJi@r``P^j%nldK z4?dVS1k3~M0Rd(gdjNrXkUdDi@Q3E@R4Vn059TP)TPr+rKg1pqQ2xUnLQqz+l>`dJ zhSJyH%|q~I4R1r`lKWxyuz>j&dl`@M- zJfA&^psZr62$bRs%nl96mYBdU%++kQfLY2`Bbbk|#|X^0i&?^_*)d$#!yT;UP1EH` z&_LjQaGuU;yN0b1AX97&0=bs06(GI$v!vu7j&Ev#n5Lucy#c3LT0p8`X#{B3s@%PX4;KbXyMVSV;D6QS&0qxy zxk+WV!x9mCaWdIM=LI}A;A$^#?vuJJp^w4~*q&qp0bY6c?7=D7Jr@AS1^kfZ?yY+6 z)$Az%z(j22EP_GbUkBYSnx4?^Fjc$mYI6kVcQrfcF4KTXRNfkT0I`{o>6q-Gd6$*C zlsjZ`2~xYOqh@zl2e0-Wv}sURLx8>9yR9xMFE6P8{Wai#FdY7e$U>^;p9Z4 z2YfIc#dHX_Kd5QhQAmGyP3}m|h6=xKuSKPknkWHGbje=1kz3vo)3B4~T~ul@b<%Ah zrl!g}xf2V-x|cIAxZfwnZz*sWB>V>jp!1(Vc+n2Cvu=yDRtRGJ8zG4C9kiX=S3-Bn zoi#gUK^QLTAv#IJTd1AltBzsbpe zE}91?sIKjz-C;}PtqaaXpAwEW5J2*Qq*_TRd?02jsW^RdtLw?#GXe$UtllDiGy#| znqBRIO0lJfJYBW>&Q!UZEP)#b0~{^tGDTsYbPKY=NtFtF@GNn0!6)PTMp2R5+YKPKnQXTza4vG9BxdXs?w9h@Aq8#o>ZWG^Bu z@e+F}TuThn9`Fi?FSC~g#EaR>2;wX36(1t>ehD@>25F-(XwBA2Ek&2%LAr}EJh^QI z4uE2L>GFy2AN(YwIaudx?+Lh1#uyFO94ml&cl5;Ncz&S#Cgs!pYrX=T#CaI@5RnTb z+$5)?9U@XS?_7MP4fH7AS$n+UkDK@=$QhbE1M%0bZKLe&Mzs+5L9bq9yAaF;J2m?w?3O27~< z0%(Kd;T9EHZr76~X}q8V9@u6LO`1FdYPgJ13jt(miLxrC#~{s{6FeTK9MYnamvYQu znh+t%mSLJK$sBf~9MgK3#=@t=8>ZRvfIOwkNzCIgjr0ZaJwZb?fJ@ZWFwK4;2=`X z>|dg>>bhjW9%K&`zE%@8!1HV z0Q0;R6!Y*D&E`%V@1@Gu_+4L+(e=CRUD0)#y^Ffu%r-k+i$Eb5^(5$?n<}{LQ?mvG zm9KNV21oiuPS}y@!M#7~AzedtbD9mc5VKe84`y zZBT3%P=RBbLCn*2mX?V3D1Xi;<^+eBdLw>ha3Au#F9?$a0KlV3s@yx8hW*W@4qS&U z@auGrDdzd8>^y(bM{^26Gnc^1Gs#KEhE%@0?d8XTmkWjdA^T7e{AKnbBKSw_BP6it z@>ivkW;E8+ICzoId>&s|{wf~eS=k5pnm@oR$N&|Je@u`MulszMo(q7pg8<&}0n8Kt zU?i}kiVeUcIY;AOq~^pqI`zd(!nfd$#0Z>YcTuh!LD-tiZs75L#~%s}!&MM-Z160~ zeKvyoYG&s`%yWYP-t{rKR!PkBG`FkhfX~y#+HqoTfxq`Lqt4SrHU?pz z<~X$QW^(yQARaWak2y|r%hLQM@A6Lq)z4Rp6{KU6 z8CU>!^O1iTLI+wI&5(&&$8} zC&Qf%G_eF`ka@DkHABffStIzuiBm5qa+8Jj2q%tvBE7>$^X`m6%cMS`ck(U`4jF|6 z-b-L9=Kp0u?YPtD06$h5#Mfo|XtOy*a~qc5z6ib!4Gq+$3SH}_XiTd}Przk2o*q*) zPO@@Ho?8Aht=&&4dz8ylG_NE_D}$4CiY6g#5bo(}3;=G7_}i!0>UU~`aMh*~#}`~* zpR!NIBE|;QC4(hQ^no9@Yy3&=2t3J zKh-`-i4|4#Q#B?xRbNwczS1~VcOXxUtErmFM2#yT^it)JqLp%!J01aqW#L7S<#f1wR(yW0u)k6%Hy z=Ack*WQSg^Q`6$QAB+Ri%~`$APrRUv!o^3k4OCZETwmkw4frBqIN2|5k{|71>23ezs(Cm;|74XBCn7 z*{C)e_!S!7Il*F5M9NdC<5fOd-Q-gLyM1Tw*{FOBkkt?!q)!Ziu z`BrU3n23DAz7QhvH~RvK$d~L(g^0}1#iF@d>%$kVF44pgB(Ik6)P4YmP zn0&>);$l)^vagVse9gXAh{;tsh{yg^N=GZGMF3^@9~FsDvIE^Mh0!8z?2Gj{!_$Y zq$;Amusy7Rl2k!~$1`0hev0(cM?tMNZ@Zms7cyF#ZAUWt4g1EEQGP|fqK>q&uEDn` zyn_UEoW*xrvhuaW$KoGiT}uwP)~71`H!Vw7gIzn3|5aDiR~%wphYvF#oDI%zxH5t5 z2|2`=quGE)W;OVFqF#5MNmVmzMl1HCQ~GXd_#$FI3xN#5)D}-lMGQU=eoed%ZnK*^2I_ zuD4HA)^yl)saTE@{GoY%xD8~bVMsS<)S3gqI~)%94CZQr5x{2v-9baa#f*lU93RtQ z8IaR#uI7x3a{C6&VF!#NaBX?6<|u&Dcdj;$0ahxQ&?jX)bz#o6&sMS}*g=*^w$O-# zdA3dhf%XvpRzdEIVc|YRE8O$42?W<*hpQ&eky&7CQhbq_GfzW7A(jvpT+vR21>6-1 zMEGH`nwKfGI~ z=;mCnt?2H1A=iLP>gU}q{ek@;p!8%vASgT8P67pPe*|uqF2HLgzf=y<#Mj(G-zYvh8Wq@21a0c;X6nEgivR?(rk?dCl@;~f91mx%pkhcV`ck?qA7vyj3 zHvw`C`wfBI!}bu6=VpLhjIrd`loRzlkiWCv1;}yicLeee_6GrZQ3l9c16P#sRqsF9 zp91E1_9ue*7yFCAoFrhTDkl3TXBw1c@@NmWzuDgc%w_Cv1ZFSWOTbKX!MG19^4J8h zCE=XMq1p3oP2+iH8UoUE{v}~0;5QRWOac!Al>=gPM^L!I?=68_0KgK!XY(%tJP%6X zDk0Cv;?BQ08Nlxha2pW-(8~u1aKjIFcQ^1a0=)oA3J7!vj0V(G6)2-sH9szJucUth zGaZf^Bh3MDAv7)&fN$ns1aJ|Q6d}M)i()7(7A+R>FVdm}N=ihFj7#@-tK|gHD20Zl z0_bA?MSw=2B!bYWuDHV;AIf?3q>vbAfE5t&AqNfZZvI6e$DkyJAUgw$Lup*J zxR-yC76~XxkO8JD?(^mLX%M*9zD(Fq+ZK?P@-G4@2_;F?cXh@688UGn)>9#O^g#9Gzs`Y{DQc*55$=GH>Ul8yod$@50wIQ9x%)bbF6;M)v z(M$UN|J8V5@^C_XQ|BlZy-Y1f^B4)Z^EaxG1)eysc*P|?L|gKln|JfS>WVk8frX+i z*WmQ!-o2|32ET*pf=$pZu7Q@Sc+V%3``mU29QwMRpHQsAdu1AN5+PZis^z*aVKzq!A=yuq|5ev2R3P*k*1t7E=yej!>~4QyT42%$Ggu(6NOn?H*8&f4D23j}YQ;k+b7iSj2ii*$q?YHdePeAT?&yx3#O^&J z@BSFx3*4}-RppPthB@#Z|EsRmKrx2*v5f;`fF(J^_^5RN8UlJH-TVOC!Mu4C?xXR? zL-Nuk32D33YN}}dAu$nn?+}=p*&Linl7P7PkAltP?ZcY4Ry6;FTnB;P6RX0SAFXKq zd0_noSqW?2UeSD8Km(=YXM{EHjGH6#rWQCo9RCwCEWgGN&vV^xuMdOS3&TW8aeHt? z_Wfba`zxA%8Y8 z6Fd)PcF=k86ha` z$`G*2!eGu;!30l12I+POhiTsy2J=P?6Akmnz`~ifuM2Cw5I4ueyfp-+pYYlj?Gy&@ zPT1AKL+w!K2PW zdWwBlZP;7Y=KHQ%+xZvScQGho{=O>=14uj?u3>hEV9*7R%G;}j4C_}F(BEC4sak(H zmJs-dB4x0vZ54uBrJ(>*nID2%H*7C*3Ff)eGALeIqJkLQrk&J=h{Q_#i$mGGR zb%HL~Rob^utsWdAxn4hz2dCdEfL$f}ZOp$&zxzSSety5Jd4!@swjTUYPc%A^f00J@ zp`^ao$aydf9Jvjkw1H@G5dR`A8bV1!zXcvzFHPh+Kc}l~x|%1^i+r3vDoxVpS-mv3 z-6~t?TTWCSwvlse=%cZ+rnv9zxe}me80|Ty8wsKu!oLVn_J@-FJ)(#QBBoVWa{D{^ zZ94f~I{E#E$d2mDqdfT{cSo$=nxN*`QrH~eY<5svok5PAe?v|6yAPZlBsT}t9;_x0 zK0k(<1C=%XL=RA{K2!@zFqhlLf{JbV7op+-P;vmFB0t>VLVKWSaSZ<=Et)_{6R(97 zUoNxtm5Fo`c`8)G30=^lPG(+H1qwoaj ztKl8zhydRlKr|QNkLOBYYYI)_8a;U2NLYN&)nnu5`-;g{B;RX_ek zS{(r;M|iEMx)`9z;YUe4KpSu-i83HS6bHLDfI%C9-2nbYusae;jwB?WE}@->uWU*~ z2Bn~c(K}~Ul|%4CTyjFXq))2S&;J*JONoL`M?r5#2~1AnUj&n*q2y?fPEpwPhjv7^ z$)Y2P?jRA@5!6y#H?5eHofG`_@iBhapu z&_;wp>kcit3urvlpgV!amxLY;G{*q1yv-d^Pxz&$XmtnwBCSq4-*Z0`4PIGe+b;;Z8?Ho`$zZYF;YM7?6Axy7C@8gfsNPrb=d>k@L{c z&?HrnLx)3G&}E!w6uDEB^ex>*Ph~UseTF7wbOv<_eF4S@jLL;NA%Za~XX=c~kvedq z1S3pPVH#d(k-Oab&Ago#xy4S88y>Z8-~*)BJ- zd5+gi2hRi88sQt-hrJE0e->kn4mwQAAw^-RRrVMLN3F86@Ts4OsJK)3GawL9T)gDb z8e1?o&e6P)4Z*}-BVlgz0bSZh=-IXWi|EuCwk!J4tF4w4iqh(;9sQ0ASfB+w;%{@lL>xA+(7_iQLR+wa$HaSoK8BU-%8 zzetO*P%_qUfiXQ_WbzMJ1_;yhgY%20ED{?1T!4M9=ywzUBK@8RCFeQ)a(9qi^DYP; z^(8KLp^bwU;{>$L{EI+4A4<*-fp#Gd$>7Hs37%r#qvQq9>H-1rJ^n=?UI--@dJtjX z5tcm8x=5EVU?!&JMY^0YzOK3`xJoCwAsM|0aJop~`vLzV_+AVp7iYuwV%@D|g73w- z@&00bTqNdG50_iqS214T`Vs#kxLyJ!mw31$-jBzUB#vbHDn9{gPY{qk;a>#OL@1f) zKyvn!JWmTrKV?nSoG);V1~*>N0yt6QX{K)ciT0sNjU(WKedRB#6E*oIgK#@(;L3U8 zWYr2f$R+_jCJ9=7#=i)yCPT?&pXwl2^(3@OIOsvTbGfJHQUUFA{zaf&1|^sI&>T|^ zatbD6!fO&tpJYweY}Q5kq+kXT|6M456N)K1S+hsrrb=x&xXD-v$iYp40Zb8t`#1k0 zgPRH^u>W-4^#Kb>*r`ABI9RL(D)_Dyk^?8#Rk%*2SYH~7_2q!sUlQglXg5p1+{wQP%&Vc~Y66q*odL9$N;(yn(5Hn`Yc@cbEuin_ zUj+I!P;w1{p69Sj!n`6B<7=VawF2f({ENW64oa?bU~;V+LWL_s1#J$rn!IX&A0|Hr4Qlck8mIR_44T4tx z;a`MSbD?Ce&r@(C>~RD1%eOoJAIaRA8k+g(wyc?2@ZeB zPOl1uHXmBd7tr?dF9Pi*D7ne!K1h`7KawzKg~D6_?G|vDwN3s-VBQQRH~TQdBx<(C z%AqncJBt-T9=@<%%vG2Wx=^fd2h464SeNiGg7qCxatFd%F7M%O z0`o2?xeLK0Rs>9TltC}tp13||d*b>~iM|^UxLY6><6i{1d!XbVAGt7l;s%`-_3eop zLhXrr0sg(B?*#uMecuNq_xXK?tfX^8_1+R_w?x29@-G5&DU^U)SsJg7E(Opb_QbqU zYApi@%LMck|02+rL&e-96z0Rw?qLD5F8?AhAAyob5X?}Y zd~JST#=EoQJW4EQmQxZ_GJS;E`39)4Ia8nxnIq|xJ0 z^0*ule}a>Tcho+Nzm?~F3lP;%ty+L+&A$kUC!pjBuU`@Bfp1BaM zC;mmScm+yc@v(4Qyb7hSiWXh?7isYtl)UD*Ab98eNP~5kJx*y2`zd;$S|wBqPTJQ2 zh1UgoUHKP5?+qwf@wUC1JFDU(&Xwj2@krr=5$=iMl zOoF?!>@WpG-huY-2-v;&7lFMAN;aur=Va;M9Xv}GeZC8D-xYoK;a{ZB%}}!0>r>Kf z3zTjVE&A~<(&9ZRdCzMhY4$#pzAst~;9sQ02T<~X-vZO@9!-=al7)NlB?#$n`4HfK zDEb`8zet}SLCHs6pK@FuL+Qt&#bEwLT5!MfCteFVu1}%#Q_*55{~|3ugObl=3$ldW zr;!D^gx!~m`q>ISZ53FY#J>m@pF_##5{rTEG~NcK+eC}u{EM{sH3*)8?SS-lF`$wBiwx)+DEUSV$jyIL8)95( zawTgizF5W&R${Y!;NJp(Zw2r%{EGnp4obcwz~Q!O0Qj;XaG_bghnC+9sN?t-fw}`q zc6dAhQ$gl=`T>6UK{UF6f00Hzp=2jPWqbxI%YzPx%052uT>xO006u|#5#YO_WH$j0 z*H{DNT!A%cYS!xFJ?Yw0^Y1p`GFS5GRPAYx*PdY+%Z)tvK}3(HO9l*CW$ehW%5Rb1 zDl#H}X8z6jw?sx%^sg9MaVGrt#ftYTK8%d0J+b!e+Sf%!uo-LtTLk~z&i1fBBO~hk zT(_WZab!f*jaBznEsc!WZ{>bZ@3%2BqTZYJKC8DaGNS&V`lIWg4gbBb{+jyh;J>>Y zSPcpyBO09F;EDz_A|o2sY1ph`%gBgErH$$~st5o5WB=&>iO7h?bsINtdIF6z#i$)d2aTfC`R=rp)l)HQM^Re5;ahcEitRaT-o52->bY=C6vv)I(y-Ky?*g} z?)A59DCt(RK*_==mzUgHG79BCr5sB+%Z5_Wxw%e9vcmHSm5S9t=;-@R?U zW!d1p$$P)|A(Yp>pLjo$4L(JEe0-{*Z06J12gl_z(r2pA4B1d+ZIzu>_Q;0npH(+h z?|^bp_2B9gQ68&)srq-ap~lb}6KhP74K)#z9)R+WP=~`bMkXV>Fd|h569^@#c!$K3Y6FU9{W9&4gUH4%ll(|{D=Eb_Me9G zwEqwO@Q*s~bqdxgf^tZm&^nV*9;)+oo%6Dx(U3-=jj-Kld84h3Fm8>{H@?;Qj%;W; zzUiE%@Xw}~n%-&pt88fbB0wA9A{zo41#}3&I2zg-dK>!5hIX;-F1EWO8`^ucFVwy$ z%Aodh+b@s}9V|Myc5p*Es>8GnGi5`^i5(YpT#9mE$1@${WkX=`z^Z|m*IuoA_3YJ0 zHuMYV*R5Yq*)X8XfFT2h%Z5P{2Q3}H%9q&E< zv+<2kULJpU{C(LF^huCcP-&F?gT@C1qdXdPAqep_!DB+92{^6^JtmBtFdF6l31=sK zEgOQJgFg+HwNzuMnAz%L$ZX}%Z8A~Azebcq1+M@6LL&8gla-_gyuq7 zDYRi|6O_|KSBHk9yc7Cjq9hw8W}E0W5&ci>JaPEMQLA1||6mPIx!%fGDhvMMM8mi1cJS2ir~wS3%i z%=?O{6~|YcLizKGKUe%C8^YYfiiRP7gbfax9ESM~J0Es84ClYH^~%002cUeu%5jym zY*-b#YUwKY#j5?QzFBoaHmq*5y5H)7C~vL)YxN7+u;%kMz1Q@U4Qm^$?XspX;>i;0Nox*418zIA3>W-Hmm( zWW$znTk36TfbxqiqqdAed2GwoEwH!c`BulRIG(NJw=USa80Cvd*GTw9q*r9^NW4BW zB643O#y#>%+4y@f@`+>O@o=%3D!?Md7%&8MY1BhU3{b zW80c-5wc;sW_yn9n3wG>w)fbMJhMGw`@Ze4wvm^2m2ib6B){*cd>t#cX zV@#eHw2SE&Gb9G_5OXl*d< zHufUQ$H#1r!LN?xIac9VWt4}GT{wn#IQIK-yW@_s;dsU44URWPdHMK*+Tg9m@MBElyg?hLfM1EOW9v$~7nVoWyvYym<20lMiLXsllfv zpPGg;;?%xV823|GPCYz@d5kL;S1+ys%Jp#v;tr#{8uvI3`RTOd={%=#UZ=;Mo_~4~ z%5A4loW}f~zIFPq)0p2gJ`c^|9kStUgR`B^V&2Yw*Z{yU{M&tg@l?2l-U<$wd{vYhUGUNO%W?sG8F$J%F z^&-2szvxw4joG%Znpi8FyxKz(KtrAYs$=5?vrU)>8>cVdc>-R|Ut%{>apnQb@5Q2x zOou8PUND>YYt-n8@)s|dfn|N4$7_1b@7=J;achsT?C<-yjmPe5Lj%);<@^vGywQmi z&T@UIPK+Iq_k7S!OlE~!uunha`Iw&52k*!-;Y@1SXqqPYLu;1zL!X-I>7`0%Z%$qQ z)HpxWb4;}k-<(Q5n-xs0Q#GD$LQdf>tZ-^}{ATCwtY~U?Zae_WK_8`a69;FbSn-c> z{-$H_(GEaPIP)4dw*T0;NH!W<8_$mT50|OsXoHr!TFmQXjgILEebj?U&M1}on4@Gm zQXl<5-ac9bS(%SLTBakGCJyH9qZWpse2?!SXawH%pYh#CnmM4ikKQd-A+3y_sqdw! zgL?ZY-e#53(kPmaWSTp$w~i)vW8P_PG)+e|O&;7^N0rTFRnp?9nvQImJ;1k*?)R)( zS{+@}5l+(w`Swx1&1$6OQ8pdvG=HFP9c|ooua(wE+jPX!#lgOH)Y(ern;u5pbmY^` z0Vikl{nN|no6bPGI%uba5mvpsB7b3%C7wRuqkAra_z!QXaJQu+tDBx?#dK!U-GL`3 zM(U@x*)W}vbb0Xa5;G0b<1CrZRJuKZ;^g27HGnB4RBIPkPlQl`tvt~MT>Hb9C z9%Ex!v-Cb&rZbiyPUc@`4cC5jtyh4x$OyA$I&&H3g#KmrUVbhb!~!zP?3vDBhB_&F zf)Gzys(reh`2LH+a5QU`ktWh~GBezXB_}M~WVG2dozV<=a>)!+Lq?of)5*=SCzzbD zZJ$wR*K~$6^hqWwjOVb98F`|Olb!gecvTjd(NETR!t^9(rZ`#CCr9hBE}3C|P3Jh% zoba2EhO@=&i_9|Brqi9NPWtVaDS27<%;W{8o{;HI{9nA`EP22+p7lqdf$ zUh(qAU|!ZcGkS%oXJpy|_!sYZnKMSSzM0iKOg$u155m8A$;%wljP=jVUSjGgnSLNl z-*Dz+12el{nEHko419-6@kTW_8!TKT@tbYNZ>fm^dHGd8s|3$T_~n9^KVI-X8!Eiz z_4go+9wP?D`UQ7NnOa)jl=+{xDgG|o2%Fu;YaFzWa>*|kj(g!N@`lc#tD})?^332B?jtW+)Bl@ zny?_@TL11^rtT#M>n-j@gGE1vN>4`PHURzSPG3l{%Ep3)qrKhHjLw$$jMoSjDh4fi zgJv8!dR9yfS~8-P1}x#<%V@Rh|1w%pp|37%Vv~gLCExc<{Z9;>(YKOW8t>-dR}0AX z3bQG~^-|_~rtT*OFPU!lDg~mw=4BeBAI`u-~rDg2lC!x`1tG~tga_eWEo6a)AU zK1l;;OpPyB<(zDWaK(3XMN@YagZPf_NP}qnq&M-3krwQnY?koKclSzD&lCgsA3T!= z(s=hF(L3#S(iJ7o#pVbH{cjFx>ZD>Y|D%)AVA>>jWDT1{N5yTj#3f!@%H|1Q{qMeN z>aSuz--o}#2L6mg;vc5T$rcDFeLqfW>ZoE+-;<-#pjsrnlTY+lf3`^Y>-+OpQ=b(B z`yPE(>9^r*iSXO^>$j%9D+c#H`>xV|q`x3%~xber@X8Vz583 zZ_{8KKmUE{;y!GxaPbfC;-+pc20RtqT-DVhgsZ2HtDCyJ81z(fcU6~PFI+zLT;9~} z#lWYc+pD;~H`^#&KXqN-)cwWar?UIg;3s@Q`RcvvX5s&-@BgMTASU1=#DMBjuvLV@ z#|Z_~a1fL5k-{PA((sjthmRKzrZFKV;v>d{%2H8>Z4;sKaYMs2Jj7&twJYr(fQ1B=g9P5oNTEtD-iW}3|5tEa~VuvQj_#i8OcVNE=p0pP{ zrU4`-C=CYC%S9;R_ZjKu5sI>dB978#9GS+Fn4~lsOUig!%?^urO1tr78dGAT(r`@C zL>V7|fBC}`^;nDut+X9lrr{+fD~*R2O_o(cL>Ygu8yAF@*;%ZJva}y%rjaHlEFDA| zP1u`1OLJVrS^9`G(^wOemQG?#5pUL(?4*dd^b>EUF()Q29mSj??sz*EC*m%B#hq#F ziOEZ6u}71qxN+jo&WI39e<5fZhGGKKVHm1}Vn=pPgkt&(MbmH;lbBA!ktWf2&-3*k zu^7m{7EzggqtY}o#YCp#$W)Kce(YNjo#{I|O(RrHW;%~h)hOi_?1G5W^dF_Bkt!xM z14JrK=$n5S>(!rHy(9uQV+5>e(27aTAVEu$Dkns!@ehTm{DE5Dm0b~0oN=Pq7|Fi$ z)qPDKc2!Jn#+qE?1ixT5VsdFPGTJ}m-9`FS;t79%%;GopgNWjcH_@h%EG9SuMlwxs z;uD+hv>HoR?3#$%Hu* znE1>y@y5xgk4?nHXOfIhlTQCE>64UKpQo_d>{k)`nJe^7!(U8(CJX<>6o8-pbgE(e zbKlvtl!8wcB|;8PMIH1{N;J^PAb{s znA{-EsO^l&M>vj1J}C+s#XGF}++JMsh-;pdrb%P^5zZshPm0n{;x$m>uZt+&d^w6l zBoa|d6A`sHCL`fMA{nJP86`MeLguOQ`fkw6_hsJPN#rDvlinpKndT+oL?SP}TVBGr znSM{8HNaUk;%}2(ZR5#(K<4mp-&Lo`azc|wy4kex8Zo;92L;Y8Wdc&#gyK@iW zRKls=hf}@bSdu-@DjZ8V*86g-H=K)g=h=mG3FmsB&h@5)72r9Ag9!(F-wtMUGWsrv za4>P#>YeXe{hMQ2*x2o~N&H)|c;qsd$YUap{hPb|mwm=h28EM}T=qe789Arr%Y2sj z6gju$pNfTW@gsDq7zOh5?!h!I&$WOyKpbR_}rKm z7Wq!(yAPS;l(vmIPdJ{)d8wB3lIFdlBJYX3r_4ng53J;v)Zv z{P&^rotk}P4ipY3a$u_GKxH1B!M#Kt6nRiBPo)NeNAe27DTPyh zEJsxK``R%(^Gd=og<~omG({Y)?YZSaN6|Xv~N0YL0(rlu5jG+;sugb_99TGTT6b8r<1^mP6%H&e zAkx(ZgwdI8ZSX5$__=};px6EvMyv8go99d-Pbep9U99o&FU;g@TUfxXPXpy5$b6$F^8}qbqW|61U zcb>-lCAsN=T>Ua#kK`>xx)$j=J*H^oF&k61aA=XT(|yV&=eBx%iTyHL_u>H}TZ?S1 z%zWu}+nBM1Q;Uq9Au=`vqGY_Z&dXbgWG#|)dQHx1$8Aj5!m&ld&M*m^9NmJF_REXx z?!1l2+ahnP<-hdIjJaDlx5(WYDtA**np|`nMDiBNJ3S|AbH{E>;KIR00?%*>oSfeD zBK!~DUgU9+$Ia!!^v;dBTsXPNj0s*i zyh!jFKEYEknBH&i&c6_OUgY_=<;aY(-GHUx*fx)Wr;UX|ZU}RKBf0y%m z9UN!*ND&+&INrsHGV*I)2S^0}QUr(ykc{l??|N>pgT$AQ5kVq?Qi=gN$>UIi5Q z=kr8BiGa$CLGZrM`BhNiYXu8LP>G;=-wu{p?Y$1HvwV>VED>0lH4r|;dA|;>2);xF zmk6#8;bfWF=Ia3S<;z5Xi2%#Y!SJEZ{dJH{=PN{zi6Hw>j+WW&z7DkBe5D995onn` zAU@>zzYaEEzFGvE2(}ODY{GVX_B!Ai@wFo0M8Jul`0zgPI_P|Pgb2D1Kj6Zt#Tb(h zmA@zOW^(q*~w~8Q4{Rt3G zFUI`M)i5vrN(7>C3XvE-o)6Hf_=3qI5QP(dJSP-mPk&a*ZYTW(9hR4G6N{qoMG+Gp z*9VLXqXGH@A=bx_n;Sp2JBa?%#{9p&rCNaR5IJ%lC-&Qsil|R;L{wFs_**Fw;oB6v2^p zg5#sk1>O9?x{3Qg8h2VXaOp6E)F%QY{0ppr00#~fL;w^4E@&UzL-I+X2EWIM- zCnx2LHfhICX!))C@}~&ThyYGI6C;8|%tdm2z<3QU0yrIAKP7i^MZ1ij2u!|Ha84}3 z8GpV-OlQnFHZH^>h{ZxIZf2!ZA29tusR935tj8HMSBo_`bIhx8O%{QiPV=z{)XWj6 zZ<}Y+b-4@wR;I}MYZZXcO44Y*WiE;;c1*TcGhlS%WRqj6*qtEz%r98Os)Dv0<7lI<_QBZIpG{ z(kydPu42owe2eljTQ28k8o3NxA$LaEorTHkQSM?Z1nZ~XJTW$9R$`Ndh z-8z)fY^^=U#onET+xw&Zj78XoqFl_@*?))f4qNY#m1!J4VH+GiL)o5fbeM)RjBRqb zit-`b?1*u2tjo4IjzBqvZFM||@(hdAGN#d5v9GjMQ8r;w+T|!Wv2EHPP~KqMor*J! zlQ-Mp)C*;Qw$o`7%7bi|(_c*E?8tUI*Fo8a?Qx!uay{GY{6D5~v1ZXO}C#`{hY&x-OACI-OjNd+lQGZ$1!#%C+0P0MRqsmLUu3b zZT9OY)tKg!rtCp3C-yKmXOD6}W{*Gpm1*)Y_9V|n_FLZB>}kFr_ALLGOp|{m`(J^E z?2m$7+4Dl9*`I~On5OVH_IHtS?4P0w*^6RZIV%o-Fa9H!O2BVR6y%mA{$Ls}J8tFm zBeyO&kK2@lUzhwJw=JEMX-b#mnlgyPGHyIenOZ1Ya=ENE)0Fk#cIDwG<%@Cq@~u(! z<__iIU*)5@WBI!%|KZvSMVO{SMebCgI(Mq*#hoivn=dO;pt6r1mspZ4-)rmb^;+k|=BOs-M;5)jsQsauKiAARn*Z zU;@gmym~_o(=^P+Ycy)dG>v-knoW>1o5b;2O|6)wsXMRTtO3(B>%e`Rq2FdJxnHw$ zC~t88=9u&5C3&6Z9Z`1Wb(@Ey+|KJYM;tW2$LqJioVBRPKWot)Wgp(41>&K_R^G4$ zVz|X)-l*j#Ow+OyZ`=|w*Kz=F(h~mFavN{j664zP5pUM=DQ_0=lsEspIB)TJDU@w^ zi&p)3%Qo&jpiMTEzPv@7e!N+m#XP{Uo;NcbW*WmW{<+~9)3np@R_z0sru`7!x;^Z* zf5zK%fM0az%iDIigz_FYbi|x?tjXJT9EfrlZ{H~&({!r9J9O%Tav1N}X%xyCyi=z* zlt1#oK=^22LEbqKa~0T+cL_w!2|UQV1|mmvmiQN)OQ5X5yLJAPX}UP@?p-RQY`}YT zLELtk&U<$CW16n5c&~0a|89?YpYAyS?xlF&?l}MM19-pgIREb3c>nGXnWl#YAJD@G zWg|YY2V$|uOg^aRb3V9NJRj8SZ>H(3Mi zY&;(~Y%9|YJHms8Z{QO~tmi=^E;7xCyF7Sg4yGCD#Y0BI$4B<#p(D4W+`}i1#QBcW z@JXX8^2wuo_~b9=@F`zDV^J!xO_|!4u__VQ=`SfvH`LuCY_>}Qh ze8%`tK7D)?pFAG1IQ|@;6$GCPa^bUsI`cU}yZGD*c6{Ch1D`)3k}n9h;tPXYGEHzB zz9@JG%2j-EFpe$wXTBt)245PooM}Q<^JO8J?~q4)dFW`S2@T>aLg5#o=XuyftWgtN z@RbvzQ10TZCjQ1WlWh2!Nyw3t%J6lQ0{Qw$`%pj3H%@{NO}6BblQDLaTkx+YuRTqb zE5mj zg>nTyIU^qB1%7HKVs2(h9yhZi%C7wM%xx$S^D{GXE;Ik&XJ^%BnpsWxxmiIdC-Sdn zA&<_A<`-sXVVc?Q{NikXera|HzdSpZUzuagug>#4{;Jer!zQWY##2(KO?RZg%~hoKn_Ebo zH?NesY`!gZ+xkT69=Sy7@s+RCGb%#rwXKQNd)wbqpY3&}zS|c_{dV|E{dfE!4cO@` z4c^sX8nWx4G<5e;Y1p2o((pau(ulp4q>+1fNTZ@<>C5OZq|wpQ(wKct(zyNJ()j%c zrJw_j(u4yYrI3TWq|ie)(!@hIq)CStN|O)Ym!=$l-O`096k>R-7I# ztvpvlT77Q0wC3F3(%SeCDLnort~YWs=6s%C=aH-g`;7T9J)g;%u==RE@P2F%tB0DF zH^y~!UDWJ(Nw$~OK`je+W5dWrtec~|>Y%18N z9%I0!f#vr23v4=AUXP!_W`O0%Y6~_KEWhVtuvuXFvaJT24OTGQG_W~f1+pImn+sMr z`+Bf>V1;sA2b&L8G{-5h1z<&TegU=+ta#2QV2i+t<=g?b7|bi@3a}+$B|fnNTMAYx z=L4{1U?o2p2eurn%qKm;R)CexRSYZ)tXwX4u$5qCbLRkC1y&)qE!b+X@}D|_tpTf) z`wy_SU={Ne2MY)D&f@_V0aiKB39xlwRq{lEtq1eTyA^B$Shc*1z&3(a%@+o?39LrG z$zYqos^_OM*aB87KaIgwu$l#Wf<=P)7H9$X6tE7&fudWDvN?FMU5C>U%H*k^_P!S;eRDqIRI8mwXA8({muniM__ zwjZoLtrh6Oawa&*1RZ<=@GDiqBN#4U@eQ%932H~Rg~r^ z7VPt4wZM*nwJBB{>^NBKVyD4QfEkMI1Um`Vws>o>Q(*0j*9MCNYgha^*lDni#czO} z0qanr2H07!z!F8l&Vh9*F&8WztV@Z}U|)lE_NoN-4cHf6dBMH~>*_^*bsns{7x~o% zux?&|gIxsc>2(Y25?GItg~2X^^)9Icy8_m$WE9wUV0}w21-lB?r<60;_h9`?{sr~} zSie#g!F~iASSkQ3>4KCFH>?g26rR#y+02^AmEZ9x3A*Ba^{R}p|bX%}n zV8hDLSltF2S%${y7qAg!OM%@1`?73yu)AQR%C-W#2R5c`EwEp~Mwg@bxDPh2EXBtI zu(9RZgFOTbDpwcm5!m?36TlvW1y}9^_5^H#w+!|hSZL*^U{Aq9ybpmr1DoW%0ql3M ziQdn^{s%V2`$w=pz$W`t1A7iO&8INfpI}pcXzc$2o8d!a|2NolpBZ5PfX(t54)y|U zW|ck6)`Nk~sj`~o^59^zt9JmCz~)u22W9~_xB5gdORxpi2Y^|D&9D9)m^Ij<>PNwB zz!uh+0%i-gq{d({4cOwEUBR+|EvwlWOa@z83;yR}2ezUX{LjN4Y`JeqFbA-ezS+PW z!NPnoRvucg)xH=j4=1oyzHwmAU~7GMfVqIJ@pA=p1&i?g2TTVR?uR+@(1We_!yI|I zfvxjf0pkF|fl; zu^xF82RqdCSFjRbF-|!8tlSgf^ zD}l&O9=>3gd-VbH1H0Pmb1;9f@A~xws{{5!zZPJ1!M-0b9IPJLwE=-(^}&7|ME!mS z_R}Ehw*lDoAy_{=8iL&%g7w3r5!j7kx4{~N-5M4T)&%V5ku+~j!G0M@^VSUP_V`9% z&B5-DuLRZt?9TZ6U@gIZ9e)ul0PJ2+X|T`19t7n8YXx>cC>X3Y*rTAnU~Rx22H`rw zqb=BzAY4ay7{DG+ps{ZU_H+V`eS5IqCX5E_0QUQYZeSh3o=x}~tP|KD6QaQa!TuMV z53DoTpTSzNE@01thkeE9}ve z`EVA}4XhWKMaXAhy}_iAV_<#2tU@+`^#!vG%>~vE%qG+dtUs7_XcMpjV4Bc!U<1Ky zL&L!afytqh!3Kk6nJ9q`0kaSN8Ehz+-Ne#h!@wLTx`Pb|bC@^^Yy_Co#13F1!L*Zt zz(#?&O!@-sOEBljdB8@4=_cEPjRA9=#=ypcxlO$RHV#a`cnH{dFptHp!Ggftm(B#6 z0G4&>Ah2LC&t+M_Lcp>wy$==&mTg%Tu!&$fmwgI02`tC5zF?EVaxH5HHU;dHi_gUtgg6m}nMK3LJPc(4UvMOF>~TL@NsWlOL{V8vEBgDnR0TKNoY30R3$E5Me5 zm0A@9whXM~staJt!OE=K1GWOJ^y-0NVPNG}2Y{^vE4%sy*eb9JtA7Gp4OV_lKd?1m zmDV%|TMJfkZ5Oa`Fz>Z>!6Lvaul*5h9axpMN5IyD`Ggk*+W=NA+zD(WSk>_5V4J{d zgpUW?3|2j2FxVEbS`n?lwu04+hyjZP^NolA`wFb~Iv21gF#m|(!M1_Ae z=dmBG@m3n=17OXz&^R9iYr1tY*deeMTStQ(25TPa26hB2VC$b?F<>nt>G+OrJg$QE*+DV$Jy`!86f-}7 z_1l>p>_@PHJ2}`jumL;ChpvMS-bp_66WE|#v%qeE4c#>u>?YWdUDv>V1{=OB2J9Bt zu-*H>Zi9{79R~Ib*oZw8A9ujM+(Yqk7i`oX%4_$)#_XZI_AA)vy{*9RgN@r;1?&OX z*u4}V55a==QhYoD8y`*M{1_}an#TDF*o5d%u;0K!qkDoq1q+G33ib?aQuINv-@zvC z^8))H*pz)bus^^i?{5S49BkVDYG8kYP2ErD_7~WU{d8`BgH1nB9PA&kSqEIeUVzO! zFpk-IGO#%Zy0F5Y9BlT%OJEY%yo1qT7GQG^YdY|)|HU^ZY2 z5AO!E1zU1>DVPRq@ev2GEMUtHKL(S*mL6FTW(T(7$TTo}u;nq-hXdHk80y0jEG%X? zm=$pEKCn7&<-|ur)`K4?JDLB90;-c=UqU$36ke1r~MeGFWb~9mn>8eG0byxFc8|uwBQVfaL|-dAu=LKCnH<%Yx+x+kN~o zSOKu;|r6{u7133WM!Cf%V9<2-v|BSdTo5f*m-q4y+j1;S*EAih~_G zX$@8aEat==FfXtpC(DDC1dBbH4XhN{(Ub6d&(dJWPr~m#%YYp_`4Fru*vXUMfRzI~ zacUY^d9b)s{lO}LojQeB^{fbX<`iPpvl7_pQ^+}$p;2 zRlwro4ue$%`!;SZST(S3;+}$42fGk=39JU#`P1YZHNh^OCf}$9cJcHgu-af(PJapJ z3wHT5@|C9_*wxd>SDyZ0-&*yOM(7|*s zjD3>WN-m36(EqZ?*DbUGa)fQMb2111)xG96j#_F0%yraF+0l?UI%@i= zqh_q3T1QRMNi$Ti!Bpqc8POjjtUw(vj5(E zM*|cc@vqf8vOoB$qsyjVhdIJ7t$dlh&iFBxnj-J2j$jN+1!;~FeQSZnhi`kB_>*dfnlr-Pb2xUiC&2*I2d`DSdbyUlIN28P- zT{F{BW%C_Xe$`P^^Bs*=c68lLM-9w()WF!0({ZO0FH?{=lkk7WG=&N$e%Qo> ze)NfrWMc->BxF*akV!R?OnE0Ei#mN{*7A6z64B#<&HPTIU#R24>xOhveI&5YEQQ131%%%Ra#EW<;k`@$*kq+ zO3R7KJK2^a&03zRwESbrW^j*L%d?f16GK1gG1E228#9;?Db7dCTAr)4oEYoLwj5{H z@_eP`pHem&7tLB;sI+`DWtOj*wY*qq`R9~bzGK$%Ql;fvDYN{{`aPteMOouC!b&WtJuU$O3T41vutnH@)o7#kd#?oZ+0{ym6q3}%<>_#mZOxGH>S+; zX|toTU1@oH$}F4DqC1tAccjenEwjhGTWNWBiY)8(W-aekT26dFl-$)ypUbS}eM-xT zZ-A0*IiFd}2b7i*-|-~da$&QU4=F7tzQswl64mW$uHUUova?vC?wN-lyolH*5JfrR5qa8;xJgT7IUqoU(U7`p0H1|4(VTcFK_cUuci_&t-lv!S5);H{wmOH1+ z@@BKg?4Y#VB}JCqvzfK5Ra%~tGRwKlT6R`io|_WOS+crhb;ZqN`uN)gANbOT*fxcB zXU)zMA`qW@XT{e)SvO|S9GI3l;TzK~OqcLYYj>0$*v^VF8_FCgbE3?JGB?URDD$Gs z&kC|aXo+WeSy6O=?@!#{$11Rj__j%2Y~h{X7>{-#R1CrRzu|DLp+gGJJI4mK+7Tvgh+ ztF$Yl(yoF^J3p0n^;FumP-)jn(azfOqT?0(`z~RA%Q4!%rP#i!*nXhcexlg^U9tVA z$+j)ut+mtI8+`&b_z9T13d^Cw@~E&v+QP5w6@F>YONEtFVcsgNxeDu~(r;gtc4Ji7 z3>CIQ#oj&@c2@aXOv-*I%XG5M?{Oc9aSmuW~G*D($8!n6>j#=M~ONU&TBf+eQ^z+Z3-uK6l=wa=iOg z+8t797pv0lq)NN9D(${eX?I1X-4815Zm6`ot9*HvM5!4d$x~q)}vsYm% z`QJ6SvYjTcYoVm^>{=n|wTP>a3ahWeTC3P=ufjU3uo12!jpvIR&R2fmIz~AkIOZUg zcA+Zmrl_==q0(-iO1niW?V^*$w9Y!I59zWbeXUNb(#}<-U5=#VqRXB1_;f1QZMs4# z?TV@NQBtK{S(SDbRoeL|+wtAH+R8N*tiB3sqQU}Hm_daFs<7@#e@4H3RoGw^HcG`_ zkP4fm!e*%0Tdcyul}wXYw@Dc@@&ny|<(Q&fjItfrDHZ=ar{Y)Ns@PM>?K+j*uDhwy z#~qbE?kDX-&yxDC-YTg*eHInwsKQ)Tn1}LMGYaOyzyMUgdqe{+{x+INygV$M;mF z-5)CLUL#c3B?WFCi z9iyG0U7_8lJ&X797o4s+J#~74cidT=9i3gBJ)Cnmf9hP=*~__tvyXF4XMg9$&H>Jy zoVz;rcJA*y#CeqSc;`^(sdxvs(RrKmF6VvDhn!=bPdcA<{>J%=^AFB9oNqh->io$0 zne%g(Fqbth`&|yZ9CwLxIq&kl%T1SiE>B#ZyE0cBS9@1?*W9j!Tr0TxxYl=V?b_b8 zv+D@gF}PX{b)Dim!*!nPBG+i0wJwWJt8>-m(B;ze2S zbOv3ZuDhy*Z0v6&=1v*(vQ^#>nG`_ z>*wef=$Gij^lS9%^;`5&`aSyn`Xl;d`Z#^O{(}BH{Wbkf{XP9d{ZsuP`WJ2%ZnkcA zZcc7GH%~-QNkm3-x3+G9Zr$AaxQ%if>lWd*(Jj(Fr+XgvK=L=q|K@Joa^ue8@IoT9rTP9w?7~s z}3a%s5?r|(&Dxv|`Y(-)z*JXvnd>0y2@x1t)pFu?6B zVU!*`=klL8Ncwsax8pD`U!om&)}70-*g;=#;_`XyaB{)ny5r_J*ZyPgz?~SF_HQsZ zd@#Yae^Ct|esJv{RKtftT%Kc%16nQ5=k|Z0v4a+t^?2HfJ7{3p0pBg*4)(Oei+12) zDemA&JMdi)?of<&)TAAF)`>e*q8<3|26w229r7Ajc4$I-@w^gu_>A`A3m4p>A-${( z?ZD$k+@URPwx>-zBg7qgPz}%YaEIYk!!tPCVJtNXqD?$F!{xO&T!#>1lZfGw7w#~d zHs{eMo>Soti)nKiZQ|h)?y!bxG?ES*X~!1Y#1kCcVFxzla5~c6#PI9|cZj1Jo|WJZ z-(aufLA#3F;R3|bf<#NwoMbY|B8VJ;19A+f&GmS#;|7w=B#|WBFrkh+N%oNJgJ>IJ zho0O=UWd-L4Tyb?-n0hVfrlQrmPSaMFdBGLfor=`lY!J^1U11k23$LYSP-4Uda^Q^ zcHj{Kt_`6bbExrRV)$^MYv+@_)wGFEA*KVU7hiDTYdvoncs^Md5E^i>C zv1Ez{LVJOB;8SLJbsTX&$MH45PcHpBb?&N?PeYC{o zP3VKZrox?E5mrt*5_kK$+$k?ao{KK!z0~=BYKJeKaQO%}?HsVfE(bAu^@7_u!ZGde zjXG|ZmG%~=9r)%1w<|z9@C`U_SA?w0!z9~Pz$Dw%A_KLlT_m+5f3|Bzn|o-pIc)~e z<`LSYS+%3NbdIA<@^*O{nIe~Wrc`Cuftm!;CZ5XYcAaVS3);k^^xUo+ZT6&1Jpaz^ zdeP=w$|YwgmnOs;q;n%ifgO_{`w#2%T!UJEPo3fgp`O&vDv z-KpkDwd_>ONwwTKRr@m3t}L`~I6V{3?X9VXXV`g`OE&lhY&PqE7|%|UgCwU-ZpL#_EjP)hBzZ{klH{Yk`KeZb zY6YoQh@>z{5t5=L#Yl>il%RHABqd2ofw88V?u_k|Y4as*qHp&Cf|%(aTy>tqmm0S?hU>x1~)3Nhgwa zB<)E$kaQ#oBQ$#QDEf+UP&CCMt1)g)_3 z){=xntf$fZM$pNuBetFlY@pgkl1(I=Nw$z|C5eQv7vz|KlVg5GEQ(|s?Wj(*?NqBt zQiC>iRC`LVSV{6fk}#6Kn)Qq|&>wGAz1)VHY$J&#i6Uu7d;5_51>u$? zoWz1eg0O)khe?LfD|V8MB56#l6NKX@WEnd{GMZ#8?fsIZJ#99j+8C1KG#W7^CrGA~ z^q?I-P^}xukF?p3YCTE%)8>AvT_CwkQis@QB=tz@L#$UIz^qqWuVL0$G?_IrFta{m zeU`CjG|mU;Wk*P+(JMko){^WaHlJh)ZHALnVY#-S^hG?2I=52c)A{Sz8 z9yR`)&Zq^+WNJK#WCCrDCuu_?-io9xHEvDPjASNBbCOvkGf3v*wKi@x?##y1CM&aT zNYaR;F-a5Krp&e()tZyEAZbYwK=L{5ZAG=#RBJ=Ewj>6Ub|mddI*@cE=|t@UNjj5s zA=cFvzsA>%q&rCulAa{JNP3g>A?Zu6=tt6@WB|!Pl0hVcNrsRNrN+ZZhLemS8A&pV zvXW#K$!glWhH7g`!bu`X){(3y*+5M; zQf(8-W|A!=TWLom$yc-)MY4@Hw^MBg4arWb?IPJtvWH|Z?T9AXN3x&f0Lej;LnMbu zj!@$mlA|QCB*#dOlbj$qNpgxB$B~>SIYV-m zlJ7{a(s_JOwIArUKT_=)$#s&SNN$kaB>9=-7RharUr6pi*fGQccS2NfI}$C4BQcq3 zSx7XrX-i^Hn+~X1KePTFg1@N1D%sv;>}Tv?r%Apec|vlVg~kYs~Md8w9@B*8$!W&zqP zOj3-*i=;G3Ig*MHDWQosZB`|r$+N6Y;!jeKqyb4|l4c|=AyQGQ(KK4pG+K5d=|a+t zq$f!ql7S@nL3Cz062k95q!P3_mL!NIgoGx~k|xiRCeM;4&ypt3k|xh`2}CMIwdEu$ zN!E}=kZd5?OcF`5jbs-|G(;*(HJUujSdvpDG-Z}FWtLY+XtpeAqAY2mENP;o3RI)n zvV27H8_6FeG)Go6JyzBvG6_wJ6-|m%nQAmMRx~qKG&5GYNb-@;R9MkeSkcK?l_#OG zm#R>WCcug&z{-!LK1o9o8g?rhcB?id?MMP4Qgy1)h+Fk089*|WWE9Cbk_jXhv0 zR}%AyUFo9iz=Sl6aDDNiLK8KyrhG#?R_L z$rFfFmumkb`5R&_k&shclT+i}Cd8S(`zv>^GMgoe|)14+VgHl