From dbd9403f72095c3998415441cc05766f0d9ef37e Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sun, 8 Nov 2020 14:42:22 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.fir | 7852 ++++++++--------- el2_lsu_bus_buffer.v | 3008 ++++--- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 43 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 553572 -> 553189 bytes 6 files changed, 5519 insertions(+), 5384 deletions(-) diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 219650f3..71dd522b 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -297,174 +297,176 @@ circuit el2_lsu_bus_buffer : wire buf_state : UInt<3>[4] @[el2_lsu_bus_buffer.scala 129:23] wire buf_write : UInt<4> buf_write <= UInt<1>("h00") - node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 133:46] - node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 134:46] - node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 136:66] - node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 136:89] - node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 136:74] - node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 136:109] - node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 136:98] - node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 136:129] - node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 136:113] - node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 136:141] - node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 136:66] - node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 136:89] - node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 136:74] - node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 136:109] - node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 136:98] - node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 136:129] - node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 136:113] - node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 136:141] - node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 136:66] - node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 136:89] - node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 136:74] - node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 136:109] - node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 136:98] - node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 136:129] - node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 136:113] - node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 136:141] - node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 136:66] - node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 136:89] - node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 136:74] - node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 136:109] - node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 136:98] - node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 136:129] - node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 136:113] - node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 136:141] - node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] - node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] - node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 137:74] - node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 137:109] - node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 137:98] - node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] - node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 137:113] - node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] - node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] - node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] - node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 137:74] - node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 137:109] - node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 137:98] - node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] - node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 137:113] - node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] - node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] - node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] - node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 137:74] - node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 137:109] - node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 137:98] - node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] - node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 137:113] - node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] - node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] - node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] - node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 137:74] - node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 137:109] - node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 137:98] - node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] - node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 137:113] - node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] - wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 138:33] + wire CmdPtr0 : UInt<2> + CmdPtr0 <= UInt<1>("h00") + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[el2_lsu_bus_buffer.scala 134:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[el2_lsu_bus_buffer.scala 135:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] + node _T_2 = eq(_T, _T_1) @[el2_lsu_bus_buffer.scala 137:74] + node _T_3 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 137:109] + node _T_4 = and(_T_2, _T_3) @[el2_lsu_bus_buffer.scala 137:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] + node _T_6 = and(_T_4, _T_5) @[el2_lsu_bus_buffer.scala 137:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] + node _T_9 = eq(_T_7, _T_8) @[el2_lsu_bus_buffer.scala 137:74] + node _T_10 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 137:109] + node _T_11 = and(_T_9, _T_10) @[el2_lsu_bus_buffer.scala 137:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] + node _T_13 = and(_T_11, _T_12) @[el2_lsu_bus_buffer.scala 137:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] + node _T_16 = eq(_T_14, _T_15) @[el2_lsu_bus_buffer.scala 137:74] + node _T_17 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 137:109] + node _T_18 = and(_T_16, _T_17) @[el2_lsu_bus_buffer.scala 137:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] + node _T_20 = and(_T_18, _T_19) @[el2_lsu_bus_buffer.scala 137:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 137:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 137:89] + node _T_23 = eq(_T_21, _T_22) @[el2_lsu_bus_buffer.scala 137:74] + node _T_24 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 137:109] + node _T_25 = and(_T_23, _T_24) @[el2_lsu_bus_buffer.scala 137:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 137:129] + node _T_27 = and(_T_25, _T_26) @[el2_lsu_bus_buffer.scala 137:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 137:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] + node _T_30 = eq(_T_28, _T_29) @[el2_lsu_bus_buffer.scala 138:74] + node _T_31 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 138:109] + node _T_32 = and(_T_30, _T_31) @[el2_lsu_bus_buffer.scala 138:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] + node _T_34 = and(_T_32, _T_33) @[el2_lsu_bus_buffer.scala 138:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] + node _T_37 = eq(_T_35, _T_36) @[el2_lsu_bus_buffer.scala 138:74] + node _T_38 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 138:109] + node _T_39 = and(_T_37, _T_38) @[el2_lsu_bus_buffer.scala 138:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] + node _T_41 = and(_T_39, _T_40) @[el2_lsu_bus_buffer.scala 138:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] + node _T_44 = eq(_T_42, _T_43) @[el2_lsu_bus_buffer.scala 138:74] + node _T_45 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 138:109] + node _T_46 = and(_T_44, _T_45) @[el2_lsu_bus_buffer.scala 138:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] + node _T_48 = and(_T_46, _T_47) @[el2_lsu_bus_buffer.scala 138:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 138:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 138:89] + node _T_51 = eq(_T_49, _T_50) @[el2_lsu_bus_buffer.scala 138:74] + node _T_52 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 138:109] + node _T_53 = and(_T_51, _T_52) @[el2_lsu_bus_buffer.scala 138:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 138:129] + node _T_55 = and(_T_53, _T_54) @[el2_lsu_bus_buffer.scala 138:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 138:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[el2_lsu_bus_buffer.scala 139:33] wire ld_byte_ibuf_hit_lo : UInt<4> ld_byte_ibuf_hit_lo <= UInt<1>("h00") - wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 140:33] + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[el2_lsu_bus_buffer.scala 141:33] wire ld_byte_ibuf_hit_hi : UInt<4> ld_byte_ibuf_hit_hi <= UInt<1>("h00") - wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 142:24] - buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:14] - buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:14] - buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:14] - buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 143:14] - wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 144:26] - buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] - buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] - buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] - buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 145:16] - wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 146:23] - buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:13] - buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:13] - buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:13] - buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 147:13] - wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 148:25] - buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] - buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] - buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] - buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 149:15] - wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 150:30] - buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:20] - buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:20] - buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:20] - buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 151:20] - wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 152:26] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 153:16] - wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 154:26] - buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] - buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] - buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] - buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 155:16] - wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 156:25] - buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:15] - buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:15] - buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:15] - buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 157:15] - wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 158:29] - buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:19] - buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:19] - buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:19] - buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 159:19] - wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 160:26] - buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:16] - buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:16] - buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:16] - buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 161:16] + wire buf_byteen : UInt<4>[4] @[el2_lsu_bus_buffer.scala 143:24] + buf_byteen[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:14] + buf_byteen[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:14] + buf_byteen[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:14] + buf_byteen[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 144:14] + wire buf_nxtstate : UInt<3>[4] @[el2_lsu_bus_buffer.scala 145:26] + buf_nxtstate[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:16] + buf_nxtstate[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:16] + buf_nxtstate[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:16] + buf_nxtstate[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 146:16] + wire buf_wr_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 147:23] + buf_wr_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:13] + buf_wr_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:13] + buf_wr_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:13] + buf_wr_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 148:13] + wire buf_data_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 149:25] + buf_data_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:15] + buf_data_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:15] + buf_data_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:15] + buf_data_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 150:15] + wire buf_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 151:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 152:20] + wire buf_ldfwd_in : UInt<1>[4] @[el2_lsu_bus_buffer.scala 153:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 154:16] + wire buf_ldfwd_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 155:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 156:16] + wire buf_data_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 157:25] + buf_data_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] + buf_data_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] + buf_data_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] + buf_data_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 158:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 159:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 160:19] + wire buf_error_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 161:26] + buf_error_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 162:16] + buf_error_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 162:16] + buf_error_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 162:16] + buf_error_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 162:16] wire bus_rsp_read_error : UInt<1> bus_rsp_read_error <= UInt<1>("h00") wire bus_rsp_rdata : UInt<64> bus_rsp_rdata <= UInt<1>("h00") wire bus_rsp_write_error : UInt<1> bus_rsp_write_error <= UInt<1>("h00") - wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 165:25] - buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:15] - buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:15] - buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:15] - buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 166:15] + wire buf_dualtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 166:25] + buf_dualtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:15] + buf_dualtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:15] + buf_dualtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:15] + buf_dualtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 167:15] wire buf_ldfwd : UInt<4> buf_ldfwd <= UInt<1>("h00") - wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 168:35] - buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:25] - buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:25] - buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:25] - buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 169:25] + wire buf_resp_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 169:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 170:25] wire any_done_wait_state : UInt<1> any_done_wait_state <= UInt<1>("h00") wire bus_rsp_write : UInt<1> bus_rsp_write <= UInt<1>("h00") wire bus_rsp_write_tag : UInt<3> bus_rsp_write_tag <= UInt<1>("h00") - wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 173:26] - buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:16] - buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:16] - buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:16] - buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 174:16] - wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 175:21] - buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:11] - buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:11] - buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:11] - buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 176:11] + wire buf_ldfwdtag : UInt<2>[4] @[el2_lsu_bus_buffer.scala 174:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 175:16] + wire buf_rst : UInt<1>[4] @[el2_lsu_bus_buffer.scala 176:21] + buf_rst[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:11] + buf_rst[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:11] + buf_rst[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:11] + buf_rst[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 177:11] wire ibuf_drainvec_vld : UInt<4> ibuf_drainvec_vld <= UInt<1>("h00") - wire buf_byteen_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 178:27] - buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:17] - buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:17] - buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:17] - buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 179:17] - wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 180:25] - buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:15] - buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:15] - buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:15] - buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 181:15] + wire buf_byteen_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 179:27] + buf_byteen_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 180:17] + buf_byteen_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 180:17] + buf_byteen_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 180:17] + buf_byteen_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 180:17] + wire buf_addr_in : UInt<32>[4] @[el2_lsu_bus_buffer.scala 181:25] + buf_addr_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:15] + buf_addr_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:15] + buf_addr_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:15] + buf_addr_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 182:15] wire buf_dual_in : UInt<4> buf_dual_in <= UInt<1>("h00") wire buf_samedw_in : UInt<4> @@ -473,763 +475,763 @@ circuit el2_lsu_bus_buffer : buf_nomerge_in <= UInt<1>("h00") wire buf_dualhi_in : UInt<4> buf_dualhi_in <= UInt<1>("h00") - wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 186:28] - buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 187:18] - buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 187:18] - buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 187:18] - buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 187:18] + wire buf_dualtag_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 187:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 188:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 188:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 188:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 188:18] wire buf_sideeffect_in : UInt<4> buf_sideeffect_in <= UInt<1>("h00") wire buf_unsign_in : UInt<4> buf_unsign_in <= UInt<1>("h00") - wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 190:23] - buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 191:13] - buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 191:13] - buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 191:13] - buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 191:13] + wire buf_sz_in : UInt<2>[4] @[el2_lsu_bus_buffer.scala 191:23] + buf_sz_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 192:13] + buf_sz_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 192:13] + buf_sz_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 192:13] + buf_sz_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 192:13] wire buf_write_in : UInt<4> buf_write_in <= UInt<1>("h00") wire buf_unsign : UInt<4> buf_unsign <= UInt<1>("h00") wire buf_error : UInt<4> buf_error <= UInt<1>("h00") - node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 196:73] - node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 196:98] - node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 196:77] - node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 196:73] - node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 196:98] - node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 196:77] - node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 196:73] - node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 196:98] - node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 196:77] - node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 196:73] - node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 196:98] - node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 196:77] + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[el2_lsu_bus_buffer.scala 197:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 197:98] + node _T_58 = or(_T_56, _T_57) @[el2_lsu_bus_buffer.scala 197:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[el2_lsu_bus_buffer.scala 197:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 197:98] + node _T_61 = or(_T_59, _T_60) @[el2_lsu_bus_buffer.scala 197:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[el2_lsu_bus_buffer.scala 197:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 197:98] + node _T_64 = or(_T_62, _T_63) @[el2_lsu_bus_buffer.scala 197:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[el2_lsu_bus_buffer.scala 197:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 197:98] + node _T_67 = or(_T_65, _T_66) @[el2_lsu_bus_buffer.scala 197:77] node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] - io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 196:25] - node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 197:73] - node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 197:98] - node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 197:77] - node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 197:73] - node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 197:98] - node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 197:77] - node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 197:73] - node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 197:98] - node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 197:77] - node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 197:73] - node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 197:98] - node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 197:77] + io.ld_byte_hit_buf_lo <= _T_70 @[el2_lsu_bus_buffer.scala 197:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[el2_lsu_bus_buffer.scala 198:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 198:98] + node _T_73 = or(_T_71, _T_72) @[el2_lsu_bus_buffer.scala 198:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[el2_lsu_bus_buffer.scala 198:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 198:98] + node _T_76 = or(_T_74, _T_75) @[el2_lsu_bus_buffer.scala 198:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[el2_lsu_bus_buffer.scala 198:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 198:98] + node _T_79 = or(_T_77, _T_78) @[el2_lsu_bus_buffer.scala 198:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[el2_lsu_bus_buffer.scala 198:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 198:98] + node _T_82 = or(_T_80, _T_81) @[el2_lsu_bus_buffer.scala 198:77] node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] - io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 197:25] - node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 199:110] - node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 199:95] - node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 199:132] - node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 199:114] - node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 199:110] - node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 199:95] - node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 199:132] - node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 199:114] - node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 199:110] - node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 199:95] - node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 199:132] - node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 199:114] - node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 199:110] - node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 199:95] - node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 199:132] - node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 199:114] + io.ld_byte_hit_buf_hi <= _T_85 @[el2_lsu_bus_buffer.scala 198:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[el2_lsu_bus_buffer.scala 200:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] + node _T_89 = and(_T_87, _T_88) @[el2_lsu_bus_buffer.scala 200:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[el2_lsu_bus_buffer.scala 200:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] + node _T_93 = and(_T_91, _T_92) @[el2_lsu_bus_buffer.scala 200:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[el2_lsu_bus_buffer.scala 200:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] + node _T_97 = and(_T_95, _T_96) @[el2_lsu_bus_buffer.scala 200:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[el2_lsu_bus_buffer.scala 200:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] + node _T_101 = and(_T_99, _T_100) @[el2_lsu_bus_buffer.scala 200:114] node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] - node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 199:110] - node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 199:95] - node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 199:132] - node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 199:114] - node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 199:110] - node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 199:95] - node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 199:132] - node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 199:114] - node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 199:110] - node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 199:95] - node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 199:132] - node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 199:114] - node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 199:110] - node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 199:95] - node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 199:132] - node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 199:114] + node _T_104 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[el2_lsu_bus_buffer.scala 200:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] + node _T_107 = and(_T_105, _T_106) @[el2_lsu_bus_buffer.scala 200:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[el2_lsu_bus_buffer.scala 200:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] + node _T_111 = and(_T_109, _T_110) @[el2_lsu_bus_buffer.scala 200:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[el2_lsu_bus_buffer.scala 200:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] + node _T_115 = and(_T_113, _T_114) @[el2_lsu_bus_buffer.scala 200:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[el2_lsu_bus_buffer.scala 200:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] + node _T_119 = and(_T_117, _T_118) @[el2_lsu_bus_buffer.scala 200:114] node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] - node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 199:110] - node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 199:95] - node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 199:132] - node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 199:114] - node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 199:110] - node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 199:95] - node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 199:132] - node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 199:114] - node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 199:110] - node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 199:95] - node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 199:132] - node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 199:114] - node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 199:110] - node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 199:95] - node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 199:132] - node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 199:114] + node _T_122 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[el2_lsu_bus_buffer.scala 200:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] + node _T_125 = and(_T_123, _T_124) @[el2_lsu_bus_buffer.scala 200:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[el2_lsu_bus_buffer.scala 200:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] + node _T_129 = and(_T_127, _T_128) @[el2_lsu_bus_buffer.scala 200:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[el2_lsu_bus_buffer.scala 200:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] + node _T_133 = and(_T_131, _T_132) @[el2_lsu_bus_buffer.scala 200:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[el2_lsu_bus_buffer.scala 200:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] + node _T_137 = and(_T_135, _T_136) @[el2_lsu_bus_buffer.scala 200:114] node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] - node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 199:110] - node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 199:95] - node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 199:132] - node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 199:114] - node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 199:110] - node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 199:95] - node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 199:132] - node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 199:114] - node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 199:110] - node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 199:95] - node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 199:132] - node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 199:114] - node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 199:110] - node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 199:95] - node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 199:132] - node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 199:114] + node _T_140 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[el2_lsu_bus_buffer.scala 200:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] + node _T_143 = and(_T_141, _T_142) @[el2_lsu_bus_buffer.scala 200:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[el2_lsu_bus_buffer.scala 200:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] + node _T_147 = and(_T_145, _T_146) @[el2_lsu_bus_buffer.scala 200:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[el2_lsu_bus_buffer.scala 200:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] + node _T_151 = and(_T_149, _T_150) @[el2_lsu_bus_buffer.scala 200:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[el2_lsu_bus_buffer.scala 200:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] + node _T_155 = and(_T_153, _T_154) @[el2_lsu_bus_buffer.scala 200:114] node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] - node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] - node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 200:95] - node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] - node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 200:114] - node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] - node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 200:95] - node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] - node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 200:114] - node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] - node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 200:95] - node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] - node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 200:114] - node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 200:110] - node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 200:95] - node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 200:132] - node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 200:114] + node _T_158 = bits(buf_byteen[0], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[el2_lsu_bus_buffer.scala 201:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] + node _T_161 = and(_T_159, _T_160) @[el2_lsu_bus_buffer.scala 201:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[el2_lsu_bus_buffer.scala 201:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] + node _T_165 = and(_T_163, _T_164) @[el2_lsu_bus_buffer.scala 201:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[el2_lsu_bus_buffer.scala 201:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] + node _T_169 = and(_T_167, _T_168) @[el2_lsu_bus_buffer.scala 201:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[el2_lsu_bus_buffer.scala 201:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[el2_lsu_bus_buffer.scala 201:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 201:132] + node _T_173 = and(_T_171, _T_172) @[el2_lsu_bus_buffer.scala 201:114] node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] - node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] - node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 200:95] - node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] - node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 200:114] - node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] - node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 200:95] - node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] - node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 200:114] - node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] - node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 200:95] - node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] - node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 200:114] - node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 200:110] - node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 200:95] - node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 200:132] - node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 200:114] + node _T_176 = bits(buf_byteen[0], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[el2_lsu_bus_buffer.scala 201:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] + node _T_179 = and(_T_177, _T_178) @[el2_lsu_bus_buffer.scala 201:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[el2_lsu_bus_buffer.scala 201:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] + node _T_183 = and(_T_181, _T_182) @[el2_lsu_bus_buffer.scala 201:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[el2_lsu_bus_buffer.scala 201:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] + node _T_187 = and(_T_185, _T_186) @[el2_lsu_bus_buffer.scala 201:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[el2_lsu_bus_buffer.scala 201:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[el2_lsu_bus_buffer.scala 201:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 201:132] + node _T_191 = and(_T_189, _T_190) @[el2_lsu_bus_buffer.scala 201:114] node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] - node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] - node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 200:95] - node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] - node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 200:114] - node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] - node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 200:95] - node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] - node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 200:114] - node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] - node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 200:95] - node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] - node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 200:114] - node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 200:110] - node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 200:95] - node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 200:132] - node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 200:114] + node _T_194 = bits(buf_byteen[0], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[el2_lsu_bus_buffer.scala 201:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] + node _T_197 = and(_T_195, _T_196) @[el2_lsu_bus_buffer.scala 201:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[el2_lsu_bus_buffer.scala 201:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] + node _T_201 = and(_T_199, _T_200) @[el2_lsu_bus_buffer.scala 201:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[el2_lsu_bus_buffer.scala 201:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] + node _T_205 = and(_T_203, _T_204) @[el2_lsu_bus_buffer.scala 201:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[el2_lsu_bus_buffer.scala 201:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[el2_lsu_bus_buffer.scala 201:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 201:132] + node _T_209 = and(_T_207, _T_208) @[el2_lsu_bus_buffer.scala 201:114] node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] - node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] - node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 200:95] - node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] - node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 200:114] - node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] - node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 200:95] - node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] - node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 200:114] - node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] - node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 200:95] - node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] - node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 200:114] - node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 200:110] - node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 200:95] - node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 200:132] - node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 200:114] + node _T_212 = bits(buf_byteen[0], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[el2_lsu_bus_buffer.scala 201:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] + node _T_215 = and(_T_213, _T_214) @[el2_lsu_bus_buffer.scala 201:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[el2_lsu_bus_buffer.scala 201:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] + node _T_219 = and(_T_217, _T_218) @[el2_lsu_bus_buffer.scala 201:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[el2_lsu_bus_buffer.scala 201:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] + node _T_223 = and(_T_221, _T_222) @[el2_lsu_bus_buffer.scala 201:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[el2_lsu_bus_buffer.scala 201:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[el2_lsu_bus_buffer.scala 201:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 201:132] + node _T_227 = and(_T_225, _T_226) @[el2_lsu_bus_buffer.scala 201:114] node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] - wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 202:29] - buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 203:19] - buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 203:19] - buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 203:19] - buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 203:19] - node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 204:93] - node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 204:144] - node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 204:97] - node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 204:170] - node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 204:148] - node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 204:93] - node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 204:144] - node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 204:97] - node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 204:170] - node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 204:148] - node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 204:93] - node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 204:144] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 204:97] - node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 204:170] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 204:148] - node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 204:93] - node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 204:144] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 204:97] - node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 204:170] - node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 204:148] + wire buf_age_younger : UInt<4>[4] @[el2_lsu_bus_buffer.scala 203:29] + buf_age_younger[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 204:19] + buf_age_younger[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 204:19] + buf_age_younger[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 204:19] + buf_age_younger[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 204:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_232 = orr(_T_231) @[el2_lsu_bus_buffer.scala 205:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_234 = and(_T_230, _T_233) @[el2_lsu_bus_buffer.scala 205:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_237 = and(_T_234, _T_236) @[el2_lsu_bus_buffer.scala 205:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_240 = orr(_T_239) @[el2_lsu_bus_buffer.scala 205:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_242 = and(_T_238, _T_241) @[el2_lsu_bus_buffer.scala 205:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_245 = and(_T_242, _T_244) @[el2_lsu_bus_buffer.scala 205:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_248 = orr(_T_247) @[el2_lsu_bus_buffer.scala 205:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_250 = and(_T_246, _T_249) @[el2_lsu_bus_buffer.scala 205:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_253 = and(_T_250, _T_252) @[el2_lsu_bus_buffer.scala 205:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_256 = orr(_T_255) @[el2_lsu_bus_buffer.scala 205:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_258 = and(_T_254, _T_257) @[el2_lsu_bus_buffer.scala 205:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_261 = and(_T_258, _T_260) @[el2_lsu_bus_buffer.scala 205:148] node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] - node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 204:93] - node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 204:144] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 204:97] - node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 204:170] - node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 204:148] - node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 204:93] - node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 204:144] - node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 204:97] - node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 204:170] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 204:148] - node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 204:93] - node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 204:144] - node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 204:97] - node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 204:170] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 204:148] - node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 204:93] - node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 204:144] - node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 204:97] - node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 204:170] - node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 204:148] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_267 = orr(_T_266) @[el2_lsu_bus_buffer.scala 205:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_269 = and(_T_265, _T_268) @[el2_lsu_bus_buffer.scala 205:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_272 = and(_T_269, _T_271) @[el2_lsu_bus_buffer.scala 205:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_275 = orr(_T_274) @[el2_lsu_bus_buffer.scala 205:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_277 = and(_T_273, _T_276) @[el2_lsu_bus_buffer.scala 205:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_280 = and(_T_277, _T_279) @[el2_lsu_bus_buffer.scala 205:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_283 = orr(_T_282) @[el2_lsu_bus_buffer.scala 205:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_285 = and(_T_281, _T_284) @[el2_lsu_bus_buffer.scala 205:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_288 = and(_T_285, _T_287) @[el2_lsu_bus_buffer.scala 205:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_291 = orr(_T_290) @[el2_lsu_bus_buffer.scala 205:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_293 = and(_T_289, _T_292) @[el2_lsu_bus_buffer.scala 205:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_296 = and(_T_293, _T_295) @[el2_lsu_bus_buffer.scala 205:148] node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] - node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 204:93] - node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 204:144] - node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 204:97] - node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 204:170] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 204:148] - node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 204:93] - node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 204:144] - node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 204:97] - node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 204:170] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 204:148] - node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 204:93] - node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 204:144] - node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 204:97] - node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 204:170] - node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 204:148] - node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 204:93] - node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 204:144] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 204:97] - node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 204:170] - node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 204:148] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_302 = orr(_T_301) @[el2_lsu_bus_buffer.scala 205:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_304 = and(_T_300, _T_303) @[el2_lsu_bus_buffer.scala 205:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_307 = and(_T_304, _T_306) @[el2_lsu_bus_buffer.scala 205:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_310 = orr(_T_309) @[el2_lsu_bus_buffer.scala 205:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_312 = and(_T_308, _T_311) @[el2_lsu_bus_buffer.scala 205:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_315 = and(_T_312, _T_314) @[el2_lsu_bus_buffer.scala 205:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_318 = orr(_T_317) @[el2_lsu_bus_buffer.scala 205:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_320 = and(_T_316, _T_319) @[el2_lsu_bus_buffer.scala 205:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_323 = and(_T_320, _T_322) @[el2_lsu_bus_buffer.scala 205:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_326 = orr(_T_325) @[el2_lsu_bus_buffer.scala 205:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_328 = and(_T_324, _T_327) @[el2_lsu_bus_buffer.scala 205:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_331 = and(_T_328, _T_330) @[el2_lsu_bus_buffer.scala 205:148] node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] - node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 204:93] - node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 204:144] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 204:97] - node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 204:170] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 204:148] - node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 204:93] - node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 204:144] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 204:97] - node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 204:170] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 204:148] - node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 204:93] - node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 204:144] - node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 204:97] - node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 204:170] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 204:148] - node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 204:93] - node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 204:122] - node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 204:144] - node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:99] - node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 204:97] - node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 204:170] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 204:150] - node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 204:148] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_337 = orr(_T_336) @[el2_lsu_bus_buffer.scala 205:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_339 = and(_T_335, _T_338) @[el2_lsu_bus_buffer.scala 205:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_342 = and(_T_339, _T_341) @[el2_lsu_bus_buffer.scala 205:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_345 = orr(_T_344) @[el2_lsu_bus_buffer.scala 205:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_347 = and(_T_343, _T_346) @[el2_lsu_bus_buffer.scala 205:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_350 = and(_T_347, _T_349) @[el2_lsu_bus_buffer.scala 205:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_353 = orr(_T_352) @[el2_lsu_bus_buffer.scala 205:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_355 = and(_T_351, _T_354) @[el2_lsu_bus_buffer.scala 205:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_358 = and(_T_355, _T_357) @[el2_lsu_bus_buffer.scala 205:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] + node _T_361 = orr(_T_360) @[el2_lsu_bus_buffer.scala 205:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] + node _T_363 = and(_T_359, _T_362) @[el2_lsu_bus_buffer.scala 205:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] + node _T_366 = and(_T_363, _T_365) @[el2_lsu_bus_buffer.scala 205:148] node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] - ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 204:23] - ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 204:23] - ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 204:23] - ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 204:23] - node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] - node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 205:144] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 205:97] - node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] - node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 205:148] - node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] - node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 205:144] - node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 205:97] - node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] - node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 205:148] - node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] - node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 205:144] - node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 205:97] - node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 205:148] - node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] - node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 205:144] - node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 205:97] - node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 205:170] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 205:148] + ld_byte_hitvecfn_lo[0] <= _T_264 @[el2_lsu_bus_buffer.scala 205:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[el2_lsu_bus_buffer.scala 205:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[el2_lsu_bus_buffer.scala 205:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[el2_lsu_bus_buffer.scala 205:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_372 = orr(_T_371) @[el2_lsu_bus_buffer.scala 206:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_374 = and(_T_370, _T_373) @[el2_lsu_bus_buffer.scala 206:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_377 = and(_T_374, _T_376) @[el2_lsu_bus_buffer.scala 206:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_380 = orr(_T_379) @[el2_lsu_bus_buffer.scala 206:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_382 = and(_T_378, _T_381) @[el2_lsu_bus_buffer.scala 206:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_385 = and(_T_382, _T_384) @[el2_lsu_bus_buffer.scala 206:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_388 = orr(_T_387) @[el2_lsu_bus_buffer.scala 206:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_390 = and(_T_386, _T_389) @[el2_lsu_bus_buffer.scala 206:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_393 = and(_T_390, _T_392) @[el2_lsu_bus_buffer.scala 206:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_396 = orr(_T_395) @[el2_lsu_bus_buffer.scala 206:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_398 = and(_T_394, _T_397) @[el2_lsu_bus_buffer.scala 206:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[el2_lsu_bus_buffer.scala 206:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_401 = and(_T_398, _T_400) @[el2_lsu_bus_buffer.scala 206:148] node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] - node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] - node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 205:144] - node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 205:97] - node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] - node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 205:148] - node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] - node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 205:144] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 205:97] - node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 205:148] - node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] - node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 205:144] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 205:97] - node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] - node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 205:148] - node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] - node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 205:144] - node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 205:97] - node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 205:170] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 205:148] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_407 = orr(_T_406) @[el2_lsu_bus_buffer.scala 206:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_409 = and(_T_405, _T_408) @[el2_lsu_bus_buffer.scala 206:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_412 = and(_T_409, _T_411) @[el2_lsu_bus_buffer.scala 206:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_415 = orr(_T_414) @[el2_lsu_bus_buffer.scala 206:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_417 = and(_T_413, _T_416) @[el2_lsu_bus_buffer.scala 206:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_420 = and(_T_417, _T_419) @[el2_lsu_bus_buffer.scala 206:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_423 = orr(_T_422) @[el2_lsu_bus_buffer.scala 206:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_425 = and(_T_421, _T_424) @[el2_lsu_bus_buffer.scala 206:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_428 = and(_T_425, _T_427) @[el2_lsu_bus_buffer.scala 206:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_431 = orr(_T_430) @[el2_lsu_bus_buffer.scala 206:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_433 = and(_T_429, _T_432) @[el2_lsu_bus_buffer.scala 206:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[el2_lsu_bus_buffer.scala 206:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_436 = and(_T_433, _T_435) @[el2_lsu_bus_buffer.scala 206:148] node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] - node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] - node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 205:144] - node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 205:97] - node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 205:148] - node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] - node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 205:144] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 205:97] - node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] - node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 205:148] - node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] - node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 205:144] - node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 205:97] - node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] - node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 205:148] - node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] - node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 205:144] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 205:97] - node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 205:170] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 205:148] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_442 = orr(_T_441) @[el2_lsu_bus_buffer.scala 206:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_444 = and(_T_440, _T_443) @[el2_lsu_bus_buffer.scala 206:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_447 = and(_T_444, _T_446) @[el2_lsu_bus_buffer.scala 206:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_450 = orr(_T_449) @[el2_lsu_bus_buffer.scala 206:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_452 = and(_T_448, _T_451) @[el2_lsu_bus_buffer.scala 206:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_455 = and(_T_452, _T_454) @[el2_lsu_bus_buffer.scala 206:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_458 = orr(_T_457) @[el2_lsu_bus_buffer.scala 206:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_460 = and(_T_456, _T_459) @[el2_lsu_bus_buffer.scala 206:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_463 = and(_T_460, _T_462) @[el2_lsu_bus_buffer.scala 206:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_466 = orr(_T_465) @[el2_lsu_bus_buffer.scala 206:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_468 = and(_T_464, _T_467) @[el2_lsu_bus_buffer.scala 206:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[el2_lsu_bus_buffer.scala 206:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_471 = and(_T_468, _T_470) @[el2_lsu_bus_buffer.scala 206:148] node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] - node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 205:93] - node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 205:144] - node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 205:97] - node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 205:148] - node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 205:93] - node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 205:144] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 205:97] - node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 205:148] - node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 205:93] - node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 205:144] - node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 205:97] - node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 205:148] - node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 205:93] - node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 205:122] - node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 205:144] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:99] - node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 205:97] - node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 205:170] - node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 205:150] - node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 205:148] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[el2_lsu_bus_buffer.scala 206:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_477 = orr(_T_476) @[el2_lsu_bus_buffer.scala 206:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_479 = and(_T_475, _T_478) @[el2_lsu_bus_buffer.scala 206:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_482 = and(_T_479, _T_481) @[el2_lsu_bus_buffer.scala 206:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[el2_lsu_bus_buffer.scala 206:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_485 = orr(_T_484) @[el2_lsu_bus_buffer.scala 206:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_487 = and(_T_483, _T_486) @[el2_lsu_bus_buffer.scala 206:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_490 = and(_T_487, _T_489) @[el2_lsu_bus_buffer.scala 206:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[el2_lsu_bus_buffer.scala 206:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_493 = orr(_T_492) @[el2_lsu_bus_buffer.scala 206:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_495 = and(_T_491, _T_494) @[el2_lsu_bus_buffer.scala 206:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_498 = and(_T_495, _T_497) @[el2_lsu_bus_buffer.scala 206:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[el2_lsu_bus_buffer.scala 206:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[el2_lsu_bus_buffer.scala 206:122] + node _T_501 = orr(_T_500) @[el2_lsu_bus_buffer.scala 206:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:99] + node _T_503 = and(_T_499, _T_502) @[el2_lsu_bus_buffer.scala 206:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[el2_lsu_bus_buffer.scala 206:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 206:150] + node _T_506 = and(_T_503, _T_505) @[el2_lsu_bus_buffer.scala 206:148] node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] - ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 205:23] - ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 205:23] - ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 205:23] - ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 205:23] + ld_byte_hitvecfn_hi[0] <= _T_404 @[el2_lsu_bus_buffer.scala 206:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[el2_lsu_bus_buffer.scala 206:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[el2_lsu_bus_buffer.scala 206:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[el2_lsu_bus_buffer.scala 206:23] wire ibuf_addr : UInt<32> ibuf_addr <= UInt<1>("h00") wire ibuf_write : UInt<1> ibuf_write <= UInt<1>("h00") wire ibuf_valid : UInt<1> ibuf_valid <= UInt<1>("h00") - node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 210:43] - node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 210:64] - node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 210:51] - node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 210:73] - node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 210:86] - node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 210:99] - node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 211:43] - node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 211:64] - node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 211:51] - node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 211:73] - node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 211:86] - node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 211:99] + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 211:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 211:64] + node _T_512 = eq(_T_510, _T_511) @[el2_lsu_bus_buffer.scala 211:51] + node _T_513 = and(_T_512, ibuf_write) @[el2_lsu_bus_buffer.scala 211:73] + node _T_514 = and(_T_513, ibuf_valid) @[el2_lsu_bus_buffer.scala 211:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 211:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 212:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 212:64] + node _T_517 = eq(_T_515, _T_516) @[el2_lsu_bus_buffer.scala 212:51] + node _T_518 = and(_T_517, ibuf_write) @[el2_lsu_bus_buffer.scala 212:73] + node _T_519 = and(_T_518, ibuf_valid) @[el2_lsu_bus_buffer.scala 212:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 212:99] wire ibuf_byteen : UInt<4> ibuf_byteen <= UInt<1>("h00") - node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 215:61] - node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 215:48] - node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 215:83] - node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 215:65] - ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 215:25] - node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 216:61] - node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 216:48] - node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 216:83] - node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 216:65] - ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 216:25] - node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 215:61] - node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 215:48] - node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 215:83] - node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 215:65] - ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 215:25] - node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 216:61] - node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 216:48] - node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 216:83] - node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 216:65] - ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 216:25] - node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 215:61] - node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 215:48] - node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 215:83] - node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 215:65] - ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 215:25] - node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 216:61] - node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 216:48] - node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 216:83] - node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 216:65] - ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 216:25] - node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 215:61] - node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 215:48] - node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 215:83] - node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 215:65] - ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 215:25] - node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 216:61] - node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 216:48] - node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 216:83] - node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 216:65] - ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 216:25] - wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 218:22] - buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 219:12] - buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 219:12] - buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 219:12] - buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 219:12] + node _T_520 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 216:61] + node _T_521 = and(ld_addr_ibuf_hit_lo, _T_520) @[el2_lsu_bus_buffer.scala 216:48] + node _T_522 = bits(ldst_byteen_lo_m, 0, 0) @[el2_lsu_bus_buffer.scala 216:83] + node _T_523 = and(_T_521, _T_522) @[el2_lsu_bus_buffer.scala 216:65] + ld_byte_ibuf_hit_lo <= _T_523 @[el2_lsu_bus_buffer.scala 216:25] + node _T_524 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 217:61] + node _T_525 = and(ld_addr_ibuf_hit_hi, _T_524) @[el2_lsu_bus_buffer.scala 217:48] + node _T_526 = bits(ldst_byteen_hi_m, 0, 0) @[el2_lsu_bus_buffer.scala 217:83] + node _T_527 = and(_T_525, _T_526) @[el2_lsu_bus_buffer.scala 217:65] + ld_byte_ibuf_hit_hi <= _T_527 @[el2_lsu_bus_buffer.scala 217:25] + node _T_528 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 216:61] + node _T_529 = and(ld_addr_ibuf_hit_lo, _T_528) @[el2_lsu_bus_buffer.scala 216:48] + node _T_530 = bits(ldst_byteen_lo_m, 1, 1) @[el2_lsu_bus_buffer.scala 216:83] + node _T_531 = and(_T_529, _T_530) @[el2_lsu_bus_buffer.scala 216:65] + ld_byte_ibuf_hit_lo <= _T_531 @[el2_lsu_bus_buffer.scala 216:25] + node _T_532 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 217:61] + node _T_533 = and(ld_addr_ibuf_hit_hi, _T_532) @[el2_lsu_bus_buffer.scala 217:48] + node _T_534 = bits(ldst_byteen_hi_m, 1, 1) @[el2_lsu_bus_buffer.scala 217:83] + node _T_535 = and(_T_533, _T_534) @[el2_lsu_bus_buffer.scala 217:65] + ld_byte_ibuf_hit_hi <= _T_535 @[el2_lsu_bus_buffer.scala 217:25] + node _T_536 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 216:61] + node _T_537 = and(ld_addr_ibuf_hit_lo, _T_536) @[el2_lsu_bus_buffer.scala 216:48] + node _T_538 = bits(ldst_byteen_lo_m, 2, 2) @[el2_lsu_bus_buffer.scala 216:83] + node _T_539 = and(_T_537, _T_538) @[el2_lsu_bus_buffer.scala 216:65] + ld_byte_ibuf_hit_lo <= _T_539 @[el2_lsu_bus_buffer.scala 216:25] + node _T_540 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 217:61] + node _T_541 = and(ld_addr_ibuf_hit_hi, _T_540) @[el2_lsu_bus_buffer.scala 217:48] + node _T_542 = bits(ldst_byteen_hi_m, 2, 2) @[el2_lsu_bus_buffer.scala 217:83] + node _T_543 = and(_T_541, _T_542) @[el2_lsu_bus_buffer.scala 217:65] + ld_byte_ibuf_hit_hi <= _T_543 @[el2_lsu_bus_buffer.scala 217:25] + node _T_544 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 216:61] + node _T_545 = and(ld_addr_ibuf_hit_lo, _T_544) @[el2_lsu_bus_buffer.scala 216:48] + node _T_546 = bits(ldst_byteen_lo_m, 3, 3) @[el2_lsu_bus_buffer.scala 216:83] + node _T_547 = and(_T_545, _T_546) @[el2_lsu_bus_buffer.scala 216:65] + ld_byte_ibuf_hit_lo <= _T_547 @[el2_lsu_bus_buffer.scala 216:25] + node _T_548 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 217:61] + node _T_549 = and(ld_addr_ibuf_hit_hi, _T_548) @[el2_lsu_bus_buffer.scala 217:48] + node _T_550 = bits(ldst_byteen_hi_m, 3, 3) @[el2_lsu_bus_buffer.scala 217:83] + node _T_551 = and(_T_549, _T_550) @[el2_lsu_bus_buffer.scala 217:65] + ld_byte_ibuf_hit_hi <= _T_551 @[el2_lsu_bus_buffer.scala 217:25] + wire buf_data : UInt<32>[4] @[el2_lsu_bus_buffer.scala 219:22] + buf_data[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 220:12] + buf_data[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 220:12] + buf_data[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 220:12] + buf_data[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 220:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 222:86] + node _T_552 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 223:86] node _T_553 = bits(_T_552, 0, 0) @[Bitwise.scala 72:15] node _T_554 = mux(_T_553, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 222:91] - node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 222:86] + node _T_555 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 223:104] + node _T_556 = and(_T_554, _T_555) @[el2_lsu_bus_buffer.scala 223:91] + node _T_557 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 223:86] node _T_558 = bits(_T_557, 0, 0) @[Bitwise.scala 72:15] node _T_559 = mux(_T_558, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 222:91] - node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 222:86] + node _T_560 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 223:104] + node _T_561 = and(_T_559, _T_560) @[el2_lsu_bus_buffer.scala 223:91] + node _T_562 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 223:86] node _T_563 = bits(_T_562, 0, 0) @[Bitwise.scala 72:15] node _T_564 = mux(_T_563, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 222:91] - node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 222:86] + node _T_565 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 223:104] + node _T_566 = and(_T_564, _T_565) @[el2_lsu_bus_buffer.scala 223:91] + node _T_567 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 223:86] node _T_568 = bits(_T_567, 0, 0) @[Bitwise.scala 72:15] node _T_569 = mux(_T_568, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 222:104] - node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 222:91] - node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 222:123] - node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 222:123] - node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 222:123] - node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] + node _T_570 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 223:104] + node _T_571 = and(_T_569, _T_570) @[el2_lsu_bus_buffer.scala 223:91] + node _T_572 = or(_T_556, _T_561) @[el2_lsu_bus_buffer.scala 223:123] + node _T_573 = or(_T_572, _T_566) @[el2_lsu_bus_buffer.scala 223:123] + node _T_574 = or(_T_573, _T_571) @[el2_lsu_bus_buffer.scala 223:123] + node _T_575 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 224:60] node _T_576 = bits(_T_575, 0, 0) @[Bitwise.scala 72:15] node _T_577 = mux(_T_576, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 223:65] - node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] + node _T_578 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 224:78] + node _T_579 = and(_T_577, _T_578) @[el2_lsu_bus_buffer.scala 224:65] + node _T_580 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 224:60] node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] node _T_582 = mux(_T_581, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 223:65] - node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] + node _T_583 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 224:78] + node _T_584 = and(_T_582, _T_583) @[el2_lsu_bus_buffer.scala 224:65] + node _T_585 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 224:60] node _T_586 = bits(_T_585, 0, 0) @[Bitwise.scala 72:15] node _T_587 = mux(_T_586, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 223:65] - node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] + node _T_588 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 224:78] + node _T_589 = and(_T_587, _T_588) @[el2_lsu_bus_buffer.scala 224:65] + node _T_590 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 224:60] node _T_591 = bits(_T_590, 0, 0) @[Bitwise.scala 72:15] node _T_592 = mux(_T_591, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 223:78] - node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 223:65] - node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 223:97] - node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 223:97] - node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 223:97] - node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 224:60] + node _T_593 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 224:78] + node _T_594 = and(_T_592, _T_593) @[el2_lsu_bus_buffer.scala 224:65] + node _T_595 = or(_T_579, _T_584) @[el2_lsu_bus_buffer.scala 224:97] + node _T_596 = or(_T_595, _T_589) @[el2_lsu_bus_buffer.scala 224:97] + node _T_597 = or(_T_596, _T_594) @[el2_lsu_bus_buffer.scala 224:97] + node _T_598 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 225:60] node _T_599 = bits(_T_598, 0, 0) @[Bitwise.scala 72:15] node _T_600 = mux(_T_599, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 224:65] - node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 224:60] + node _T_601 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 225:78] + node _T_602 = and(_T_600, _T_601) @[el2_lsu_bus_buffer.scala 225:65] + node _T_603 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 225:60] node _T_604 = bits(_T_603, 0, 0) @[Bitwise.scala 72:15] node _T_605 = mux(_T_604, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 224:65] - node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 224:60] + node _T_606 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 225:78] + node _T_607 = and(_T_605, _T_606) @[el2_lsu_bus_buffer.scala 225:65] + node _T_608 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 225:60] node _T_609 = bits(_T_608, 0, 0) @[Bitwise.scala 72:15] node _T_610 = mux(_T_609, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 224:65] - node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 224:60] + node _T_611 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 225:78] + node _T_612 = and(_T_610, _T_611) @[el2_lsu_bus_buffer.scala 225:65] + node _T_613 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 225:60] node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 224:78] - node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 224:65] - node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 224:96] - node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 224:96] - node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 224:96] - node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 225:60] + node _T_616 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 225:78] + node _T_617 = and(_T_615, _T_616) @[el2_lsu_bus_buffer.scala 225:65] + node _T_618 = or(_T_602, _T_607) @[el2_lsu_bus_buffer.scala 225:96] + node _T_619 = or(_T_618, _T_612) @[el2_lsu_bus_buffer.scala 225:96] + node _T_620 = or(_T_619, _T_617) @[el2_lsu_bus_buffer.scala 225:96] + node _T_621 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 226:60] node _T_622 = bits(_T_621, 0, 0) @[Bitwise.scala 72:15] node _T_623 = mux(_T_622, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 225:65] - node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 225:60] + node _T_624 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 226:78] + node _T_625 = and(_T_623, _T_624) @[el2_lsu_bus_buffer.scala 226:65] + node _T_626 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 226:60] node _T_627 = bits(_T_626, 0, 0) @[Bitwise.scala 72:15] node _T_628 = mux(_T_627, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 225:65] - node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 225:60] + node _T_629 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 226:78] + node _T_630 = and(_T_628, _T_629) @[el2_lsu_bus_buffer.scala 226:65] + node _T_631 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 226:60] node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 225:65] - node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 225:60] + node _T_634 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 226:78] + node _T_635 = and(_T_633, _T_634) @[el2_lsu_bus_buffer.scala 226:65] + node _T_636 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 226:60] node _T_637 = bits(_T_636, 0, 0) @[Bitwise.scala 72:15] node _T_638 = mux(_T_637, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 225:78] - node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 225:65] - node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 225:95] - node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 225:95] - node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 225:95] + node _T_639 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 226:78] + node _T_640 = and(_T_638, _T_639) @[el2_lsu_bus_buffer.scala 226:65] + node _T_641 = or(_T_625, _T_630) @[el2_lsu_bus_buffer.scala 226:95] + node _T_642 = or(_T_641, _T_635) @[el2_lsu_bus_buffer.scala 226:95] + node _T_643 = or(_T_642, _T_640) @[el2_lsu_bus_buffer.scala 226:95] node _T_644 = cat(_T_620, _T_643) @[Cat.scala 29:58] node _T_645 = cat(_T_574, _T_597) @[Cat.scala 29:58] node _T_646 = cat(_T_645, _T_644) @[Cat.scala 29:58] - io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 222:24] - node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 227:86] + io.ld_fwddata_buf_lo <= _T_646 @[el2_lsu_bus_buffer.scala 223:24] + node _T_647 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 228:86] node _T_648 = bits(_T_647, 0, 0) @[Bitwise.scala 72:15] node _T_649 = mux(_T_648, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 227:104] - node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 227:91] - node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 227:86] + node _T_650 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 228:104] + node _T_651 = and(_T_649, _T_650) @[el2_lsu_bus_buffer.scala 228:91] + node _T_652 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 228:86] node _T_653 = bits(_T_652, 0, 0) @[Bitwise.scala 72:15] node _T_654 = mux(_T_653, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 227:104] - node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 227:91] - node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 227:86] + node _T_655 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 228:104] + node _T_656 = and(_T_654, _T_655) @[el2_lsu_bus_buffer.scala 228:91] + node _T_657 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 228:86] node _T_658 = bits(_T_657, 0, 0) @[Bitwise.scala 72:15] node _T_659 = mux(_T_658, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 227:104] - node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 227:91] - node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 227:86] + node _T_660 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 228:104] + node _T_661 = and(_T_659, _T_660) @[el2_lsu_bus_buffer.scala 228:91] + node _T_662 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 228:86] node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] node _T_664 = mux(_T_663, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 227:104] - node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 227:91] - node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 227:123] - node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 227:123] - node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 227:123] - node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 228:60] + node _T_665 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 228:104] + node _T_666 = and(_T_664, _T_665) @[el2_lsu_bus_buffer.scala 228:91] + node _T_667 = or(_T_651, _T_656) @[el2_lsu_bus_buffer.scala 228:123] + node _T_668 = or(_T_667, _T_661) @[el2_lsu_bus_buffer.scala 228:123] + node _T_669 = or(_T_668, _T_666) @[el2_lsu_bus_buffer.scala 228:123] + node _T_670 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 229:60] node _T_671 = bits(_T_670, 0, 0) @[Bitwise.scala 72:15] node _T_672 = mux(_T_671, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 228:78] - node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 228:65] - node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 228:60] + node _T_673 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 229:78] + node _T_674 = and(_T_672, _T_673) @[el2_lsu_bus_buffer.scala 229:65] + node _T_675 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 229:60] node _T_676 = bits(_T_675, 0, 0) @[Bitwise.scala 72:15] node _T_677 = mux(_T_676, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 228:78] - node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 228:65] - node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 228:60] + node _T_678 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 229:78] + node _T_679 = and(_T_677, _T_678) @[el2_lsu_bus_buffer.scala 229:65] + node _T_680 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 229:60] node _T_681 = bits(_T_680, 0, 0) @[Bitwise.scala 72:15] node _T_682 = mux(_T_681, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 228:78] - node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 228:65] - node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 228:60] + node _T_683 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 229:78] + node _T_684 = and(_T_682, _T_683) @[el2_lsu_bus_buffer.scala 229:65] + node _T_685 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 229:60] node _T_686 = bits(_T_685, 0, 0) @[Bitwise.scala 72:15] node _T_687 = mux(_T_686, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 228:78] - node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 228:65] - node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 228:97] - node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 228:97] - node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 228:97] - node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 229:60] + node _T_688 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 229:78] + node _T_689 = and(_T_687, _T_688) @[el2_lsu_bus_buffer.scala 229:65] + node _T_690 = or(_T_674, _T_679) @[el2_lsu_bus_buffer.scala 229:97] + node _T_691 = or(_T_690, _T_684) @[el2_lsu_bus_buffer.scala 229:97] + node _T_692 = or(_T_691, _T_689) @[el2_lsu_bus_buffer.scala 229:97] + node _T_693 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 230:60] node _T_694 = bits(_T_693, 0, 0) @[Bitwise.scala 72:15] node _T_695 = mux(_T_694, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 229:78] - node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 229:65] - node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 229:60] + node _T_696 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 230:78] + node _T_697 = and(_T_695, _T_696) @[el2_lsu_bus_buffer.scala 230:65] + node _T_698 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 230:60] node _T_699 = bits(_T_698, 0, 0) @[Bitwise.scala 72:15] node _T_700 = mux(_T_699, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 229:78] - node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 229:65] - node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 229:60] + node _T_701 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 230:78] + node _T_702 = and(_T_700, _T_701) @[el2_lsu_bus_buffer.scala 230:65] + node _T_703 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 230:60] node _T_704 = bits(_T_703, 0, 0) @[Bitwise.scala 72:15] node _T_705 = mux(_T_704, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 229:78] - node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 229:65] - node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 229:60] + node _T_706 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 230:78] + node _T_707 = and(_T_705, _T_706) @[el2_lsu_bus_buffer.scala 230:65] + node _T_708 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 230:60] node _T_709 = bits(_T_708, 0, 0) @[Bitwise.scala 72:15] node _T_710 = mux(_T_709, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 229:78] - node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 229:65] - node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 229:96] - node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 229:96] - node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 229:96] - node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 230:60] + node _T_711 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 230:78] + node _T_712 = and(_T_710, _T_711) @[el2_lsu_bus_buffer.scala 230:65] + node _T_713 = or(_T_697, _T_702) @[el2_lsu_bus_buffer.scala 230:96] + node _T_714 = or(_T_713, _T_707) @[el2_lsu_bus_buffer.scala 230:96] + node _T_715 = or(_T_714, _T_712) @[el2_lsu_bus_buffer.scala 230:96] + node _T_716 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 231:60] node _T_717 = bits(_T_716, 0, 0) @[Bitwise.scala 72:15] node _T_718 = mux(_T_717, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 230:78] - node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 230:65] - node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 230:60] + node _T_719 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 231:78] + node _T_720 = and(_T_718, _T_719) @[el2_lsu_bus_buffer.scala 231:65] + node _T_721 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 231:60] node _T_722 = bits(_T_721, 0, 0) @[Bitwise.scala 72:15] node _T_723 = mux(_T_722, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 230:78] - node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 230:65] - node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 230:60] + node _T_724 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 231:78] + node _T_725 = and(_T_723, _T_724) @[el2_lsu_bus_buffer.scala 231:65] + node _T_726 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 231:60] node _T_727 = bits(_T_726, 0, 0) @[Bitwise.scala 72:15] node _T_728 = mux(_T_727, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 230:78] - node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 230:65] - node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 230:60] + node _T_729 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 231:78] + node _T_730 = and(_T_728, _T_729) @[el2_lsu_bus_buffer.scala 231:65] + node _T_731 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 231:60] node _T_732 = bits(_T_731, 0, 0) @[Bitwise.scala 72:15] node _T_733 = mux(_T_732, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 230:78] - node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 230:65] - node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 230:95] - node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 230:95] - node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 230:95] + node _T_734 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 231:78] + node _T_735 = and(_T_733, _T_734) @[el2_lsu_bus_buffer.scala 231:65] + node _T_736 = or(_T_720, _T_725) @[el2_lsu_bus_buffer.scala 231:95] + node _T_737 = or(_T_736, _T_730) @[el2_lsu_bus_buffer.scala 231:95] + node _T_738 = or(_T_737, _T_735) @[el2_lsu_bus_buffer.scala 231:95] node _T_739 = cat(_T_715, _T_738) @[Cat.scala 29:58] node _T_740 = cat(_T_669, _T_692) @[Cat.scala 29:58] node _T_741 = cat(_T_740, _T_739) @[Cat.scala 29:58] - io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 227:24] - node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 232:65] + io.ld_fwddata_buf_hi <= _T_741 @[el2_lsu_bus_buffer.scala 228:24] + node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 233:65] node _T_742 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_743 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_744 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1237,19 +1239,19 @@ circuit el2_lsu_bus_buffer : node _T_746 = or(_T_745, _T_744) @[Mux.scala 27:72] wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_746 @[Mux.scala 27:72] - node _T_747 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:50] - node _T_748 = eq(_T_747, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 237:55] - node _T_749 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 238:50] - node _T_750 = eq(_T_749, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 238:55] - node _T_751 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 238:91] + node _T_747 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 238:50] + node _T_748 = eq(_T_747, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 238:55] + node _T_749 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 239:50] + node _T_750 = eq(_T_749, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 239:55] + node _T_751 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 239:91] node _T_752 = cat(UInt<3>("h00"), _T_751) @[Cat.scala 29:58] - node _T_753 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 239:50] - node _T_754 = eq(_T_753, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 239:55] - node _T_755 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 239:91] + node _T_753 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:50] + node _T_754 = eq(_T_753, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 240:55] + node _T_755 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 240:91] node _T_756 = cat(UInt<2>("h00"), _T_755) @[Cat.scala 29:58] - node _T_757 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:50] - node _T_758 = eq(_T_757, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 240:55] - node _T_759 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 240:91] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:50] + node _T_758 = eq(_T_757, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 241:55] + node _T_759 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 241:91] node _T_760 = cat(UInt<1>("h00"), _T_759) @[Cat.scala 29:58] node _T_761 = mux(_T_748, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_762 = mux(_T_750, _T_752, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1260,19 +1262,19 @@ circuit el2_lsu_bus_buffer : node _T_767 = or(_T_766, _T_764) @[Mux.scala 27:72] wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_hi_r <= _T_767 @[Mux.scala 27:72] - node _T_768 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:50] - node _T_769 = eq(_T_768, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 241:55] - node _T_770 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:50] - node _T_771 = eq(_T_770, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 242:55] - node _T_772 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 242:81] + node _T_768 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:50] + node _T_769 = eq(_T_768, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 242:55] + node _T_770 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:50] + node _T_771 = eq(_T_770, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 243:55] + node _T_772 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 243:81] node _T_773 = cat(_T_772, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_774 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:50] - node _T_775 = eq(_T_774, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 243:55] - node _T_776 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:81] + node _T_774 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:50] + node _T_775 = eq(_T_774, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 244:55] + node _T_776 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:81] node _T_777 = cat(_T_776, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_778 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:50] - node _T_779 = eq(_T_778, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 244:55] - node _T_780 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 244:81] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:50] + node _T_779 = eq(_T_778, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 245:55] + node _T_780 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 245:81] node _T_781 = cat(_T_780, UInt<3>("h00")) @[Cat.scala 29:58] node _T_782 = mux(_T_769, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_783 = mux(_T_771, _T_773, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1283,19 +1285,19 @@ circuit el2_lsu_bus_buffer : node _T_788 = or(_T_787, _T_785) @[Mux.scala 27:72] wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_lo_r <= _T_788 @[Mux.scala 27:72] - node _T_789 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:49] - node _T_790 = eq(_T_789, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 246:54] - node _T_791 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:49] - node _T_792 = eq(_T_791, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 247:54] - node _T_793 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 247:93] + node _T_789 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:49] + node _T_790 = eq(_T_789, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 247:54] + node _T_791 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 248:49] + node _T_792 = eq(_T_791, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 248:54] + node _T_793 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 248:93] node _T_794 = cat(UInt<8>("h00"), _T_793) @[Cat.scala 29:58] - node _T_795 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 248:49] - node _T_796 = eq(_T_795, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 248:54] - node _T_797 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 248:93] + node _T_795 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 249:49] + node _T_796 = eq(_T_795, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 249:54] + node _T_797 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 249:93] node _T_798 = cat(UInt<16>("h00"), _T_797) @[Cat.scala 29:58] - node _T_799 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 249:49] - node _T_800 = eq(_T_799, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 249:54] - node _T_801 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 249:93] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 250:49] + node _T_800 = eq(_T_799, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 250:54] + node _T_801 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 250:93] node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] node _T_803 = mux(_T_790, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_804 = mux(_T_792, _T_794, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1306,19 +1308,19 @@ circuit el2_lsu_bus_buffer : node _T_809 = or(_T_808, _T_806) @[Mux.scala 27:72] wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] store_data_hi_r <= _T_809 @[Mux.scala 27:72] - node _T_810 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 251:49] - node _T_811 = eq(_T_810, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 251:54] - node _T_812 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 252:49] - node _T_813 = eq(_T_812, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 252:54] - node _T_814 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 252:82] + node _T_810 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 252:49] + node _T_811 = eq(_T_810, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 252:54] + node _T_812 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 253:49] + node _T_813 = eq(_T_812, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 253:54] + node _T_814 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 253:82] node _T_815 = cat(_T_814, UInt<8>("h00")) @[Cat.scala 29:58] - node _T_816 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 253:49] - node _T_817 = eq(_T_816, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 253:54] - node _T_818 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 253:82] + node _T_816 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 254:49] + node _T_817 = eq(_T_816, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 254:54] + node _T_818 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 254:82] node _T_819 = cat(_T_818, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_820 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 254:49] - node _T_821 = eq(_T_820, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 254:54] - node _T_822 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 254:82] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 255:49] + node _T_821 = eq(_T_820, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 255:54] + node _T_822 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 255:82] node _T_823 = cat(_T_822, UInt<24>("h00")) @[Cat.scala 29:58] node _T_824 = mux(_T_811, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_825 = mux(_T_813, _T_815, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1329,14 +1331,14 @@ circuit el2_lsu_bus_buffer : node _T_830 = or(_T_829, _T_827) @[Mux.scala 27:72] wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] store_data_lo_r <= _T_830 @[Mux.scala 27:72] - io.test <= ldst_byteen_r @[el2_lsu_bus_buffer.scala 256:11] - node _T_831 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 258:36] - node _T_832 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 258:57] - node ldst_samedw_r = eq(_T_831, _T_832) @[el2_lsu_bus_buffer.scala 258:40] - node _T_833 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 259:67] - node _T_834 = eq(_T_833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 259:74] - node _T_835 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 260:40] - node _T_836 = eq(_T_835, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 260:26] + io.test <= ldst_byteen_r @[el2_lsu_bus_buffer.scala 257:11] + node _T_831 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 259:36] + node _T_832 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 259:57] + node ldst_samedw_r = eq(_T_831, _T_832) @[el2_lsu_bus_buffer.scala 259:40] + node _T_833 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 260:67] + node _T_834 = eq(_T_833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 260:74] + node _T_835 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 261:40] + node _T_836 = eq(_T_835, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 261:26] node _T_837 = mux(io.lsu_pkt_r.word, _T_834, UInt<1>("h00")) @[Mux.scala 27:72] node _T_838 = mux(io.lsu_pkt_r.half, _T_836, UInt<1>("h00")) @[Mux.scala 27:72] node _T_839 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1344,26 +1346,26 @@ circuit el2_lsu_bus_buffer : node _T_841 = or(_T_840, _T_839) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_841 @[Mux.scala 27:72] - node _T_842 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 262:55] - node _T_843 = and(io.lsu_busreq_r, _T_842) @[el2_lsu_bus_buffer.scala 262:34] - node _T_844 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 262:79] - node ibuf_byp = and(_T_843, _T_844) @[el2_lsu_bus_buffer.scala 262:77] - node _T_845 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 263:36] - node _T_846 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 263:56] - node ibuf_wr_en = and(_T_845, _T_846) @[el2_lsu_bus_buffer.scala 263:54] + node _T_842 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 263:55] + node _T_843 = and(io.lsu_busreq_r, _T_842) @[el2_lsu_bus_buffer.scala 263:34] + node _T_844 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 263:79] + node ibuf_byp = and(_T_843, _T_844) @[el2_lsu_bus_buffer.scala 263:77] + node _T_845 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 264:36] + node _T_846 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 264:56] + node ibuf_wr_en = and(_T_845, _T_846) @[el2_lsu_bus_buffer.scala 264:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_847 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 265:36] - node _T_848 = and(ibuf_drain_vld, _T_847) @[el2_lsu_bus_buffer.scala 265:34] - node ibuf_rst = or(_T_848, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 265:49] - node _T_849 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 266:44] - node _T_850 = and(io.lsu_busreq_m, _T_849) @[el2_lsu_bus_buffer.scala 266:42] - node _T_851 = and(_T_850, ibuf_valid) @[el2_lsu_bus_buffer.scala 266:61] - node _T_852 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 266:107] - node _T_853 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 266:132] - node _T_854 = neq(_T_852, _T_853) @[el2_lsu_bus_buffer.scala 266:115] - node _T_855 = or(io.lsu_pkt_m.load, _T_854) @[el2_lsu_bus_buffer.scala 266:95] - node ibuf_force_drain = and(_T_851, _T_855) @[el2_lsu_bus_buffer.scala 266:74] + node _T_847 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 266:36] + node _T_848 = and(ibuf_drain_vld, _T_847) @[el2_lsu_bus_buffer.scala 266:34] + node ibuf_rst = or(_T_848, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 266:49] + node _T_849 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:44] + node _T_850 = and(io.lsu_busreq_m, _T_849) @[el2_lsu_bus_buffer.scala 267:42] + node _T_851 = and(_T_850, ibuf_valid) @[el2_lsu_bus_buffer.scala 267:61] + node _T_852 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 267:107] + node _T_853 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 267:132] + node _T_854 = neq(_T_852, _T_853) @[el2_lsu_bus_buffer.scala 267:115] + node _T_855 = or(io.lsu_pkt_m.load, _T_854) @[el2_lsu_bus_buffer.scala 267:95] + node ibuf_force_drain = and(_T_851, _T_855) @[el2_lsu_bus_buffer.scala 267:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1372,220 +1374,220 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_856 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 271:62] - node _T_857 = or(ibuf_wr_en, _T_856) @[el2_lsu_bus_buffer.scala 271:48] - node _T_858 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 271:98] - node _T_859 = eq(_T_858, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 271:82] - node _T_860 = and(_T_857, _T_859) @[el2_lsu_bus_buffer.scala 271:80] - node _T_861 = or(_T_860, ibuf_byp) @[el2_lsu_bus_buffer.scala 272:5] - node _T_862 = or(_T_861, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 272:16] - node _T_863 = or(_T_862, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 272:35] - node _T_864 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 272:55] - node _T_865 = or(_T_863, _T_864) @[el2_lsu_bus_buffer.scala 272:53] - node _T_866 = or(_T_865, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 272:67] - node _T_867 = and(ibuf_valid, _T_866) @[el2_lsu_bus_buffer.scala 271:32] - ibuf_drain_vld <= _T_867 @[el2_lsu_bus_buffer.scala 271:18] + node _T_856 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 272:62] + node _T_857 = or(ibuf_wr_en, _T_856) @[el2_lsu_bus_buffer.scala 272:48] + node _T_858 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 272:98] + node _T_859 = eq(_T_858, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 272:82] + node _T_860 = and(_T_857, _T_859) @[el2_lsu_bus_buffer.scala 272:80] + node _T_861 = or(_T_860, ibuf_byp) @[el2_lsu_bus_buffer.scala 273:5] + node _T_862 = or(_T_861, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 273:16] + node _T_863 = or(_T_862, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 273:35] + node _T_864 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 273:55] + node _T_865 = or(_T_863, _T_864) @[el2_lsu_bus_buffer.scala 273:53] + node _T_866 = or(_T_865, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 273:67] + node _T_867 = and(ibuf_valid, _T_866) @[el2_lsu_bus_buffer.scala 272:32] + ibuf_drain_vld <= _T_867 @[el2_lsu_bus_buffer.scala 272:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 276:15] - node _T_868 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 277:39] - node _T_869 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 277:69] - node ibuf_tag_in = mux(_T_868, ibuf_tag, _T_869) @[el2_lsu_bus_buffer.scala 277:24] + io.WrPtr1_r <= WrPtr1_r @[el2_lsu_bus_buffer.scala 277:15] + node _T_868 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 278:39] + node _T_869 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 278:69] + node ibuf_tag_in = mux(_T_868, ibuf_tag, _T_869) @[el2_lsu_bus_buffer.scala 278:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 280:25] - node _T_870 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 281:42] - node _T_871 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 281:70] - node _T_872 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 281:95] - node _T_873 = or(_T_871, _T_872) @[el2_lsu_bus_buffer.scala 281:77] - node _T_874 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 282:41] - node _T_875 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 282:65] - node _T_876 = mux(io.ldst_dual_r, _T_874, _T_875) @[el2_lsu_bus_buffer.scala 282:8] - node ibuf_byteen_in = mux(_T_870, _T_873, _T_876) @[el2_lsu_bus_buffer.scala 281:27] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 281:25] + node _T_870 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 282:42] + node _T_871 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 282:70] + node _T_872 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 282:95] + node _T_873 = or(_T_871, _T_872) @[el2_lsu_bus_buffer.scala 282:77] + node _T_874 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 283:41] + node _T_875 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 283:65] + node _T_876 = mux(io.ldst_dual_r, _T_874, _T_875) @[el2_lsu_bus_buffer.scala 283:8] + node ibuf_byteen_in = mux(_T_870, _T_873, _T_876) @[el2_lsu_bus_buffer.scala 282:27] wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_877 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] - node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 286:25] - node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 286:45] - node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 286:76] - node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 286:8] - node _T_882 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 287:40] - node _T_883 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 287:77] - node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[el2_lsu_bus_buffer.scala 287:8] - node _T_885 = mux(_T_877, _T_881, _T_884) @[el2_lsu_bus_buffer.scala 285:46] - node _T_886 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] - node _T_887 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 286:25] - node _T_888 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 286:45] - node _T_889 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:76] - node _T_890 = mux(_T_887, _T_888, _T_889) @[el2_lsu_bus_buffer.scala 286:8] - node _T_891 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 287:40] - node _T_892 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 287:77] - node _T_893 = mux(io.ldst_dual_r, _T_891, _T_892) @[el2_lsu_bus_buffer.scala 287:8] - node _T_894 = mux(_T_886, _T_890, _T_893) @[el2_lsu_bus_buffer.scala 285:46] - node _T_895 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] - node _T_896 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 286:25] - node _T_897 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 286:45] - node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:76] - node _T_899 = mux(_T_896, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 286:8] - node _T_900 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 287:40] - node _T_901 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 287:77] - node _T_902 = mux(io.ldst_dual_r, _T_900, _T_901) @[el2_lsu_bus_buffer.scala 287:8] - node _T_903 = mux(_T_895, _T_899, _T_902) @[el2_lsu_bus_buffer.scala 285:46] - node _T_904 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] - node _T_905 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 286:25] - node _T_906 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 286:45] - node _T_907 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:76] - node _T_908 = mux(_T_905, _T_906, _T_907) @[el2_lsu_bus_buffer.scala 286:8] - node _T_909 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 287:40] - node _T_910 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 287:77] - node _T_911 = mux(io.ldst_dual_r, _T_909, _T_910) @[el2_lsu_bus_buffer.scala 287:8] - node _T_912 = mux(_T_904, _T_908, _T_911) @[el2_lsu_bus_buffer.scala 285:46] + node _T_877 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 286:61] + node _T_878 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 287:25] + node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 287:45] + node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 287:76] + node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 287:8] + node _T_882 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 288:40] + node _T_883 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 288:77] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[el2_lsu_bus_buffer.scala 288:8] + node _T_885 = mux(_T_877, _T_881, _T_884) @[el2_lsu_bus_buffer.scala 286:46] + node _T_886 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 286:61] + node _T_887 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 287:25] + node _T_888 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 287:45] + node _T_889 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 287:76] + node _T_890 = mux(_T_887, _T_888, _T_889) @[el2_lsu_bus_buffer.scala 287:8] + node _T_891 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 288:40] + node _T_892 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 288:77] + node _T_893 = mux(io.ldst_dual_r, _T_891, _T_892) @[el2_lsu_bus_buffer.scala 288:8] + node _T_894 = mux(_T_886, _T_890, _T_893) @[el2_lsu_bus_buffer.scala 286:46] + node _T_895 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 286:61] + node _T_896 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 287:25] + node _T_897 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 287:45] + node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 287:76] + node _T_899 = mux(_T_896, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 287:8] + node _T_900 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 288:40] + node _T_901 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 288:77] + node _T_902 = mux(io.ldst_dual_r, _T_900, _T_901) @[el2_lsu_bus_buffer.scala 288:8] + node _T_903 = mux(_T_895, _T_899, _T_902) @[el2_lsu_bus_buffer.scala 286:46] + node _T_904 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 286:61] + node _T_905 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 287:25] + node _T_906 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 287:45] + node _T_907 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 287:76] + node _T_908 = mux(_T_905, _T_906, _T_907) @[el2_lsu_bus_buffer.scala 287:8] + node _T_909 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 288:40] + node _T_910 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 288:77] + node _T_911 = mux(io.ldst_dual_r, _T_909, _T_910) @[el2_lsu_bus_buffer.scala 288:8] + node _T_912 = mux(_T_904, _T_908, _T_911) @[el2_lsu_bus_buffer.scala 286:46] node _T_913 = cat(_T_912, _T_903) @[Cat.scala 29:58] node _T_914 = cat(_T_913, _T_894) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_914, _T_885) @[Cat.scala 29:58] - node _T_915 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 288:59] - node _T_916 = bits(_T_915, 0, 0) @[el2_lsu_bus_buffer.scala 288:79] - node _T_917 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 288:93] - node _T_918 = tail(_T_917, 1) @[el2_lsu_bus_buffer.scala 288:93] - node _T_919 = mux(_T_916, _T_918, ibuf_timer) @[el2_lsu_bus_buffer.scala 288:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_919) @[el2_lsu_bus_buffer.scala 288:26] - node _T_920 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 290:36] - node _T_921 = and(_T_920, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 290:54] - node _T_922 = and(_T_921, ibuf_valid) @[el2_lsu_bus_buffer.scala 290:75] - node _T_923 = and(_T_922, ibuf_write) @[el2_lsu_bus_buffer.scala 290:88] - node _T_924 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 290:117] - node _T_925 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 290:137] - node _T_926 = eq(_T_924, _T_925) @[el2_lsu_bus_buffer.scala 290:124] - node _T_927 = and(_T_923, _T_926) @[el2_lsu_bus_buffer.scala 290:101] - node _T_928 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 290:147] - node _T_929 = and(_T_927, _T_928) @[el2_lsu_bus_buffer.scala 290:145] - node _T_930 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 290:170] - node _T_931 = and(_T_929, _T_930) @[el2_lsu_bus_buffer.scala 290:168] - ibuf_merge_en <= _T_931 @[el2_lsu_bus_buffer.scala 290:17] - node _T_932 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:20] - ibuf_merge_in <= _T_932 @[el2_lsu_bus_buffer.scala 291:17] - node _T_933 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] - node _T_934 = and(ibuf_merge_en, _T_933) @[el2_lsu_bus_buffer.scala 292:63] - node _T_935 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 292:92] - node _T_936 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 292:114] - node _T_937 = or(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 292:96] - node _T_938 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 292:130] - node _T_939 = mux(_T_934, _T_937, _T_938) @[el2_lsu_bus_buffer.scala 292:48] - node _T_940 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] - node _T_941 = and(ibuf_merge_en, _T_940) @[el2_lsu_bus_buffer.scala 292:63] - node _T_942 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 292:92] - node _T_943 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 292:114] - node _T_944 = or(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 292:96] - node _T_945 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 292:130] - node _T_946 = mux(_T_941, _T_944, _T_945) @[el2_lsu_bus_buffer.scala 292:48] - node _T_947 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] - node _T_948 = and(ibuf_merge_en, _T_947) @[el2_lsu_bus_buffer.scala 292:63] - node _T_949 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 292:92] - node _T_950 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 292:114] - node _T_951 = or(_T_949, _T_950) @[el2_lsu_bus_buffer.scala 292:96] - node _T_952 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 292:130] - node _T_953 = mux(_T_948, _T_951, _T_952) @[el2_lsu_bus_buffer.scala 292:48] - node _T_954 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] - node _T_955 = and(ibuf_merge_en, _T_954) @[el2_lsu_bus_buffer.scala 292:63] - node _T_956 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 292:92] - node _T_957 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 292:114] - node _T_958 = or(_T_956, _T_957) @[el2_lsu_bus_buffer.scala 292:96] - node _T_959 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 292:130] - node _T_960 = mux(_T_955, _T_958, _T_959) @[el2_lsu_bus_buffer.scala 292:48] + node _T_915 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 289:59] + node _T_916 = bits(_T_915, 0, 0) @[el2_lsu_bus_buffer.scala 289:79] + node _T_917 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 289:93] + node _T_918 = tail(_T_917, 1) @[el2_lsu_bus_buffer.scala 289:93] + node _T_919 = mux(_T_916, _T_918, ibuf_timer) @[el2_lsu_bus_buffer.scala 289:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_919) @[el2_lsu_bus_buffer.scala 289:26] + node _T_920 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 291:36] + node _T_921 = and(_T_920, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 291:54] + node _T_922 = and(_T_921, ibuf_valid) @[el2_lsu_bus_buffer.scala 291:75] + node _T_923 = and(_T_922, ibuf_write) @[el2_lsu_bus_buffer.scala 291:88] + node _T_924 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 291:117] + node _T_925 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 291:137] + node _T_926 = eq(_T_924, _T_925) @[el2_lsu_bus_buffer.scala 291:124] + node _T_927 = and(_T_923, _T_926) @[el2_lsu_bus_buffer.scala 291:101] + node _T_928 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:147] + node _T_929 = and(_T_927, _T_928) @[el2_lsu_bus_buffer.scala 291:145] + node _T_930 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:170] + node _T_931 = and(_T_929, _T_930) @[el2_lsu_bus_buffer.scala 291:168] + ibuf_merge_en <= _T_931 @[el2_lsu_bus_buffer.scala 291:17] + node _T_932 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:20] + ibuf_merge_in <= _T_932 @[el2_lsu_bus_buffer.scala 292:17] + node _T_933 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:65] + node _T_934 = and(ibuf_merge_en, _T_933) @[el2_lsu_bus_buffer.scala 293:63] + node _T_935 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 293:92] + node _T_936 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 293:114] + node _T_937 = or(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 293:96] + node _T_938 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 293:130] + node _T_939 = mux(_T_934, _T_937, _T_938) @[el2_lsu_bus_buffer.scala 293:48] + node _T_940 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:65] + node _T_941 = and(ibuf_merge_en, _T_940) @[el2_lsu_bus_buffer.scala 293:63] + node _T_942 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 293:92] + node _T_943 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 293:114] + node _T_944 = or(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 293:96] + node _T_945 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 293:130] + node _T_946 = mux(_T_941, _T_944, _T_945) @[el2_lsu_bus_buffer.scala 293:48] + node _T_947 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:65] + node _T_948 = and(ibuf_merge_en, _T_947) @[el2_lsu_bus_buffer.scala 293:63] + node _T_949 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 293:92] + node _T_950 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 293:114] + node _T_951 = or(_T_949, _T_950) @[el2_lsu_bus_buffer.scala 293:96] + node _T_952 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 293:130] + node _T_953 = mux(_T_948, _T_951, _T_952) @[el2_lsu_bus_buffer.scala 293:48] + node _T_954 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:65] + node _T_955 = and(ibuf_merge_en, _T_954) @[el2_lsu_bus_buffer.scala 293:63] + node _T_956 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 293:92] + node _T_957 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 293:114] + node _T_958 = or(_T_956, _T_957) @[el2_lsu_bus_buffer.scala 293:96] + node _T_959 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 293:130] + node _T_960 = mux(_T_955, _T_958, _T_959) @[el2_lsu_bus_buffer.scala 293:48] node _T_961 = cat(_T_960, _T_953) @[Cat.scala 29:58] node _T_962 = cat(_T_961, _T_946) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_962, _T_939) @[Cat.scala 29:58] - node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] - node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 293:60] - node _T_965 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 293:98] - node _T_966 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 293:118] - node _T_967 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 293:143] - node _T_968 = mux(_T_965, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 293:81] - node _T_969 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 293:169] - node _T_970 = mux(_T_964, _T_968, _T_969) @[el2_lsu_bus_buffer.scala 293:45] - node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] - node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 293:60] - node _T_973 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 293:98] - node _T_974 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 293:118] - node _T_975 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 293:143] - node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 293:81] - node _T_977 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 293:169] - node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 293:45] - node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] - node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 293:60] - node _T_981 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 293:98] - node _T_982 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 293:118] - node _T_983 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 293:143] - node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 293:81] - node _T_985 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 293:169] - node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 293:45] - node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] - node _T_988 = and(ibuf_merge_en, _T_987) @[el2_lsu_bus_buffer.scala 293:60] - node _T_989 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 293:98] - node _T_990 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 293:118] - node _T_991 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 293:143] - node _T_992 = mux(_T_989, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 293:81] - node _T_993 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 293:169] - node _T_994 = mux(_T_988, _T_992, _T_993) @[el2_lsu_bus_buffer.scala 293:45] + node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:62] + node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 294:60] + node _T_965 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 294:98] + node _T_966 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 294:118] + node _T_967 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 294:143] + node _T_968 = mux(_T_965, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 294:81] + node _T_969 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 294:169] + node _T_970 = mux(_T_964, _T_968, _T_969) @[el2_lsu_bus_buffer.scala 294:45] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 294:60] + node _T_973 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 294:98] + node _T_974 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 294:118] + node _T_975 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 294:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 294:81] + node _T_977 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 294:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 294:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 294:60] + node _T_981 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 294:98] + node _T_982 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 294:118] + node _T_983 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 294:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 294:81] + node _T_985 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 294:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 294:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[el2_lsu_bus_buffer.scala 294:60] + node _T_989 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 294:98] + node _T_990 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 294:118] + node _T_991 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 294:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 294:81] + node _T_993 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 294:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[el2_lsu_bus_buffer.scala 294:45] node _T_995 = cat(_T_994, _T_986) @[Cat.scala 29:58] node _T_996 = cat(_T_995, _T_978) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_996, _T_970) @[Cat.scala 29:58] - node _T_997 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 295:28] - node _T_998 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:63] - node _T_999 = and(_T_997, _T_998) @[el2_lsu_bus_buffer.scala 295:61] - reg _T_1000 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 295:24] - _T_1000 <= _T_999 @[el2_lsu_bus_buffer.scala 295:24] - ibuf_valid <= _T_1000 @[el2_lsu_bus_buffer.scala 295:14] - node _T_1001 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 296:120] - node _T_1002 = bits(_T_1001, 0, 0) @[el2_lsu_bus_buffer.scala 296:120] - node _T_1003 = and(ibuf_wr_en, _T_1002) @[el2_lsu_bus_buffer.scala 296:89] + node _T_997 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 296:28] + node _T_998 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 296:63] + node _T_999 = and(_T_997, _T_998) @[el2_lsu_bus_buffer.scala 296:61] + reg _T_1000 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 296:24] + _T_1000 <= _T_999 @[el2_lsu_bus_buffer.scala 296:24] + ibuf_valid <= _T_1000 @[el2_lsu_bus_buffer.scala 296:14] + node _T_1001 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 297:120] + node _T_1002 = bits(_T_1001, 0, 0) @[el2_lsu_bus_buffer.scala 297:120] + node _T_1003 = and(ibuf_wr_en, _T_1002) @[el2_lsu_bus_buffer.scala 297:89] reg _T_1004 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1003 : @[Reg.scala 28:19] _T_1004 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_1004 @[el2_lsu_bus_buffer.scala 296:12] - node _T_1005 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 297:131] - node _T_1006 = bits(_T_1005, 0, 0) @[el2_lsu_bus_buffer.scala 297:131] - node _T_1007 = and(ibuf_wr_en, _T_1006) @[el2_lsu_bus_buffer.scala 297:100] + ibuf_tag <= _T_1004 @[el2_lsu_bus_buffer.scala 297:12] + node _T_1005 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 298:131] + node _T_1006 = bits(_T_1005, 0, 0) @[el2_lsu_bus_buffer.scala 298:131] + node _T_1007 = and(ibuf_wr_en, _T_1006) @[el2_lsu_bus_buffer.scala 298:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1007 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1008 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 298:127] - node _T_1009 = bits(_T_1008, 0, 0) @[el2_lsu_bus_buffer.scala 298:127] - node _T_1010 = and(ibuf_wr_en, _T_1009) @[el2_lsu_bus_buffer.scala 298:96] + node _T_1008 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:127] + node _T_1009 = bits(_T_1008, 0, 0) @[el2_lsu_bus_buffer.scala 299:127] + node _T_1010 = and(ibuf_wr_en, _T_1009) @[el2_lsu_bus_buffer.scala 299:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1010 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1011 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:128] - node _T_1012 = bits(_T_1011, 0, 0) @[el2_lsu_bus_buffer.scala 299:128] - node _T_1013 = and(ibuf_wr_en, _T_1012) @[el2_lsu_bus_buffer.scala 299:97] + node _T_1011 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:128] + node _T_1012 = bits(_T_1011, 0, 0) @[el2_lsu_bus_buffer.scala 300:128] + node _T_1013 = and(ibuf_wr_en, _T_1012) @[el2_lsu_bus_buffer.scala 300:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1013 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1014 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:135] - node _T_1015 = bits(_T_1014, 0, 0) @[el2_lsu_bus_buffer.scala 300:135] - node _T_1016 = and(ibuf_wr_en, _T_1015) @[el2_lsu_bus_buffer.scala 300:104] + node _T_1014 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:135] + node _T_1015 = bits(_T_1014, 0, 0) @[el2_lsu_bus_buffer.scala 301:135] + node _T_1016 = and(ibuf_wr_en, _T_1015) @[el2_lsu_bus_buffer.scala 301:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1016 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1017 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:135] - node _T_1018 = bits(_T_1017, 0, 0) @[el2_lsu_bus_buffer.scala 301:135] - node _T_1019 = and(ibuf_wr_en, _T_1018) @[el2_lsu_bus_buffer.scala 301:104] + node _T_1017 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 302:135] + node _T_1018 = bits(_T_1017, 0, 0) @[el2_lsu_bus_buffer.scala 302:135] + node _T_1019 = and(ibuf_wr_en, _T_1018) @[el2_lsu_bus_buffer.scala 302:104] reg _T_1020 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1019 : @[Reg.scala 28:19] _T_1020 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1020 @[el2_lsu_bus_buffer.scala 301:19] - node _T_1021 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 302:134] - node _T_1022 = bits(_T_1021, 0, 0) @[el2_lsu_bus_buffer.scala 302:134] - node _T_1023 = and(ibuf_wr_en, _T_1022) @[el2_lsu_bus_buffer.scala 302:103] + ibuf_sideeffect <= _T_1020 @[el2_lsu_bus_buffer.scala 302:19] + node _T_1021 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 303:134] + node _T_1022 = bits(_T_1021, 0, 0) @[el2_lsu_bus_buffer.scala 303:134] + node _T_1023 = and(ibuf_wr_en, _T_1022) @[el2_lsu_bus_buffer.scala 303:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1023 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] @@ -1594,7 +1596,7 @@ circuit el2_lsu_bus_buffer : when ibuf_wr_en : @[Reg.scala 28:19] _T_1024 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1024 @[el2_lsu_bus_buffer.scala 303:14] + ibuf_write <= _T_1024 @[el2_lsu_bus_buffer.scala 304:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1607,12 +1609,12 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1025 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1025 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_1025 @[el2_lsu_bus_buffer.scala 305:13] + ibuf_addr <= _T_1025 @[el2_lsu_bus_buffer.scala 306:13] reg _T_1026 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1026 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1026 @[el2_lsu_bus_buffer.scala 306:15] + ibuf_byteen <= _T_1026 @[el2_lsu_bus_buffer.scala 307:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -1621,24 +1623,21 @@ circuit el2_lsu_bus_buffer : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1027 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1027 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_1027 @[el2_lsu_bus_buffer.scala 307:13] - reg _T_1028 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 308:59] - _T_1028 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 308:59] - ibuf_timer <= _T_1028 @[el2_lsu_bus_buffer.scala 308:14] + ibuf_data <= _T_1027 @[el2_lsu_bus_buffer.scala 308:13] + reg _T_1028 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 309:59] + _T_1028 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 309:59] + ibuf_timer <= _T_1028 @[el2_lsu_bus_buffer.scala 309:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 312:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] - wire Cmdptr0 : UInt<2> - Cmdptr0 <= UInt<1>("h00") - io.Cmdptr0 <= Cmdptr0 @[el2_lsu_bus_buffer.scala 315:14] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 313:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 314:15] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> @@ -1652,10 +1651,10 @@ circuit el2_lsu_bus_buffer : node _T_1033 = and(_T_1031, _T_1032) @[el2_lsu_bus_buffer.scala 319:80] node _T_1034 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:5] node _T_1035 = and(_T_1033, _T_1034) @[el2_lsu_bus_buffer.scala 319:114] - node _T_1036 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:114] - node _T_1037 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:114] - node _T_1038 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 320:114] - node _T_1039 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1036 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1037 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1038 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1039 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 320:114] node _T_1040 = mux(_T_1036, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1041 = mux(_T_1037, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1042 = mux(_T_1038, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1667,13 +1666,13 @@ circuit el2_lsu_bus_buffer : _T_1047 <= _T_1046 @[Mux.scala 27:72] node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:31] node _T_1049 = and(_T_1035, _T_1048) @[el2_lsu_bus_buffer.scala 320:29] - node _T_1050 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1050 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:88] node _T_1051 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 321:111] - node _T_1052 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1052 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:88] node _T_1053 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 321:111] - node _T_1054 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1054 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 321:88] node _T_1055 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 321:111] - node _T_1056 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1056 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 321:88] node _T_1057 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 321:111] node _T_1058 = mux(_T_1050, _T_1051, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1059 = mux(_T_1052, _T_1053, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1702,13 +1701,13 @@ circuit el2_lsu_bus_buffer : node _T_1079 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:93] node _T_1080 = and(_T_1078, _T_1079) @[el2_lsu_bus_buffer.scala 323:72] node _T_1081 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 323:117] - node _T_1082 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1082 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:208] node _T_1083 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] - node _T_1084 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1084 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:208] node _T_1085 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] - node _T_1086 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1086 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 323:208] node _T_1087 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] - node _T_1088 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1088 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 323:208] node _T_1089 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] node _T_1090 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1091 = mux(_T_1084, _T_1085, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1764,10 +1763,10 @@ circuit el2_lsu_bus_buffer : node _T_1105 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 340:74] node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:52] node _T_1107 = and(_T_1104, _T_1106) @[el2_lsu_bus_buffer.scala 340:50] - node _T_1108 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1109 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1110 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1111 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1108 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1109 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1110 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1111 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1112 = mux(_T_1108, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1113 = mux(_T_1109, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1114 = mux(_T_1110, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1782,13 +1781,13 @@ circuit el2_lsu_bus_buffer : node _T_1122 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1123 = cat(_T_1122, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1124 = cat(_T_1123, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1125 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1125 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1126 = bits(_T_1124, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1127 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1127 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1128 = bits(_T_1124, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1129 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1129 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1130 = bits(_T_1124, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1131 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1131 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1132 = bits(_T_1124, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1133 = mux(_T_1125, _T_1126, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1134 = mux(_T_1127, _T_1128, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1801,13 +1800,13 @@ circuit el2_lsu_bus_buffer : _T_1140 <= _T_1139 @[Mux.scala 27:72] node _T_1141 = eq(_T_1140, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:23] node _T_1142 = and(_T_1121, _T_1141) @[el2_lsu_bus_buffer.scala 342:21] - node _T_1143 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1143 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1144 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1145 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1145 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1146 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1147 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1147 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1148 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1149 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1149 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1150 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1151 = mux(_T_1143, _T_1144, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1152 = mux(_T_1145, _T_1146, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1824,13 +1823,13 @@ circuit el2_lsu_bus_buffer : node _T_1162 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1163 = cat(_T_1162, buf_dual[1]) @[Cat.scala 29:58] node _T_1164 = cat(_T_1163, buf_dual[0]) @[Cat.scala 29:58] - node _T_1165 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1165 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1166 = bits(_T_1164, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1167 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1167 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1168 = bits(_T_1164, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1169 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1169 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1170 = bits(_T_1164, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1171 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1171 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1172 = bits(_T_1164, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1173 = mux(_T_1165, _T_1166, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1174 = mux(_T_1167, _T_1168, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1844,13 +1843,13 @@ circuit el2_lsu_bus_buffer : node _T_1181 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1182 = cat(_T_1181, buf_samedw[1]) @[Cat.scala 29:58] node _T_1183 = cat(_T_1182, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1184 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1184 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1185 = bits(_T_1183, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1186 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1186 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1187 = bits(_T_1183, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1188 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1188 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1189 = bits(_T_1183, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1190 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1190 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1191 = bits(_T_1183, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1192 = mux(_T_1184, _T_1185, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1193 = mux(_T_1186, _T_1187, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1862,13 +1861,13 @@ circuit el2_lsu_bus_buffer : wire _T_1199 : UInt<1> @[Mux.scala 27:72] _T_1199 <= _T_1198 @[Mux.scala 27:72] node _T_1200 = and(_T_1180, _T_1199) @[el2_lsu_bus_buffer.scala 343:77] - node _T_1201 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1201 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1202 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1203 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1203 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1204 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1205 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1205 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1206 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1207 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1207 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1208 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1209 = mux(_T_1201, _T_1202, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1210 = mux(_T_1203, _T_1204, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1886,13 +1885,13 @@ circuit el2_lsu_bus_buffer : node _T_1221 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1222 = cat(_T_1221, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1223 = cat(_T_1222, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1224 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1224 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1225 = bits(_T_1223, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1226 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1226 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1227 = bits(_T_1223, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1228 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1228 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1229 = bits(_T_1223, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1230 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1230 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1231 = bits(_T_1223, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1232 = mux(_T_1224, _T_1225, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1233 = mux(_T_1226, _T_1227, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1927,13 +1926,13 @@ circuit el2_lsu_bus_buffer : node _T_1258 = and(_T_1256, _T_1257) @[el2_lsu_bus_buffer.scala 346:63] node _T_1259 = and(_T_1258, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 346:77] node obuf_rst = or(_T_1259, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 346:98] - node _T_1260 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1260 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1261 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1262 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1262 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1263 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1264 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1264 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1265 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1266 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1266 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1267 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1268 = mux(_T_1260, _T_1261, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1269 = mux(_T_1262, _T_1263, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1945,13 +1944,13 @@ circuit el2_lsu_bus_buffer : wire _T_1275 : UInt<1> @[Mux.scala 27:72] _T_1275 <= _T_1274 @[Mux.scala 27:72] node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1275) @[el2_lsu_bus_buffer.scala 347:26] - node _T_1276 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1276 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1277 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1278 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1278 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1279 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1280 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1280 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1281 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1282 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1283 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1284 = mux(_T_1276, _T_1277, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1285 = mux(_T_1278, _T_1279, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1963,10 +1962,10 @@ circuit el2_lsu_bus_buffer : wire _T_1291 : UInt<1> @[Mux.scala 27:72] _T_1291 <= _T_1290 @[Mux.scala 27:72] node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1291) @[el2_lsu_bus_buffer.scala 348:31] - node _T_1292 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1293 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1294 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1295 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1296 = mux(_T_1292, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1297 = mux(_T_1293, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1298 = mux(_T_1294, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1983,10 +1982,10 @@ circuit el2_lsu_bus_buffer : buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] node _T_1304 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1305 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1306 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1307 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1308 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1305 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1306 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1307 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1308 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1309 = mux(_T_1305, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1310 = mux(_T_1306, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1311 = mux(_T_1307, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1999,7 +1998,7 @@ circuit el2_lsu_bus_buffer : node obuf_sz_in = mux(ibuf_buf_byp, _T_1304, _T_1316) @[el2_lsu_bus_buffer.scala 352:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 355:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[el2_lsu_bus_buffer.scala 355:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 357:14] @@ -2093,10 +2092,10 @@ circuit el2_lsu_bus_buffer : node _T_1371 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1372 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] node _T_1373 = mux(_T_1370, _T_1371, _T_1372) @[el2_lsu_bus_buffer.scala 381:46] - node _T_1374 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1375 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1376 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1377 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1374 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1375 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1376 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1377 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1378 = mux(_T_1374, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1379 = mux(_T_1375, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1380 = mux(_T_1376, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2108,10 +2107,10 @@ circuit el2_lsu_bus_buffer : _T_1385 <= _T_1384 @[Mux.scala 27:72] node _T_1386 = bits(_T_1385, 2, 2) @[el2_lsu_bus_buffer.scala 382:36] node _T_1387 = bits(_T_1386, 0, 0) @[el2_lsu_bus_buffer.scala 382:46] - node _T_1388 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1389 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1390 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1391 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2122,10 +2121,10 @@ circuit el2_lsu_bus_buffer : wire _T_1399 : UInt<4> @[Mux.scala 27:72] _T_1399 <= _T_1398 @[Mux.scala 27:72] node _T_1400 = cat(_T_1399, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1401 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1402 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1403 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1404 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1401 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1402 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1403 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1404 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1405 = mux(_T_1401, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1406 = mux(_T_1402, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1407 = mux(_T_1403, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2191,10 +2190,10 @@ circuit el2_lsu_bus_buffer : node _T_1461 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1462 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] node _T_1463 = mux(_T_1460, _T_1461, _T_1462) @[el2_lsu_bus_buffer.scala 386:44] - node _T_1464 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1465 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1466 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1467 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1464 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1465 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1466 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1467 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1468 = mux(_T_1464, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1469 = mux(_T_1465, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1470 = mux(_T_1466, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2206,10 +2205,10 @@ circuit el2_lsu_bus_buffer : _T_1475 <= _T_1474 @[Mux.scala 27:72] node _T_1476 = bits(_T_1475, 2, 2) @[el2_lsu_bus_buffer.scala 387:36] node _T_1477 = bits(_T_1476, 0, 0) @[el2_lsu_bus_buffer.scala 387:46] - node _T_1478 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1479 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1480 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1481 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2220,10 +2219,10 @@ circuit el2_lsu_bus_buffer : wire _T_1489 : UInt<32> @[Mux.scala 27:72] _T_1489 <= _T_1488 @[Mux.scala 27:72] node _T_1490 = cat(_T_1489, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1491 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1492 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1493 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1494 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1491 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1492 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1493 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1494 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1495 = mux(_T_1491, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1496 = mux(_T_1492, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1497 = mux(_T_1493, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2377,13 +2376,13 @@ circuit el2_lsu_bus_buffer : buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] - node _T_1634 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 395:30] + node _T_1634 = neq(CmdPtr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 395:30] node _T_1635 = and(_T_1634, found_cmdptr0) @[el2_lsu_bus_buffer.scala 395:43] node _T_1636 = and(_T_1635, found_cmdptr1) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1637 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1638 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1639 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1640 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1637 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1638 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1639 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1640 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1641 = mux(_T_1637, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1642 = mux(_T_1638, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1643 = mux(_T_1639, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2413,13 +2412,13 @@ circuit el2_lsu_bus_buffer : node _T_1665 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1666 = cat(_T_1665, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1667 = cat(_T_1666, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1668 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1668 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1669 = bits(_T_1667, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1670 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1670 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1671 = bits(_T_1667, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1672 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1672 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1673 = bits(_T_1667, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1674 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1674 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1675 = bits(_T_1667, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1676 = mux(_T_1668, _T_1669, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1677 = mux(_T_1670, _T_1671, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2432,13 +2431,13 @@ circuit el2_lsu_bus_buffer : _T_1683 <= _T_1682 @[Mux.scala 27:72] node _T_1684 = eq(_T_1683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:3] node _T_1685 = and(_T_1664, _T_1684) @[el2_lsu_bus_buffer.scala 395:161] - node _T_1686 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1686 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1687 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1688 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1688 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1689 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1690 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1690 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1691 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1692 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1692 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1693 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1694 = mux(_T_1686, _T_1687, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1695 = mux(_T_1688, _T_1689, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2451,13 +2450,13 @@ circuit el2_lsu_bus_buffer : _T_1701 <= _T_1700 @[Mux.scala 27:72] node _T_1702 = eq(_T_1701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:85] node _T_1703 = and(_T_1685, _T_1702) @[el2_lsu_bus_buffer.scala 396:83] - node _T_1704 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1704 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1705 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1706 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1706 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1707 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1708 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1708 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1709 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1710 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1710 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1711 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1712 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1713 = mux(_T_1706, _T_1707, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2486,10 +2485,10 @@ circuit el2_lsu_bus_buffer : wire _T_1735 : UInt<1> @[Mux.scala 27:72] _T_1735 <= _T_1734 @[Mux.scala 27:72] node _T_1736 = and(_T_1719, _T_1735) @[el2_lsu_bus_buffer.scala 397:36] - node _T_1737 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1738 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1739 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1740 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1737 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1738 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1739 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1740 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -2520,13 +2519,13 @@ circuit el2_lsu_bus_buffer : node _T_1766 = and(_T_1764, _T_1765) @[el2_lsu_bus_buffer.scala 398:77] node _T_1767 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:105] node _T_1768 = and(_T_1766, _T_1767) @[el2_lsu_bus_buffer.scala 398:103] - node _T_1769 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1769 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1770 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1771 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1771 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1772 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1773 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1773 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1774 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1775 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1775 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1776 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1777 = mux(_T_1769, _T_1770, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1778 = mux(_T_1771, _T_1772, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2541,13 +2540,13 @@ circuit el2_lsu_bus_buffer : node _T_1786 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1787 = cat(_T_1786, buf_dual[1]) @[Cat.scala 29:58] node _T_1788 = cat(_T_1787, buf_dual[0]) @[Cat.scala 29:58] - node _T_1789 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1789 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1790 = bits(_T_1788, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1791 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1791 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1792 = bits(_T_1788, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1793 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1793 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1794 = bits(_T_1788, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1795 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1795 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1796 = bits(_T_1788, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1797 = mux(_T_1789, _T_1790, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1798 = mux(_T_1791, _T_1792, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2562,13 +2561,13 @@ circuit el2_lsu_bus_buffer : node _T_1806 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1807 = cat(_T_1806, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1808 = cat(_T_1807, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1809 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1809 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1810 = bits(_T_1808, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1811 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1811 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1812 = bits(_T_1808, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1813 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1813 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1814 = bits(_T_1808, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1815 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1815 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1816 = bits(_T_1808, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1817 = mux(_T_1809, _T_1810, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1818 = mux(_T_1811, _T_1812, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2584,13 +2583,13 @@ circuit el2_lsu_bus_buffer : node _T_1827 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1828 = cat(_T_1827, buf_samedw[1]) @[Cat.scala 29:58] node _T_1829 = cat(_T_1828, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1830 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1830 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1831 = bits(_T_1829, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1832 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1832 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1833 = bits(_T_1829, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1834 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1834 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1835 = bits(_T_1829, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1836 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1836 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] node _T_1837 = bits(_T_1829, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] node _T_1838 = mux(_T_1830, _T_1831, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1839 = mux(_T_1832, _T_1833, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2903,8 +2902,7 @@ circuit el2_lsu_bus_buffer : found_cmdptr0 <= _T_2063 @[el2_lsu_bus_buffer.scala 435:17] node _T_2064 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 436:31] found_cmdptr1 <= _T_2064 @[el2_lsu_bus_buffer.scala 436:17] - wire CmdPtr0 : UInt<2> - CmdPtr0 <= UInt<1>("h00") + io.Cmdptr0 <= CmdPtr0 @[el2_lsu_bus_buffer.scala 441:14] wire CmdPtr1 : UInt<2> CmdPtr1 <= UInt<1>("h00") wire RspPtr : UInt<2> @@ -2934,7 +2932,7 @@ circuit el2_lsu_bus_buffer : node _T_2087 = or(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 438:104] node _T_2088 = cat(_T_2073, _T_2080) @[Cat.scala 29:58] node _T_2089 = cat(_T_2088, _T_2087) @[Cat.scala 29:58] - CmdPtr0 <= _T_2089 @[el2_lsu_bus_buffer.scala 443:11] + CmdPtr0 <= _T_2089 @[el2_lsu_bus_buffer.scala 444:11] node _T_2090 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2091 = cat(_T_2090, CmdPtr1Dec) @[Cat.scala 29:58] node _T_2092 = bits(_T_2091, 4, 4) @[el2_lsu_bus_buffer.scala 438:39] @@ -2960,7 +2958,7 @@ circuit el2_lsu_bus_buffer : node _T_2112 = or(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 438:104] node _T_2113 = cat(_T_2098, _T_2105) @[Cat.scala 29:58] node _T_2114 = cat(_T_2113, _T_2112) @[Cat.scala 29:58] - CmdPtr1 <= _T_2114 @[el2_lsu_bus_buffer.scala 445:11] + CmdPtr1 <= _T_2114 @[el2_lsu_bus_buffer.scala 446:11] node _T_2115 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2116 = cat(_T_2115, RspPtrDec) @[Cat.scala 29:58] node _T_2117 = bits(_T_2116, 4, 4) @[el2_lsu_bus_buffer.scala 438:39] @@ -2986,1598 +2984,1598 @@ circuit el2_lsu_bus_buffer : node _T_2137 = or(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 438:104] node _T_2138 = cat(_T_2123, _T_2130) @[Cat.scala 29:58] node _T_2139 = cat(_T_2138, _T_2137) @[Cat.scala 29:58] - RspPtr <= _T_2139 @[el2_lsu_bus_buffer.scala 446:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 447:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 449:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 451:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 453:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 455:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] - node _T_2140 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2141 = and(_T_2140, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2142 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2143 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2144 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2145 = and(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2146 = or(_T_2142, _T_2145) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2147 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2148 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2149 = and(_T_2147, _T_2148) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2150 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2151 = and(_T_2149, _T_2150) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2152 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2153 = and(_T_2151, _T_2152) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2154 = or(_T_2146, _T_2153) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2155 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2156 = and(_T_2155, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2157 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2159 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2161 = or(_T_2154, _T_2160) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2162 = and(_T_2141, _T_2161) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2163 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2164 = or(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2165 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2166 = and(_T_2165, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2167 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2168 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2169 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2170 = and(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2171 = or(_T_2167, _T_2170) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2172 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2173 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2174 = and(_T_2172, _T_2173) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2175 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2176 = and(_T_2174, _T_2175) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2177 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2179 = or(_T_2171, _T_2178) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2180 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2181 = and(_T_2180, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2182 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2184 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2186 = or(_T_2179, _T_2185) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2187 = and(_T_2166, _T_2186) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2188 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2189 = or(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2190 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2191 = and(_T_2190, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2192 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2193 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2194 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2195 = and(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2196 = or(_T_2192, _T_2195) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2197 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2198 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2199 = and(_T_2197, _T_2198) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2200 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2201 = and(_T_2199, _T_2200) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2202 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2203 = and(_T_2201, _T_2202) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2204 = or(_T_2196, _T_2203) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2205 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2206 = and(_T_2205, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2207 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2209 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2211 = or(_T_2204, _T_2210) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2212 = and(_T_2191, _T_2211) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2213 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2214 = or(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2215 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2216 = and(_T_2215, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2217 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2218 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2219 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2221 = or(_T_2217, _T_2220) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2222 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2223 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2224 = and(_T_2222, _T_2223) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2225 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2226 = and(_T_2224, _T_2225) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2227 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2228 = and(_T_2226, _T_2227) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2229 = or(_T_2221, _T_2228) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2230 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2231 = and(_T_2230, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2232 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2234 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2236 = or(_T_2229, _T_2235) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2237 = and(_T_2216, _T_2236) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2238 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2239 = or(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 461:97] + RspPtr <= _T_2139 @[el2_lsu_bus_buffer.scala 447:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 448:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 450:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 452:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 454:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 456:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 457:14] + node _T_2140 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2141 = and(_T_2140, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2142 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2143 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2144 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2145 = and(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2146 = or(_T_2142, _T_2145) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2147 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2148 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2149 = and(_T_2147, _T_2148) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2150 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2151 = and(_T_2149, _T_2150) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2152 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2153 = and(_T_2151, _T_2152) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2154 = or(_T_2146, _T_2153) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2155 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2156 = and(_T_2155, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2157 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2159 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2161 = or(_T_2154, _T_2160) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2162 = and(_T_2141, _T_2161) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2163 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2164 = or(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2165 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2166 = and(_T_2165, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2167 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2168 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2169 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2170 = and(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2171 = or(_T_2167, _T_2170) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2172 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2173 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2174 = and(_T_2172, _T_2173) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2175 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2176 = and(_T_2174, _T_2175) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2177 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2179 = or(_T_2171, _T_2178) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2180 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2181 = and(_T_2180, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2182 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2184 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2186 = or(_T_2179, _T_2185) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2187 = and(_T_2166, _T_2186) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2188 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2189 = or(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2190 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2191 = and(_T_2190, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2192 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2193 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2194 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2195 = and(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2196 = or(_T_2192, _T_2195) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2197 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2198 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2199 = and(_T_2197, _T_2198) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2200 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2201 = and(_T_2199, _T_2200) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2202 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2203 = and(_T_2201, _T_2202) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2204 = or(_T_2196, _T_2203) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2205 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2206 = and(_T_2205, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2207 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2209 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2211 = or(_T_2204, _T_2210) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2212 = and(_T_2191, _T_2211) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2213 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2214 = or(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2215 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2216 = and(_T_2215, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2217 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2218 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2219 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2221 = or(_T_2217, _T_2220) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2222 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2223 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2224 = and(_T_2222, _T_2223) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2225 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2226 = and(_T_2224, _T_2225) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2227 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2228 = and(_T_2226, _T_2227) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2229 = or(_T_2221, _T_2228) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2230 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2231 = and(_T_2230, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2232 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2234 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2236 = or(_T_2229, _T_2235) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2237 = and(_T_2216, _T_2236) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2238 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2239 = or(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 462:97] node _T_2240 = cat(_T_2239, _T_2214) @[Cat.scala 29:58] node _T_2241 = cat(_T_2240, _T_2189) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2241, _T_2164) @[Cat.scala 29:58] - node _T_2242 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2243 = and(_T_2242, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2244 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2245 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2246 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2247 = and(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2248 = or(_T_2244, _T_2247) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2249 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2250 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2251 = and(_T_2249, _T_2250) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2252 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2253 = and(_T_2251, _T_2252) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2254 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2255 = and(_T_2253, _T_2254) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2256 = or(_T_2248, _T_2255) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2257 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2258 = and(_T_2257, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2259 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2261 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2263 = or(_T_2256, _T_2262) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2264 = and(_T_2243, _T_2263) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2265 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2266 = or(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2267 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2268 = and(_T_2267, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2269 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2270 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2271 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2272 = and(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2273 = or(_T_2269, _T_2272) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2274 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2275 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2276 = and(_T_2274, _T_2275) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2277 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2278 = and(_T_2276, _T_2277) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2279 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2281 = or(_T_2273, _T_2280) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2282 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2283 = and(_T_2282, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2284 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2286 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2288 = or(_T_2281, _T_2287) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2289 = and(_T_2268, _T_2288) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2290 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2291 = or(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2292 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2293 = and(_T_2292, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2294 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2295 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2296 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2297 = and(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2298 = or(_T_2294, _T_2297) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2299 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2300 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2301 = and(_T_2299, _T_2300) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2302 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2303 = and(_T_2301, _T_2302) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2304 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2305 = and(_T_2303, _T_2304) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2306 = or(_T_2298, _T_2305) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2307 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2308 = and(_T_2307, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2309 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2311 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2313 = or(_T_2306, _T_2312) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2314 = and(_T_2293, _T_2313) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2315 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2316 = or(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2317 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2318 = and(_T_2317, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2319 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2320 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2321 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2323 = or(_T_2319, _T_2322) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2324 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2325 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2326 = and(_T_2324, _T_2325) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2327 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2328 = and(_T_2326, _T_2327) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2329 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2330 = and(_T_2328, _T_2329) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2331 = or(_T_2323, _T_2330) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2332 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2333 = and(_T_2332, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2334 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2336 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2338 = or(_T_2331, _T_2337) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2339 = and(_T_2318, _T_2338) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2340 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2341 = or(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2242 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2243 = and(_T_2242, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2244 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2245 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2246 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2247 = and(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2248 = or(_T_2244, _T_2247) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2249 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2250 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2251 = and(_T_2249, _T_2250) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2252 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2253 = and(_T_2251, _T_2252) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2254 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2255 = and(_T_2253, _T_2254) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2256 = or(_T_2248, _T_2255) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2257 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2258 = and(_T_2257, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2259 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2261 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2263 = or(_T_2256, _T_2262) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2264 = and(_T_2243, _T_2263) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2265 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2266 = or(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2267 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2268 = and(_T_2267, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2269 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2270 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2271 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2272 = and(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2273 = or(_T_2269, _T_2272) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2274 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2275 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2276 = and(_T_2274, _T_2275) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2277 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2278 = and(_T_2276, _T_2277) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2279 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2281 = or(_T_2273, _T_2280) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2282 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2283 = and(_T_2282, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2284 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2286 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2288 = or(_T_2281, _T_2287) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2289 = and(_T_2268, _T_2288) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2290 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2291 = or(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2292 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2293 = and(_T_2292, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2294 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2295 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2296 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2297 = and(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2298 = or(_T_2294, _T_2297) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2299 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2300 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2301 = and(_T_2299, _T_2300) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2302 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2303 = and(_T_2301, _T_2302) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2304 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2305 = and(_T_2303, _T_2304) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2306 = or(_T_2298, _T_2305) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2307 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2308 = and(_T_2307, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2309 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2311 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2313 = or(_T_2306, _T_2312) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2314 = and(_T_2293, _T_2313) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2315 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2316 = or(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2317 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2318 = and(_T_2317, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2319 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2320 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2321 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2323 = or(_T_2319, _T_2322) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2324 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2325 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2326 = and(_T_2324, _T_2325) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2327 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2328 = and(_T_2326, _T_2327) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2329 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2330 = and(_T_2328, _T_2329) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2331 = or(_T_2323, _T_2330) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2332 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2333 = and(_T_2332, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2334 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2336 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2338 = or(_T_2331, _T_2337) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2339 = and(_T_2318, _T_2338) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2340 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2341 = or(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 462:97] node _T_2342 = cat(_T_2341, _T_2316) @[Cat.scala 29:58] node _T_2343 = cat(_T_2342, _T_2291) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2343, _T_2266) @[Cat.scala 29:58] - node _T_2344 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2345 = and(_T_2344, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2346 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2347 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2348 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2349 = and(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2350 = or(_T_2346, _T_2349) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2351 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2352 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2353 = and(_T_2351, _T_2352) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2354 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2355 = and(_T_2353, _T_2354) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2356 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2357 = and(_T_2355, _T_2356) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2358 = or(_T_2350, _T_2357) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2359 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2360 = and(_T_2359, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2361 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2363 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2365 = or(_T_2358, _T_2364) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2366 = and(_T_2345, _T_2365) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2367 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2368 = or(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2369 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2370 = and(_T_2369, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2371 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2372 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2373 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2374 = and(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2375 = or(_T_2371, _T_2374) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2376 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2377 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2378 = and(_T_2376, _T_2377) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2379 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2380 = and(_T_2378, _T_2379) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2381 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2383 = or(_T_2375, _T_2382) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2384 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2385 = and(_T_2384, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2386 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2388 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2390 = or(_T_2383, _T_2389) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2391 = and(_T_2370, _T_2390) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2392 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2393 = or(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2394 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2395 = and(_T_2394, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2396 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2397 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2398 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2399 = and(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2400 = or(_T_2396, _T_2399) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2401 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2402 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2403 = and(_T_2401, _T_2402) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2404 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2405 = and(_T_2403, _T_2404) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2406 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2407 = and(_T_2405, _T_2406) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2408 = or(_T_2400, _T_2407) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2409 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2410 = and(_T_2409, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2411 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2413 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2415 = or(_T_2408, _T_2414) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2416 = and(_T_2395, _T_2415) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2417 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2418 = or(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2419 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2420 = and(_T_2419, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2421 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2422 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2423 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2424 = and(_T_2422, _T_2423) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2425 = or(_T_2421, _T_2424) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2426 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2427 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2428 = and(_T_2426, _T_2427) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2429 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2430 = and(_T_2428, _T_2429) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2431 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2432 = and(_T_2430, _T_2431) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2433 = or(_T_2425, _T_2432) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2434 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2435 = and(_T_2434, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2436 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2437 = and(_T_2435, _T_2436) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2438 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2440 = or(_T_2433, _T_2439) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2441 = and(_T_2420, _T_2440) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2442 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2443 = or(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2344 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2345 = and(_T_2344, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2346 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2347 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2348 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2349 = and(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2350 = or(_T_2346, _T_2349) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2351 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2352 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2353 = and(_T_2351, _T_2352) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2354 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2355 = and(_T_2353, _T_2354) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2356 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2357 = and(_T_2355, _T_2356) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2358 = or(_T_2350, _T_2357) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2359 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2360 = and(_T_2359, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2361 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2363 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2365 = or(_T_2358, _T_2364) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2366 = and(_T_2345, _T_2365) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2367 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2368 = or(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2369 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2370 = and(_T_2369, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2371 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2372 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2373 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2374 = and(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2375 = or(_T_2371, _T_2374) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2376 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2377 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2378 = and(_T_2376, _T_2377) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2379 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2380 = and(_T_2378, _T_2379) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2381 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2383 = or(_T_2375, _T_2382) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2384 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2385 = and(_T_2384, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2386 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2388 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2390 = or(_T_2383, _T_2389) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2391 = and(_T_2370, _T_2390) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2392 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2393 = or(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2394 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2395 = and(_T_2394, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2396 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2397 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2398 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2399 = and(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2400 = or(_T_2396, _T_2399) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2401 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2402 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2403 = and(_T_2401, _T_2402) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2404 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2405 = and(_T_2403, _T_2404) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2406 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2407 = and(_T_2405, _T_2406) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2408 = or(_T_2400, _T_2407) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2409 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2410 = and(_T_2409, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2411 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2413 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2415 = or(_T_2408, _T_2414) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2416 = and(_T_2395, _T_2415) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2417 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2418 = or(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2419 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2420 = and(_T_2419, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2421 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2422 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2423 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2424 = and(_T_2422, _T_2423) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2425 = or(_T_2421, _T_2424) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2426 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2427 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2428 = and(_T_2426, _T_2427) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2429 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2430 = and(_T_2428, _T_2429) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2431 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2432 = and(_T_2430, _T_2431) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2433 = or(_T_2425, _T_2432) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2434 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2435 = and(_T_2434, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2436 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2437 = and(_T_2435, _T_2436) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2438 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2440 = or(_T_2433, _T_2439) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2441 = and(_T_2420, _T_2440) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2442 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2443 = or(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 462:97] node _T_2444 = cat(_T_2443, _T_2418) @[Cat.scala 29:58] node _T_2445 = cat(_T_2444, _T_2393) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2445, _T_2368) @[Cat.scala 29:58] - node _T_2446 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2447 = and(_T_2446, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2448 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2449 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2450 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2451 = and(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2452 = or(_T_2448, _T_2451) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2453 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2454 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2455 = and(_T_2453, _T_2454) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2456 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2457 = and(_T_2455, _T_2456) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2458 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2459 = and(_T_2457, _T_2458) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2460 = or(_T_2452, _T_2459) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2461 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2462 = and(_T_2461, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2463 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2464 = and(_T_2462, _T_2463) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2465 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2466 = and(_T_2464, _T_2465) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2467 = or(_T_2460, _T_2466) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2468 = and(_T_2447, _T_2467) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2469 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2470 = or(_T_2468, _T_2469) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2471 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2472 = and(_T_2471, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2473 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2474 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2475 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2476 = and(_T_2474, _T_2475) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2477 = or(_T_2473, _T_2476) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2478 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2479 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2480 = and(_T_2478, _T_2479) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2481 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2482 = and(_T_2480, _T_2481) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2483 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2485 = or(_T_2477, _T_2484) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2486 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2487 = and(_T_2486, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2488 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2489 = and(_T_2487, _T_2488) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2490 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2491 = and(_T_2489, _T_2490) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2492 = or(_T_2485, _T_2491) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2493 = and(_T_2472, _T_2492) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2494 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2495 = or(_T_2493, _T_2494) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2496 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2497 = and(_T_2496, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2498 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2499 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2500 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2501 = and(_T_2499, _T_2500) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2502 = or(_T_2498, _T_2501) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2503 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2504 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2505 = and(_T_2503, _T_2504) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2506 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2507 = and(_T_2505, _T_2506) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2508 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2509 = and(_T_2507, _T_2508) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2510 = or(_T_2502, _T_2509) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2511 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2512 = and(_T_2511, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2513 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2514 = and(_T_2512, _T_2513) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2515 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2516 = and(_T_2514, _T_2515) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2517 = or(_T_2510, _T_2516) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2518 = and(_T_2497, _T_2517) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2519 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2520 = or(_T_2518, _T_2519) @[el2_lsu_bus_buffer.scala 461:97] - node _T_2521 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] - node _T_2522 = and(_T_2521, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] - node _T_2523 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] - node _T_2524 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] - node _T_2525 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] - node _T_2526 = and(_T_2524, _T_2525) @[el2_lsu_bus_buffer.scala 459:57] - node _T_2527 = or(_T_2523, _T_2526) @[el2_lsu_bus_buffer.scala 459:31] - node _T_2528 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] - node _T_2529 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] - node _T_2530 = and(_T_2528, _T_2529) @[el2_lsu_bus_buffer.scala 460:41] - node _T_2531 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] - node _T_2532 = and(_T_2530, _T_2531) @[el2_lsu_bus_buffer.scala 460:71] - node _T_2533 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] - node _T_2534 = and(_T_2532, _T_2533) @[el2_lsu_bus_buffer.scala 460:92] - node _T_2535 = or(_T_2527, _T_2534) @[el2_lsu_bus_buffer.scala 459:86] - node _T_2536 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] - node _T_2537 = and(_T_2536, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] - node _T_2538 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] - node _T_2539 = and(_T_2537, _T_2538) @[el2_lsu_bus_buffer.scala 461:52] - node _T_2540 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] - node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 461:73] - node _T_2542 = or(_T_2535, _T_2541) @[el2_lsu_bus_buffer.scala 460:114] - node _T_2543 = and(_T_2522, _T_2542) @[el2_lsu_bus_buffer.scala 458:113] - node _T_2544 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] - node _T_2545 = or(_T_2543, _T_2544) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2446 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2447 = and(_T_2446, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2448 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2449 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2450 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2451 = and(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2452 = or(_T_2448, _T_2451) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2453 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2454 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2455 = and(_T_2453, _T_2454) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2456 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2457 = and(_T_2455, _T_2456) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2458 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2459 = and(_T_2457, _T_2458) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2460 = or(_T_2452, _T_2459) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2461 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2462 = and(_T_2461, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2463 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2464 = and(_T_2462, _T_2463) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2465 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2466 = and(_T_2464, _T_2465) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2467 = or(_T_2460, _T_2466) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2468 = and(_T_2447, _T_2467) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2469 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2470 = or(_T_2468, _T_2469) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2471 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2472 = and(_T_2471, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2473 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2474 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2475 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2476 = and(_T_2474, _T_2475) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2477 = or(_T_2473, _T_2476) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2478 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2479 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2480 = and(_T_2478, _T_2479) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2481 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2482 = and(_T_2480, _T_2481) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2483 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2485 = or(_T_2477, _T_2484) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2486 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2487 = and(_T_2486, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2488 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2489 = and(_T_2487, _T_2488) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2490 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2491 = and(_T_2489, _T_2490) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2492 = or(_T_2485, _T_2491) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2493 = and(_T_2472, _T_2492) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2494 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2495 = or(_T_2493, _T_2494) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2496 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2497 = and(_T_2496, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2498 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2499 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2500 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2501 = and(_T_2499, _T_2500) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2502 = or(_T_2498, _T_2501) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2503 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2504 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2505 = and(_T_2503, _T_2504) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2506 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2507 = and(_T_2505, _T_2506) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2508 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2509 = and(_T_2507, _T_2508) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2510 = or(_T_2502, _T_2509) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2511 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2512 = and(_T_2511, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2513 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2514 = and(_T_2512, _T_2513) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2515 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2516 = and(_T_2514, _T_2515) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2517 = or(_T_2510, _T_2516) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2518 = and(_T_2497, _T_2517) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2519 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2520 = or(_T_2518, _T_2519) @[el2_lsu_bus_buffer.scala 462:97] + node _T_2521 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2522 = and(_T_2521, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 459:94] + node _T_2523 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 460:20] + node _T_2524 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 460:47] + node _T_2525 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:59] + node _T_2526 = and(_T_2524, _T_2525) @[el2_lsu_bus_buffer.scala 460:57] + node _T_2527 = or(_T_2523, _T_2526) @[el2_lsu_bus_buffer.scala 460:31] + node _T_2528 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:23] + node _T_2529 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:53] + node _T_2530 = and(_T_2528, _T_2529) @[el2_lsu_bus_buffer.scala 461:41] + node _T_2531 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:83] + node _T_2532 = and(_T_2530, _T_2531) @[el2_lsu_bus_buffer.scala 461:71] + node _T_2533 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:104] + node _T_2534 = and(_T_2532, _T_2533) @[el2_lsu_bus_buffer.scala 461:92] + node _T_2535 = or(_T_2527, _T_2534) @[el2_lsu_bus_buffer.scala 460:86] + node _T_2536 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 462:17] + node _T_2537 = and(_T_2536, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 462:35] + node _T_2538 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:64] + node _T_2539 = and(_T_2537, _T_2538) @[el2_lsu_bus_buffer.scala 462:52] + node _T_2540 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:85] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 462:73] + node _T_2542 = or(_T_2535, _T_2541) @[el2_lsu_bus_buffer.scala 461:114] + node _T_2543 = and(_T_2522, _T_2542) @[el2_lsu_bus_buffer.scala 459:113] + node _T_2544 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 462:109] + node _T_2545 = or(_T_2543, _T_2544) @[el2_lsu_bus_buffer.scala 462:97] node _T_2546 = cat(_T_2545, _T_2520) @[Cat.scala 29:58] node _T_2547 = cat(_T_2546, _T_2495) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2547, _T_2470) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 462:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] - node _T_2548 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2549 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2550 = and(_T_2549, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2552 = and(_T_2548, _T_2551) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2553 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2554 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2555 = and(_T_2554, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2556 = eq(_T_2555, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2557 = and(_T_2553, _T_2556) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2558 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2559 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2560 = and(_T_2559, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2562 = and(_T_2558, _T_2561) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2563 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2564 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2565 = and(_T_2564, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2567 = and(_T_2563, _T_2566) @[el2_lsu_bus_buffer.scala 464:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 463:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 464:12] + node _T_2548 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2549 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2550 = and(_T_2549, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2551 = eq(_T_2550, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2552 = and(_T_2548, _T_2551) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2553 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2554 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2555 = and(_T_2554, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2556 = eq(_T_2555, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2557 = and(_T_2553, _T_2556) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2558 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2559 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2560 = and(_T_2559, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2562 = and(_T_2558, _T_2561) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2563 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2564 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2565 = and(_T_2564, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2566 = eq(_T_2565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2567 = and(_T_2563, _T_2566) @[el2_lsu_bus_buffer.scala 465:78] node _T_2568 = cat(_T_2567, _T_2562) @[Cat.scala 29:58] node _T_2569 = cat(_T_2568, _T_2557) @[Cat.scala 29:58] node _T_2570 = cat(_T_2569, _T_2552) @[Cat.scala 29:58] - node _T_2571 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2572 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2573 = and(_T_2572, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2574 = eq(_T_2573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2575 = and(_T_2571, _T_2574) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2576 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2577 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2578 = and(_T_2577, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2579 = eq(_T_2578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2580 = and(_T_2576, _T_2579) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2581 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2582 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2583 = and(_T_2582, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2585 = and(_T_2581, _T_2584) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2586 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2587 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2589 = eq(_T_2588, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2590 = and(_T_2586, _T_2589) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2571 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2572 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2573 = and(_T_2572, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2574 = eq(_T_2573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2575 = and(_T_2571, _T_2574) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2576 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2577 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2578 = and(_T_2577, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2579 = eq(_T_2578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2580 = and(_T_2576, _T_2579) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2581 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2582 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2583 = and(_T_2582, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2584 = eq(_T_2583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2585 = and(_T_2581, _T_2584) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2586 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2587 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2589 = eq(_T_2588, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2590 = and(_T_2586, _T_2589) @[el2_lsu_bus_buffer.scala 465:78] node _T_2591 = cat(_T_2590, _T_2585) @[Cat.scala 29:58] node _T_2592 = cat(_T_2591, _T_2580) @[Cat.scala 29:58] node _T_2593 = cat(_T_2592, _T_2575) @[Cat.scala 29:58] - node _T_2594 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2595 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2596 = and(_T_2595, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2597 = eq(_T_2596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2598 = and(_T_2594, _T_2597) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2599 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2600 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2601 = and(_T_2600, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2602 = eq(_T_2601, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2603 = and(_T_2599, _T_2602) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2604 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2605 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2606 = and(_T_2605, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2608 = and(_T_2604, _T_2607) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2609 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2610 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2613 = and(_T_2609, _T_2612) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2594 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2595 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2596 = and(_T_2595, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2597 = eq(_T_2596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2598 = and(_T_2594, _T_2597) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2599 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2600 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2601 = and(_T_2600, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2602 = eq(_T_2601, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2603 = and(_T_2599, _T_2602) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2604 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2605 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2606 = and(_T_2605, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2607 = eq(_T_2606, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2608 = and(_T_2604, _T_2607) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2609 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2610 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2612 = eq(_T_2611, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2613 = and(_T_2609, _T_2612) @[el2_lsu_bus_buffer.scala 465:78] node _T_2614 = cat(_T_2613, _T_2608) @[Cat.scala 29:58] node _T_2615 = cat(_T_2614, _T_2603) @[Cat.scala 29:58] node _T_2616 = cat(_T_2615, _T_2598) @[Cat.scala 29:58] - node _T_2617 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2618 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2619 = and(_T_2618, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2621 = and(_T_2617, _T_2620) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2622 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2623 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2624 = and(_T_2623, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2625 = eq(_T_2624, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2626 = and(_T_2622, _T_2625) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2627 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2628 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2629 = and(_T_2628, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2631 = and(_T_2627, _T_2630) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2632 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2633 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:95] - node _T_2634 = and(_T_2633, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:105] - node _T_2635 = eq(_T_2634, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:80] - node _T_2636 = and(_T_2632, _T_2635) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2617 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2618 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2619 = and(_T_2618, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2620 = eq(_T_2619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2621 = and(_T_2617, _T_2620) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2622 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2623 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2624 = and(_T_2623, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2625 = eq(_T_2624, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2626 = and(_T_2622, _T_2625) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2627 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2628 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2629 = and(_T_2628, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2631 = and(_T_2627, _T_2630) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2632 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2633 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 465:95] + node _T_2634 = and(_T_2633, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 465:105] + node _T_2635 = eq(_T_2634, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:80] + node _T_2636 = and(_T_2632, _T_2635) @[el2_lsu_bus_buffer.scala 465:78] node _T_2637 = cat(_T_2636, _T_2631) @[Cat.scala 29:58] node _T_2638 = cat(_T_2637, _T_2626) @[Cat.scala 29:58] node _T_2639 = cat(_T_2638, _T_2621) @[Cat.scala 29:58] - buf_age[0] <= _T_2570 @[el2_lsu_bus_buffer.scala 464:13] - buf_age[1] <= _T_2593 @[el2_lsu_bus_buffer.scala 464:13] - buf_age[2] <= _T_2616 @[el2_lsu_bus_buffer.scala 464:13] - buf_age[3] <= _T_2639 @[el2_lsu_bus_buffer.scala 464:13] - node _T_2640 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2641 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2643 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2646 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2647 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2649 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2652 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2653 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2654 = eq(_T_2653, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2655 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2657 = mux(_T_2652, UInt<1>("h00"), _T_2656) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2658 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2659 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2660 = eq(_T_2659, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2661 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2663 = mux(_T_2658, UInt<1>("h00"), _T_2662) @[el2_lsu_bus_buffer.scala 465:74] + buf_age[0] <= _T_2570 @[el2_lsu_bus_buffer.scala 465:13] + buf_age[1] <= _T_2593 @[el2_lsu_bus_buffer.scala 465:13] + buf_age[2] <= _T_2616 @[el2_lsu_bus_buffer.scala 465:13] + buf_age[3] <= _T_2639 @[el2_lsu_bus_buffer.scala 465:13] + node _T_2640 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2641 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2643 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2644 = and(_T_2642, _T_2643) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2646 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2647 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2649 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2650 = and(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2652 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2653 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2654 = eq(_T_2653, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2655 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2657 = mux(_T_2652, UInt<1>("h00"), _T_2656) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2658 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2659 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2660 = eq(_T_2659, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2661 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2662 = and(_T_2660, _T_2661) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2663 = mux(_T_2658, UInt<1>("h00"), _T_2662) @[el2_lsu_bus_buffer.scala 466:74] node _T_2664 = cat(_T_2663, _T_2657) @[Cat.scala 29:58] node _T_2665 = cat(_T_2664, _T_2651) @[Cat.scala 29:58] node _T_2666 = cat(_T_2665, _T_2645) @[Cat.scala 29:58] - node _T_2667 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2668 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2670 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2673 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2674 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2676 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2679 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2680 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2682 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2684 = mux(_T_2679, UInt<1>("h00"), _T_2683) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2685 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2686 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2687 = eq(_T_2686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2688 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2690 = mux(_T_2685, UInt<1>("h00"), _T_2689) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2667 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2668 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2670 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2673 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2674 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2676 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2677 = and(_T_2675, _T_2676) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2679 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2680 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2681 = eq(_T_2680, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2682 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2683 = and(_T_2681, _T_2682) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2684 = mux(_T_2679, UInt<1>("h00"), _T_2683) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2685 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2686 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2687 = eq(_T_2686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2688 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2690 = mux(_T_2685, UInt<1>("h00"), _T_2689) @[el2_lsu_bus_buffer.scala 466:74] node _T_2691 = cat(_T_2690, _T_2684) @[Cat.scala 29:58] node _T_2692 = cat(_T_2691, _T_2678) @[Cat.scala 29:58] node _T_2693 = cat(_T_2692, _T_2672) @[Cat.scala 29:58] - node _T_2694 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2695 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2697 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2700 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2701 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2703 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2706 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2707 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2708 = eq(_T_2707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2709 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2710 = and(_T_2708, _T_2709) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2711 = mux(_T_2706, UInt<1>("h00"), _T_2710) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2712 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2713 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2714 = eq(_T_2713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2715 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2716 = and(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2717 = mux(_T_2712, UInt<1>("h00"), _T_2716) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2694 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2695 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2697 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2700 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2701 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2703 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2706 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2707 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2708 = eq(_T_2707, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2709 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2710 = and(_T_2708, _T_2709) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2711 = mux(_T_2706, UInt<1>("h00"), _T_2710) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2712 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2713 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2714 = eq(_T_2713, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2715 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2716 = and(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2717 = mux(_T_2712, UInt<1>("h00"), _T_2716) @[el2_lsu_bus_buffer.scala 466:74] node _T_2718 = cat(_T_2717, _T_2711) @[Cat.scala 29:58] node _T_2719 = cat(_T_2718, _T_2705) @[Cat.scala 29:58] node _T_2720 = cat(_T_2719, _T_2699) @[Cat.scala 29:58] - node _T_2721 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2722 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2724 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2725 = and(_T_2723, _T_2724) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2727 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2728 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2730 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2733 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2734 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2735 = eq(_T_2734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2736 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2738 = mux(_T_2733, UInt<1>("h00"), _T_2737) @[el2_lsu_bus_buffer.scala 465:74] - node _T_2739 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] - node _T_2740 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] - node _T_2741 = eq(_T_2740, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] - node _T_2742 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] - node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 465:106] - node _T_2744 = mux(_T_2739, UInt<1>("h00"), _T_2743) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2721 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2722 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2724 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2725 = and(_T_2723, _T_2724) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2727 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2728 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2730 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2733 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2734 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2735 = eq(_T_2734, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2736 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2738 = mux(_T_2733, UInt<1>("h00"), _T_2737) @[el2_lsu_bus_buffer.scala 466:74] + node _T_2739 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 466:78] + node _T_2740 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 466:102] + node _T_2741 = eq(_T_2740, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:91] + node _T_2742 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:121] + node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 466:106] + node _T_2744 = mux(_T_2739, UInt<1>("h00"), _T_2743) @[el2_lsu_bus_buffer.scala 466:74] node _T_2745 = cat(_T_2744, _T_2738) @[Cat.scala 29:58] node _T_2746 = cat(_T_2745, _T_2732) @[Cat.scala 29:58] node _T_2747 = cat(_T_2746, _T_2726) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2666 @[el2_lsu_bus_buffer.scala 465:21] - buf_age_younger[1] <= _T_2693 @[el2_lsu_bus_buffer.scala 465:21] - buf_age_younger[2] <= _T_2720 @[el2_lsu_bus_buffer.scala 465:21] - buf_age_younger[3] <= _T_2747 @[el2_lsu_bus_buffer.scala 465:21] - node _T_2748 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2749 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2750 = and(_T_2748, _T_2749) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2751 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2752 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2754 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2755 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2757 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2758 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 466:89] + buf_age_younger[0] <= _T_2666 @[el2_lsu_bus_buffer.scala 466:21] + buf_age_younger[1] <= _T_2693 @[el2_lsu_bus_buffer.scala 466:21] + buf_age_younger[2] <= _T_2720 @[el2_lsu_bus_buffer.scala 466:21] + buf_age_younger[3] <= _T_2747 @[el2_lsu_bus_buffer.scala 466:21] + node _T_2748 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2749 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2750 = and(_T_2748, _T_2749) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2751 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2752 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2754 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2755 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2757 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2758 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 467:89] node _T_2760 = cat(_T_2759, _T_2756) @[Cat.scala 29:58] node _T_2761 = cat(_T_2760, _T_2753) @[Cat.scala 29:58] node _T_2762 = cat(_T_2761, _T_2750) @[Cat.scala 29:58] - node _T_2763 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2764 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2766 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2767 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2769 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2770 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2772 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2773 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2763 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2764 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2766 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2767 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2769 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2770 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2772 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2773 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 467:89] node _T_2775 = cat(_T_2774, _T_2771) @[Cat.scala 29:58] node _T_2776 = cat(_T_2775, _T_2768) @[Cat.scala 29:58] node _T_2777 = cat(_T_2776, _T_2765) @[Cat.scala 29:58] - node _T_2778 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2779 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2781 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2782 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2784 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2785 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2787 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2788 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2778 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2779 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2781 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2782 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2784 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2785 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2786 = and(_T_2784, _T_2785) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2787 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2788 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 467:89] node _T_2790 = cat(_T_2789, _T_2786) @[Cat.scala 29:58] node _T_2791 = cat(_T_2790, _T_2783) @[Cat.scala 29:58] node _T_2792 = cat(_T_2791, _T_2780) @[Cat.scala 29:58] - node _T_2793 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2794 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2796 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2797 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2799 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2800 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 466:89] - node _T_2802 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] - node _T_2803 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2793 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2794 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2796 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2797 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2799 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2800 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 467:89] + node _T_2802 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 467:85] + node _T_2803 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 467:104] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 467:89] node _T_2805 = cat(_T_2804, _T_2801) @[Cat.scala 29:58] node _T_2806 = cat(_T_2805, _T_2798) @[Cat.scala 29:58] node _T_2807 = cat(_T_2806, _T_2795) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2762 @[el2_lsu_bus_buffer.scala 466:21] - buf_rsp_pickage[1] <= _T_2777 @[el2_lsu_bus_buffer.scala 466:21] - buf_rsp_pickage[2] <= _T_2792 @[el2_lsu_bus_buffer.scala 466:21] - buf_rsp_pickage[3] <= _T_2807 @[el2_lsu_bus_buffer.scala 466:21] - node _T_2808 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2809 = and(_T_2808, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2810 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2812 = or(_T_2810, _T_2811) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2813 = eq(_T_2812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2814 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2815 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2816 = and(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2817 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2818 = and(_T_2816, _T_2817) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2819 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2820 = and(_T_2818, _T_2819) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2821 = or(_T_2813, _T_2820) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2822 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2823 = and(_T_2822, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2824 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2826 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2827 = and(_T_2825, _T_2826) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2828 = or(_T_2821, _T_2827) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2829 = and(_T_2809, _T_2828) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2830 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2831 = and(_T_2830, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2832 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2833 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2834 = or(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2835 = eq(_T_2834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2836 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2837 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2839 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2841 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2843 = or(_T_2835, _T_2842) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2844 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2845 = and(_T_2844, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2846 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2848 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2850 = or(_T_2843, _T_2849) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2851 = and(_T_2831, _T_2850) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2852 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2853 = and(_T_2852, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2854 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2855 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2856 = or(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2857 = eq(_T_2856, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2858 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2859 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2861 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2863 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2865 = or(_T_2857, _T_2864) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2866 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2867 = and(_T_2866, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2868 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2870 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2872 = or(_T_2865, _T_2871) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2873 = and(_T_2853, _T_2872) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2874 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2875 = and(_T_2874, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2876 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2877 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2878 = or(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2879 = eq(_T_2878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2880 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2881 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2883 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2885 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2886 = and(_T_2884, _T_2885) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2887 = or(_T_2879, _T_2886) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2888 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2889 = and(_T_2888, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2890 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2892 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2894 = or(_T_2887, _T_2893) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2895 = and(_T_2875, _T_2894) @[el2_lsu_bus_buffer.scala 468:114] + buf_rsp_pickage[0] <= _T_2762 @[el2_lsu_bus_buffer.scala 467:21] + buf_rsp_pickage[1] <= _T_2777 @[el2_lsu_bus_buffer.scala 467:21] + buf_rsp_pickage[2] <= _T_2792 @[el2_lsu_bus_buffer.scala 467:21] + buf_rsp_pickage[3] <= _T_2807 @[el2_lsu_bus_buffer.scala 467:21] + node _T_2808 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2809 = and(_T_2808, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_2810 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_2811 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_2812 = or(_T_2810, _T_2811) @[el2_lsu_bus_buffer.scala 470:34] + node _T_2813 = eq(_T_2812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_2814 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_2815 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_2816 = and(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 471:43] + node _T_2817 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2818 = and(_T_2816, _T_2817) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2819 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_2820 = and(_T_2818, _T_2819) @[el2_lsu_bus_buffer.scala 471:92] + node _T_2821 = or(_T_2813, _T_2820) @[el2_lsu_bus_buffer.scala 470:61] + node _T_2822 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_2823 = and(_T_2822, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_2824 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 472:54] + node _T_2826 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_2827 = and(_T_2825, _T_2826) @[el2_lsu_bus_buffer.scala 472:73] + node _T_2828 = or(_T_2821, _T_2827) @[el2_lsu_bus_buffer.scala 471:112] + node _T_2829 = and(_T_2809, _T_2828) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2830 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2831 = and(_T_2830, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_2832 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_2833 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_2834 = or(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 470:34] + node _T_2835 = eq(_T_2834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_2836 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_2837 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 471:43] + node _T_2839 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2841 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_2842 = and(_T_2840, _T_2841) @[el2_lsu_bus_buffer.scala 471:92] + node _T_2843 = or(_T_2835, _T_2842) @[el2_lsu_bus_buffer.scala 470:61] + node _T_2844 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_2845 = and(_T_2844, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_2846 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 472:54] + node _T_2848 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 472:73] + node _T_2850 = or(_T_2843, _T_2849) @[el2_lsu_bus_buffer.scala 471:112] + node _T_2851 = and(_T_2831, _T_2850) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2852 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2853 = and(_T_2852, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_2854 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_2855 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_2856 = or(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 470:34] + node _T_2857 = eq(_T_2856, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_2858 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_2859 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 471:43] + node _T_2861 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2863 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 471:92] + node _T_2865 = or(_T_2857, _T_2864) @[el2_lsu_bus_buffer.scala 470:61] + node _T_2866 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_2867 = and(_T_2866, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_2868 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 472:54] + node _T_2870 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 472:73] + node _T_2872 = or(_T_2865, _T_2871) @[el2_lsu_bus_buffer.scala 471:112] + node _T_2873 = and(_T_2853, _T_2872) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2874 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2875 = and(_T_2874, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_2876 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_2877 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_2878 = or(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 470:34] + node _T_2879 = eq(_T_2878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_2880 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_2881 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 471:43] + node _T_2883 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2885 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_2886 = and(_T_2884, _T_2885) @[el2_lsu_bus_buffer.scala 471:92] + node _T_2887 = or(_T_2879, _T_2886) @[el2_lsu_bus_buffer.scala 470:61] + node _T_2888 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_2889 = and(_T_2888, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_2890 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 472:54] + node _T_2892 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 472:73] + node _T_2894 = or(_T_2887, _T_2893) @[el2_lsu_bus_buffer.scala 471:112] + node _T_2895 = and(_T_2875, _T_2894) @[el2_lsu_bus_buffer.scala 469:114] node _T_2896 = cat(_T_2895, _T_2873) @[Cat.scala 29:58] node _T_2897 = cat(_T_2896, _T_2851) @[Cat.scala 29:58] node _T_2898 = cat(_T_2897, _T_2829) @[Cat.scala 29:58] - node _T_2899 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2900 = and(_T_2899, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2901 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2902 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2903 = or(_T_2901, _T_2902) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2904 = eq(_T_2903, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2905 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2906 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2907 = and(_T_2905, _T_2906) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2908 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2909 = and(_T_2907, _T_2908) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2910 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2911 = and(_T_2909, _T_2910) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2912 = or(_T_2904, _T_2911) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2913 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2914 = and(_T_2913, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2915 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2917 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2918 = and(_T_2916, _T_2917) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2919 = or(_T_2912, _T_2918) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2920 = and(_T_2900, _T_2919) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2921 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2922 = and(_T_2921, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2923 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2924 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2925 = or(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2926 = eq(_T_2925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2927 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2928 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2930 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2932 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2934 = or(_T_2926, _T_2933) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2935 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2936 = and(_T_2935, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2937 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2939 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2941 = or(_T_2934, _T_2940) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2942 = and(_T_2922, _T_2941) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2943 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2944 = and(_T_2943, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2945 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2946 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2947 = or(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2948 = eq(_T_2947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2949 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2950 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2952 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2954 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2956 = or(_T_2948, _T_2955) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2957 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2958 = and(_T_2957, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2959 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2961 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2963 = or(_T_2956, _T_2962) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2964 = and(_T_2944, _T_2963) @[el2_lsu_bus_buffer.scala 468:114] - node _T_2965 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2966 = and(_T_2965, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2967 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2968 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2969 = or(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2970 = eq(_T_2969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2971 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2972 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2974 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2976 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_2977 = and(_T_2975, _T_2976) @[el2_lsu_bus_buffer.scala 470:92] - node _T_2978 = or(_T_2970, _T_2977) @[el2_lsu_bus_buffer.scala 469:61] - node _T_2979 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_2980 = and(_T_2979, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_2981 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 471:54] - node _T_2983 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 471:73] - node _T_2985 = or(_T_2978, _T_2984) @[el2_lsu_bus_buffer.scala 470:112] - node _T_2986 = and(_T_2966, _T_2985) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2899 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2900 = and(_T_2899, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_2901 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_2902 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_2903 = or(_T_2901, _T_2902) @[el2_lsu_bus_buffer.scala 470:34] + node _T_2904 = eq(_T_2903, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_2905 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_2906 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_2907 = and(_T_2905, _T_2906) @[el2_lsu_bus_buffer.scala 471:43] + node _T_2908 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2909 = and(_T_2907, _T_2908) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2910 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_2911 = and(_T_2909, _T_2910) @[el2_lsu_bus_buffer.scala 471:92] + node _T_2912 = or(_T_2904, _T_2911) @[el2_lsu_bus_buffer.scala 470:61] + node _T_2913 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_2914 = and(_T_2913, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_2915 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 472:54] + node _T_2917 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_2918 = and(_T_2916, _T_2917) @[el2_lsu_bus_buffer.scala 472:73] + node _T_2919 = or(_T_2912, _T_2918) @[el2_lsu_bus_buffer.scala 471:112] + node _T_2920 = and(_T_2900, _T_2919) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2921 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2922 = and(_T_2921, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_2923 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_2924 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_2925 = or(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 470:34] + node _T_2926 = eq(_T_2925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_2927 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_2928 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 471:43] + node _T_2930 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2932 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_2933 = and(_T_2931, _T_2932) @[el2_lsu_bus_buffer.scala 471:92] + node _T_2934 = or(_T_2926, _T_2933) @[el2_lsu_bus_buffer.scala 470:61] + node _T_2935 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_2936 = and(_T_2935, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_2937 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 472:54] + node _T_2939 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 472:73] + node _T_2941 = or(_T_2934, _T_2940) @[el2_lsu_bus_buffer.scala 471:112] + node _T_2942 = and(_T_2922, _T_2941) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2943 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2944 = and(_T_2943, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_2945 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_2946 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_2947 = or(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 470:34] + node _T_2948 = eq(_T_2947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_2949 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_2950 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 471:43] + node _T_2952 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2954 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 471:92] + node _T_2956 = or(_T_2948, _T_2955) @[el2_lsu_bus_buffer.scala 470:61] + node _T_2957 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_2958 = and(_T_2957, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_2959 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 472:54] + node _T_2961 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 472:73] + node _T_2963 = or(_T_2956, _T_2962) @[el2_lsu_bus_buffer.scala 471:112] + node _T_2964 = and(_T_2944, _T_2963) @[el2_lsu_bus_buffer.scala 469:114] + node _T_2965 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2966 = and(_T_2965, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_2967 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_2968 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_2969 = or(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 470:34] + node _T_2970 = eq(_T_2969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_2971 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_2972 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 471:43] + node _T_2974 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2976 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_2977 = and(_T_2975, _T_2976) @[el2_lsu_bus_buffer.scala 471:92] + node _T_2978 = or(_T_2970, _T_2977) @[el2_lsu_bus_buffer.scala 470:61] + node _T_2979 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_2980 = and(_T_2979, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_2981 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 472:54] + node _T_2983 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 472:73] + node _T_2985 = or(_T_2978, _T_2984) @[el2_lsu_bus_buffer.scala 471:112] + node _T_2986 = and(_T_2966, _T_2985) @[el2_lsu_bus_buffer.scala 469:114] node _T_2987 = cat(_T_2986, _T_2964) @[Cat.scala 29:58] node _T_2988 = cat(_T_2987, _T_2942) @[Cat.scala 29:58] node _T_2989 = cat(_T_2988, _T_2920) @[Cat.scala 29:58] - node _T_2990 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2991 = and(_T_2990, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_2992 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_2993 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_2994 = or(_T_2992, _T_2993) @[el2_lsu_bus_buffer.scala 469:34] - node _T_2995 = eq(_T_2994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_2996 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_2997 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_2998 = and(_T_2996, _T_2997) @[el2_lsu_bus_buffer.scala 470:43] - node _T_2999 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3000 = and(_T_2998, _T_2999) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3001 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3002 = and(_T_3000, _T_3001) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3003 = or(_T_2995, _T_3002) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3004 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3005 = and(_T_3004, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3006 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3008 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3009 = and(_T_3007, _T_3008) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3010 = or(_T_3003, _T_3009) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3011 = and(_T_2991, _T_3010) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3012 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3013 = and(_T_3012, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3014 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3015 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3016 = or(_T_3014, _T_3015) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3017 = eq(_T_3016, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3018 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3019 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3020 = and(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3021 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3022 = and(_T_3020, _T_3021) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3023 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3024 = and(_T_3022, _T_3023) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3025 = or(_T_3017, _T_3024) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3026 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3027 = and(_T_3026, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3028 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3030 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3032 = or(_T_3025, _T_3031) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3033 = and(_T_3013, _T_3032) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3034 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3035 = and(_T_3034, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3036 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3037 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3038 = or(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3039 = eq(_T_3038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3040 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3041 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3042 = and(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3043 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3044 = and(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3045 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3046 = and(_T_3044, _T_3045) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3047 = or(_T_3039, _T_3046) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3048 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3049 = and(_T_3048, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3050 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3052 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3054 = or(_T_3047, _T_3053) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3055 = and(_T_3035, _T_3054) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3056 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3057 = and(_T_3056, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3058 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3059 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3060 = or(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3061 = eq(_T_3060, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3062 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3063 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3064 = and(_T_3062, _T_3063) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3065 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3066 = and(_T_3064, _T_3065) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3067 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3068 = and(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3069 = or(_T_3061, _T_3068) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3070 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3071 = and(_T_3070, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3072 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3073 = and(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3074 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3076 = or(_T_3069, _T_3075) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3077 = and(_T_3057, _T_3076) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2990 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2991 = and(_T_2990, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_2992 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_2993 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_2994 = or(_T_2992, _T_2993) @[el2_lsu_bus_buffer.scala 470:34] + node _T_2995 = eq(_T_2994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_2996 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_2997 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_2998 = and(_T_2996, _T_2997) @[el2_lsu_bus_buffer.scala 471:43] + node _T_2999 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3000 = and(_T_2998, _T_2999) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3001 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_3002 = and(_T_3000, _T_3001) @[el2_lsu_bus_buffer.scala 471:92] + node _T_3003 = or(_T_2995, _T_3002) @[el2_lsu_bus_buffer.scala 470:61] + node _T_3004 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_3005 = and(_T_3004, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_3006 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 472:54] + node _T_3008 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3009 = and(_T_3007, _T_3008) @[el2_lsu_bus_buffer.scala 472:73] + node _T_3010 = or(_T_3003, _T_3009) @[el2_lsu_bus_buffer.scala 471:112] + node _T_3011 = and(_T_2991, _T_3010) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3012 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3013 = and(_T_3012, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_3014 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_3015 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_3016 = or(_T_3014, _T_3015) @[el2_lsu_bus_buffer.scala 470:34] + node _T_3017 = eq(_T_3016, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_3018 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_3019 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_3020 = and(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 471:43] + node _T_3021 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3022 = and(_T_3020, _T_3021) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3023 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_3024 = and(_T_3022, _T_3023) @[el2_lsu_bus_buffer.scala 471:92] + node _T_3025 = or(_T_3017, _T_3024) @[el2_lsu_bus_buffer.scala 470:61] + node _T_3026 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_3027 = and(_T_3026, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_3028 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 472:54] + node _T_3030 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 472:73] + node _T_3032 = or(_T_3025, _T_3031) @[el2_lsu_bus_buffer.scala 471:112] + node _T_3033 = and(_T_3013, _T_3032) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3034 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3035 = and(_T_3034, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_3036 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_3037 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_3038 = or(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 470:34] + node _T_3039 = eq(_T_3038, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_3040 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_3041 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_3042 = and(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 471:43] + node _T_3043 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3044 = and(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3045 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_3046 = and(_T_3044, _T_3045) @[el2_lsu_bus_buffer.scala 471:92] + node _T_3047 = or(_T_3039, _T_3046) @[el2_lsu_bus_buffer.scala 470:61] + node _T_3048 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_3049 = and(_T_3048, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_3050 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 472:54] + node _T_3052 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 472:73] + node _T_3054 = or(_T_3047, _T_3053) @[el2_lsu_bus_buffer.scala 471:112] + node _T_3055 = and(_T_3035, _T_3054) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3056 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3057 = and(_T_3056, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_3058 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_3059 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_3060 = or(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 470:34] + node _T_3061 = eq(_T_3060, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_3062 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_3063 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_3064 = and(_T_3062, _T_3063) @[el2_lsu_bus_buffer.scala 471:43] + node _T_3065 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3066 = and(_T_3064, _T_3065) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3067 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_3068 = and(_T_3066, _T_3067) @[el2_lsu_bus_buffer.scala 471:92] + node _T_3069 = or(_T_3061, _T_3068) @[el2_lsu_bus_buffer.scala 470:61] + node _T_3070 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_3071 = and(_T_3070, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_3072 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_3073 = and(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 472:54] + node _T_3074 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 472:73] + node _T_3076 = or(_T_3069, _T_3075) @[el2_lsu_bus_buffer.scala 471:112] + node _T_3077 = and(_T_3057, _T_3076) @[el2_lsu_bus_buffer.scala 469:114] node _T_3078 = cat(_T_3077, _T_3055) @[Cat.scala 29:58] node _T_3079 = cat(_T_3078, _T_3033) @[Cat.scala 29:58] node _T_3080 = cat(_T_3079, _T_3011) @[Cat.scala 29:58] - node _T_3081 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3082 = and(_T_3081, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3083 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3084 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3085 = or(_T_3083, _T_3084) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3086 = eq(_T_3085, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3087 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3088 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3089 = and(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3090 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3091 = and(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3092 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3093 = and(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3094 = or(_T_3086, _T_3093) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3095 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3096 = and(_T_3095, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3097 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3098 = and(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3099 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3100 = and(_T_3098, _T_3099) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3101 = or(_T_3094, _T_3100) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3102 = and(_T_3082, _T_3101) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3103 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3104 = and(_T_3103, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3105 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3106 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3108 = eq(_T_3107, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3109 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3110 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3111 = and(_T_3109, _T_3110) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3112 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3113 = and(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3114 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3115 = and(_T_3113, _T_3114) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3116 = or(_T_3108, _T_3115) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3117 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3118 = and(_T_3117, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3119 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3120 = and(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3121 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3122 = and(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3123 = or(_T_3116, _T_3122) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3124 = and(_T_3104, _T_3123) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3125 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3126 = and(_T_3125, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3127 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3128 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3131 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3132 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3133 = and(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3134 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3135 = and(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3136 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3137 = and(_T_3135, _T_3136) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3138 = or(_T_3130, _T_3137) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3139 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3140 = and(_T_3139, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3141 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3142 = and(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3143 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3144 = and(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3145 = or(_T_3138, _T_3144) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3146 = and(_T_3126, _T_3145) @[el2_lsu_bus_buffer.scala 468:114] - node _T_3147 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3148 = and(_T_3147, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] - node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] - node _T_3150 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] - node _T_3151 = or(_T_3149, _T_3150) @[el2_lsu_bus_buffer.scala 469:34] - node _T_3152 = eq(_T_3151, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] - node _T_3153 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] - node _T_3154 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] - node _T_3155 = and(_T_3153, _T_3154) @[el2_lsu_bus_buffer.scala 470:43] - node _T_3156 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3157 = and(_T_3155, _T_3156) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3158 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] - node _T_3159 = and(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 470:92] - node _T_3160 = or(_T_3152, _T_3159) @[el2_lsu_bus_buffer.scala 469:61] - node _T_3161 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] - node _T_3162 = and(_T_3161, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] - node _T_3163 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] - node _T_3164 = and(_T_3162, _T_3163) @[el2_lsu_bus_buffer.scala 471:54] - node _T_3165 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] - node _T_3166 = and(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 471:73] - node _T_3167 = or(_T_3160, _T_3166) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3168 = and(_T_3148, _T_3167) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3081 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3082 = and(_T_3081, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_3083 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_3084 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_3085 = or(_T_3083, _T_3084) @[el2_lsu_bus_buffer.scala 470:34] + node _T_3086 = eq(_T_3085, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_3087 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_3088 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_3089 = and(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 471:43] + node _T_3090 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3091 = and(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3092 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_3093 = and(_T_3091, _T_3092) @[el2_lsu_bus_buffer.scala 471:92] + node _T_3094 = or(_T_3086, _T_3093) @[el2_lsu_bus_buffer.scala 470:61] + node _T_3095 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_3096 = and(_T_3095, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_3097 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_3098 = and(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 472:54] + node _T_3099 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3100 = and(_T_3098, _T_3099) @[el2_lsu_bus_buffer.scala 472:73] + node _T_3101 = or(_T_3094, _T_3100) @[el2_lsu_bus_buffer.scala 471:112] + node _T_3102 = and(_T_3082, _T_3101) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3103 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3104 = and(_T_3103, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_3105 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_3106 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_3107 = or(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 470:34] + node _T_3108 = eq(_T_3107, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_3109 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_3110 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_3111 = and(_T_3109, _T_3110) @[el2_lsu_bus_buffer.scala 471:43] + node _T_3112 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3113 = and(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3114 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_3115 = and(_T_3113, _T_3114) @[el2_lsu_bus_buffer.scala 471:92] + node _T_3116 = or(_T_3108, _T_3115) @[el2_lsu_bus_buffer.scala 470:61] + node _T_3117 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_3118 = and(_T_3117, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_3119 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_3120 = and(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 472:54] + node _T_3121 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3122 = and(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 472:73] + node _T_3123 = or(_T_3116, _T_3122) @[el2_lsu_bus_buffer.scala 471:112] + node _T_3124 = and(_T_3104, _T_3123) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3125 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3126 = and(_T_3125, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_3127 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_3128 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_3129 = or(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 470:34] + node _T_3130 = eq(_T_3129, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_3131 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_3132 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_3133 = and(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 471:43] + node _T_3134 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3135 = and(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3136 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_3137 = and(_T_3135, _T_3136) @[el2_lsu_bus_buffer.scala 471:92] + node _T_3138 = or(_T_3130, _T_3137) @[el2_lsu_bus_buffer.scala 470:61] + node _T_3139 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_3140 = and(_T_3139, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_3141 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_3142 = and(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 472:54] + node _T_3143 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3144 = and(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 472:73] + node _T_3145 = or(_T_3138, _T_3144) @[el2_lsu_bus_buffer.scala 471:112] + node _T_3146 = and(_T_3126, _T_3145) @[el2_lsu_bus_buffer.scala 469:114] + node _T_3147 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3148 = and(_T_3147, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 469:95] + node _T_3149 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:23] + node _T_3150 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:49] + node _T_3151 = or(_T_3149, _T_3150) @[el2_lsu_bus_buffer.scala 470:34] + node _T_3152 = eq(_T_3151, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:8] + node _T_3153 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:25] + node _T_3154 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:55] + node _T_3155 = and(_T_3153, _T_3154) @[el2_lsu_bus_buffer.scala 471:43] + node _T_3156 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3157 = and(_T_3155, _T_3156) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3158 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:103] + node _T_3159 = and(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 471:92] + node _T_3160 = or(_T_3152, _T_3159) @[el2_lsu_bus_buffer.scala 470:61] + node _T_3161 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 472:19] + node _T_3162 = and(_T_3161, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 472:37] + node _T_3163 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:65] + node _T_3164 = and(_T_3162, _T_3163) @[el2_lsu_bus_buffer.scala 472:54] + node _T_3165 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3166 = and(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 472:73] + node _T_3167 = or(_T_3160, _T_3166) @[el2_lsu_bus_buffer.scala 471:112] + node _T_3168 = and(_T_3148, _T_3167) @[el2_lsu_bus_buffer.scala 469:114] node _T_3169 = cat(_T_3168, _T_3146) @[Cat.scala 29:58] node _T_3170 = cat(_T_3169, _T_3124) @[Cat.scala 29:58] node _T_3171 = cat(_T_3170, _T_3102) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2898 @[el2_lsu_bus_buffer.scala 468:20] - buf_rspage_set[1] <= _T_2989 @[el2_lsu_bus_buffer.scala 468:20] - buf_rspage_set[2] <= _T_3080 @[el2_lsu_bus_buffer.scala 468:20] - buf_rspage_set[3] <= _T_3171 @[el2_lsu_bus_buffer.scala 468:20] - node _T_3172 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3173 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3175 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3176 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3178 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3179 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3181 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3182 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 472:90] + buf_rspage_set[0] <= _T_2898 @[el2_lsu_bus_buffer.scala 469:20] + buf_rspage_set[1] <= _T_2989 @[el2_lsu_bus_buffer.scala 469:20] + buf_rspage_set[2] <= _T_3080 @[el2_lsu_bus_buffer.scala 469:20] + buf_rspage_set[3] <= _T_3171 @[el2_lsu_bus_buffer.scala 469:20] + node _T_3172 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3173 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3175 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3176 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3177 = or(_T_3175, _T_3176) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3178 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3179 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3181 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3182 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 473:90] node _T_3184 = cat(_T_3183, _T_3180) @[Cat.scala 29:58] node _T_3185 = cat(_T_3184, _T_3177) @[Cat.scala 29:58] node _T_3186 = cat(_T_3185, _T_3174) @[Cat.scala 29:58] - node _T_3187 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3188 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3190 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3191 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3193 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3194 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3196 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3197 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3187 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3188 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3190 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3191 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3193 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3194 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3196 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3197 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 473:90] node _T_3199 = cat(_T_3198, _T_3195) @[Cat.scala 29:58] node _T_3200 = cat(_T_3199, _T_3192) @[Cat.scala 29:58] node _T_3201 = cat(_T_3200, _T_3189) @[Cat.scala 29:58] - node _T_3202 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3203 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3205 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3206 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3208 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3209 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3211 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3212 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3202 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3203 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3205 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3206 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3208 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3209 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3210 = or(_T_3208, _T_3209) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3211 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3212 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 473:90] node _T_3214 = cat(_T_3213, _T_3210) @[Cat.scala 29:58] node _T_3215 = cat(_T_3214, _T_3207) @[Cat.scala 29:58] node _T_3216 = cat(_T_3215, _T_3204) @[Cat.scala 29:58] - node _T_3217 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3218 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3220 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3221 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3223 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3224 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 472:90] - node _T_3226 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3227 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] - node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3217 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3218 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3220 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3221 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3223 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3224 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 473:90] + node _T_3226 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3227 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:105] + node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 473:90] node _T_3229 = cat(_T_3228, _T_3225) @[Cat.scala 29:58] node _T_3230 = cat(_T_3229, _T_3222) @[Cat.scala 29:58] node _T_3231 = cat(_T_3230, _T_3219) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3186 @[el2_lsu_bus_buffer.scala 472:19] - buf_rspage_in[1] <= _T_3201 @[el2_lsu_bus_buffer.scala 472:19] - buf_rspage_in[2] <= _T_3216 @[el2_lsu_bus_buffer.scala 472:19] - buf_rspage_in[3] <= _T_3231 @[el2_lsu_bus_buffer.scala 472:19] - node _T_3232 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3233 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3234 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3235 = or(_T_3233, _T_3234) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3237 = and(_T_3232, _T_3236) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3238 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3239 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3240 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3241 = or(_T_3239, _T_3240) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3243 = and(_T_3238, _T_3242) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3244 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3245 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3246 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3247 = or(_T_3245, _T_3246) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3248 = eq(_T_3247, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3249 = and(_T_3244, _T_3248) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3250 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3251 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3252 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3253 = or(_T_3251, _T_3252) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3255 = and(_T_3250, _T_3254) @[el2_lsu_bus_buffer.scala 473:84] + buf_rspage_in[0] <= _T_3186 @[el2_lsu_bus_buffer.scala 473:19] + buf_rspage_in[1] <= _T_3201 @[el2_lsu_bus_buffer.scala 473:19] + buf_rspage_in[2] <= _T_3216 @[el2_lsu_bus_buffer.scala 473:19] + buf_rspage_in[3] <= _T_3231 @[el2_lsu_bus_buffer.scala 473:19] + node _T_3232 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3233 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3234 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3235 = or(_T_3233, _T_3234) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3237 = and(_T_3232, _T_3236) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3238 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3239 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3240 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3241 = or(_T_3239, _T_3240) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3243 = and(_T_3238, _T_3242) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3244 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3245 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3246 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3247 = or(_T_3245, _T_3246) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3248 = eq(_T_3247, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3249 = and(_T_3244, _T_3248) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3250 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3251 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3252 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3253 = or(_T_3251, _T_3252) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3254 = eq(_T_3253, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3255 = and(_T_3250, _T_3254) @[el2_lsu_bus_buffer.scala 474:84] node _T_3256 = cat(_T_3255, _T_3249) @[Cat.scala 29:58] node _T_3257 = cat(_T_3256, _T_3243) @[Cat.scala 29:58] node _T_3258 = cat(_T_3257, _T_3237) @[Cat.scala 29:58] - node _T_3259 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3260 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3261 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3262 = or(_T_3260, _T_3261) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3264 = and(_T_3259, _T_3263) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3265 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3266 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3267 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3268 = or(_T_3266, _T_3267) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3270 = and(_T_3265, _T_3269) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3271 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3272 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3273 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3274 = or(_T_3272, _T_3273) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3275 = eq(_T_3274, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3276 = and(_T_3271, _T_3275) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3277 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3278 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3279 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3280 = or(_T_3278, _T_3279) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3282 = and(_T_3277, _T_3281) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3259 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3260 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3261 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3262 = or(_T_3260, _T_3261) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3264 = and(_T_3259, _T_3263) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3265 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3266 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3267 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3268 = or(_T_3266, _T_3267) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3270 = and(_T_3265, _T_3269) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3271 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3272 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3273 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3274 = or(_T_3272, _T_3273) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3275 = eq(_T_3274, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3276 = and(_T_3271, _T_3275) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3277 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3278 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3279 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3280 = or(_T_3278, _T_3279) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3281 = eq(_T_3280, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3282 = and(_T_3277, _T_3281) @[el2_lsu_bus_buffer.scala 474:84] node _T_3283 = cat(_T_3282, _T_3276) @[Cat.scala 29:58] node _T_3284 = cat(_T_3283, _T_3270) @[Cat.scala 29:58] node _T_3285 = cat(_T_3284, _T_3264) @[Cat.scala 29:58] - node _T_3286 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3287 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3288 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3289 = or(_T_3287, _T_3288) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3291 = and(_T_3286, _T_3290) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3292 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3293 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3294 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3295 = or(_T_3293, _T_3294) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3297 = and(_T_3292, _T_3296) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3298 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3299 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3300 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3301 = or(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3302 = eq(_T_3301, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3303 = and(_T_3298, _T_3302) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3304 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3305 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3306 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3307 = or(_T_3305, _T_3306) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3309 = and(_T_3304, _T_3308) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3286 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3287 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3288 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3289 = or(_T_3287, _T_3288) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3291 = and(_T_3286, _T_3290) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3292 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3293 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3294 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3295 = or(_T_3293, _T_3294) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3297 = and(_T_3292, _T_3296) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3298 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3299 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3300 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3301 = or(_T_3299, _T_3300) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3302 = eq(_T_3301, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3303 = and(_T_3298, _T_3302) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3304 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3305 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3306 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3307 = or(_T_3305, _T_3306) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3308 = eq(_T_3307, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3309 = and(_T_3304, _T_3308) @[el2_lsu_bus_buffer.scala 474:84] node _T_3310 = cat(_T_3309, _T_3303) @[Cat.scala 29:58] node _T_3311 = cat(_T_3310, _T_3297) @[Cat.scala 29:58] node _T_3312 = cat(_T_3311, _T_3291) @[Cat.scala 29:58] - node _T_3313 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3314 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3315 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3316 = or(_T_3314, _T_3315) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3318 = and(_T_3313, _T_3317) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3319 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3320 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3321 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3322 = or(_T_3320, _T_3321) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3324 = and(_T_3319, _T_3323) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3325 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3326 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3327 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3328 = or(_T_3326, _T_3327) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3329 = eq(_T_3328, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3330 = and(_T_3325, _T_3329) @[el2_lsu_bus_buffer.scala 473:84] - node _T_3331 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] - node _T_3332 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] - node _T_3333 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] - node _T_3334 = or(_T_3332, _T_3333) @[el2_lsu_bus_buffer.scala 473:112] - node _T_3335 = eq(_T_3334, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] - node _T_3336 = and(_T_3331, _T_3335) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3313 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3314 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3315 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3316 = or(_T_3314, _T_3315) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3318 = and(_T_3313, _T_3317) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3319 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3320 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3321 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3322 = or(_T_3320, _T_3321) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3324 = and(_T_3319, _T_3323) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3325 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3326 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3327 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3328 = or(_T_3326, _T_3327) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3329 = eq(_T_3328, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3330 = and(_T_3325, _T_3329) @[el2_lsu_bus_buffer.scala 474:84] + node _T_3331 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 474:80] + node _T_3332 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 474:101] + node _T_3333 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 474:127] + node _T_3334 = or(_T_3332, _T_3333) @[el2_lsu_bus_buffer.scala 474:112] + node _T_3335 = eq(_T_3334, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 474:86] + node _T_3336 = and(_T_3331, _T_3335) @[el2_lsu_bus_buffer.scala 474:84] node _T_3337 = cat(_T_3336, _T_3330) @[Cat.scala 29:58] node _T_3338 = cat(_T_3337, _T_3324) @[Cat.scala 29:58] node _T_3339 = cat(_T_3338, _T_3318) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3258 @[el2_lsu_bus_buffer.scala 473:16] - buf_rspage[1] <= _T_3285 @[el2_lsu_bus_buffer.scala 473:16] - buf_rspage[2] <= _T_3312 @[el2_lsu_bus_buffer.scala 473:16] - buf_rspage[3] <= _T_3339 @[el2_lsu_bus_buffer.scala 473:16] - node _T_3340 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3341 = and(ibuf_drain_vld, _T_3340) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3342 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3343 = and(ibuf_drain_vld, _T_3342) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3344 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3345 = and(ibuf_drain_vld, _T_3344) @[el2_lsu_bus_buffer.scala 478:65] - node _T_3346 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:77] - node _T_3347 = and(ibuf_drain_vld, _T_3346) @[el2_lsu_bus_buffer.scala 478:65] + buf_rspage[0] <= _T_3258 @[el2_lsu_bus_buffer.scala 474:16] + buf_rspage[1] <= _T_3285 @[el2_lsu_bus_buffer.scala 474:16] + buf_rspage[2] <= _T_3312 @[el2_lsu_bus_buffer.scala 474:16] + buf_rspage[3] <= _T_3339 @[el2_lsu_bus_buffer.scala 474:16] + node _T_3340 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:77] + node _T_3341 = and(ibuf_drain_vld, _T_3340) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3342 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:77] + node _T_3343 = and(ibuf_drain_vld, _T_3342) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3344 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:77] + node _T_3345 = and(ibuf_drain_vld, _T_3344) @[el2_lsu_bus_buffer.scala 479:65] + node _T_3346 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:77] + node _T_3347 = and(ibuf_drain_vld, _T_3346) @[el2_lsu_bus_buffer.scala 479:65] node _T_3348 = cat(_T_3347, _T_3345) @[Cat.scala 29:58] node _T_3349 = cat(_T_3348, _T_3343) @[Cat.scala 29:58] node _T_3350 = cat(_T_3349, _T_3341) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3350 @[el2_lsu_bus_buffer.scala 478:23] - node _T_3351 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 479:66] - node _T_3352 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] - node _T_3354 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3355 = and(_T_3353, _T_3354) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3356 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3357 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] - node _T_3358 = mux(_T_3355, _T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 480:10] - node _T_3359 = mux(_T_3351, _T_3352, _T_3358) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3360 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 479:66] - node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] - node _T_3363 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3364 = and(_T_3362, _T_3363) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] - node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 480:10] - node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3369 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 479:66] - node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] - node _T_3372 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3373 = and(_T_3371, _T_3372) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] - node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 480:10] - node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[el2_lsu_bus_buffer.scala 479:48] - node _T_3378 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 479:66] - node _T_3379 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] - node _T_3380 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:20] - node _T_3381 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:48] - node _T_3382 = and(_T_3380, _T_3381) @[el2_lsu_bus_buffer.scala 480:37] - node _T_3383 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:73] - node _T_3384 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 480:96] - node _T_3385 = mux(_T_3382, _T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 480:10] - node _T_3386 = mux(_T_3378, _T_3379, _T_3385) @[el2_lsu_bus_buffer.scala 479:48] - buf_byteen_in[0] <= _T_3359 @[el2_lsu_bus_buffer.scala 479:19] - buf_byteen_in[1] <= _T_3368 @[el2_lsu_bus_buffer.scala 479:19] - buf_byteen_in[2] <= _T_3377 @[el2_lsu_bus_buffer.scala 479:19] - buf_byteen_in[3] <= _T_3386 @[el2_lsu_bus_buffer.scala 479:19] - node _T_3387 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:64] - node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] - node _T_3389 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:121] - node _T_3390 = and(_T_3388, _T_3389) @[el2_lsu_bus_buffer.scala 481:110] - node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] - node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[el2_lsu_bus_buffer.scala 481:46] - node _T_3393 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:64] - node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] - node _T_3395 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:121] - node _T_3396 = and(_T_3394, _T_3395) @[el2_lsu_bus_buffer.scala 481:110] - node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] - node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[el2_lsu_bus_buffer.scala 481:46] - node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:64] - node _T_3400 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] - node _T_3401 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:121] - node _T_3402 = and(_T_3400, _T_3401) @[el2_lsu_bus_buffer.scala 481:110] - node _T_3403 = mux(_T_3402, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] - node _T_3404 = mux(_T_3399, ibuf_addr, _T_3403) @[el2_lsu_bus_buffer.scala 481:46] - node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:64] - node _T_3406 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:93] - node _T_3407 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:121] - node _T_3408 = and(_T_3406, _T_3407) @[el2_lsu_bus_buffer.scala 481:110] - node _T_3409 = mux(_T_3408, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 481:83] - node _T_3410 = mux(_T_3405, ibuf_addr, _T_3409) @[el2_lsu_bus_buffer.scala 481:46] - buf_addr_in[0] <= _T_3392 @[el2_lsu_bus_buffer.scala 481:17] - buf_addr_in[1] <= _T_3398 @[el2_lsu_bus_buffer.scala 481:17] - buf_addr_in[2] <= _T_3404 @[el2_lsu_bus_buffer.scala 481:17] - buf_addr_in[3] <= _T_3410 @[el2_lsu_bus_buffer.scala 481:17] - node _T_3411 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:65] - node _T_3412 = mux(_T_3411, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] - node _T_3413 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:65] - node _T_3414 = mux(_T_3413, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] - node _T_3415 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:65] - node _T_3416 = mux(_T_3415, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] - node _T_3417 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:65] - node _T_3418 = mux(_T_3417, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:47] + ibuf_drainvec_vld <= _T_3350 @[el2_lsu_bus_buffer.scala 479:23] + node _T_3351 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 480:66] + node _T_3352 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3353 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:20] + node _T_3354 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 481:48] + node _T_3355 = and(_T_3353, _T_3354) @[el2_lsu_bus_buffer.scala 481:37] + node _T_3356 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:73] + node _T_3357 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:96] + node _T_3358 = mux(_T_3355, _T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 481:10] + node _T_3359 = mux(_T_3351, _T_3352, _T_3358) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3360 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 480:66] + node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:20] + node _T_3363 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 481:48] + node _T_3364 = and(_T_3362, _T_3363) @[el2_lsu_bus_buffer.scala 481:37] + node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:73] + node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:96] + node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 481:10] + node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3369 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 480:66] + node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:20] + node _T_3372 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 481:48] + node _T_3373 = and(_T_3371, _T_3372) @[el2_lsu_bus_buffer.scala 481:37] + node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:73] + node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:96] + node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 481:10] + node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[el2_lsu_bus_buffer.scala 480:48] + node _T_3378 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 480:66] + node _T_3379 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 480:86] + node _T_3380 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:20] + node _T_3381 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 481:48] + node _T_3382 = and(_T_3380, _T_3381) @[el2_lsu_bus_buffer.scala 481:37] + node _T_3383 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:73] + node _T_3384 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 481:96] + node _T_3385 = mux(_T_3382, _T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 481:10] + node _T_3386 = mux(_T_3378, _T_3379, _T_3385) @[el2_lsu_bus_buffer.scala 480:48] + buf_byteen_in[0] <= _T_3359 @[el2_lsu_bus_buffer.scala 480:19] + buf_byteen_in[1] <= _T_3368 @[el2_lsu_bus_buffer.scala 480:19] + buf_byteen_in[2] <= _T_3377 @[el2_lsu_bus_buffer.scala 480:19] + buf_byteen_in[3] <= _T_3386 @[el2_lsu_bus_buffer.scala 480:19] + node _T_3387 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:64] + node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:93] + node _T_3389 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:121] + node _T_3390 = and(_T_3388, _T_3389) @[el2_lsu_bus_buffer.scala 482:110] + node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 482:83] + node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[el2_lsu_bus_buffer.scala 482:46] + node _T_3393 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:64] + node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:93] + node _T_3395 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 482:121] + node _T_3396 = and(_T_3394, _T_3395) @[el2_lsu_bus_buffer.scala 482:110] + node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 482:83] + node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[el2_lsu_bus_buffer.scala 482:46] + node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:64] + node _T_3400 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:93] + node _T_3401 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 482:121] + node _T_3402 = and(_T_3400, _T_3401) @[el2_lsu_bus_buffer.scala 482:110] + node _T_3403 = mux(_T_3402, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 482:83] + node _T_3404 = mux(_T_3399, ibuf_addr, _T_3403) @[el2_lsu_bus_buffer.scala 482:46] + node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:64] + node _T_3406 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:93] + node _T_3407 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 482:121] + node _T_3408 = and(_T_3406, _T_3407) @[el2_lsu_bus_buffer.scala 482:110] + node _T_3409 = mux(_T_3408, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 482:83] + node _T_3410 = mux(_T_3405, ibuf_addr, _T_3409) @[el2_lsu_bus_buffer.scala 482:46] + buf_addr_in[0] <= _T_3392 @[el2_lsu_bus_buffer.scala 482:17] + buf_addr_in[1] <= _T_3398 @[el2_lsu_bus_buffer.scala 482:17] + buf_addr_in[2] <= _T_3404 @[el2_lsu_bus_buffer.scala 482:17] + buf_addr_in[3] <= _T_3410 @[el2_lsu_bus_buffer.scala 482:17] + node _T_3411 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:65] + node _T_3412 = mux(_T_3411, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3413 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:65] + node _T_3414 = mux(_T_3413, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3415 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:65] + node _T_3416 = mux(_T_3415, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3417 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:65] + node _T_3418 = mux(_T_3417, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:47] node _T_3419 = cat(_T_3418, _T_3416) @[Cat.scala 29:58] node _T_3420 = cat(_T_3419, _T_3414) @[Cat.scala 29:58] node _T_3421 = cat(_T_3420, _T_3412) @[Cat.scala 29:58] - buf_dual_in <= _T_3421 @[el2_lsu_bus_buffer.scala 482:17] - node _T_3422 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3423 = mux(_T_3422, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3425 = mux(_T_3424, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3426 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3427 = mux(_T_3426, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3428 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3429 = mux(_T_3428, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 483:49] + buf_dual_in <= _T_3421 @[el2_lsu_bus_buffer.scala 483:17] + node _T_3422 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3423 = mux(_T_3422, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3425 = mux(_T_3424, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3426 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3427 = mux(_T_3426, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3428 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3429 = mux(_T_3428, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 484:49] node _T_3430 = cat(_T_3429, _T_3427) @[Cat.scala 29:58] node _T_3431 = cat(_T_3430, _T_3425) @[Cat.scala 29:58] node _T_3432 = cat(_T_3431, _T_3423) @[Cat.scala 29:58] - buf_samedw_in <= _T_3432 @[el2_lsu_bus_buffer.scala 483:19] - node _T_3433 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3434 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] - node _T_3435 = mux(_T_3433, _T_3434, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] - node _T_3436 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3437 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] - node _T_3438 = mux(_T_3436, _T_3437, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] - node _T_3439 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3440 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] - node _T_3441 = mux(_T_3439, _T_3440, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] - node _T_3442 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 484:86] - node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 484:50] + buf_samedw_in <= _T_3432 @[el2_lsu_bus_buffer.scala 484:19] + node _T_3433 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3434 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 485:86] + node _T_3435 = mux(_T_3433, _T_3434, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 485:50] + node _T_3436 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3437 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 485:86] + node _T_3438 = mux(_T_3436, _T_3437, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 485:50] + node _T_3439 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3440 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 485:86] + node _T_3441 = mux(_T_3439, _T_3440, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 485:50] + node _T_3442 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:68] + node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 485:86] + node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 485:50] node _T_3445 = cat(_T_3444, _T_3441) @[Cat.scala 29:58] node _T_3446 = cat(_T_3445, _T_3438) @[Cat.scala 29:58] node _T_3447 = cat(_T_3446, _T_3435) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3447 @[el2_lsu_bus_buffer.scala 484:20] - node _T_3448 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3449 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] - node _T_3450 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:120] - node _T_3451 = and(_T_3449, _T_3450) @[el2_lsu_bus_buffer.scala 485:109] - node _T_3452 = mux(_T_3448, ibuf_dual, _T_3451) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3453 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3454 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] - node _T_3455 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 485:120] - node _T_3456 = and(_T_3454, _T_3455) @[el2_lsu_bus_buffer.scala 485:109] - node _T_3457 = mux(_T_3453, ibuf_dual, _T_3456) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3458 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3459 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] - node _T_3460 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 485:120] - node _T_3461 = and(_T_3459, _T_3460) @[el2_lsu_bus_buffer.scala 485:109] - node _T_3462 = mux(_T_3458, ibuf_dual, _T_3461) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3463 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3464 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:92] - node _T_3465 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 485:120] - node _T_3466 = and(_T_3464, _T_3465) @[el2_lsu_bus_buffer.scala 485:109] - node _T_3467 = mux(_T_3463, ibuf_dual, _T_3466) @[el2_lsu_bus_buffer.scala 485:49] + buf_nomerge_in <= _T_3447 @[el2_lsu_bus_buffer.scala 485:20] + node _T_3448 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3449 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:92] + node _T_3450 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:120] + node _T_3451 = and(_T_3449, _T_3450) @[el2_lsu_bus_buffer.scala 486:109] + node _T_3452 = mux(_T_3448, ibuf_dual, _T_3451) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3453 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3454 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:92] + node _T_3455 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 486:120] + node _T_3456 = and(_T_3454, _T_3455) @[el2_lsu_bus_buffer.scala 486:109] + node _T_3457 = mux(_T_3453, ibuf_dual, _T_3456) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3458 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3459 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:92] + node _T_3460 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 486:120] + node _T_3461 = and(_T_3459, _T_3460) @[el2_lsu_bus_buffer.scala 486:109] + node _T_3462 = mux(_T_3458, ibuf_dual, _T_3461) @[el2_lsu_bus_buffer.scala 486:49] + node _T_3463 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:67] + node _T_3464 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:92] + node _T_3465 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 486:120] + node _T_3466 = and(_T_3464, _T_3465) @[el2_lsu_bus_buffer.scala 486:109] + node _T_3467 = mux(_T_3463, ibuf_dual, _T_3466) @[el2_lsu_bus_buffer.scala 486:49] node _T_3468 = cat(_T_3467, _T_3462) @[Cat.scala 29:58] node _T_3469 = cat(_T_3468, _T_3457) @[Cat.scala 29:58] node _T_3470 = cat(_T_3469, _T_3452) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3470 @[el2_lsu_bus_buffer.scala 485:19] - node _T_3471 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] - node _T_3473 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 486:127] - node _T_3474 = and(_T_3472, _T_3473) @[el2_lsu_bus_buffer.scala 486:116] - node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] - node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3477 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] - node _T_3479 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 486:127] - node _T_3480 = and(_T_3478, _T_3479) @[el2_lsu_bus_buffer.scala 486:116] - node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] - node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3484 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] - node _T_3485 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 486:127] - node _T_3486 = and(_T_3484, _T_3485) @[el2_lsu_bus_buffer.scala 486:116] - node _T_3487 = mux(_T_3486, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] - node _T_3488 = mux(_T_3483, ibuf_dualtag, _T_3487) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3490 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 486:99] - node _T_3491 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 486:127] - node _T_3492 = and(_T_3490, _T_3491) @[el2_lsu_bus_buffer.scala 486:116] - node _T_3493 = mux(_T_3492, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 486:89] - node _T_3494 = mux(_T_3489, ibuf_dualtag, _T_3493) @[el2_lsu_bus_buffer.scala 486:49] - buf_dualtag_in[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 486:20] - buf_dualtag_in[1] <= _T_3482 @[el2_lsu_bus_buffer.scala 486:20] - buf_dualtag_in[2] <= _T_3488 @[el2_lsu_bus_buffer.scala 486:20] - buf_dualtag_in[3] <= _T_3494 @[el2_lsu_bus_buffer.scala 486:20] - node _T_3495 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3496 = mux(_T_3495, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] - node _T_3497 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3498 = mux(_T_3497, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] - node _T_3499 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3500 = mux(_T_3499, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] - node _T_3501 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3502 = mux(_T_3501, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 487:53] + buf_dualhi_in <= _T_3470 @[el2_lsu_bus_buffer.scala 486:19] + node _T_3471 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:99] + node _T_3473 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 487:127] + node _T_3474 = and(_T_3472, _T_3473) @[el2_lsu_bus_buffer.scala 487:116] + node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 487:89] + node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3477 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:99] + node _T_3479 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 487:127] + node _T_3480 = and(_T_3478, _T_3479) @[el2_lsu_bus_buffer.scala 487:116] + node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 487:89] + node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3484 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:99] + node _T_3485 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 487:127] + node _T_3486 = and(_T_3484, _T_3485) @[el2_lsu_bus_buffer.scala 487:116] + node _T_3487 = mux(_T_3486, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 487:89] + node _T_3488 = mux(_T_3483, ibuf_dualtag, _T_3487) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3490 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 487:99] + node _T_3491 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 487:127] + node _T_3492 = and(_T_3490, _T_3491) @[el2_lsu_bus_buffer.scala 487:116] + node _T_3493 = mux(_T_3492, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 487:89] + node _T_3494 = mux(_T_3489, ibuf_dualtag, _T_3493) @[el2_lsu_bus_buffer.scala 487:49] + buf_dualtag_in[0] <= _T_3476 @[el2_lsu_bus_buffer.scala 487:20] + buf_dualtag_in[1] <= _T_3482 @[el2_lsu_bus_buffer.scala 487:20] + buf_dualtag_in[2] <= _T_3488 @[el2_lsu_bus_buffer.scala 487:20] + buf_dualtag_in[3] <= _T_3494 @[el2_lsu_bus_buffer.scala 487:20] + node _T_3495 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3496 = mux(_T_3495, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 488:53] + node _T_3497 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3498 = mux(_T_3497, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 488:53] + node _T_3499 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3500 = mux(_T_3499, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 488:53] + node _T_3501 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:71] + node _T_3502 = mux(_T_3501, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 488:53] node _T_3503 = cat(_T_3502, _T_3500) @[Cat.scala 29:58] node _T_3504 = cat(_T_3503, _T_3498) @[Cat.scala 29:58] node _T_3505 = cat(_T_3504, _T_3496) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3505 @[el2_lsu_bus_buffer.scala 487:23] - node _T_3506 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:67] - node _T_3507 = mux(_T_3506, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] - node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:67] - node _T_3509 = mux(_T_3508, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] - node _T_3510 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:67] - node _T_3511 = mux(_T_3510, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] - node _T_3512 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:67] - node _T_3513 = mux(_T_3512, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 488:49] + buf_sideeffect_in <= _T_3505 @[el2_lsu_bus_buffer.scala 488:23] + node _T_3506 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:67] + node _T_3507 = mux(_T_3506, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 489:49] + node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:67] + node _T_3509 = mux(_T_3508, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 489:49] + node _T_3510 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:67] + node _T_3511 = mux(_T_3510, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 489:49] + node _T_3512 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:67] + node _T_3513 = mux(_T_3512, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 489:49] node _T_3514 = cat(_T_3513, _T_3511) @[Cat.scala 29:58] node _T_3515 = cat(_T_3514, _T_3509) @[Cat.scala 29:58] node _T_3516 = cat(_T_3515, _T_3507) @[Cat.scala 29:58] - buf_unsign_in <= _T_3516 @[el2_lsu_bus_buffer.scala 488:19] - node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:62] + buf_unsign_in <= _T_3516 @[el2_lsu_bus_buffer.scala 489:19] + node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:62] node _T_3518 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3519 = mux(_T_3517, ibuf_sz, _T_3518) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3520 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:62] + node _T_3519 = mux(_T_3517, ibuf_sz, _T_3518) @[el2_lsu_bus_buffer.scala 490:44] + node _T_3520 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:62] node _T_3521 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3522 = mux(_T_3520, ibuf_sz, _T_3521) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3523 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:62] + node _T_3522 = mux(_T_3520, ibuf_sz, _T_3521) @[el2_lsu_bus_buffer.scala 490:44] + node _T_3523 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:62] node _T_3524 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3525 = mux(_T_3523, ibuf_sz, _T_3524) @[el2_lsu_bus_buffer.scala 489:44] - node _T_3526 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:62] + node _T_3525 = mux(_T_3523, ibuf_sz, _T_3524) @[el2_lsu_bus_buffer.scala 490:44] + node _T_3526 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:62] node _T_3527 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 489:44] - buf_sz_in[0] <= _T_3519 @[el2_lsu_bus_buffer.scala 489:15] - buf_sz_in[1] <= _T_3522 @[el2_lsu_bus_buffer.scala 489:15] - buf_sz_in[2] <= _T_3525 @[el2_lsu_bus_buffer.scala 489:15] - buf_sz_in[3] <= _T_3528 @[el2_lsu_bus_buffer.scala 489:15] - node _T_3529 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 490:66] - node _T_3530 = mux(_T_3529, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] - node _T_3531 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 490:66] - node _T_3532 = mux(_T_3531, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] - node _T_3533 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 490:66] - node _T_3534 = mux(_T_3533, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] - node _T_3535 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 490:66] - node _T_3536 = mux(_T_3535, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 490:48] + node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 490:44] + buf_sz_in[0] <= _T_3519 @[el2_lsu_bus_buffer.scala 490:15] + buf_sz_in[1] <= _T_3522 @[el2_lsu_bus_buffer.scala 490:15] + buf_sz_in[2] <= _T_3525 @[el2_lsu_bus_buffer.scala 490:15] + buf_sz_in[3] <= _T_3528 @[el2_lsu_bus_buffer.scala 490:15] + node _T_3529 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 491:66] + node _T_3530 = mux(_T_3529, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 491:48] + node _T_3531 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 491:66] + node _T_3532 = mux(_T_3531, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 491:48] + node _T_3533 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 491:66] + node _T_3534 = mux(_T_3533, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 491:48] + node _T_3535 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 491:66] + node _T_3536 = mux(_T_3535, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 491:48] node _T_3537 = cat(_T_3536, _T_3534) @[Cat.scala 29:58] node _T_3538 = cat(_T_3537, _T_3532) @[Cat.scala 29:58] node _T_3539 = cat(_T_3538, _T_3530) @[Cat.scala 29:58] - buf_write_in <= _T_3539 @[el2_lsu_bus_buffer.scala 490:18] + buf_write_in <= _T_3539 @[el2_lsu_bus_buffer.scala 491:18] node _T_3540 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3540 : @[Conditional.scala 40:58] - node _T_3541 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] - node _T_3542 = mux(_T_3541, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] - buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 495:25] - node _T_3543 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] - node _T_3544 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3545 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] - node _T_3546 = and(_T_3544, _T_3545) @[el2_lsu_bus_buffer.scala 496:95] - node _T_3547 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] - node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 496:112] - node _T_3549 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] - node _T_3550 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] - node _T_3551 = and(_T_3549, _T_3550) @[el2_lsu_bus_buffer.scala 496:161] - node _T_3552 = or(_T_3548, _T_3551) @[el2_lsu_bus_buffer.scala 496:132] - node _T_3553 = and(_T_3543, _T_3552) @[el2_lsu_bus_buffer.scala 496:63] - node _T_3554 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] - node _T_3555 = and(ibuf_drain_vld, _T_3554) @[el2_lsu_bus_buffer.scala 496:201] - node _T_3556 = or(_T_3553, _T_3555) @[el2_lsu_bus_buffer.scala 496:183] - buf_state_en[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 496:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 497:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 498:24] - node _T_3557 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] - node _T_3558 = and(ibuf_drain_vld, _T_3557) @[el2_lsu_bus_buffer.scala 499:47] - node _T_3559 = bits(_T_3558, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] - node _T_3560 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3561 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] - node _T_3562 = mux(_T_3559, _T_3560, _T_3561) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[0] <= _T_3562 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3541 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 496:56] + node _T_3542 = mux(_T_3541, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:31] + buf_nxtstate[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3543 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 497:45] + node _T_3544 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:77] + node _T_3545 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:97] + node _T_3546 = and(_T_3544, _T_3545) @[el2_lsu_bus_buffer.scala 497:95] + node _T_3547 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 497:117] + node _T_3548 = and(_T_3546, _T_3547) @[el2_lsu_bus_buffer.scala 497:112] + node _T_3549 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:144] + node _T_3550 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 497:166] + node _T_3551 = and(_T_3549, _T_3550) @[el2_lsu_bus_buffer.scala 497:161] + node _T_3552 = or(_T_3548, _T_3551) @[el2_lsu_bus_buffer.scala 497:132] + node _T_3553 = and(_T_3543, _T_3552) @[el2_lsu_bus_buffer.scala 497:63] + node _T_3554 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:206] + node _T_3555 = and(ibuf_drain_vld, _T_3554) @[el2_lsu_bus_buffer.scala 497:201] + node _T_3556 = or(_T_3553, _T_3555) @[el2_lsu_bus_buffer.scala 497:183] + buf_state_en[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 497:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 498:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 499:24] + node _T_3557 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 500:52] + node _T_3558 = and(ibuf_drain_vld, _T_3557) @[el2_lsu_bus_buffer.scala 500:47] + node _T_3559 = bits(_T_3558, 0, 0) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3560 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 500:90] + node _T_3561 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 500:114] + node _T_3562 = mux(_T_3559, _T_3560, _T_3561) @[el2_lsu_bus_buffer.scala 500:30] + buf_data_in[0] <= _T_3562 @[el2_lsu_bus_buffer.scala 500:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3563 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3563 : @[Conditional.scala 39:67] - node _T_3564 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3565 = mux(_T_3564, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3566 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] - buf_state_en[0] <= _T_3566 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3564 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] + node _T_3565 = mux(_T_3564, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3566 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:46] + buf_state_en[0] <= _T_3566 @[el2_lsu_bus_buffer.scala 504:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3567 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3567 : @[Conditional.scala 39:67] - node _T_3568 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] - node _T_3569 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] - node _T_3570 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] - node _T_3571 = and(_T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 506:104] - node _T_3572 = mux(_T_3571, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] - node _T_3573 = mux(_T_3568, UInt<3>("h00"), _T_3572) @[el2_lsu_bus_buffer.scala 506:31] - buf_nxtstate[0] <= _T_3573 @[el2_lsu_bus_buffer.scala 506:25] - node _T_3574 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 507:48] - node _T_3575 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 507:104] - node _T_3576 = and(obuf_merge, _T_3575) @[el2_lsu_bus_buffer.scala 507:91] - node _T_3577 = or(_T_3574, _T_3576) @[el2_lsu_bus_buffer.scala 507:77] - node _T_3578 = and(_T_3577, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] - node _T_3579 = and(_T_3578, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] - buf_cmd_state_bus_en[0] <= _T_3579 @[el2_lsu_bus_buffer.scala 507:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 508:29] - node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] - node _T_3581 = or(_T_3580, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] - buf_state_en[0] <= _T_3581 @[el2_lsu_bus_buffer.scala 509:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - node _T_3582 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 511:56] - node _T_3583 = eq(_T_3582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] - node _T_3584 = and(buf_state_en[0], _T_3583) @[el2_lsu_bus_buffer.scala 511:44] - node _T_3585 = and(_T_3584, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3586 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] - node _T_3587 = and(_T_3585, _T_3586) @[el2_lsu_bus_buffer.scala 511:74] - buf_ldfwd_en[0] <= _T_3587 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3588 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] - buf_ldfwdtag_in[0] <= _T_3588 @[el2_lsu_bus_buffer.scala 512:28] - node _T_3589 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] - node _T_3590 = and(_T_3589, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] - node _T_3591 = and(_T_3590, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] - buf_data_en[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 513:24] - node _T_3592 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] - node _T_3593 = and(_T_3592, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] - node _T_3594 = and(_T_3593, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] - buf_error_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3595 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] - node _T_3596 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] - node _T_3597 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] - node _T_3598 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] - node _T_3599 = mux(_T_3596, _T_3597, _T_3598) @[el2_lsu_bus_buffer.scala 515:73] - node _T_3600 = mux(buf_error_en[0], _T_3595, _T_3599) @[el2_lsu_bus_buffer.scala 515:30] - buf_data_in[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 515:24] + node _T_3568 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] + node _T_3569 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 507:89] + node _T_3570 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 507:124] + node _T_3571 = and(_T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 507:104] + node _T_3572 = mux(_T_3571, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:75] + node _T_3573 = mux(_T_3568, UInt<3>("h00"), _T_3572) @[el2_lsu_bus_buffer.scala 507:31] + buf_nxtstate[0] <= _T_3573 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3574 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 508:48] + node _T_3575 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 508:104] + node _T_3576 = and(obuf_merge, _T_3575) @[el2_lsu_bus_buffer.scala 508:91] + node _T_3577 = or(_T_3574, _T_3576) @[el2_lsu_bus_buffer.scala 508:77] + node _T_3578 = and(_T_3577, obuf_valid) @[el2_lsu_bus_buffer.scala 508:135] + node _T_3579 = and(_T_3578, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 508:148] + buf_cmd_state_bus_en[0] <= _T_3579 @[el2_lsu_bus_buffer.scala 508:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 509:29] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] + node _T_3581 = or(_T_3580, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] + buf_state_en[0] <= _T_3581 @[el2_lsu_bus_buffer.scala 510:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + node _T_3582 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 512:56] + node _T_3583 = eq(_T_3582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:46] + node _T_3584 = and(buf_state_en[0], _T_3583) @[el2_lsu_bus_buffer.scala 512:44] + node _T_3585 = and(_T_3584, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:60] + node _T_3586 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:76] + node _T_3587 = and(_T_3585, _T_3586) @[el2_lsu_bus_buffer.scala 512:74] + buf_ldfwd_en[0] <= _T_3587 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3588 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 513:46] + buf_ldfwdtag_in[0] <= _T_3588 @[el2_lsu_bus_buffer.scala 513:28] + node _T_3589 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:47] + node _T_3590 = and(_T_3589, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:67] + node _T_3591 = and(_T_3590, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:81] + buf_data_en[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 514:24] + node _T_3592 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 515:48] + node _T_3593 = and(_T_3592, obuf_nosend) @[el2_lsu_bus_buffer.scala 515:68] + node _T_3594 = and(_T_3593, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 515:82] + buf_error_en[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3595 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:61] + node _T_3596 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 516:85] + node _T_3597 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 516:103] + node _T_3598 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:126] + node _T_3599 = mux(_T_3596, _T_3597, _T_3598) @[el2_lsu_bus_buffer.scala 516:73] + node _T_3600 = mux(buf_error_en[0], _T_3595, _T_3599) @[el2_lsu_bus_buffer.scala 516:30] + buf_data_in[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 516:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3601 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3601 : @[Conditional.scala 39:67] - node _T_3602 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:67] - node _T_3603 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] - node _T_3604 = eq(_T_3603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_3605 = and(_T_3602, _T_3604) @[el2_lsu_bus_buffer.scala 518:71] - node _T_3606 = or(io.dec_tlu_force_halt, _T_3605) @[el2_lsu_bus_buffer.scala 518:55] - node _T_3607 = bits(_T_3606, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] - node _T_3608 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] - node _T_3609 = and(buf_dual[0], _T_3608) @[el2_lsu_bus_buffer.scala 519:28] - node _T_3610 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:57] - node _T_3611 = eq(_T_3610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] - node _T_3612 = and(_T_3609, _T_3611) @[el2_lsu_bus_buffer.scala 519:45] - node _T_3613 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] - node _T_3614 = and(_T_3612, _T_3613) @[el2_lsu_bus_buffer.scala 519:61] - node _T_3615 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 520:27] - node _T_3616 = or(_T_3615, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] - node _T_3617 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] - node _T_3618 = and(buf_dual[0], _T_3617) @[el2_lsu_bus_buffer.scala 520:68] - node _T_3619 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 520:97] - node _T_3620 = eq(_T_3619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] - node _T_3621 = and(_T_3618, _T_3620) @[el2_lsu_bus_buffer.scala 520:85] + node _T_3602 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:67] + node _T_3603 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 519:94] + node _T_3604 = eq(_T_3603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:73] + node _T_3605 = and(_T_3602, _T_3604) @[el2_lsu_bus_buffer.scala 519:71] + node _T_3606 = or(io.dec_tlu_force_halt, _T_3605) @[el2_lsu_bus_buffer.scala 519:55] + node _T_3607 = bits(_T_3606, 0, 0) @[el2_lsu_bus_buffer.scala 519:125] + node _T_3608 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:30] + node _T_3609 = and(buf_dual[0], _T_3608) @[el2_lsu_bus_buffer.scala 520:28] + node _T_3610 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 520:57] + node _T_3611 = eq(_T_3610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:47] + node _T_3612 = and(_T_3609, _T_3611) @[el2_lsu_bus_buffer.scala 520:45] + node _T_3613 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:90] + node _T_3614 = and(_T_3612, _T_3613) @[el2_lsu_bus_buffer.scala 520:61] + node _T_3615 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 521:27] + node _T_3616 = or(_T_3615, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:31] + node _T_3617 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:70] + node _T_3618 = and(buf_dual[0], _T_3617) @[el2_lsu_bus_buffer.scala 521:68] + node _T_3619 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 521:97] + node _T_3620 = eq(_T_3619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:87] + node _T_3621 = and(_T_3618, _T_3620) @[el2_lsu_bus_buffer.scala 521:85] node _T_3622 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_3623 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] node _T_3624 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] @@ -4595,265 +4593,265 @@ circuit el2_lsu_bus_buffer : node _T_3636 = or(_T_3635, _T_3633) @[Mux.scala 27:72] wire _T_3637 : UInt<1> @[Mux.scala 27:72] _T_3637 <= _T_3636 @[Mux.scala 27:72] - node _T_3638 = and(_T_3621, _T_3637) @[el2_lsu_bus_buffer.scala 520:101] - node _T_3639 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] - node _T_3640 = and(_T_3638, _T_3639) @[el2_lsu_bus_buffer.scala 520:138] - node _T_3641 = and(_T_3640, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] - node _T_3642 = or(_T_3616, _T_3641) @[el2_lsu_bus_buffer.scala 520:53] - node _T_3643 = mux(_T_3642, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] - node _T_3644 = mux(_T_3614, UInt<3>("h04"), _T_3643) @[el2_lsu_bus_buffer.scala 519:14] - node _T_3645 = mux(_T_3607, UInt<3>("h00"), _T_3644) @[el2_lsu_bus_buffer.scala 518:31] - buf_nxtstate[0] <= _T_3645 @[el2_lsu_bus_buffer.scala 518:25] - node _T_3646 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 521:73] - node _T_3647 = and(bus_rsp_write, _T_3646) @[el2_lsu_bus_buffer.scala 521:52] - node _T_3648 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 522:46] - node _T_3649 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 523:23] - node _T_3650 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 523:47] - node _T_3651 = and(_T_3649, _T_3650) @[el2_lsu_bus_buffer.scala 523:27] - node _T_3652 = or(_T_3648, _T_3651) @[el2_lsu_bus_buffer.scala 522:77] - node _T_3653 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 524:26] - node _T_3654 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 524:54] - node _T_3655 = not(_T_3654) @[el2_lsu_bus_buffer.scala 524:44] - node _T_3656 = and(_T_3653, _T_3655) @[el2_lsu_bus_buffer.scala 524:42] - node _T_3657 = and(_T_3656, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 524:58] - node _T_3658 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 524:94] - node _T_3659 = and(_T_3657, _T_3658) @[el2_lsu_bus_buffer.scala 524:74] - node _T_3660 = or(_T_3652, _T_3659) @[el2_lsu_bus_buffer.scala 523:71] - node _T_3661 = and(bus_rsp_read, _T_3660) @[el2_lsu_bus_buffer.scala 522:25] - node _T_3662 = or(_T_3647, _T_3661) @[el2_lsu_bus_buffer.scala 521:105] - buf_resp_state_bus_en[0] <= _T_3662 @[el2_lsu_bus_buffer.scala 521:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 525:29] - node _T_3663 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] - node _T_3664 = or(_T_3663, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] - buf_state_en[0] <= _T_3664 @[el2_lsu_bus_buffer.scala 526:25] - node _T_3665 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] - node _T_3666 = and(_T_3665, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] - buf_data_en[0] <= _T_3666 @[el2_lsu_bus_buffer.scala 527:24] - node _T_3667 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] - node _T_3668 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 528:111] - node _T_3669 = and(bus_rsp_read_error, _T_3668) @[el2_lsu_bus_buffer.scala 528:91] - node _T_3670 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 529:42] - node _T_3671 = and(bus_rsp_read_error, _T_3670) @[el2_lsu_bus_buffer.scala 529:31] - node _T_3672 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 529:66] - node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 529:46] - node _T_3674 = or(_T_3669, _T_3673) @[el2_lsu_bus_buffer.scala 528:143] - node _T_3675 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] - node _T_3676 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 530:74] - node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 530:53] - node _T_3678 = or(_T_3674, _T_3677) @[el2_lsu_bus_buffer.scala 529:88] - node _T_3679 = and(_T_3667, _T_3678) @[el2_lsu_bus_buffer.scala 528:68] - buf_error_en[0] <= _T_3679 @[el2_lsu_bus_buffer.scala 528:25] - node _T_3680 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] - node _T_3681 = and(buf_state_en[0], _T_3680) @[el2_lsu_bus_buffer.scala 531:48] - node _T_3682 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] - node _T_3683 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] - node _T_3684 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] - node _T_3685 = mux(_T_3682, _T_3683, _T_3684) @[el2_lsu_bus_buffer.scala 531:72] - node _T_3686 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] - node _T_3687 = mux(_T_3681, _T_3685, _T_3686) @[el2_lsu_bus_buffer.scala 531:30] - buf_data_in[0] <= _T_3687 @[el2_lsu_bus_buffer.scala 531:24] + node _T_3638 = and(_T_3621, _T_3637) @[el2_lsu_bus_buffer.scala 521:101] + node _T_3639 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 521:167] + node _T_3640 = and(_T_3638, _T_3639) @[el2_lsu_bus_buffer.scala 521:138] + node _T_3641 = and(_T_3640, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:187] + node _T_3642 = or(_T_3616, _T_3641) @[el2_lsu_bus_buffer.scala 521:53] + node _T_3643 = mux(_T_3642, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 521:16] + node _T_3644 = mux(_T_3614, UInt<3>("h04"), _T_3643) @[el2_lsu_bus_buffer.scala 520:14] + node _T_3645 = mux(_T_3607, UInt<3>("h00"), _T_3644) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[0] <= _T_3645 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3646 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 522:73] + node _T_3647 = and(bus_rsp_write, _T_3646) @[el2_lsu_bus_buffer.scala 522:52] + node _T_3648 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 523:46] + node _T_3649 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 524:23] + node _T_3650 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 524:47] + node _T_3651 = and(_T_3649, _T_3650) @[el2_lsu_bus_buffer.scala 524:27] + node _T_3652 = or(_T_3648, _T_3651) @[el2_lsu_bus_buffer.scala 523:77] + node _T_3653 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 525:26] + node _T_3654 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 525:54] + node _T_3655 = not(_T_3654) @[el2_lsu_bus_buffer.scala 525:44] + node _T_3656 = and(_T_3653, _T_3655) @[el2_lsu_bus_buffer.scala 525:42] + node _T_3657 = and(_T_3656, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 525:58] + node _T_3658 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 525:94] + node _T_3659 = and(_T_3657, _T_3658) @[el2_lsu_bus_buffer.scala 525:74] + node _T_3660 = or(_T_3652, _T_3659) @[el2_lsu_bus_buffer.scala 524:71] + node _T_3661 = and(bus_rsp_read, _T_3660) @[el2_lsu_bus_buffer.scala 523:25] + node _T_3662 = or(_T_3647, _T_3661) @[el2_lsu_bus_buffer.scala 522:105] + buf_resp_state_bus_en[0] <= _T_3662 @[el2_lsu_bus_buffer.scala 522:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 526:29] + node _T_3663 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:49] + node _T_3664 = or(_T_3663, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 527:70] + buf_state_en[0] <= _T_3664 @[el2_lsu_bus_buffer.scala 527:25] + node _T_3665 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 528:47] + node _T_3666 = and(_T_3665, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:62] + buf_data_en[0] <= _T_3666 @[el2_lsu_bus_buffer.scala 528:24] + node _T_3667 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 529:48] + node _T_3668 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:111] + node _T_3669 = and(bus_rsp_read_error, _T_3668) @[el2_lsu_bus_buffer.scala 529:91] + node _T_3670 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 530:42] + node _T_3671 = and(bus_rsp_read_error, _T_3670) @[el2_lsu_bus_buffer.scala 530:31] + node _T_3672 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 530:66] + node _T_3673 = and(_T_3671, _T_3672) @[el2_lsu_bus_buffer.scala 530:46] + node _T_3674 = or(_T_3669, _T_3673) @[el2_lsu_bus_buffer.scala 529:143] + node _T_3675 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 531:32] + node _T_3676 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 531:74] + node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 531:53] + node _T_3678 = or(_T_3674, _T_3677) @[el2_lsu_bus_buffer.scala 530:88] + node _T_3679 = and(_T_3667, _T_3678) @[el2_lsu_bus_buffer.scala 529:68] + buf_error_en[0] <= _T_3679 @[el2_lsu_bus_buffer.scala 529:25] + node _T_3680 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 532:50] + node _T_3681 = and(buf_state_en[0], _T_3680) @[el2_lsu_bus_buffer.scala 532:48] + node _T_3682 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 532:84] + node _T_3683 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 532:102] + node _T_3684 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:125] + node _T_3685 = mux(_T_3682, _T_3683, _T_3684) @[el2_lsu_bus_buffer.scala 532:72] + node _T_3686 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:148] + node _T_3687 = mux(_T_3681, _T_3685, _T_3686) @[el2_lsu_bus_buffer.scala 532:30] + buf_data_in[0] <= _T_3687 @[el2_lsu_bus_buffer.scala 532:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3688 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3688 : @[Conditional.scala 39:67] - node _T_3689 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] - node _T_3690 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 534:86] - node _T_3691 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:101] - node _T_3692 = bits(_T_3691, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] - node _T_3693 = or(_T_3690, _T_3692) @[el2_lsu_bus_buffer.scala 534:90] - node _T_3694 = or(_T_3693, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] - node _T_3695 = mux(_T_3694, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] - node _T_3696 = mux(_T_3689, UInt<3>("h00"), _T_3695) @[el2_lsu_bus_buffer.scala 534:31] - buf_nxtstate[0] <= _T_3696 @[el2_lsu_bus_buffer.scala 534:25] - node _T_3697 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 535:66] - node _T_3698 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 536:21] - node _T_3699 = bits(_T_3698, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] - node _T_3700 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 536:58] - node _T_3701 = and(_T_3699, _T_3700) @[el2_lsu_bus_buffer.scala 536:38] - node _T_3702 = or(_T_3697, _T_3701) @[el2_lsu_bus_buffer.scala 535:95] - node _T_3703 = and(bus_rsp_read, _T_3702) @[el2_lsu_bus_buffer.scala 535:45] - buf_state_bus_en[0] <= _T_3703 @[el2_lsu_bus_buffer.scala 535:29] - node _T_3704 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] - node _T_3705 = or(_T_3704, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] - buf_state_en[0] <= _T_3705 @[el2_lsu_bus_buffer.scala 537:25] + node _T_3689 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 535:60] + node _T_3690 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 535:86] + node _T_3691 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 535:101] + node _T_3692 = bits(_T_3691, 0, 0) @[el2_lsu_bus_buffer.scala 535:101] + node _T_3693 = or(_T_3690, _T_3692) @[el2_lsu_bus_buffer.scala 535:90] + node _T_3694 = or(_T_3693, any_done_wait_state) @[el2_lsu_bus_buffer.scala 535:118] + node _T_3695 = mux(_T_3694, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 535:75] + node _T_3696 = mux(_T_3689, UInt<3>("h00"), _T_3695) @[el2_lsu_bus_buffer.scala 535:31] + buf_nxtstate[0] <= _T_3696 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3697 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_3698 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 537:21] + node _T_3699 = bits(_T_3698, 0, 0) @[el2_lsu_bus_buffer.scala 537:21] + node _T_3700 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 537:58] + node _T_3701 = and(_T_3699, _T_3700) @[el2_lsu_bus_buffer.scala 537:38] + node _T_3702 = or(_T_3697, _T_3701) @[el2_lsu_bus_buffer.scala 536:95] + node _T_3703 = and(bus_rsp_read, _T_3702) @[el2_lsu_bus_buffer.scala 536:45] + buf_state_bus_en[0] <= _T_3703 @[el2_lsu_bus_buffer.scala 536:29] + node _T_3704 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:49] + node _T_3705 = or(_T_3704, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:70] + buf_state_en[0] <= _T_3705 @[el2_lsu_bus_buffer.scala 538:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3706 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3706 : @[Conditional.scala 39:67] - node _T_3707 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] - node _T_3708 = mux(_T_3707, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] - buf_nxtstate[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 540:25] - node _T_3709 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 541:37] - node _T_3710 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] - node _T_3711 = and(buf_dual[0], _T_3710) @[el2_lsu_bus_buffer.scala 541:80] - node _T_3712 = or(_T_3709, _T_3711) @[el2_lsu_bus_buffer.scala 541:65] - node _T_3713 = or(_T_3712, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] - buf_state_en[0] <= _T_3713 @[el2_lsu_bus_buffer.scala 541:25] + node _T_3707 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_3708 = mux(_T_3707, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 541:25] + node _T_3709 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 542:37] + node _T_3710 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 542:98] + node _T_3711 = and(buf_dual[0], _T_3710) @[el2_lsu_bus_buffer.scala 542:80] + node _T_3712 = or(_T_3709, _T_3711) @[el2_lsu_bus_buffer.scala 542:65] + node _T_3713 = or(_T_3712, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 542:112] + buf_state_en[0] <= _T_3713 @[el2_lsu_bus_buffer.scala 542:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3714 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3714 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 548:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 545:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 547:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 548:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] - node _T_3715 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] + node _T_3715 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 552:108] reg _T_3716 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3715 : @[Reg.scala 28:19] _T_3716 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 551:18] - reg _T_3717 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] - _T_3717 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 552:60] - buf_ageQ[0] <= _T_3717 @[el2_lsu_bus_buffer.scala 552:17] - reg _T_3718 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] - _T_3718 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 553:63] - buf_rspageQ[0] <= _T_3718 @[el2_lsu_bus_buffer.scala 553:20] - node _T_3719 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] + buf_state[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 552:18] + reg _T_3717 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:60] + _T_3717 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 553:60] + buf_ageQ[0] <= _T_3717 @[el2_lsu_bus_buffer.scala 553:17] + reg _T_3718 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 554:63] + _T_3718 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 554:63] + buf_rspageQ[0] <= _T_3718 @[el2_lsu_bus_buffer.scala 554:20] + node _T_3719 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:109] reg _T_3720 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3719 : @[Reg.scala 28:19] _T_3720 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 554:20] - node _T_3721 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:74] - node _T_3722 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] + buf_dualtag[0] <= _T_3720 @[el2_lsu_bus_buffer.scala 555:20] + node _T_3721 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:74] + node _T_3722 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:107] reg _T_3723 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3722 : @[Reg.scala 28:19] _T_3723 <= _T_3721 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3723 @[el2_lsu_bus_buffer.scala 555:17] - node _T_3724 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:78] - node _T_3725 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + buf_dual[0] <= _T_3723 @[el2_lsu_bus_buffer.scala 556:17] + node _T_3724 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 557:78] + node _T_3725 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] reg _T_3726 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3725 : @[Reg.scala 28:19] _T_3726 <= _T_3724 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 556:19] - node _T_3727 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 557:80] - node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] + buf_samedw[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 557:19] + node _T_3727 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 558:80] + node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 558:113] reg _T_3729 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3728 : @[Reg.scala 28:19] _T_3729 <= _T_3727 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 557:20] - node _T_3730 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 558:78] - node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] + buf_nomerge[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 558:20] + node _T_3730 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 559:78] + node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 559:111] reg _T_3732 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3731 : @[Reg.scala 28:19] _T_3732 <= _T_3730 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 558:19] + buf_dualhi[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 559:19] node _T_3733 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3733 : @[Conditional.scala 40:58] - node _T_3734 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] - node _T_3735 = mux(_T_3734, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] - buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 495:25] - node _T_3736 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] - node _T_3737 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3738 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] - node _T_3739 = and(_T_3737, _T_3738) @[el2_lsu_bus_buffer.scala 496:95] - node _T_3740 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] - node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 496:112] - node _T_3742 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] - node _T_3743 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] - node _T_3744 = and(_T_3742, _T_3743) @[el2_lsu_bus_buffer.scala 496:161] - node _T_3745 = or(_T_3741, _T_3744) @[el2_lsu_bus_buffer.scala 496:132] - node _T_3746 = and(_T_3736, _T_3745) @[el2_lsu_bus_buffer.scala 496:63] - node _T_3747 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] - node _T_3748 = and(ibuf_drain_vld, _T_3747) @[el2_lsu_bus_buffer.scala 496:201] - node _T_3749 = or(_T_3746, _T_3748) @[el2_lsu_bus_buffer.scala 496:183] - buf_state_en[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 496:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 497:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 498:24] - node _T_3750 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] - node _T_3751 = and(ibuf_drain_vld, _T_3750) @[el2_lsu_bus_buffer.scala 499:47] - node _T_3752 = bits(_T_3751, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] - node _T_3753 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3754 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] - node _T_3755 = mux(_T_3752, _T_3753, _T_3754) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[1] <= _T_3755 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3734 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 496:56] + node _T_3735 = mux(_T_3734, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:31] + buf_nxtstate[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3736 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 497:45] + node _T_3737 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:77] + node _T_3738 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:97] + node _T_3739 = and(_T_3737, _T_3738) @[el2_lsu_bus_buffer.scala 497:95] + node _T_3740 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 497:117] + node _T_3741 = and(_T_3739, _T_3740) @[el2_lsu_bus_buffer.scala 497:112] + node _T_3742 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:144] + node _T_3743 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 497:166] + node _T_3744 = and(_T_3742, _T_3743) @[el2_lsu_bus_buffer.scala 497:161] + node _T_3745 = or(_T_3741, _T_3744) @[el2_lsu_bus_buffer.scala 497:132] + node _T_3746 = and(_T_3736, _T_3745) @[el2_lsu_bus_buffer.scala 497:63] + node _T_3747 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:206] + node _T_3748 = and(ibuf_drain_vld, _T_3747) @[el2_lsu_bus_buffer.scala 497:201] + node _T_3749 = or(_T_3746, _T_3748) @[el2_lsu_bus_buffer.scala 497:183] + buf_state_en[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 497:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 498:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 499:24] + node _T_3750 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 500:52] + node _T_3751 = and(ibuf_drain_vld, _T_3750) @[el2_lsu_bus_buffer.scala 500:47] + node _T_3752 = bits(_T_3751, 0, 0) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3753 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 500:90] + node _T_3754 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 500:114] + node _T_3755 = mux(_T_3752, _T_3753, _T_3754) @[el2_lsu_bus_buffer.scala 500:30] + buf_data_in[1] <= _T_3755 @[el2_lsu_bus_buffer.scala 500:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3756 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3756 : @[Conditional.scala 39:67] - node _T_3757 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3758 = mux(_T_3757, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3759 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] - buf_state_en[1] <= _T_3759 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3757 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] + node _T_3758 = mux(_T_3757, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3759 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:46] + buf_state_en[1] <= _T_3759 @[el2_lsu_bus_buffer.scala 504:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3760 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3760 : @[Conditional.scala 39:67] - node _T_3761 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] - node _T_3762 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] - node _T_3763 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] - node _T_3764 = and(_T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 506:104] - node _T_3765 = mux(_T_3764, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] - node _T_3766 = mux(_T_3761, UInt<3>("h00"), _T_3765) @[el2_lsu_bus_buffer.scala 506:31] - buf_nxtstate[1] <= _T_3766 @[el2_lsu_bus_buffer.scala 506:25] - node _T_3767 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 507:48] - node _T_3768 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 507:104] - node _T_3769 = and(obuf_merge, _T_3768) @[el2_lsu_bus_buffer.scala 507:91] - node _T_3770 = or(_T_3767, _T_3769) @[el2_lsu_bus_buffer.scala 507:77] - node _T_3771 = and(_T_3770, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] - node _T_3772 = and(_T_3771, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] - buf_cmd_state_bus_en[1] <= _T_3772 @[el2_lsu_bus_buffer.scala 507:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 508:29] - node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] - node _T_3774 = or(_T_3773, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] - buf_state_en[1] <= _T_3774 @[el2_lsu_bus_buffer.scala 509:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - node _T_3775 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 511:56] - node _T_3776 = eq(_T_3775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] - node _T_3777 = and(buf_state_en[1], _T_3776) @[el2_lsu_bus_buffer.scala 511:44] - node _T_3778 = and(_T_3777, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3779 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] - node _T_3780 = and(_T_3778, _T_3779) @[el2_lsu_bus_buffer.scala 511:74] - buf_ldfwd_en[1] <= _T_3780 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3781 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] - buf_ldfwdtag_in[1] <= _T_3781 @[el2_lsu_bus_buffer.scala 512:28] - node _T_3782 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] - node _T_3783 = and(_T_3782, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] - node _T_3784 = and(_T_3783, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] - buf_data_en[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 513:24] - node _T_3785 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] - node _T_3786 = and(_T_3785, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] - node _T_3787 = and(_T_3786, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] - buf_error_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3788 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] - node _T_3789 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] - node _T_3790 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] - node _T_3791 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] - node _T_3792 = mux(_T_3789, _T_3790, _T_3791) @[el2_lsu_bus_buffer.scala 515:73] - node _T_3793 = mux(buf_error_en[1], _T_3788, _T_3792) @[el2_lsu_bus_buffer.scala 515:30] - buf_data_in[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 515:24] + node _T_3761 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] + node _T_3762 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 507:89] + node _T_3763 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 507:124] + node _T_3764 = and(_T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 507:104] + node _T_3765 = mux(_T_3764, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:75] + node _T_3766 = mux(_T_3761, UInt<3>("h00"), _T_3765) @[el2_lsu_bus_buffer.scala 507:31] + buf_nxtstate[1] <= _T_3766 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3767 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 508:48] + node _T_3768 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 508:104] + node _T_3769 = and(obuf_merge, _T_3768) @[el2_lsu_bus_buffer.scala 508:91] + node _T_3770 = or(_T_3767, _T_3769) @[el2_lsu_bus_buffer.scala 508:77] + node _T_3771 = and(_T_3770, obuf_valid) @[el2_lsu_bus_buffer.scala 508:135] + node _T_3772 = and(_T_3771, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 508:148] + buf_cmd_state_bus_en[1] <= _T_3772 @[el2_lsu_bus_buffer.scala 508:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 509:29] + node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] + node _T_3774 = or(_T_3773, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] + buf_state_en[1] <= _T_3774 @[el2_lsu_bus_buffer.scala 510:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + node _T_3775 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 512:56] + node _T_3776 = eq(_T_3775, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:46] + node _T_3777 = and(buf_state_en[1], _T_3776) @[el2_lsu_bus_buffer.scala 512:44] + node _T_3778 = and(_T_3777, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:60] + node _T_3779 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:76] + node _T_3780 = and(_T_3778, _T_3779) @[el2_lsu_bus_buffer.scala 512:74] + buf_ldfwd_en[1] <= _T_3780 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3781 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 513:46] + buf_ldfwdtag_in[1] <= _T_3781 @[el2_lsu_bus_buffer.scala 513:28] + node _T_3782 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:47] + node _T_3783 = and(_T_3782, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:67] + node _T_3784 = and(_T_3783, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:81] + buf_data_en[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 514:24] + node _T_3785 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 515:48] + node _T_3786 = and(_T_3785, obuf_nosend) @[el2_lsu_bus_buffer.scala 515:68] + node _T_3787 = and(_T_3786, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 515:82] + buf_error_en[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3788 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:61] + node _T_3789 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 516:85] + node _T_3790 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 516:103] + node _T_3791 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:126] + node _T_3792 = mux(_T_3789, _T_3790, _T_3791) @[el2_lsu_bus_buffer.scala 516:73] + node _T_3793 = mux(buf_error_en[1], _T_3788, _T_3792) @[el2_lsu_bus_buffer.scala 516:30] + buf_data_in[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 516:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3794 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3794 : @[Conditional.scala 39:67] - node _T_3795 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:67] - node _T_3796 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] - node _T_3797 = eq(_T_3796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_3798 = and(_T_3795, _T_3797) @[el2_lsu_bus_buffer.scala 518:71] - node _T_3799 = or(io.dec_tlu_force_halt, _T_3798) @[el2_lsu_bus_buffer.scala 518:55] - node _T_3800 = bits(_T_3799, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] - node _T_3801 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] - node _T_3802 = and(buf_dual[1], _T_3801) @[el2_lsu_bus_buffer.scala 519:28] - node _T_3803 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:57] - node _T_3804 = eq(_T_3803, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] - node _T_3805 = and(_T_3802, _T_3804) @[el2_lsu_bus_buffer.scala 519:45] - node _T_3806 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] - node _T_3807 = and(_T_3805, _T_3806) @[el2_lsu_bus_buffer.scala 519:61] - node _T_3808 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 520:27] - node _T_3809 = or(_T_3808, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] - node _T_3810 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] - node _T_3811 = and(buf_dual[1], _T_3810) @[el2_lsu_bus_buffer.scala 520:68] - node _T_3812 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 520:97] - node _T_3813 = eq(_T_3812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] - node _T_3814 = and(_T_3811, _T_3813) @[el2_lsu_bus_buffer.scala 520:85] + node _T_3795 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:67] + node _T_3796 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 519:94] + node _T_3797 = eq(_T_3796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:73] + node _T_3798 = and(_T_3795, _T_3797) @[el2_lsu_bus_buffer.scala 519:71] + node _T_3799 = or(io.dec_tlu_force_halt, _T_3798) @[el2_lsu_bus_buffer.scala 519:55] + node _T_3800 = bits(_T_3799, 0, 0) @[el2_lsu_bus_buffer.scala 519:125] + node _T_3801 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:30] + node _T_3802 = and(buf_dual[1], _T_3801) @[el2_lsu_bus_buffer.scala 520:28] + node _T_3803 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 520:57] + node _T_3804 = eq(_T_3803, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:47] + node _T_3805 = and(_T_3802, _T_3804) @[el2_lsu_bus_buffer.scala 520:45] + node _T_3806 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:90] + node _T_3807 = and(_T_3805, _T_3806) @[el2_lsu_bus_buffer.scala 520:61] + node _T_3808 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 521:27] + node _T_3809 = or(_T_3808, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:31] + node _T_3810 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:70] + node _T_3811 = and(buf_dual[1], _T_3810) @[el2_lsu_bus_buffer.scala 521:68] + node _T_3812 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 521:97] + node _T_3813 = eq(_T_3812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:87] + node _T_3814 = and(_T_3811, _T_3813) @[el2_lsu_bus_buffer.scala 521:85] node _T_3815 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_3816 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] node _T_3817 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] @@ -4871,265 +4869,265 @@ circuit el2_lsu_bus_buffer : node _T_3829 = or(_T_3828, _T_3826) @[Mux.scala 27:72] wire _T_3830 : UInt<1> @[Mux.scala 27:72] _T_3830 <= _T_3829 @[Mux.scala 27:72] - node _T_3831 = and(_T_3814, _T_3830) @[el2_lsu_bus_buffer.scala 520:101] - node _T_3832 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] - node _T_3833 = and(_T_3831, _T_3832) @[el2_lsu_bus_buffer.scala 520:138] - node _T_3834 = and(_T_3833, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] - node _T_3835 = or(_T_3809, _T_3834) @[el2_lsu_bus_buffer.scala 520:53] - node _T_3836 = mux(_T_3835, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] - node _T_3837 = mux(_T_3807, UInt<3>("h04"), _T_3836) @[el2_lsu_bus_buffer.scala 519:14] - node _T_3838 = mux(_T_3800, UInt<3>("h00"), _T_3837) @[el2_lsu_bus_buffer.scala 518:31] - buf_nxtstate[1] <= _T_3838 @[el2_lsu_bus_buffer.scala 518:25] - node _T_3839 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 521:73] - node _T_3840 = and(bus_rsp_write, _T_3839) @[el2_lsu_bus_buffer.scala 521:52] - node _T_3841 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 522:46] - node _T_3842 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 523:23] - node _T_3843 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 523:47] - node _T_3844 = and(_T_3842, _T_3843) @[el2_lsu_bus_buffer.scala 523:27] - node _T_3845 = or(_T_3841, _T_3844) @[el2_lsu_bus_buffer.scala 522:77] - node _T_3846 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 524:26] - node _T_3847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 524:54] - node _T_3848 = not(_T_3847) @[el2_lsu_bus_buffer.scala 524:44] - node _T_3849 = and(_T_3846, _T_3848) @[el2_lsu_bus_buffer.scala 524:42] - node _T_3850 = and(_T_3849, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 524:58] - node _T_3851 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 524:94] - node _T_3852 = and(_T_3850, _T_3851) @[el2_lsu_bus_buffer.scala 524:74] - node _T_3853 = or(_T_3845, _T_3852) @[el2_lsu_bus_buffer.scala 523:71] - node _T_3854 = and(bus_rsp_read, _T_3853) @[el2_lsu_bus_buffer.scala 522:25] - node _T_3855 = or(_T_3840, _T_3854) @[el2_lsu_bus_buffer.scala 521:105] - buf_resp_state_bus_en[1] <= _T_3855 @[el2_lsu_bus_buffer.scala 521:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 525:29] - node _T_3856 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] - node _T_3857 = or(_T_3856, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] - buf_state_en[1] <= _T_3857 @[el2_lsu_bus_buffer.scala 526:25] - node _T_3858 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] - node _T_3859 = and(_T_3858, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] - buf_data_en[1] <= _T_3859 @[el2_lsu_bus_buffer.scala 527:24] - node _T_3860 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] - node _T_3861 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 528:111] - node _T_3862 = and(bus_rsp_read_error, _T_3861) @[el2_lsu_bus_buffer.scala 528:91] - node _T_3863 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 529:42] - node _T_3864 = and(bus_rsp_read_error, _T_3863) @[el2_lsu_bus_buffer.scala 529:31] - node _T_3865 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 529:66] - node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 529:46] - node _T_3867 = or(_T_3862, _T_3866) @[el2_lsu_bus_buffer.scala 528:143] - node _T_3868 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] - node _T_3869 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 530:74] - node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 530:53] - node _T_3871 = or(_T_3867, _T_3870) @[el2_lsu_bus_buffer.scala 529:88] - node _T_3872 = and(_T_3860, _T_3871) @[el2_lsu_bus_buffer.scala 528:68] - buf_error_en[1] <= _T_3872 @[el2_lsu_bus_buffer.scala 528:25] - node _T_3873 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] - node _T_3874 = and(buf_state_en[1], _T_3873) @[el2_lsu_bus_buffer.scala 531:48] - node _T_3875 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] - node _T_3876 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] - node _T_3877 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] - node _T_3878 = mux(_T_3875, _T_3876, _T_3877) @[el2_lsu_bus_buffer.scala 531:72] - node _T_3879 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] - node _T_3880 = mux(_T_3874, _T_3878, _T_3879) @[el2_lsu_bus_buffer.scala 531:30] - buf_data_in[1] <= _T_3880 @[el2_lsu_bus_buffer.scala 531:24] + node _T_3831 = and(_T_3814, _T_3830) @[el2_lsu_bus_buffer.scala 521:101] + node _T_3832 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 521:167] + node _T_3833 = and(_T_3831, _T_3832) @[el2_lsu_bus_buffer.scala 521:138] + node _T_3834 = and(_T_3833, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:187] + node _T_3835 = or(_T_3809, _T_3834) @[el2_lsu_bus_buffer.scala 521:53] + node _T_3836 = mux(_T_3835, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 521:16] + node _T_3837 = mux(_T_3807, UInt<3>("h04"), _T_3836) @[el2_lsu_bus_buffer.scala 520:14] + node _T_3838 = mux(_T_3800, UInt<3>("h00"), _T_3837) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[1] <= _T_3838 @[el2_lsu_bus_buffer.scala 519:25] + node _T_3839 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 522:73] + node _T_3840 = and(bus_rsp_write, _T_3839) @[el2_lsu_bus_buffer.scala 522:52] + node _T_3841 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 523:46] + node _T_3842 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 524:23] + node _T_3843 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 524:47] + node _T_3844 = and(_T_3842, _T_3843) @[el2_lsu_bus_buffer.scala 524:27] + node _T_3845 = or(_T_3841, _T_3844) @[el2_lsu_bus_buffer.scala 523:77] + node _T_3846 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 525:26] + node _T_3847 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 525:54] + node _T_3848 = not(_T_3847) @[el2_lsu_bus_buffer.scala 525:44] + node _T_3849 = and(_T_3846, _T_3848) @[el2_lsu_bus_buffer.scala 525:42] + node _T_3850 = and(_T_3849, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 525:58] + node _T_3851 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 525:94] + node _T_3852 = and(_T_3850, _T_3851) @[el2_lsu_bus_buffer.scala 525:74] + node _T_3853 = or(_T_3845, _T_3852) @[el2_lsu_bus_buffer.scala 524:71] + node _T_3854 = and(bus_rsp_read, _T_3853) @[el2_lsu_bus_buffer.scala 523:25] + node _T_3855 = or(_T_3840, _T_3854) @[el2_lsu_bus_buffer.scala 522:105] + buf_resp_state_bus_en[1] <= _T_3855 @[el2_lsu_bus_buffer.scala 522:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 526:29] + node _T_3856 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:49] + node _T_3857 = or(_T_3856, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 527:70] + buf_state_en[1] <= _T_3857 @[el2_lsu_bus_buffer.scala 527:25] + node _T_3858 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 528:47] + node _T_3859 = and(_T_3858, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:62] + buf_data_en[1] <= _T_3859 @[el2_lsu_bus_buffer.scala 528:24] + node _T_3860 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 529:48] + node _T_3861 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:111] + node _T_3862 = and(bus_rsp_read_error, _T_3861) @[el2_lsu_bus_buffer.scala 529:91] + node _T_3863 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 530:42] + node _T_3864 = and(bus_rsp_read_error, _T_3863) @[el2_lsu_bus_buffer.scala 530:31] + node _T_3865 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 530:66] + node _T_3866 = and(_T_3864, _T_3865) @[el2_lsu_bus_buffer.scala 530:46] + node _T_3867 = or(_T_3862, _T_3866) @[el2_lsu_bus_buffer.scala 529:143] + node _T_3868 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 531:32] + node _T_3869 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 531:74] + node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 531:53] + node _T_3871 = or(_T_3867, _T_3870) @[el2_lsu_bus_buffer.scala 530:88] + node _T_3872 = and(_T_3860, _T_3871) @[el2_lsu_bus_buffer.scala 529:68] + buf_error_en[1] <= _T_3872 @[el2_lsu_bus_buffer.scala 529:25] + node _T_3873 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 532:50] + node _T_3874 = and(buf_state_en[1], _T_3873) @[el2_lsu_bus_buffer.scala 532:48] + node _T_3875 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 532:84] + node _T_3876 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 532:102] + node _T_3877 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:125] + node _T_3878 = mux(_T_3875, _T_3876, _T_3877) @[el2_lsu_bus_buffer.scala 532:72] + node _T_3879 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:148] + node _T_3880 = mux(_T_3874, _T_3878, _T_3879) @[el2_lsu_bus_buffer.scala 532:30] + buf_data_in[1] <= _T_3880 @[el2_lsu_bus_buffer.scala 532:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3881 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3881 : @[Conditional.scala 39:67] - node _T_3882 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] - node _T_3883 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 534:86] - node _T_3884 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:101] - node _T_3885 = bits(_T_3884, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] - node _T_3886 = or(_T_3883, _T_3885) @[el2_lsu_bus_buffer.scala 534:90] - node _T_3887 = or(_T_3886, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] - node _T_3888 = mux(_T_3887, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] - node _T_3889 = mux(_T_3882, UInt<3>("h00"), _T_3888) @[el2_lsu_bus_buffer.scala 534:31] - buf_nxtstate[1] <= _T_3889 @[el2_lsu_bus_buffer.scala 534:25] - node _T_3890 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 535:66] - node _T_3891 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 536:21] - node _T_3892 = bits(_T_3891, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] - node _T_3893 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 536:58] - node _T_3894 = and(_T_3892, _T_3893) @[el2_lsu_bus_buffer.scala 536:38] - node _T_3895 = or(_T_3890, _T_3894) @[el2_lsu_bus_buffer.scala 535:95] - node _T_3896 = and(bus_rsp_read, _T_3895) @[el2_lsu_bus_buffer.scala 535:45] - buf_state_bus_en[1] <= _T_3896 @[el2_lsu_bus_buffer.scala 535:29] - node _T_3897 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] - node _T_3898 = or(_T_3897, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] - buf_state_en[1] <= _T_3898 @[el2_lsu_bus_buffer.scala 537:25] + node _T_3882 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 535:60] + node _T_3883 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 535:86] + node _T_3884 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 535:101] + node _T_3885 = bits(_T_3884, 0, 0) @[el2_lsu_bus_buffer.scala 535:101] + node _T_3886 = or(_T_3883, _T_3885) @[el2_lsu_bus_buffer.scala 535:90] + node _T_3887 = or(_T_3886, any_done_wait_state) @[el2_lsu_bus_buffer.scala 535:118] + node _T_3888 = mux(_T_3887, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 535:75] + node _T_3889 = mux(_T_3882, UInt<3>("h00"), _T_3888) @[el2_lsu_bus_buffer.scala 535:31] + buf_nxtstate[1] <= _T_3889 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3890 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_3891 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 537:21] + node _T_3892 = bits(_T_3891, 0, 0) @[el2_lsu_bus_buffer.scala 537:21] + node _T_3893 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 537:58] + node _T_3894 = and(_T_3892, _T_3893) @[el2_lsu_bus_buffer.scala 537:38] + node _T_3895 = or(_T_3890, _T_3894) @[el2_lsu_bus_buffer.scala 536:95] + node _T_3896 = and(bus_rsp_read, _T_3895) @[el2_lsu_bus_buffer.scala 536:45] + buf_state_bus_en[1] <= _T_3896 @[el2_lsu_bus_buffer.scala 536:29] + node _T_3897 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:49] + node _T_3898 = or(_T_3897, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:70] + buf_state_en[1] <= _T_3898 @[el2_lsu_bus_buffer.scala 538:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3899 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3899 : @[Conditional.scala 39:67] - node _T_3900 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] - node _T_3901 = mux(_T_3900, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] - buf_nxtstate[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 540:25] - node _T_3902 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 541:37] - node _T_3903 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] - node _T_3904 = and(buf_dual[1], _T_3903) @[el2_lsu_bus_buffer.scala 541:80] - node _T_3905 = or(_T_3902, _T_3904) @[el2_lsu_bus_buffer.scala 541:65] - node _T_3906 = or(_T_3905, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] - buf_state_en[1] <= _T_3906 @[el2_lsu_bus_buffer.scala 541:25] + node _T_3900 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_3901 = mux(_T_3900, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 541:25] + node _T_3902 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 542:37] + node _T_3903 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 542:98] + node _T_3904 = and(buf_dual[1], _T_3903) @[el2_lsu_bus_buffer.scala 542:80] + node _T_3905 = or(_T_3902, _T_3904) @[el2_lsu_bus_buffer.scala 542:65] + node _T_3906 = or(_T_3905, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 542:112] + buf_state_en[1] <= _T_3906 @[el2_lsu_bus_buffer.scala 542:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3907 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3907 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 548:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 545:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 547:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 548:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] - node _T_3908 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] + node _T_3908 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 552:108] reg _T_3909 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3908 : @[Reg.scala 28:19] _T_3909 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 551:18] - reg _T_3910 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] - _T_3910 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 552:60] - buf_ageQ[1] <= _T_3910 @[el2_lsu_bus_buffer.scala 552:17] - reg _T_3911 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] - _T_3911 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 553:63] - buf_rspageQ[1] <= _T_3911 @[el2_lsu_bus_buffer.scala 553:20] - node _T_3912 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] + buf_state[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 552:18] + reg _T_3910 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:60] + _T_3910 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 553:60] + buf_ageQ[1] <= _T_3910 @[el2_lsu_bus_buffer.scala 553:17] + reg _T_3911 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 554:63] + _T_3911 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 554:63] + buf_rspageQ[1] <= _T_3911 @[el2_lsu_bus_buffer.scala 554:20] + node _T_3912 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:109] reg _T_3913 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3912 : @[Reg.scala 28:19] _T_3913 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 554:20] - node _T_3914 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:74] - node _T_3915 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] + buf_dualtag[1] <= _T_3913 @[el2_lsu_bus_buffer.scala 555:20] + node _T_3914 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:74] + node _T_3915 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:107] reg _T_3916 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3915 : @[Reg.scala 28:19] _T_3916 <= _T_3914 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3916 @[el2_lsu_bus_buffer.scala 555:17] - node _T_3917 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:78] - node _T_3918 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + buf_dual[1] <= _T_3916 @[el2_lsu_bus_buffer.scala 556:17] + node _T_3917 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 557:78] + node _T_3918 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] reg _T_3919 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3918 : @[Reg.scala 28:19] _T_3919 <= _T_3917 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 556:19] - node _T_3920 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 557:80] - node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] + buf_samedw[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 557:19] + node _T_3920 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 558:80] + node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 558:113] reg _T_3922 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3921 : @[Reg.scala 28:19] _T_3922 <= _T_3920 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 557:20] - node _T_3923 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 558:78] - node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] + buf_nomerge[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 558:20] + node _T_3923 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 559:78] + node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 559:111] reg _T_3925 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3924 : @[Reg.scala 28:19] _T_3925 <= _T_3923 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 558:19] + buf_dualhi[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 559:19] node _T_3926 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3926 : @[Conditional.scala 40:58] - node _T_3927 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] - node _T_3928 = mux(_T_3927, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] - buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 495:25] - node _T_3929 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] - node _T_3930 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] - node _T_3931 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] - node _T_3932 = and(_T_3930, _T_3931) @[el2_lsu_bus_buffer.scala 496:95] - node _T_3933 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] - node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 496:112] - node _T_3935 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] - node _T_3936 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] - node _T_3937 = and(_T_3935, _T_3936) @[el2_lsu_bus_buffer.scala 496:161] - node _T_3938 = or(_T_3934, _T_3937) @[el2_lsu_bus_buffer.scala 496:132] - node _T_3939 = and(_T_3929, _T_3938) @[el2_lsu_bus_buffer.scala 496:63] - node _T_3940 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] - node _T_3941 = and(ibuf_drain_vld, _T_3940) @[el2_lsu_bus_buffer.scala 496:201] - node _T_3942 = or(_T_3939, _T_3941) @[el2_lsu_bus_buffer.scala 496:183] - buf_state_en[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 496:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 497:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 498:24] - node _T_3943 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] - node _T_3944 = and(ibuf_drain_vld, _T_3943) @[el2_lsu_bus_buffer.scala 499:47] - node _T_3945 = bits(_T_3944, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] - node _T_3946 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] - node _T_3947 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] - node _T_3948 = mux(_T_3945, _T_3946, _T_3947) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[2] <= _T_3948 @[el2_lsu_bus_buffer.scala 499:24] + node _T_3927 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 496:56] + node _T_3928 = mux(_T_3927, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:31] + buf_nxtstate[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 496:25] + node _T_3929 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 497:45] + node _T_3930 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:77] + node _T_3931 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:97] + node _T_3932 = and(_T_3930, _T_3931) @[el2_lsu_bus_buffer.scala 497:95] + node _T_3933 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 497:117] + node _T_3934 = and(_T_3932, _T_3933) @[el2_lsu_bus_buffer.scala 497:112] + node _T_3935 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:144] + node _T_3936 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 497:166] + node _T_3937 = and(_T_3935, _T_3936) @[el2_lsu_bus_buffer.scala 497:161] + node _T_3938 = or(_T_3934, _T_3937) @[el2_lsu_bus_buffer.scala 497:132] + node _T_3939 = and(_T_3929, _T_3938) @[el2_lsu_bus_buffer.scala 497:63] + node _T_3940 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:206] + node _T_3941 = and(ibuf_drain_vld, _T_3940) @[el2_lsu_bus_buffer.scala 497:201] + node _T_3942 = or(_T_3939, _T_3941) @[el2_lsu_bus_buffer.scala 497:183] + buf_state_en[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 497:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 498:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 499:24] + node _T_3943 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 500:52] + node _T_3944 = and(ibuf_drain_vld, _T_3943) @[el2_lsu_bus_buffer.scala 500:47] + node _T_3945 = bits(_T_3944, 0, 0) @[el2_lsu_bus_buffer.scala 500:73] + node _T_3946 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 500:90] + node _T_3947 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 500:114] + node _T_3948 = mux(_T_3945, _T_3946, _T_3947) @[el2_lsu_bus_buffer.scala 500:30] + buf_data_in[2] <= _T_3948 @[el2_lsu_bus_buffer.scala 500:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3949 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3949 : @[Conditional.scala 39:67] - node _T_3950 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_3951 = mux(_T_3950, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 502:25] - node _T_3952 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] - buf_state_en[2] <= _T_3952 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3950 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] + node _T_3951 = mux(_T_3950, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 503:25] + node _T_3952 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:46] + buf_state_en[2] <= _T_3952 @[el2_lsu_bus_buffer.scala 504:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3953 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3953 : @[Conditional.scala 39:67] - node _T_3954 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] - node _T_3955 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] - node _T_3956 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] - node _T_3957 = and(_T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 506:104] - node _T_3958 = mux(_T_3957, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] - node _T_3959 = mux(_T_3954, UInt<3>("h00"), _T_3958) @[el2_lsu_bus_buffer.scala 506:31] - buf_nxtstate[2] <= _T_3959 @[el2_lsu_bus_buffer.scala 506:25] - node _T_3960 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 507:48] - node _T_3961 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 507:104] - node _T_3962 = and(obuf_merge, _T_3961) @[el2_lsu_bus_buffer.scala 507:91] - node _T_3963 = or(_T_3960, _T_3962) @[el2_lsu_bus_buffer.scala 507:77] - node _T_3964 = and(_T_3963, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] - node _T_3965 = and(_T_3964, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] - buf_cmd_state_bus_en[2] <= _T_3965 @[el2_lsu_bus_buffer.scala 507:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 508:29] - node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] - node _T_3967 = or(_T_3966, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] - buf_state_en[2] <= _T_3967 @[el2_lsu_bus_buffer.scala 509:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - node _T_3968 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 511:56] - node _T_3969 = eq(_T_3968, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] - node _T_3970 = and(buf_state_en[2], _T_3969) @[el2_lsu_bus_buffer.scala 511:44] - node _T_3971 = and(_T_3970, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] - node _T_3972 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] - node _T_3973 = and(_T_3971, _T_3972) @[el2_lsu_bus_buffer.scala 511:74] - buf_ldfwd_en[2] <= _T_3973 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3974 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] - buf_ldfwdtag_in[2] <= _T_3974 @[el2_lsu_bus_buffer.scala 512:28] - node _T_3975 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] - node _T_3976 = and(_T_3975, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] - node _T_3977 = and(_T_3976, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] - buf_data_en[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 513:24] - node _T_3978 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] - node _T_3979 = and(_T_3978, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] - node _T_3980 = and(_T_3979, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] - buf_error_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 514:25] - node _T_3981 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] - node _T_3982 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] - node _T_3983 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] - node _T_3984 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] - node _T_3985 = mux(_T_3982, _T_3983, _T_3984) @[el2_lsu_bus_buffer.scala 515:73] - node _T_3986 = mux(buf_error_en[2], _T_3981, _T_3985) @[el2_lsu_bus_buffer.scala 515:30] - buf_data_in[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 515:24] + node _T_3954 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] + node _T_3955 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 507:89] + node _T_3956 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 507:124] + node _T_3957 = and(_T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 507:104] + node _T_3958 = mux(_T_3957, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:75] + node _T_3959 = mux(_T_3954, UInt<3>("h00"), _T_3958) @[el2_lsu_bus_buffer.scala 507:31] + buf_nxtstate[2] <= _T_3959 @[el2_lsu_bus_buffer.scala 507:25] + node _T_3960 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 508:48] + node _T_3961 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 508:104] + node _T_3962 = and(obuf_merge, _T_3961) @[el2_lsu_bus_buffer.scala 508:91] + node _T_3963 = or(_T_3960, _T_3962) @[el2_lsu_bus_buffer.scala 508:77] + node _T_3964 = and(_T_3963, obuf_valid) @[el2_lsu_bus_buffer.scala 508:135] + node _T_3965 = and(_T_3964, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 508:148] + buf_cmd_state_bus_en[2] <= _T_3965 @[el2_lsu_bus_buffer.scala 508:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 509:29] + node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] + node _T_3967 = or(_T_3966, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] + buf_state_en[2] <= _T_3967 @[el2_lsu_bus_buffer.scala 510:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + node _T_3968 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 512:56] + node _T_3969 = eq(_T_3968, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:46] + node _T_3970 = and(buf_state_en[2], _T_3969) @[el2_lsu_bus_buffer.scala 512:44] + node _T_3971 = and(_T_3970, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:60] + node _T_3972 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:76] + node _T_3973 = and(_T_3971, _T_3972) @[el2_lsu_bus_buffer.scala 512:74] + buf_ldfwd_en[2] <= _T_3973 @[el2_lsu_bus_buffer.scala 512:25] + node _T_3974 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 513:46] + buf_ldfwdtag_in[2] <= _T_3974 @[el2_lsu_bus_buffer.scala 513:28] + node _T_3975 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:47] + node _T_3976 = and(_T_3975, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:67] + node _T_3977 = and(_T_3976, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:81] + buf_data_en[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 514:24] + node _T_3978 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 515:48] + node _T_3979 = and(_T_3978, obuf_nosend) @[el2_lsu_bus_buffer.scala 515:68] + node _T_3980 = and(_T_3979, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 515:82] + buf_error_en[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 515:25] + node _T_3981 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:61] + node _T_3982 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 516:85] + node _T_3983 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 516:103] + node _T_3984 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:126] + node _T_3985 = mux(_T_3982, _T_3983, _T_3984) @[el2_lsu_bus_buffer.scala 516:73] + node _T_3986 = mux(buf_error_en[2], _T_3981, _T_3985) @[el2_lsu_bus_buffer.scala 516:30] + buf_data_in[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 516:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3987 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3987 : @[Conditional.scala 39:67] - node _T_3988 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:67] - node _T_3989 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] - node _T_3990 = eq(_T_3989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_3991 = and(_T_3988, _T_3990) @[el2_lsu_bus_buffer.scala 518:71] - node _T_3992 = or(io.dec_tlu_force_halt, _T_3991) @[el2_lsu_bus_buffer.scala 518:55] - node _T_3993 = bits(_T_3992, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] - node _T_3994 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] - node _T_3995 = and(buf_dual[2], _T_3994) @[el2_lsu_bus_buffer.scala 519:28] - node _T_3996 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:57] - node _T_3997 = eq(_T_3996, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] - node _T_3998 = and(_T_3995, _T_3997) @[el2_lsu_bus_buffer.scala 519:45] - node _T_3999 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] - node _T_4000 = and(_T_3998, _T_3999) @[el2_lsu_bus_buffer.scala 519:61] - node _T_4001 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 520:27] - node _T_4002 = or(_T_4001, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] - node _T_4003 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] - node _T_4004 = and(buf_dual[2], _T_4003) @[el2_lsu_bus_buffer.scala 520:68] - node _T_4005 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 520:97] - node _T_4006 = eq(_T_4005, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] - node _T_4007 = and(_T_4004, _T_4006) @[el2_lsu_bus_buffer.scala 520:85] + node _T_3988 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:67] + node _T_3989 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 519:94] + node _T_3990 = eq(_T_3989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:73] + node _T_3991 = and(_T_3988, _T_3990) @[el2_lsu_bus_buffer.scala 519:71] + node _T_3992 = or(io.dec_tlu_force_halt, _T_3991) @[el2_lsu_bus_buffer.scala 519:55] + node _T_3993 = bits(_T_3992, 0, 0) @[el2_lsu_bus_buffer.scala 519:125] + node _T_3994 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:30] + node _T_3995 = and(buf_dual[2], _T_3994) @[el2_lsu_bus_buffer.scala 520:28] + node _T_3996 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 520:57] + node _T_3997 = eq(_T_3996, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:47] + node _T_3998 = and(_T_3995, _T_3997) @[el2_lsu_bus_buffer.scala 520:45] + node _T_3999 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:90] + node _T_4000 = and(_T_3998, _T_3999) @[el2_lsu_bus_buffer.scala 520:61] + node _T_4001 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 521:27] + node _T_4002 = or(_T_4001, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:31] + node _T_4003 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:70] + node _T_4004 = and(buf_dual[2], _T_4003) @[el2_lsu_bus_buffer.scala 521:68] + node _T_4005 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 521:97] + node _T_4006 = eq(_T_4005, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:87] + node _T_4007 = and(_T_4004, _T_4006) @[el2_lsu_bus_buffer.scala 521:85] node _T_4008 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_4009 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] node _T_4010 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] @@ -5147,265 +5145,265 @@ circuit el2_lsu_bus_buffer : node _T_4022 = or(_T_4021, _T_4019) @[Mux.scala 27:72] wire _T_4023 : UInt<1> @[Mux.scala 27:72] _T_4023 <= _T_4022 @[Mux.scala 27:72] - node _T_4024 = and(_T_4007, _T_4023) @[el2_lsu_bus_buffer.scala 520:101] - node _T_4025 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] - node _T_4026 = and(_T_4024, _T_4025) @[el2_lsu_bus_buffer.scala 520:138] - node _T_4027 = and(_T_4026, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] - node _T_4028 = or(_T_4002, _T_4027) @[el2_lsu_bus_buffer.scala 520:53] - node _T_4029 = mux(_T_4028, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] - node _T_4030 = mux(_T_4000, UInt<3>("h04"), _T_4029) @[el2_lsu_bus_buffer.scala 519:14] - node _T_4031 = mux(_T_3993, UInt<3>("h00"), _T_4030) @[el2_lsu_bus_buffer.scala 518:31] - buf_nxtstate[2] <= _T_4031 @[el2_lsu_bus_buffer.scala 518:25] - node _T_4032 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 521:73] - node _T_4033 = and(bus_rsp_write, _T_4032) @[el2_lsu_bus_buffer.scala 521:52] - node _T_4034 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 522:46] - node _T_4035 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 523:23] - node _T_4036 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 523:47] - node _T_4037 = and(_T_4035, _T_4036) @[el2_lsu_bus_buffer.scala 523:27] - node _T_4038 = or(_T_4034, _T_4037) @[el2_lsu_bus_buffer.scala 522:77] - node _T_4039 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 524:26] - node _T_4040 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 524:54] - node _T_4041 = not(_T_4040) @[el2_lsu_bus_buffer.scala 524:44] - node _T_4042 = and(_T_4039, _T_4041) @[el2_lsu_bus_buffer.scala 524:42] - node _T_4043 = and(_T_4042, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 524:58] - node _T_4044 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 524:94] - node _T_4045 = and(_T_4043, _T_4044) @[el2_lsu_bus_buffer.scala 524:74] - node _T_4046 = or(_T_4038, _T_4045) @[el2_lsu_bus_buffer.scala 523:71] - node _T_4047 = and(bus_rsp_read, _T_4046) @[el2_lsu_bus_buffer.scala 522:25] - node _T_4048 = or(_T_4033, _T_4047) @[el2_lsu_bus_buffer.scala 521:105] - buf_resp_state_bus_en[2] <= _T_4048 @[el2_lsu_bus_buffer.scala 521:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 525:29] - node _T_4049 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] - node _T_4050 = or(_T_4049, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] - buf_state_en[2] <= _T_4050 @[el2_lsu_bus_buffer.scala 526:25] - node _T_4051 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] - node _T_4052 = and(_T_4051, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] - buf_data_en[2] <= _T_4052 @[el2_lsu_bus_buffer.scala 527:24] - node _T_4053 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] - node _T_4054 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 528:111] - node _T_4055 = and(bus_rsp_read_error, _T_4054) @[el2_lsu_bus_buffer.scala 528:91] - node _T_4056 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 529:42] - node _T_4057 = and(bus_rsp_read_error, _T_4056) @[el2_lsu_bus_buffer.scala 529:31] - node _T_4058 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 529:66] - node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 529:46] - node _T_4060 = or(_T_4055, _T_4059) @[el2_lsu_bus_buffer.scala 528:143] - node _T_4061 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] - node _T_4062 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 530:74] - node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 530:53] - node _T_4064 = or(_T_4060, _T_4063) @[el2_lsu_bus_buffer.scala 529:88] - node _T_4065 = and(_T_4053, _T_4064) @[el2_lsu_bus_buffer.scala 528:68] - buf_error_en[2] <= _T_4065 @[el2_lsu_bus_buffer.scala 528:25] - node _T_4066 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] - node _T_4067 = and(buf_state_en[2], _T_4066) @[el2_lsu_bus_buffer.scala 531:48] - node _T_4068 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] - node _T_4069 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] - node _T_4070 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] - node _T_4071 = mux(_T_4068, _T_4069, _T_4070) @[el2_lsu_bus_buffer.scala 531:72] - node _T_4072 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] - node _T_4073 = mux(_T_4067, _T_4071, _T_4072) @[el2_lsu_bus_buffer.scala 531:30] - buf_data_in[2] <= _T_4073 @[el2_lsu_bus_buffer.scala 531:24] + node _T_4024 = and(_T_4007, _T_4023) @[el2_lsu_bus_buffer.scala 521:101] + node _T_4025 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 521:167] + node _T_4026 = and(_T_4024, _T_4025) @[el2_lsu_bus_buffer.scala 521:138] + node _T_4027 = and(_T_4026, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:187] + node _T_4028 = or(_T_4002, _T_4027) @[el2_lsu_bus_buffer.scala 521:53] + node _T_4029 = mux(_T_4028, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 521:16] + node _T_4030 = mux(_T_4000, UInt<3>("h04"), _T_4029) @[el2_lsu_bus_buffer.scala 520:14] + node _T_4031 = mux(_T_3993, UInt<3>("h00"), _T_4030) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[2] <= _T_4031 @[el2_lsu_bus_buffer.scala 519:25] + node _T_4032 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 522:73] + node _T_4033 = and(bus_rsp_write, _T_4032) @[el2_lsu_bus_buffer.scala 522:52] + node _T_4034 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 523:46] + node _T_4035 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 524:23] + node _T_4036 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 524:47] + node _T_4037 = and(_T_4035, _T_4036) @[el2_lsu_bus_buffer.scala 524:27] + node _T_4038 = or(_T_4034, _T_4037) @[el2_lsu_bus_buffer.scala 523:77] + node _T_4039 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 525:26] + node _T_4040 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 525:54] + node _T_4041 = not(_T_4040) @[el2_lsu_bus_buffer.scala 525:44] + node _T_4042 = and(_T_4039, _T_4041) @[el2_lsu_bus_buffer.scala 525:42] + node _T_4043 = and(_T_4042, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 525:58] + node _T_4044 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 525:94] + node _T_4045 = and(_T_4043, _T_4044) @[el2_lsu_bus_buffer.scala 525:74] + node _T_4046 = or(_T_4038, _T_4045) @[el2_lsu_bus_buffer.scala 524:71] + node _T_4047 = and(bus_rsp_read, _T_4046) @[el2_lsu_bus_buffer.scala 523:25] + node _T_4048 = or(_T_4033, _T_4047) @[el2_lsu_bus_buffer.scala 522:105] + buf_resp_state_bus_en[2] <= _T_4048 @[el2_lsu_bus_buffer.scala 522:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 526:29] + node _T_4049 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:49] + node _T_4050 = or(_T_4049, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 527:70] + buf_state_en[2] <= _T_4050 @[el2_lsu_bus_buffer.scala 527:25] + node _T_4051 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 528:47] + node _T_4052 = and(_T_4051, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:62] + buf_data_en[2] <= _T_4052 @[el2_lsu_bus_buffer.scala 528:24] + node _T_4053 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 529:48] + node _T_4054 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:111] + node _T_4055 = and(bus_rsp_read_error, _T_4054) @[el2_lsu_bus_buffer.scala 529:91] + node _T_4056 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 530:42] + node _T_4057 = and(bus_rsp_read_error, _T_4056) @[el2_lsu_bus_buffer.scala 530:31] + node _T_4058 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 530:66] + node _T_4059 = and(_T_4057, _T_4058) @[el2_lsu_bus_buffer.scala 530:46] + node _T_4060 = or(_T_4055, _T_4059) @[el2_lsu_bus_buffer.scala 529:143] + node _T_4061 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 531:32] + node _T_4062 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 531:74] + node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 531:53] + node _T_4064 = or(_T_4060, _T_4063) @[el2_lsu_bus_buffer.scala 530:88] + node _T_4065 = and(_T_4053, _T_4064) @[el2_lsu_bus_buffer.scala 529:68] + buf_error_en[2] <= _T_4065 @[el2_lsu_bus_buffer.scala 529:25] + node _T_4066 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 532:50] + node _T_4067 = and(buf_state_en[2], _T_4066) @[el2_lsu_bus_buffer.scala 532:48] + node _T_4068 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 532:84] + node _T_4069 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 532:102] + node _T_4070 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:125] + node _T_4071 = mux(_T_4068, _T_4069, _T_4070) @[el2_lsu_bus_buffer.scala 532:72] + node _T_4072 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:148] + node _T_4073 = mux(_T_4067, _T_4071, _T_4072) @[el2_lsu_bus_buffer.scala 532:30] + buf_data_in[2] <= _T_4073 @[el2_lsu_bus_buffer.scala 532:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4074 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4074 : @[Conditional.scala 39:67] - node _T_4075 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] - node _T_4076 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4077 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:101] - node _T_4078 = bits(_T_4077, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] - node _T_4079 = or(_T_4076, _T_4078) @[el2_lsu_bus_buffer.scala 534:90] - node _T_4080 = or(_T_4079, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] - node _T_4081 = mux(_T_4080, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] - node _T_4082 = mux(_T_4075, UInt<3>("h00"), _T_4081) @[el2_lsu_bus_buffer.scala 534:31] - buf_nxtstate[2] <= _T_4082 @[el2_lsu_bus_buffer.scala 534:25] - node _T_4083 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 535:66] - node _T_4084 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 536:21] - node _T_4085 = bits(_T_4084, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] - node _T_4086 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 536:58] - node _T_4087 = and(_T_4085, _T_4086) @[el2_lsu_bus_buffer.scala 536:38] - node _T_4088 = or(_T_4083, _T_4087) @[el2_lsu_bus_buffer.scala 535:95] - node _T_4089 = and(bus_rsp_read, _T_4088) @[el2_lsu_bus_buffer.scala 535:45] - buf_state_bus_en[2] <= _T_4089 @[el2_lsu_bus_buffer.scala 535:29] - node _T_4090 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] - node _T_4091 = or(_T_4090, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] - buf_state_en[2] <= _T_4091 @[el2_lsu_bus_buffer.scala 537:25] + node _T_4075 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 535:60] + node _T_4076 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 535:86] + node _T_4077 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 535:101] + node _T_4078 = bits(_T_4077, 0, 0) @[el2_lsu_bus_buffer.scala 535:101] + node _T_4079 = or(_T_4076, _T_4078) @[el2_lsu_bus_buffer.scala 535:90] + node _T_4080 = or(_T_4079, any_done_wait_state) @[el2_lsu_bus_buffer.scala 535:118] + node _T_4081 = mux(_T_4080, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 535:75] + node _T_4082 = mux(_T_4075, UInt<3>("h00"), _T_4081) @[el2_lsu_bus_buffer.scala 535:31] + buf_nxtstate[2] <= _T_4082 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4083 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_4084 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 537:21] + node _T_4085 = bits(_T_4084, 0, 0) @[el2_lsu_bus_buffer.scala 537:21] + node _T_4086 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 537:58] + node _T_4087 = and(_T_4085, _T_4086) @[el2_lsu_bus_buffer.scala 537:38] + node _T_4088 = or(_T_4083, _T_4087) @[el2_lsu_bus_buffer.scala 536:95] + node _T_4089 = and(bus_rsp_read, _T_4088) @[el2_lsu_bus_buffer.scala 536:45] + buf_state_bus_en[2] <= _T_4089 @[el2_lsu_bus_buffer.scala 536:29] + node _T_4090 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:49] + node _T_4091 = or(_T_4090, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:70] + buf_state_en[2] <= _T_4091 @[el2_lsu_bus_buffer.scala 538:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4092 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4092 : @[Conditional.scala 39:67] - node _T_4093 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4094 = mux(_T_4093, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] - buf_nxtstate[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 540:25] - node _T_4095 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 541:37] - node _T_4096 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] - node _T_4097 = and(buf_dual[2], _T_4096) @[el2_lsu_bus_buffer.scala 541:80] - node _T_4098 = or(_T_4095, _T_4097) @[el2_lsu_bus_buffer.scala 541:65] - node _T_4099 = or(_T_4098, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] - buf_state_en[2] <= _T_4099 @[el2_lsu_bus_buffer.scala 541:25] + node _T_4093 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_4094 = mux(_T_4093, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 541:25] + node _T_4095 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 542:37] + node _T_4096 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 542:98] + node _T_4097 = and(buf_dual[2], _T_4096) @[el2_lsu_bus_buffer.scala 542:80] + node _T_4098 = or(_T_4095, _T_4097) @[el2_lsu_bus_buffer.scala 542:65] + node _T_4099 = or(_T_4098, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 542:112] + buf_state_en[2] <= _T_4099 @[el2_lsu_bus_buffer.scala 542:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4100 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4100 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 548:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 545:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 547:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 548:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] - node _T_4101 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] + node _T_4101 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 552:108] reg _T_4102 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4101 : @[Reg.scala 28:19] _T_4102 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 551:18] - reg _T_4103 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] - _T_4103 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 552:60] - buf_ageQ[2] <= _T_4103 @[el2_lsu_bus_buffer.scala 552:17] - reg _T_4104 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] - _T_4104 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 553:63] - buf_rspageQ[2] <= _T_4104 @[el2_lsu_bus_buffer.scala 553:20] - node _T_4105 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] + buf_state[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 552:18] + reg _T_4103 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:60] + _T_4103 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 553:60] + buf_ageQ[2] <= _T_4103 @[el2_lsu_bus_buffer.scala 553:17] + reg _T_4104 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 554:63] + _T_4104 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 554:63] + buf_rspageQ[2] <= _T_4104 @[el2_lsu_bus_buffer.scala 554:20] + node _T_4105 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:109] reg _T_4106 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4105 : @[Reg.scala 28:19] _T_4106 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 554:20] - node _T_4107 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:74] - node _T_4108 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] + buf_dualtag[2] <= _T_4106 @[el2_lsu_bus_buffer.scala 555:20] + node _T_4107 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:74] + node _T_4108 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:107] reg _T_4109 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4108 : @[Reg.scala 28:19] _T_4109 <= _T_4107 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4109 @[el2_lsu_bus_buffer.scala 555:17] - node _T_4110 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:78] - node _T_4111 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + buf_dual[2] <= _T_4109 @[el2_lsu_bus_buffer.scala 556:17] + node _T_4110 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 557:78] + node _T_4111 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] reg _T_4112 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4111 : @[Reg.scala 28:19] _T_4112 <= _T_4110 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 556:19] - node _T_4113 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] + buf_samedw[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 557:19] + node _T_4113 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 558:113] reg _T_4115 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4114 : @[Reg.scala 28:19] _T_4115 <= _T_4113 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 557:20] - node _T_4116 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] + buf_nomerge[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 558:20] + node _T_4116 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 559:78] + node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 559:111] reg _T_4118 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4117 : @[Reg.scala 28:19] _T_4118 <= _T_4116 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 558:19] + buf_dualhi[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 559:19] node _T_4119 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4119 : @[Conditional.scala 40:58] - node _T_4120 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 495:56] - node _T_4121 = mux(_T_4120, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 495:31] - buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 495:25] - node _T_4122 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 496:45] - node _T_4123 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:77] - node _T_4124 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 496:97] - node _T_4125 = and(_T_4123, _T_4124) @[el2_lsu_bus_buffer.scala 496:95] - node _T_4126 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 496:117] - node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 496:112] - node _T_4128 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 496:144] - node _T_4129 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 496:166] - node _T_4130 = and(_T_4128, _T_4129) @[el2_lsu_bus_buffer.scala 496:161] - node _T_4131 = or(_T_4127, _T_4130) @[el2_lsu_bus_buffer.scala 496:132] - node _T_4132 = and(_T_4122, _T_4131) @[el2_lsu_bus_buffer.scala 496:63] - node _T_4133 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:206] - node _T_4134 = and(ibuf_drain_vld, _T_4133) @[el2_lsu_bus_buffer.scala 496:201] - node _T_4135 = or(_T_4132, _T_4134) @[el2_lsu_bus_buffer.scala 496:183] - buf_state_en[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 496:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 497:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 498:24] - node _T_4136 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 499:52] - node _T_4137 = and(ibuf_drain_vld, _T_4136) @[el2_lsu_bus_buffer.scala 499:47] - node _T_4138 = bits(_T_4137, 0, 0) @[el2_lsu_bus_buffer.scala 499:73] - node _T_4139 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 499:90] - node _T_4140 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 499:114] - node _T_4141 = mux(_T_4138, _T_4139, _T_4140) @[el2_lsu_bus_buffer.scala 499:30] - buf_data_in[3] <= _T_4141 @[el2_lsu_bus_buffer.scala 499:24] + node _T_4120 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 496:56] + node _T_4121 = mux(_T_4120, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 496:31] + buf_nxtstate[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 496:25] + node _T_4122 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 497:45] + node _T_4123 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:77] + node _T_4124 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 497:97] + node _T_4125 = and(_T_4123, _T_4124) @[el2_lsu_bus_buffer.scala 497:95] + node _T_4126 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 497:117] + node _T_4127 = and(_T_4125, _T_4126) @[el2_lsu_bus_buffer.scala 497:112] + node _T_4128 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 497:144] + node _T_4129 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 497:166] + node _T_4130 = and(_T_4128, _T_4129) @[el2_lsu_bus_buffer.scala 497:161] + node _T_4131 = or(_T_4127, _T_4130) @[el2_lsu_bus_buffer.scala 497:132] + node _T_4132 = and(_T_4122, _T_4131) @[el2_lsu_bus_buffer.scala 497:63] + node _T_4133 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:206] + node _T_4134 = and(ibuf_drain_vld, _T_4133) @[el2_lsu_bus_buffer.scala 497:201] + node _T_4135 = or(_T_4132, _T_4134) @[el2_lsu_bus_buffer.scala 497:183] + buf_state_en[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 497:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 498:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 499:24] + node _T_4136 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 500:52] + node _T_4137 = and(ibuf_drain_vld, _T_4136) @[el2_lsu_bus_buffer.scala 500:47] + node _T_4138 = bits(_T_4137, 0, 0) @[el2_lsu_bus_buffer.scala 500:73] + node _T_4139 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 500:90] + node _T_4140 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 500:114] + node _T_4141 = mux(_T_4138, _T_4139, _T_4140) @[el2_lsu_bus_buffer.scala 500:30] + buf_data_in[3] <= _T_4141 @[el2_lsu_bus_buffer.scala 500:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4142 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4142 : @[Conditional.scala 39:67] - node _T_4143 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 502:60] - node _T_4144 = mux(_T_4143, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 502:31] - buf_nxtstate[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 502:25] - node _T_4145 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 503:46] - buf_state_en[3] <= _T_4145 @[el2_lsu_bus_buffer.scala 503:25] + node _T_4143 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] + node _T_4144 = mux(_T_4143, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 503:31] + buf_nxtstate[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 503:25] + node _T_4145 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 504:46] + buf_state_en[3] <= _T_4145 @[el2_lsu_bus_buffer.scala 504:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4146 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4146 : @[Conditional.scala 39:67] - node _T_4147 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 506:60] - node _T_4148 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 506:89] - node _T_4149 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 506:124] - node _T_4150 = and(_T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 506:104] - node _T_4151 = mux(_T_4150, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:75] - node _T_4152 = mux(_T_4147, UInt<3>("h00"), _T_4151) @[el2_lsu_bus_buffer.scala 506:31] - buf_nxtstate[3] <= _T_4152 @[el2_lsu_bus_buffer.scala 506:25] - node _T_4153 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:48] - node _T_4154 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:104] - node _T_4155 = and(obuf_merge, _T_4154) @[el2_lsu_bus_buffer.scala 507:91] - node _T_4156 = or(_T_4153, _T_4155) @[el2_lsu_bus_buffer.scala 507:77] - node _T_4157 = and(_T_4156, obuf_valid) @[el2_lsu_bus_buffer.scala 507:135] - node _T_4158 = and(_T_4157, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 507:148] - buf_cmd_state_bus_en[3] <= _T_4158 @[el2_lsu_bus_buffer.scala 507:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 508:29] - node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 509:49] - node _T_4160 = or(_T_4159, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 509:70] - buf_state_en[3] <= _T_4160 @[el2_lsu_bus_buffer.scala 509:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] - node _T_4161 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 511:56] - node _T_4162 = eq(_T_4161, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:46] - node _T_4163 = and(buf_state_en[3], _T_4162) @[el2_lsu_bus_buffer.scala 511:44] - node _T_4164 = and(_T_4163, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:60] - node _T_4165 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 511:76] - node _T_4166 = and(_T_4164, _T_4165) @[el2_lsu_bus_buffer.scala 511:74] - buf_ldfwd_en[3] <= _T_4166 @[el2_lsu_bus_buffer.scala 511:25] - node _T_4167 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 512:46] - buf_ldfwdtag_in[3] <= _T_4167 @[el2_lsu_bus_buffer.scala 512:28] - node _T_4168 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:47] - node _T_4169 = and(_T_4168, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:67] - node _T_4170 = and(_T_4169, bus_rsp_read) @[el2_lsu_bus_buffer.scala 513:81] - buf_data_en[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 513:24] - node _T_4171 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:48] - node _T_4172 = and(_T_4171, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:68] - node _T_4173 = and(_T_4172, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 514:82] - buf_error_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 514:25] - node _T_4174 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:61] - node _T_4175 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 515:85] - node _T_4176 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 515:103] - node _T_4177 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 515:126] - node _T_4178 = mux(_T_4175, _T_4176, _T_4177) @[el2_lsu_bus_buffer.scala 515:73] - node _T_4179 = mux(buf_error_en[3], _T_4174, _T_4178) @[el2_lsu_bus_buffer.scala 515:30] - buf_data_in[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 515:24] + node _T_4147 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 507:60] + node _T_4148 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 507:89] + node _T_4149 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 507:124] + node _T_4150 = and(_T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 507:104] + node _T_4151 = mux(_T_4150, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 507:75] + node _T_4152 = mux(_T_4147, UInt<3>("h00"), _T_4151) @[el2_lsu_bus_buffer.scala 507:31] + buf_nxtstate[3] <= _T_4152 @[el2_lsu_bus_buffer.scala 507:25] + node _T_4153 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 508:48] + node _T_4154 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 508:104] + node _T_4155 = and(obuf_merge, _T_4154) @[el2_lsu_bus_buffer.scala 508:91] + node _T_4156 = or(_T_4153, _T_4155) @[el2_lsu_bus_buffer.scala 508:77] + node _T_4157 = and(_T_4156, obuf_valid) @[el2_lsu_bus_buffer.scala 508:135] + node _T_4158 = and(_T_4157, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 508:148] + buf_cmd_state_bus_en[3] <= _T_4158 @[el2_lsu_bus_buffer.scala 508:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 509:29] + node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:49] + node _T_4160 = or(_T_4159, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 510:70] + buf_state_en[3] <= _T_4160 @[el2_lsu_bus_buffer.scala 510:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 511:25] + node _T_4161 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 512:56] + node _T_4162 = eq(_T_4161, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:46] + node _T_4163 = and(buf_state_en[3], _T_4162) @[el2_lsu_bus_buffer.scala 512:44] + node _T_4164 = and(_T_4163, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:60] + node _T_4165 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 512:76] + node _T_4166 = and(_T_4164, _T_4165) @[el2_lsu_bus_buffer.scala 512:74] + buf_ldfwd_en[3] <= _T_4166 @[el2_lsu_bus_buffer.scala 512:25] + node _T_4167 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 513:46] + buf_ldfwdtag_in[3] <= _T_4167 @[el2_lsu_bus_buffer.scala 513:28] + node _T_4168 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 514:47] + node _T_4169 = and(_T_4168, obuf_nosend) @[el2_lsu_bus_buffer.scala 514:67] + node _T_4170 = and(_T_4169, bus_rsp_read) @[el2_lsu_bus_buffer.scala 514:81] + buf_data_en[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 514:24] + node _T_4171 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 515:48] + node _T_4172 = and(_T_4171, obuf_nosend) @[el2_lsu_bus_buffer.scala 515:68] + node _T_4173 = and(_T_4172, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 515:82] + buf_error_en[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 515:25] + node _T_4174 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:61] + node _T_4175 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 516:85] + node _T_4176 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 516:103] + node _T_4177 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 516:126] + node _T_4178 = mux(_T_4175, _T_4176, _T_4177) @[el2_lsu_bus_buffer.scala 516:73] + node _T_4179 = mux(buf_error_en[3], _T_4174, _T_4178) @[el2_lsu_bus_buffer.scala 516:30] + buf_data_in[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 516:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4180 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4180 : @[Conditional.scala 39:67] - node _T_4181 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:67] - node _T_4182 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 518:94] - node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_4184 = and(_T_4181, _T_4183) @[el2_lsu_bus_buffer.scala 518:71] - node _T_4185 = or(io.dec_tlu_force_halt, _T_4184) @[el2_lsu_bus_buffer.scala 518:55] - node _T_4186 = bits(_T_4185, 0, 0) @[el2_lsu_bus_buffer.scala 518:125] - node _T_4187 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:30] - node _T_4188 = and(buf_dual[3], _T_4187) @[el2_lsu_bus_buffer.scala 519:28] - node _T_4189 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:57] - node _T_4190 = eq(_T_4189, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:47] - node _T_4191 = and(_T_4188, _T_4190) @[el2_lsu_bus_buffer.scala 519:45] - node _T_4192 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:90] - node _T_4193 = and(_T_4191, _T_4192) @[el2_lsu_bus_buffer.scala 519:61] - node _T_4194 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 520:27] - node _T_4195 = or(_T_4194, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:31] - node _T_4196 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:70] - node _T_4197 = and(buf_dual[3], _T_4196) @[el2_lsu_bus_buffer.scala 520:68] - node _T_4198 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 520:97] - node _T_4199 = eq(_T_4198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:87] - node _T_4200 = and(_T_4197, _T_4199) @[el2_lsu_bus_buffer.scala 520:85] + node _T_4181 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:67] + node _T_4182 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 519:94] + node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:73] + node _T_4184 = and(_T_4181, _T_4183) @[el2_lsu_bus_buffer.scala 519:71] + node _T_4185 = or(io.dec_tlu_force_halt, _T_4184) @[el2_lsu_bus_buffer.scala 519:55] + node _T_4186 = bits(_T_4185, 0, 0) @[el2_lsu_bus_buffer.scala 519:125] + node _T_4187 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:30] + node _T_4188 = and(buf_dual[3], _T_4187) @[el2_lsu_bus_buffer.scala 520:28] + node _T_4189 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 520:57] + node _T_4190 = eq(_T_4189, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 520:47] + node _T_4191 = and(_T_4188, _T_4190) @[el2_lsu_bus_buffer.scala 520:45] + node _T_4192 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:90] + node _T_4193 = and(_T_4191, _T_4192) @[el2_lsu_bus_buffer.scala 520:61] + node _T_4194 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 521:27] + node _T_4195 = or(_T_4194, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:31] + node _T_4196 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:70] + node _T_4197 = and(buf_dual[3], _T_4196) @[el2_lsu_bus_buffer.scala 521:68] + node _T_4198 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 521:97] + node _T_4199 = eq(_T_4198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 521:87] + node _T_4200 = and(_T_4197, _T_4199) @[el2_lsu_bus_buffer.scala 521:85] node _T_4201 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] node _T_4202 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] node _T_4203 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] @@ -5423,172 +5421,172 @@ circuit el2_lsu_bus_buffer : node _T_4215 = or(_T_4214, _T_4212) @[Mux.scala 27:72] wire _T_4216 : UInt<1> @[Mux.scala 27:72] _T_4216 <= _T_4215 @[Mux.scala 27:72] - node _T_4217 = and(_T_4200, _T_4216) @[el2_lsu_bus_buffer.scala 520:101] - node _T_4218 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 520:167] - node _T_4219 = and(_T_4217, _T_4218) @[el2_lsu_bus_buffer.scala 520:138] - node _T_4220 = and(_T_4219, any_done_wait_state) @[el2_lsu_bus_buffer.scala 520:187] - node _T_4221 = or(_T_4195, _T_4220) @[el2_lsu_bus_buffer.scala 520:53] - node _T_4222 = mux(_T_4221, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 520:16] - node _T_4223 = mux(_T_4193, UInt<3>("h04"), _T_4222) @[el2_lsu_bus_buffer.scala 519:14] - node _T_4224 = mux(_T_4186, UInt<3>("h00"), _T_4223) @[el2_lsu_bus_buffer.scala 518:31] - buf_nxtstate[3] <= _T_4224 @[el2_lsu_bus_buffer.scala 518:25] - node _T_4225 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 521:73] - node _T_4226 = and(bus_rsp_write, _T_4225) @[el2_lsu_bus_buffer.scala 521:52] - node _T_4227 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 522:46] - node _T_4228 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 523:23] - node _T_4229 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 523:47] - node _T_4230 = and(_T_4228, _T_4229) @[el2_lsu_bus_buffer.scala 523:27] - node _T_4231 = or(_T_4227, _T_4230) @[el2_lsu_bus_buffer.scala 522:77] - node _T_4232 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 524:26] - node _T_4233 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 524:54] - node _T_4234 = not(_T_4233) @[el2_lsu_bus_buffer.scala 524:44] - node _T_4235 = and(_T_4232, _T_4234) @[el2_lsu_bus_buffer.scala 524:42] - node _T_4236 = and(_T_4235, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 524:58] - node _T_4237 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 524:94] - node _T_4238 = and(_T_4236, _T_4237) @[el2_lsu_bus_buffer.scala 524:74] - node _T_4239 = or(_T_4231, _T_4238) @[el2_lsu_bus_buffer.scala 523:71] - node _T_4240 = and(bus_rsp_read, _T_4239) @[el2_lsu_bus_buffer.scala 522:25] - node _T_4241 = or(_T_4226, _T_4240) @[el2_lsu_bus_buffer.scala 521:105] - buf_resp_state_bus_en[3] <= _T_4241 @[el2_lsu_bus_buffer.scala 521:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 525:29] - node _T_4242 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:49] - node _T_4243 = or(_T_4242, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 526:70] - buf_state_en[3] <= _T_4243 @[el2_lsu_bus_buffer.scala 526:25] - node _T_4244 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 527:47] - node _T_4245 = and(_T_4244, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:62] - buf_data_en[3] <= _T_4245 @[el2_lsu_bus_buffer.scala 527:24] - node _T_4246 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:48] - node _T_4247 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 528:111] - node _T_4248 = and(bus_rsp_read_error, _T_4247) @[el2_lsu_bus_buffer.scala 528:91] - node _T_4249 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 529:42] - node _T_4250 = and(bus_rsp_read_error, _T_4249) @[el2_lsu_bus_buffer.scala 529:31] - node _T_4251 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 529:66] - node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 529:46] - node _T_4253 = or(_T_4248, _T_4252) @[el2_lsu_bus_buffer.scala 528:143] - node _T_4254 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 530:32] - node _T_4255 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 530:74] - node _T_4256 = and(_T_4254, _T_4255) @[el2_lsu_bus_buffer.scala 530:53] - node _T_4257 = or(_T_4253, _T_4256) @[el2_lsu_bus_buffer.scala 529:88] - node _T_4258 = and(_T_4246, _T_4257) @[el2_lsu_bus_buffer.scala 528:68] - buf_error_en[3] <= _T_4258 @[el2_lsu_bus_buffer.scala 528:25] - node _T_4259 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 531:50] - node _T_4260 = and(buf_state_en[3], _T_4259) @[el2_lsu_bus_buffer.scala 531:48] - node _T_4261 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 531:84] - node _T_4262 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 531:102] - node _T_4263 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:125] - node _T_4264 = mux(_T_4261, _T_4262, _T_4263) @[el2_lsu_bus_buffer.scala 531:72] - node _T_4265 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 531:148] - node _T_4266 = mux(_T_4260, _T_4264, _T_4265) @[el2_lsu_bus_buffer.scala 531:30] - buf_data_in[3] <= _T_4266 @[el2_lsu_bus_buffer.scala 531:24] + node _T_4217 = and(_T_4200, _T_4216) @[el2_lsu_bus_buffer.scala 521:101] + node _T_4218 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 521:167] + node _T_4219 = and(_T_4217, _T_4218) @[el2_lsu_bus_buffer.scala 521:138] + node _T_4220 = and(_T_4219, any_done_wait_state) @[el2_lsu_bus_buffer.scala 521:187] + node _T_4221 = or(_T_4195, _T_4220) @[el2_lsu_bus_buffer.scala 521:53] + node _T_4222 = mux(_T_4221, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 521:16] + node _T_4223 = mux(_T_4193, UInt<3>("h04"), _T_4222) @[el2_lsu_bus_buffer.scala 520:14] + node _T_4224 = mux(_T_4186, UInt<3>("h00"), _T_4223) @[el2_lsu_bus_buffer.scala 519:31] + buf_nxtstate[3] <= _T_4224 @[el2_lsu_bus_buffer.scala 519:25] + node _T_4225 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 522:73] + node _T_4226 = and(bus_rsp_write, _T_4225) @[el2_lsu_bus_buffer.scala 522:52] + node _T_4227 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 523:46] + node _T_4228 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 524:23] + node _T_4229 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 524:47] + node _T_4230 = and(_T_4228, _T_4229) @[el2_lsu_bus_buffer.scala 524:27] + node _T_4231 = or(_T_4227, _T_4230) @[el2_lsu_bus_buffer.scala 523:77] + node _T_4232 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 525:26] + node _T_4233 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 525:54] + node _T_4234 = not(_T_4233) @[el2_lsu_bus_buffer.scala 525:44] + node _T_4235 = and(_T_4232, _T_4234) @[el2_lsu_bus_buffer.scala 525:42] + node _T_4236 = and(_T_4235, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 525:58] + node _T_4237 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 525:94] + node _T_4238 = and(_T_4236, _T_4237) @[el2_lsu_bus_buffer.scala 525:74] + node _T_4239 = or(_T_4231, _T_4238) @[el2_lsu_bus_buffer.scala 524:71] + node _T_4240 = and(bus_rsp_read, _T_4239) @[el2_lsu_bus_buffer.scala 523:25] + node _T_4241 = or(_T_4226, _T_4240) @[el2_lsu_bus_buffer.scala 522:105] + buf_resp_state_bus_en[3] <= _T_4241 @[el2_lsu_bus_buffer.scala 522:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 526:29] + node _T_4242 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:49] + node _T_4243 = or(_T_4242, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 527:70] + buf_state_en[3] <= _T_4243 @[el2_lsu_bus_buffer.scala 527:25] + node _T_4244 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 528:47] + node _T_4245 = and(_T_4244, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 528:62] + buf_data_en[3] <= _T_4245 @[el2_lsu_bus_buffer.scala 528:24] + node _T_4246 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 529:48] + node _T_4247 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:111] + node _T_4248 = and(bus_rsp_read_error, _T_4247) @[el2_lsu_bus_buffer.scala 529:91] + node _T_4249 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 530:42] + node _T_4250 = and(bus_rsp_read_error, _T_4249) @[el2_lsu_bus_buffer.scala 530:31] + node _T_4251 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 530:66] + node _T_4252 = and(_T_4250, _T_4251) @[el2_lsu_bus_buffer.scala 530:46] + node _T_4253 = or(_T_4248, _T_4252) @[el2_lsu_bus_buffer.scala 529:143] + node _T_4254 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 531:32] + node _T_4255 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 531:74] + node _T_4256 = and(_T_4254, _T_4255) @[el2_lsu_bus_buffer.scala 531:53] + node _T_4257 = or(_T_4253, _T_4256) @[el2_lsu_bus_buffer.scala 530:88] + node _T_4258 = and(_T_4246, _T_4257) @[el2_lsu_bus_buffer.scala 529:68] + buf_error_en[3] <= _T_4258 @[el2_lsu_bus_buffer.scala 529:25] + node _T_4259 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 532:50] + node _T_4260 = and(buf_state_en[3], _T_4259) @[el2_lsu_bus_buffer.scala 532:48] + node _T_4261 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 532:84] + node _T_4262 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 532:102] + node _T_4263 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:125] + node _T_4264 = mux(_T_4261, _T_4262, _T_4263) @[el2_lsu_bus_buffer.scala 532:72] + node _T_4265 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 532:148] + node _T_4266 = mux(_T_4260, _T_4264, _T_4265) @[el2_lsu_bus_buffer.scala 532:30] + buf_data_in[3] <= _T_4266 @[el2_lsu_bus_buffer.scala 532:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4267 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4267 : @[Conditional.scala 39:67] - node _T_4268 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 534:60] - node _T_4269 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4270 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:101] - node _T_4271 = bits(_T_4270, 0, 0) @[el2_lsu_bus_buffer.scala 534:101] - node _T_4272 = or(_T_4269, _T_4271) @[el2_lsu_bus_buffer.scala 534:90] - node _T_4273 = or(_T_4272, any_done_wait_state) @[el2_lsu_bus_buffer.scala 534:118] - node _T_4274 = mux(_T_4273, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 534:75] - node _T_4275 = mux(_T_4268, UInt<3>("h00"), _T_4274) @[el2_lsu_bus_buffer.scala 534:31] - buf_nxtstate[3] <= _T_4275 @[el2_lsu_bus_buffer.scala 534:25] - node _T_4276 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 535:66] - node _T_4277 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 536:21] - node _T_4278 = bits(_T_4277, 0, 0) @[el2_lsu_bus_buffer.scala 536:21] - node _T_4279 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 536:58] - node _T_4280 = and(_T_4278, _T_4279) @[el2_lsu_bus_buffer.scala 536:38] - node _T_4281 = or(_T_4276, _T_4280) @[el2_lsu_bus_buffer.scala 535:95] - node _T_4282 = and(bus_rsp_read, _T_4281) @[el2_lsu_bus_buffer.scala 535:45] - buf_state_bus_en[3] <= _T_4282 @[el2_lsu_bus_buffer.scala 535:29] - node _T_4283 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 537:49] - node _T_4284 = or(_T_4283, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 537:70] - buf_state_en[3] <= _T_4284 @[el2_lsu_bus_buffer.scala 537:25] + node _T_4268 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 535:60] + node _T_4269 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 535:86] + node _T_4270 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 535:101] + node _T_4271 = bits(_T_4270, 0, 0) @[el2_lsu_bus_buffer.scala 535:101] + node _T_4272 = or(_T_4269, _T_4271) @[el2_lsu_bus_buffer.scala 535:90] + node _T_4273 = or(_T_4272, any_done_wait_state) @[el2_lsu_bus_buffer.scala 535:118] + node _T_4274 = mux(_T_4273, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 535:75] + node _T_4275 = mux(_T_4268, UInt<3>("h00"), _T_4274) @[el2_lsu_bus_buffer.scala 535:31] + buf_nxtstate[3] <= _T_4275 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4276 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 536:66] + node _T_4277 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 537:21] + node _T_4278 = bits(_T_4277, 0, 0) @[el2_lsu_bus_buffer.scala 537:21] + node _T_4279 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 537:58] + node _T_4280 = and(_T_4278, _T_4279) @[el2_lsu_bus_buffer.scala 537:38] + node _T_4281 = or(_T_4276, _T_4280) @[el2_lsu_bus_buffer.scala 536:95] + node _T_4282 = and(bus_rsp_read, _T_4281) @[el2_lsu_bus_buffer.scala 536:45] + buf_state_bus_en[3] <= _T_4282 @[el2_lsu_bus_buffer.scala 536:29] + node _T_4283 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 538:49] + node _T_4284 = or(_T_4283, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:70] + buf_state_en[3] <= _T_4284 @[el2_lsu_bus_buffer.scala 538:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4285 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4285 : @[Conditional.scala 39:67] - node _T_4286 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 540:60] - node _T_4287 = mux(_T_4286, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 540:31] - buf_nxtstate[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 540:25] - node _T_4288 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 541:37] - node _T_4289 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 541:98] - node _T_4290 = and(buf_dual[3], _T_4289) @[el2_lsu_bus_buffer.scala 541:80] - node _T_4291 = or(_T_4288, _T_4290) @[el2_lsu_bus_buffer.scala 541:65] - node _T_4292 = or(_T_4291, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 541:112] - buf_state_en[3] <= _T_4292 @[el2_lsu_bus_buffer.scala 541:25] + node _T_4286 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 541:60] + node _T_4287 = mux(_T_4286, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 541:31] + buf_nxtstate[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 541:25] + node _T_4288 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:37] + node _T_4289 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 542:98] + node _T_4290 = and(buf_dual[3], _T_4289) @[el2_lsu_bus_buffer.scala 542:80] + node _T_4291 = or(_T_4288, _T_4290) @[el2_lsu_bus_buffer.scala 542:65] + node _T_4292 = or(_T_4291, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 542:112] + buf_state_en[3] <= _T_4292 @[el2_lsu_bus_buffer.scala 542:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4293 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4293 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 544:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 547:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 548:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 545:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 546:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 547:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 548:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 549:25] skip @[Conditional.scala 39:67] - node _T_4294 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 551:108] + node _T_4294 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 552:108] reg _T_4295 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4294 : @[Reg.scala 28:19] _T_4295 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 551:18] - reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:60] - _T_4296 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 552:60] - buf_ageQ[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 552:17] - reg _T_4297 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:63] - _T_4297 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 553:63] - buf_rspageQ[3] <= _T_4297 @[el2_lsu_bus_buffer.scala 553:20] - node _T_4298 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:109] + buf_state[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 552:18] + reg _T_4296 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 553:60] + _T_4296 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 553:60] + buf_ageQ[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 553:17] + reg _T_4297 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 554:63] + _T_4297 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 554:63] + buf_rspageQ[3] <= _T_4297 @[el2_lsu_bus_buffer.scala 554:20] + node _T_4298 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:109] reg _T_4299 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4298 : @[Reg.scala 28:19] _T_4299 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 554:20] - node _T_4300 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:74] - node _T_4301 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:107] + buf_dualtag[3] <= _T_4299 @[el2_lsu_bus_buffer.scala 555:20] + node _T_4300 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:74] + node _T_4301 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:107] reg _T_4302 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4301 : @[Reg.scala 28:19] _T_4302 <= _T_4300 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4302 @[el2_lsu_bus_buffer.scala 555:17] - node _T_4303 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:78] - node _T_4304 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] + buf_dual[3] <= _T_4302 @[el2_lsu_bus_buffer.scala 556:17] + node _T_4303 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 557:78] + node _T_4304 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4304 : @[Reg.scala 28:19] _T_4305 <= _T_4303 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 556:19] - node _T_4306 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 557:80] - node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 557:113] + buf_samedw[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 557:19] + node _T_4306 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 558:80] + node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 558:113] reg _T_4308 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4307 : @[Reg.scala 28:19] _T_4308 <= _T_4306 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 557:20] - node _T_4309 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 558:78] - node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 558:111] + buf_nomerge[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 558:20] + node _T_4309 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 559:78] + node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 559:111] reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4310 : @[Reg.scala 28:19] _T_4311 <= _T_4309 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 558:19] - node _T_4312 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] + buf_dualhi[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 559:19] + node _T_4312 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:133] reg _T_4313 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4312 : @[Reg.scala 28:19] _T_4313 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4314 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] + node _T_4314 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:133] reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4314 : @[Reg.scala 28:19] _T_4315 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4316 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] + node _T_4316 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:133] reg _T_4317 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4316 : @[Reg.scala 28:19] _T_4317 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4318 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:133] + node _T_4318 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:133] reg _T_4319 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4318 : @[Reg.scala 28:19] _T_4319 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5596,51 +5594,51 @@ circuit el2_lsu_bus_buffer : node _T_4320 = cat(_T_4319, _T_4317) @[Cat.scala 29:58] node _T_4321 = cat(_T_4320, _T_4315) @[Cat.scala 29:58] node _T_4322 = cat(_T_4321, _T_4313) @[Cat.scala 29:58] - buf_ldfwd <= _T_4322 @[el2_lsu_bus_buffer.scala 561:15] - node _T_4323 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] + buf_ldfwd <= _T_4322 @[el2_lsu_bus_buffer.scala 562:15] + node _T_4323 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:134] reg _T_4324 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4323 : @[Reg.scala 28:19] _T_4324 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4325 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] + node _T_4325 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:134] reg _T_4326 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4325 : @[Reg.scala 28:19] _T_4326 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4327 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] + node _T_4327 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:134] reg _T_4328 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4327 : @[Reg.scala 28:19] _T_4328 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4329 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:134] + node _T_4329 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:134] reg _T_4330 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4329 : @[Reg.scala 28:19] _T_4330 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4324 @[el2_lsu_bus_buffer.scala 562:18] - buf_ldfwdtag[1] <= _T_4326 @[el2_lsu_bus_buffer.scala 562:18] - buf_ldfwdtag[2] <= _T_4328 @[el2_lsu_bus_buffer.scala 562:18] - buf_ldfwdtag[3] <= _T_4330 @[el2_lsu_bus_buffer.scala 562:18] - node _T_4331 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:107] - node _T_4332 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] + buf_ldfwdtag[0] <= _T_4324 @[el2_lsu_bus_buffer.scala 563:18] + buf_ldfwdtag[1] <= _T_4326 @[el2_lsu_bus_buffer.scala 563:18] + buf_ldfwdtag[2] <= _T_4328 @[el2_lsu_bus_buffer.scala 563:18] + buf_ldfwdtag[3] <= _T_4330 @[el2_lsu_bus_buffer.scala 563:18] + node _T_4331 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:107] + node _T_4332 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:140] reg _T_4333 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4332 : @[Reg.scala 28:19] _T_4333 <= _T_4331 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4334 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:107] - node _T_4335 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] + node _T_4334 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:107] + node _T_4335 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:140] reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4335 : @[Reg.scala 28:19] _T_4336 <= _T_4334 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4337 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:107] - node _T_4338 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] + node _T_4337 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:107] + node _T_4338 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:140] reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4338 : @[Reg.scala 28:19] _T_4339 <= _T_4337 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4340 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:107] - node _T_4341 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:140] + node _T_4340 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:107] + node _T_4341 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:140] reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4341 : @[Reg.scala 28:19] _T_4342 <= _T_4340 @[Reg.scala 28:23] @@ -5648,27 +5646,27 @@ circuit el2_lsu_bus_buffer : node _T_4343 = cat(_T_4342, _T_4339) @[Cat.scala 29:58] node _T_4344 = cat(_T_4343, _T_4336) @[Cat.scala 29:58] node _T_4345 = cat(_T_4344, _T_4333) @[Cat.scala 29:58] - buf_sideeffect <= _T_4345 @[el2_lsu_bus_buffer.scala 563:20] - node _T_4346 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:99] - node _T_4347 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] + buf_sideeffect <= _T_4345 @[el2_lsu_bus_buffer.scala 564:20] + node _T_4346 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:99] + node _T_4347 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:132] reg _T_4348 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4347 : @[Reg.scala 28:19] _T_4348 <= _T_4346 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4349 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:99] - node _T_4350 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] + node _T_4349 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:99] + node _T_4350 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:132] reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4350 : @[Reg.scala 28:19] _T_4351 <= _T_4349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4352 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:99] - node _T_4353 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] + node _T_4352 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:99] + node _T_4353 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:132] reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4353 : @[Reg.scala 28:19] _T_4354 <= _T_4352 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4355 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:99] - node _T_4356 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:132] + node _T_4355 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:99] + node _T_4356 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:132] reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4356 : @[Reg.scala 28:19] _T_4357 <= _T_4355 @[Reg.scala 28:23] @@ -5676,27 +5674,27 @@ circuit el2_lsu_bus_buffer : node _T_4358 = cat(_T_4357, _T_4354) @[Cat.scala 29:58] node _T_4359 = cat(_T_4358, _T_4351) @[Cat.scala 29:58] node _T_4360 = cat(_T_4359, _T_4348) @[Cat.scala 29:58] - buf_unsign <= _T_4360 @[el2_lsu_bus_buffer.scala 564:16] - node _T_4361 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 565:97] - node _T_4362 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] + buf_unsign <= _T_4360 @[el2_lsu_bus_buffer.scala 565:16] + node _T_4361 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 566:97] + node _T_4362 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:130] reg _T_4363 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4362 : @[Reg.scala 28:19] _T_4363 <= _T_4361 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4364 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 565:97] - node _T_4365 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] + node _T_4364 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 566:97] + node _T_4365 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:130] reg _T_4366 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= _T_4364 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4367 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 565:97] - node _T_4368 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] + node _T_4367 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 566:97] + node _T_4368 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:130] reg _T_4369 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4368 : @[Reg.scala 28:19] _T_4369 <= _T_4367 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4370 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 565:97] - node _T_4371 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:130] + node _T_4370 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 566:97] + node _T_4371 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:130] reg _T_4372 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4371 : @[Reg.scala 28:19] _T_4372 <= _T_4370 @[Reg.scala 28:23] @@ -5704,32 +5702,32 @@ circuit el2_lsu_bus_buffer : node _T_4373 = cat(_T_4372, _T_4369) @[Cat.scala 29:58] node _T_4374 = cat(_T_4373, _T_4366) @[Cat.scala 29:58] node _T_4375 = cat(_T_4374, _T_4363) @[Cat.scala 29:58] - buf_write <= _T_4375 @[el2_lsu_bus_buffer.scala 565:15] - node _T_4376 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] + buf_write <= _T_4375 @[el2_lsu_bus_buffer.scala 566:15] + node _T_4376 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:119] reg _T_4377 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4376 : @[Reg.scala 28:19] _T_4377 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4378 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] + node _T_4378 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:119] reg _T_4379 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4378 : @[Reg.scala 28:19] _T_4379 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4380 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] + node _T_4380 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:119] reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4380 : @[Reg.scala 28:19] _T_4381 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4382 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:119] + node _T_4382 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:119] reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4382 : @[Reg.scala 28:19] _T_4383 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4377 @[el2_lsu_bus_buffer.scala 566:12] - buf_sz[1] <= _T_4379 @[el2_lsu_bus_buffer.scala 566:12] - buf_sz[2] <= _T_4381 @[el2_lsu_bus_buffer.scala 566:12] - buf_sz[3] <= _T_4383 @[el2_lsu_bus_buffer.scala 566:12] - node _T_4384 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] + buf_sz[0] <= _T_4377 @[el2_lsu_bus_buffer.scala 567:12] + buf_sz[1] <= _T_4379 @[el2_lsu_bus_buffer.scala 567:12] + buf_sz[2] <= _T_4381 @[el2_lsu_bus_buffer.scala 567:12] + buf_sz[3] <= _T_4383 @[el2_lsu_bus_buffer.scala 567:12] + node _T_4384 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 568:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5738,7 +5736,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4385 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4385 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4386 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] + node _T_4386 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 568:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5747,7 +5745,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4387 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4387 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4388 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] + node _T_4388 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 568:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5756,7 +5754,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4389 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4389 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4390 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:82] + node _T_4390 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 568:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5765,34 +5763,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4391 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4391 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4385 @[el2_lsu_bus_buffer.scala 567:14] - buf_addr[1] <= _T_4387 @[el2_lsu_bus_buffer.scala 567:14] - buf_addr[2] <= _T_4389 @[el2_lsu_bus_buffer.scala 567:14] - buf_addr[3] <= _T_4391 @[el2_lsu_bus_buffer.scala 567:14] - node _T_4392 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] + buf_addr[0] <= _T_4385 @[el2_lsu_bus_buffer.scala 568:14] + buf_addr[1] <= _T_4387 @[el2_lsu_bus_buffer.scala 568:14] + buf_addr[2] <= _T_4389 @[el2_lsu_bus_buffer.scala 568:14] + buf_addr[3] <= _T_4391 @[el2_lsu_bus_buffer.scala 568:14] + node _T_4392 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 569:127] reg _T_4393 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4392 : @[Reg.scala 28:19] _T_4393 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4394 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] + node _T_4394 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 569:127] reg _T_4395 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4394 : @[Reg.scala 28:19] _T_4395 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4396 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] + node _T_4396 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 569:127] reg _T_4397 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4396 : @[Reg.scala 28:19] _T_4397 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4398 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 568:127] + node _T_4398 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 569:127] reg _T_4399 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4398 : @[Reg.scala 28:19] _T_4399 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4393 @[el2_lsu_bus_buffer.scala 568:16] - buf_byteen[1] <= _T_4395 @[el2_lsu_bus_buffer.scala 568:16] - buf_byteen[2] <= _T_4397 @[el2_lsu_bus_buffer.scala 568:16] - buf_byteen[3] <= _T_4399 @[el2_lsu_bus_buffer.scala 568:16] + buf_byteen[0] <= _T_4393 @[el2_lsu_bus_buffer.scala 569:16] + buf_byteen[1] <= _T_4395 @[el2_lsu_bus_buffer.scala 569:16] + buf_byteen[2] <= _T_4397 @[el2_lsu_bus_buffer.scala 569:16] + buf_byteen[3] <= _T_4399 @[el2_lsu_bus_buffer.scala 569:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5825,171 +5823,171 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4403 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4403 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4400 @[el2_lsu_bus_buffer.scala 569:14] - buf_data[1] <= _T_4401 @[el2_lsu_bus_buffer.scala 569:14] - buf_data[2] <= _T_4402 @[el2_lsu_bus_buffer.scala 569:14] - buf_data[3] <= _T_4403 @[el2_lsu_bus_buffer.scala 569:14] - node _T_4404 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 570:121] - node _T_4405 = mux(buf_error_en[0], UInt<1>("h01"), _T_4404) @[el2_lsu_bus_buffer.scala 570:86] - node _T_4406 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] - node _T_4407 = and(_T_4405, _T_4406) @[el2_lsu_bus_buffer.scala 570:126] - reg _T_4408 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] - _T_4408 <= _T_4407 @[el2_lsu_bus_buffer.scala 570:82] - node _T_4409 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 570:121] - node _T_4410 = mux(buf_error_en[1], UInt<1>("h01"), _T_4409) @[el2_lsu_bus_buffer.scala 570:86] - node _T_4411 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] - node _T_4412 = and(_T_4410, _T_4411) @[el2_lsu_bus_buffer.scala 570:126] - reg _T_4413 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] - _T_4413 <= _T_4412 @[el2_lsu_bus_buffer.scala 570:82] - node _T_4414 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 570:121] - node _T_4415 = mux(buf_error_en[2], UInt<1>("h01"), _T_4414) @[el2_lsu_bus_buffer.scala 570:86] - node _T_4416 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] - node _T_4417 = and(_T_4415, _T_4416) @[el2_lsu_bus_buffer.scala 570:126] - reg _T_4418 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] - _T_4418 <= _T_4417 @[el2_lsu_bus_buffer.scala 570:82] - node _T_4419 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 570:121] - node _T_4420 = mux(buf_error_en[3], UInt<1>("h01"), _T_4419) @[el2_lsu_bus_buffer.scala 570:86] - node _T_4421 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 570:128] - node _T_4422 = and(_T_4420, _T_4421) @[el2_lsu_bus_buffer.scala 570:126] - reg _T_4423 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 570:82] - _T_4423 <= _T_4422 @[el2_lsu_bus_buffer.scala 570:82] + buf_data[0] <= _T_4400 @[el2_lsu_bus_buffer.scala 570:14] + buf_data[1] <= _T_4401 @[el2_lsu_bus_buffer.scala 570:14] + buf_data[2] <= _T_4402 @[el2_lsu_bus_buffer.scala 570:14] + buf_data[3] <= _T_4403 @[el2_lsu_bus_buffer.scala 570:14] + node _T_4404 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 571:121] + node _T_4405 = mux(buf_error_en[0], UInt<1>("h01"), _T_4404) @[el2_lsu_bus_buffer.scala 571:86] + node _T_4406 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:128] + node _T_4407 = and(_T_4405, _T_4406) @[el2_lsu_bus_buffer.scala 571:126] + reg _T_4408 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 571:82] + _T_4408 <= _T_4407 @[el2_lsu_bus_buffer.scala 571:82] + node _T_4409 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 571:121] + node _T_4410 = mux(buf_error_en[1], UInt<1>("h01"), _T_4409) @[el2_lsu_bus_buffer.scala 571:86] + node _T_4411 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:128] + node _T_4412 = and(_T_4410, _T_4411) @[el2_lsu_bus_buffer.scala 571:126] + reg _T_4413 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 571:82] + _T_4413 <= _T_4412 @[el2_lsu_bus_buffer.scala 571:82] + node _T_4414 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 571:121] + node _T_4415 = mux(buf_error_en[2], UInt<1>("h01"), _T_4414) @[el2_lsu_bus_buffer.scala 571:86] + node _T_4416 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:128] + node _T_4417 = and(_T_4415, _T_4416) @[el2_lsu_bus_buffer.scala 571:126] + reg _T_4418 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 571:82] + _T_4418 <= _T_4417 @[el2_lsu_bus_buffer.scala 571:82] + node _T_4419 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 571:121] + node _T_4420 = mux(buf_error_en[3], UInt<1>("h01"), _T_4419) @[el2_lsu_bus_buffer.scala 571:86] + node _T_4421 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:128] + node _T_4422 = and(_T_4420, _T_4421) @[el2_lsu_bus_buffer.scala 571:126] + reg _T_4423 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 571:82] + _T_4423 <= _T_4422 @[el2_lsu_bus_buffer.scala 571:82] node _T_4424 = cat(_T_4423, _T_4418) @[Cat.scala 29:58] node _T_4425 = cat(_T_4424, _T_4413) @[Cat.scala 29:58] node _T_4426 = cat(_T_4425, _T_4408) @[Cat.scala 29:58] - buf_error <= _T_4426 @[el2_lsu_bus_buffer.scala 570:15] + buf_error <= _T_4426 @[el2_lsu_bus_buffer.scala 571:15] node _T_4427 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] node _T_4428 = cat(_T_4427, buf_data_en[1]) @[Cat.scala 29:58] node _T_4429 = cat(_T_4428, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4429 @[el2_lsu_bus_buffer.scala 571:14] - node _T_4430 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4431 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4432 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4433 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 573:60] - node _T_4434 = add(_T_4433, _T_4432) @[el2_lsu_bus_buffer.scala 573:96] - node _T_4435 = add(_T_4434, _T_4431) @[el2_lsu_bus_buffer.scala 573:96] - node buf_numvld_any = add(_T_4435, _T_4430) @[el2_lsu_bus_buffer.scala 573:96] - node _T_4436 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4437 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] - node _T_4438 = and(_T_4436, _T_4437) @[el2_lsu_bus_buffer.scala 574:64] - node _T_4439 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] - node _T_4440 = and(_T_4438, _T_4439) @[el2_lsu_bus_buffer.scala 574:89] - node _T_4441 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4442 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] - node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 574:64] - node _T_4444 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] - node _T_4445 = and(_T_4443, _T_4444) @[el2_lsu_bus_buffer.scala 574:89] - node _T_4446 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4447 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] - node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 574:64] - node _T_4449 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] - node _T_4450 = and(_T_4448, _T_4449) @[el2_lsu_bus_buffer.scala 574:89] - node _T_4451 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 574:60] - node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:79] - node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 574:64] - node _T_4454 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:91] - node _T_4455 = and(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 574:89] - node _T_4456 = add(_T_4455, _T_4450) @[el2_lsu_bus_buffer.scala 574:142] - node _T_4457 = add(_T_4456, _T_4445) @[el2_lsu_bus_buffer.scala 574:142] - node _T_4458 = add(_T_4457, _T_4440) @[el2_lsu_bus_buffer.scala 574:142] - buf_numvld_wrcmd_any <= _T_4458 @[el2_lsu_bus_buffer.scala 574:24] - node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] - node _T_4461 = and(_T_4459, _T_4460) @[el2_lsu_bus_buffer.scala 575:73] - node _T_4462 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] - node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 575:73] - node _T_4465 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4466 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] - node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 575:73] - node _T_4468 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:63] - node _T_4469 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:75] - node _T_4470 = and(_T_4468, _T_4469) @[el2_lsu_bus_buffer.scala 575:73] - node _T_4471 = add(_T_4470, _T_4467) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4472 = add(_T_4471, _T_4464) @[el2_lsu_bus_buffer.scala 575:126] - node _T_4473 = add(_T_4472, _T_4461) @[el2_lsu_bus_buffer.scala 575:126] - buf_numvld_cmd_any <= _T_4473 @[el2_lsu_bus_buffer.scala 575:22] - node _T_4474 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4475 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] - node _T_4476 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] - node _T_4477 = and(_T_4475, _T_4476) @[el2_lsu_bus_buffer.scala 576:100] - node _T_4478 = or(_T_4474, _T_4477) @[el2_lsu_bus_buffer.scala 576:74] - node _T_4479 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4480 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] - node _T_4481 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] - node _T_4482 = and(_T_4480, _T_4481) @[el2_lsu_bus_buffer.scala 576:100] - node _T_4483 = or(_T_4479, _T_4482) @[el2_lsu_bus_buffer.scala 576:74] - node _T_4484 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4485 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] - node _T_4486 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] - node _T_4487 = and(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 576:100] - node _T_4488 = or(_T_4484, _T_4487) @[el2_lsu_bus_buffer.scala 576:74] - node _T_4489 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 576:63] - node _T_4490 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:90] - node _T_4491 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:102] - node _T_4492 = and(_T_4490, _T_4491) @[el2_lsu_bus_buffer.scala 576:100] - node _T_4493 = or(_T_4489, _T_4492) @[el2_lsu_bus_buffer.scala 576:74] - node _T_4494 = add(_T_4493, _T_4488) @[el2_lsu_bus_buffer.scala 576:154] - node _T_4495 = add(_T_4494, _T_4483) @[el2_lsu_bus_buffer.scala 576:154] - node _T_4496 = add(_T_4495, _T_4478) @[el2_lsu_bus_buffer.scala 576:154] - buf_numvld_pend_any <= _T_4496 @[el2_lsu_bus_buffer.scala 576:23] - node _T_4497 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] - node _T_4498 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] - node _T_4499 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] - node _T_4500 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 577:61] - node _T_4501 = or(_T_4500, _T_4499) @[el2_lsu_bus_buffer.scala 577:93] - node _T_4502 = or(_T_4501, _T_4498) @[el2_lsu_bus_buffer.scala 577:93] - node _T_4503 = or(_T_4502, _T_4497) @[el2_lsu_bus_buffer.scala 577:93] - any_done_wait_state <= _T_4503 @[el2_lsu_bus_buffer.scala 577:23] - node _T_4504 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 578:53] - io.lsu_bus_buffer_pend_any <= _T_4504 @[el2_lsu_bus_buffer.scala 578:30] - node _T_4505 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 579:52] - node _T_4506 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:92] - node _T_4507 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 579:119] - node _T_4508 = mux(_T_4505, _T_4506, _T_4507) @[el2_lsu_bus_buffer.scala 579:36] - io.lsu_bus_buffer_full_any <= _T_4508 @[el2_lsu_bus_buffer.scala 579:30] - node _T_4509 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 580:52] - node _T_4510 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 580:52] - node _T_4511 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 580:52] - node _T_4512 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 580:52] - node _T_4513 = or(_T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 580:65] - node _T_4514 = or(_T_4513, _T_4511) @[el2_lsu_bus_buffer.scala 580:65] - node _T_4515 = or(_T_4514, _T_4512) @[el2_lsu_bus_buffer.scala 580:65] - node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:34] - node _T_4517 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:72] - node _T_4518 = and(_T_4516, _T_4517) @[el2_lsu_bus_buffer.scala 580:70] - node _T_4519 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:86] - node _T_4520 = and(_T_4518, _T_4519) @[el2_lsu_bus_buffer.scala 580:84] - io.lsu_bus_buffer_empty_any <= _T_4520 @[el2_lsu_bus_buffer.scala 580:31] - node _T_4521 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 582:51] - node _T_4522 = and(_T_4521, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 582:72] - node _T_4523 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:94] - node _T_4524 = and(_T_4522, _T_4523) @[el2_lsu_bus_buffer.scala 582:92] - node _T_4525 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:111] - node _T_4526 = and(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 582:109] - io.lsu_nonblock_load_valid_m <= _T_4526 @[el2_lsu_bus_buffer.scala 582:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 583:30] + io.data_en <= _T_4429 @[el2_lsu_bus_buffer.scala 572:14] + node _T_4430 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4431 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4432 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4433 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 574:60] + node _T_4434 = add(_T_4433, _T_4432) @[el2_lsu_bus_buffer.scala 574:96] + node _T_4435 = add(_T_4434, _T_4431) @[el2_lsu_bus_buffer.scala 574:96] + node buf_numvld_any = add(_T_4435, _T_4430) @[el2_lsu_bus_buffer.scala 574:96] + node _T_4436 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 575:60] + node _T_4437 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:79] + node _T_4438 = and(_T_4436, _T_4437) @[el2_lsu_bus_buffer.scala 575:64] + node _T_4439 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:91] + node _T_4440 = and(_T_4438, _T_4439) @[el2_lsu_bus_buffer.scala 575:89] + node _T_4441 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 575:60] + node _T_4442 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:79] + node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 575:64] + node _T_4444 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:91] + node _T_4445 = and(_T_4443, _T_4444) @[el2_lsu_bus_buffer.scala 575:89] + node _T_4446 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 575:60] + node _T_4447 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:79] + node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 575:64] + node _T_4449 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:91] + node _T_4450 = and(_T_4448, _T_4449) @[el2_lsu_bus_buffer.scala 575:89] + node _T_4451 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 575:60] + node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:79] + node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 575:64] + node _T_4454 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:91] + node _T_4455 = and(_T_4453, _T_4454) @[el2_lsu_bus_buffer.scala 575:89] + node _T_4456 = add(_T_4455, _T_4450) @[el2_lsu_bus_buffer.scala 575:142] + node _T_4457 = add(_T_4456, _T_4445) @[el2_lsu_bus_buffer.scala 575:142] + node _T_4458 = add(_T_4457, _T_4440) @[el2_lsu_bus_buffer.scala 575:142] + buf_numvld_wrcmd_any <= _T_4458 @[el2_lsu_bus_buffer.scala 575:24] + node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:75] + node _T_4461 = and(_T_4459, _T_4460) @[el2_lsu_bus_buffer.scala 576:73] + node _T_4462 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:75] + node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 576:73] + node _T_4465 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4466 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:75] + node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 576:73] + node _T_4468 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 576:63] + node _T_4469 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 576:75] + node _T_4470 = and(_T_4468, _T_4469) @[el2_lsu_bus_buffer.scala 576:73] + node _T_4471 = add(_T_4470, _T_4467) @[el2_lsu_bus_buffer.scala 576:126] + node _T_4472 = add(_T_4471, _T_4464) @[el2_lsu_bus_buffer.scala 576:126] + node _T_4473 = add(_T_4472, _T_4461) @[el2_lsu_bus_buffer.scala 576:126] + buf_numvld_cmd_any <= _T_4473 @[el2_lsu_bus_buffer.scala 576:22] + node _T_4474 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 577:63] + node _T_4475 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 577:90] + node _T_4476 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:102] + node _T_4477 = and(_T_4475, _T_4476) @[el2_lsu_bus_buffer.scala 577:100] + node _T_4478 = or(_T_4474, _T_4477) @[el2_lsu_bus_buffer.scala 577:74] + node _T_4479 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 577:63] + node _T_4480 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 577:90] + node _T_4481 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:102] + node _T_4482 = and(_T_4480, _T_4481) @[el2_lsu_bus_buffer.scala 577:100] + node _T_4483 = or(_T_4479, _T_4482) @[el2_lsu_bus_buffer.scala 577:74] + node _T_4484 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 577:63] + node _T_4485 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 577:90] + node _T_4486 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:102] + node _T_4487 = and(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 577:100] + node _T_4488 = or(_T_4484, _T_4487) @[el2_lsu_bus_buffer.scala 577:74] + node _T_4489 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 577:63] + node _T_4490 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 577:90] + node _T_4491 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:102] + node _T_4492 = and(_T_4490, _T_4491) @[el2_lsu_bus_buffer.scala 577:100] + node _T_4493 = or(_T_4489, _T_4492) @[el2_lsu_bus_buffer.scala 577:74] + node _T_4494 = add(_T_4493, _T_4488) @[el2_lsu_bus_buffer.scala 577:154] + node _T_4495 = add(_T_4494, _T_4483) @[el2_lsu_bus_buffer.scala 577:154] + node _T_4496 = add(_T_4495, _T_4478) @[el2_lsu_bus_buffer.scala 577:154] + buf_numvld_pend_any <= _T_4496 @[el2_lsu_bus_buffer.scala 577:23] + node _T_4497 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 578:61] + node _T_4498 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 578:61] + node _T_4499 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 578:61] + node _T_4500 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 578:61] + node _T_4501 = or(_T_4500, _T_4499) @[el2_lsu_bus_buffer.scala 578:93] + node _T_4502 = or(_T_4501, _T_4498) @[el2_lsu_bus_buffer.scala 578:93] + node _T_4503 = or(_T_4502, _T_4497) @[el2_lsu_bus_buffer.scala 578:93] + any_done_wait_state <= _T_4503 @[el2_lsu_bus_buffer.scala 578:23] + node _T_4504 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 579:53] + io.lsu_bus_buffer_pend_any <= _T_4504 @[el2_lsu_bus_buffer.scala 579:30] + node _T_4505 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 580:52] + node _T_4506 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 580:92] + node _T_4507 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 580:119] + node _T_4508 = mux(_T_4505, _T_4506, _T_4507) @[el2_lsu_bus_buffer.scala 580:36] + io.lsu_bus_buffer_full_any <= _T_4508 @[el2_lsu_bus_buffer.scala 580:30] + node _T_4509 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 581:52] + node _T_4510 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 581:52] + node _T_4511 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 581:52] + node _T_4512 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 581:52] + node _T_4513 = or(_T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 581:65] + node _T_4514 = or(_T_4513, _T_4511) @[el2_lsu_bus_buffer.scala 581:65] + node _T_4515 = or(_T_4514, _T_4512) @[el2_lsu_bus_buffer.scala 581:65] + node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:34] + node _T_4517 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:72] + node _T_4518 = and(_T_4516, _T_4517) @[el2_lsu_bus_buffer.scala 581:70] + node _T_4519 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:86] + node _T_4520 = and(_T_4518, _T_4519) @[el2_lsu_bus_buffer.scala 581:84] + io.lsu_bus_buffer_empty_any <= _T_4520 @[el2_lsu_bus_buffer.scala 581:31] + node _T_4521 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 583:51] + node _T_4522 = and(_T_4521, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 583:72] + node _T_4523 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:94] + node _T_4524 = and(_T_4522, _T_4523) @[el2_lsu_bus_buffer.scala 583:92] + node _T_4525 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:111] + node _T_4526 = and(_T_4524, _T_4525) @[el2_lsu_bus_buffer.scala 583:109] + io.lsu_nonblock_load_valid_m <= _T_4526 @[el2_lsu_bus_buffer.scala 583:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 584:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4527 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:61] - node _T_4528 = and(lsu_nonblock_load_valid_r, _T_4527) @[el2_lsu_bus_buffer.scala 585:59] - io.lsu_nonblock_load_inv_r <= _T_4528 @[el2_lsu_bus_buffer.scala 585:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 586:34] - node _T_4529 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4530 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:127] - node _T_4531 = and(UInt<1>("h01"), _T_4530) @[el2_lsu_bus_buffer.scala 587:116] - node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] - node _T_4533 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4534 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:127] - node _T_4535 = and(UInt<1>("h01"), _T_4534) @[el2_lsu_bus_buffer.scala 587:116] - node _T_4536 = eq(_T_4535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] - node _T_4537 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4538 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:127] - node _T_4539 = and(UInt<1>("h01"), _T_4538) @[el2_lsu_bus_buffer.scala 587:116] - node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] - node _T_4541 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] - node _T_4542 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:127] - node _T_4543 = and(UInt<1>("h01"), _T_4542) @[el2_lsu_bus_buffer.scala 587:116] - node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:95] + node _T_4527 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:61] + node _T_4528 = and(lsu_nonblock_load_valid_r, _T_4527) @[el2_lsu_bus_buffer.scala 586:59] + io.lsu_nonblock_load_inv_r <= _T_4528 @[el2_lsu_bus_buffer.scala 586:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 587:34] + node _T_4529 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4530 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:127] + node _T_4531 = and(UInt<1>("h01"), _T_4530) @[el2_lsu_bus_buffer.scala 588:116] + node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:95] + node _T_4533 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4534 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:127] + node _T_4535 = and(UInt<1>("h01"), _T_4534) @[el2_lsu_bus_buffer.scala 588:116] + node _T_4536 = eq(_T_4535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:95] + node _T_4537 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4538 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:127] + node _T_4539 = and(UInt<1>("h01"), _T_4538) @[el2_lsu_bus_buffer.scala 588:116] + node _T_4540 = eq(_T_4539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:95] + node _T_4541 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] + node _T_4542 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:127] + node _T_4543 = and(UInt<1>("h01"), _T_4542) @[el2_lsu_bus_buffer.scala 588:116] + node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:95] node _T_4545 = mux(_T_4529, _T_4532, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4546 = mux(_T_4533, _T_4536, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4547 = mux(_T_4537, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] @@ -5999,26 +5997,26 @@ circuit el2_lsu_bus_buffer : node _T_4551 = or(_T_4550, _T_4548) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4551 @[Mux.scala 27:72] - node _T_4552 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4553 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 588:104] - node _T_4554 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] - node _T_4556 = and(_T_4553, _T_4555) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4557 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4558 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 588:104] - node _T_4559 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4560 = eq(_T_4559, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] - node _T_4561 = and(_T_4558, _T_4560) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4562 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4563 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 588:104] - node _T_4564 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4565 = eq(_T_4564, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] - node _T_4566 = and(_T_4563, _T_4565) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4567 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:80] - node _T_4568 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 588:104] - node _T_4569 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:110] - node _T_4571 = and(_T_4568, _T_4570) @[el2_lsu_bus_buffer.scala 588:108] + node _T_4552 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:80] + node _T_4553 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 589:104] + node _T_4554 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:120] + node _T_4555 = eq(_T_4554, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:110] + node _T_4556 = and(_T_4553, _T_4555) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4557 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:80] + node _T_4558 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 589:104] + node _T_4559 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:120] + node _T_4560 = eq(_T_4559, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:110] + node _T_4561 = and(_T_4558, _T_4560) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4562 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:80] + node _T_4563 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 589:104] + node _T_4564 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:120] + node _T_4565 = eq(_T_4564, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:110] + node _T_4566 = and(_T_4563, _T_4565) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4567 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:80] + node _T_4568 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 589:104] + node _T_4569 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:120] + node _T_4570 = eq(_T_4569, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:110] + node _T_4571 = and(_T_4568, _T_4570) @[el2_lsu_bus_buffer.scala 589:108] node _T_4572 = mux(_T_4552, _T_4556, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4573 = mux(_T_4557, _T_4561, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4574 = mux(_T_4562, _T_4566, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6028,39 +6026,39 @@ circuit el2_lsu_bus_buffer : node _T_4578 = or(_T_4577, _T_4575) @[Mux.scala 27:72] wire _T_4579 : UInt<1> @[Mux.scala 27:72] _T_4579 <= _T_4578 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4579 @[el2_lsu_bus_buffer.scala 588:35] - node _T_4580 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] - node _T_4581 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:102] - node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 589:90] - node _T_4584 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] - node _T_4585 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] - node _T_4586 = or(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 589:122] - node _T_4587 = and(_T_4583, _T_4586) @[el2_lsu_bus_buffer.scala 589:106] - node _T_4588 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] - node _T_4589 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:102] - node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4591 = and(_T_4588, _T_4590) @[el2_lsu_bus_buffer.scala 589:90] - node _T_4592 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] - node _T_4593 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] - node _T_4594 = or(_T_4592, _T_4593) @[el2_lsu_bus_buffer.scala 589:122] - node _T_4595 = and(_T_4591, _T_4594) @[el2_lsu_bus_buffer.scala 589:106] - node _T_4596 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] - node _T_4597 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:102] - node _T_4598 = eq(_T_4597, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4599 = and(_T_4596, _T_4598) @[el2_lsu_bus_buffer.scala 589:90] - node _T_4600 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] - node _T_4601 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] - node _T_4602 = or(_T_4600, _T_4601) @[el2_lsu_bus_buffer.scala 589:122] - node _T_4603 = and(_T_4599, _T_4602) @[el2_lsu_bus_buffer.scala 589:106] - node _T_4604 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:79] - node _T_4605 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:102] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:92] - node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 589:90] - node _T_4608 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:109] - node _T_4609 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:124] - node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 589:122] - node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 589:106] + io.lsu_nonblock_load_data_error <= _T_4579 @[el2_lsu_bus_buffer.scala 589:35] + node _T_4580 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:79] + node _T_4581 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 590:102] + node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:92] + node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 590:90] + node _T_4584 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:109] + node _T_4585 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:124] + node _T_4586 = or(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 590:122] + node _T_4587 = and(_T_4583, _T_4586) @[el2_lsu_bus_buffer.scala 590:106] + node _T_4588 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:79] + node _T_4589 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 590:102] + node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:92] + node _T_4591 = and(_T_4588, _T_4590) @[el2_lsu_bus_buffer.scala 590:90] + node _T_4592 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:109] + node _T_4593 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:124] + node _T_4594 = or(_T_4592, _T_4593) @[el2_lsu_bus_buffer.scala 590:122] + node _T_4595 = and(_T_4591, _T_4594) @[el2_lsu_bus_buffer.scala 590:106] + node _T_4596 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:79] + node _T_4597 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 590:102] + node _T_4598 = eq(_T_4597, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:92] + node _T_4599 = and(_T_4596, _T_4598) @[el2_lsu_bus_buffer.scala 590:90] + node _T_4600 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:109] + node _T_4601 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:124] + node _T_4602 = or(_T_4600, _T_4601) @[el2_lsu_bus_buffer.scala 590:122] + node _T_4603 = and(_T_4599, _T_4602) @[el2_lsu_bus_buffer.scala 590:106] + node _T_4604 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:79] + node _T_4605 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 590:102] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:92] + node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 590:90] + node _T_4608 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:109] + node _T_4609 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:124] + node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 590:122] + node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 590:106] node _T_4612 = mux(_T_4587, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4613 = mux(_T_4595, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4614 = mux(_T_4603, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -6070,39 +6068,39 @@ circuit el2_lsu_bus_buffer : node _T_4618 = or(_T_4617, _T_4615) @[Mux.scala 27:72] wire _T_4619 : UInt<2> @[Mux.scala 27:72] _T_4619 <= _T_4618 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4619 @[el2_lsu_bus_buffer.scala 589:33] - node _T_4620 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4621 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 590:101] - node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] - node _T_4623 = and(_T_4620, _T_4622) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4624 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] - node _T_4625 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] - node _T_4626 = or(_T_4624, _T_4625) @[el2_lsu_bus_buffer.scala 590:121] - node _T_4627 = and(_T_4623, _T_4626) @[el2_lsu_bus_buffer.scala 590:105] - node _T_4628 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4629 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 590:101] - node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] - node _T_4631 = and(_T_4628, _T_4630) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4632 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] - node _T_4633 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] - node _T_4634 = or(_T_4632, _T_4633) @[el2_lsu_bus_buffer.scala 590:121] - node _T_4635 = and(_T_4631, _T_4634) @[el2_lsu_bus_buffer.scala 590:105] - node _T_4636 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4637 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 590:101] - node _T_4638 = eq(_T_4637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] - node _T_4639 = and(_T_4636, _T_4638) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4640 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] - node _T_4641 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] - node _T_4642 = or(_T_4640, _T_4641) @[el2_lsu_bus_buffer.scala 590:121] - node _T_4643 = and(_T_4639, _T_4642) @[el2_lsu_bus_buffer.scala 590:105] - node _T_4644 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] - node _T_4645 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 590:101] - node _T_4646 = eq(_T_4645, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] - node _T_4647 = and(_T_4644, _T_4646) @[el2_lsu_bus_buffer.scala 590:89] - node _T_4648 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:108] - node _T_4649 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:123] - node _T_4650 = or(_T_4648, _T_4649) @[el2_lsu_bus_buffer.scala 590:121] - node _T_4651 = and(_T_4647, _T_4650) @[el2_lsu_bus_buffer.scala 590:105] + io.lsu_nonblock_load_data_tag <= _T_4619 @[el2_lsu_bus_buffer.scala 590:33] + node _T_4620 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4621 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4623 = and(_T_4620, _T_4622) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4624 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:108] + node _T_4625 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:123] + node _T_4626 = or(_T_4624, _T_4625) @[el2_lsu_bus_buffer.scala 591:121] + node _T_4627 = and(_T_4623, _T_4626) @[el2_lsu_bus_buffer.scala 591:105] + node _T_4628 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4629 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4631 = and(_T_4628, _T_4630) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4632 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:108] + node _T_4633 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:123] + node _T_4634 = or(_T_4632, _T_4633) @[el2_lsu_bus_buffer.scala 591:121] + node _T_4635 = and(_T_4631, _T_4634) @[el2_lsu_bus_buffer.scala 591:105] + node _T_4636 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4637 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4638 = eq(_T_4637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4639 = and(_T_4636, _T_4638) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4640 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:108] + node _T_4641 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:123] + node _T_4642 = or(_T_4640, _T_4641) @[el2_lsu_bus_buffer.scala 591:121] + node _T_4643 = and(_T_4639, _T_4642) @[el2_lsu_bus_buffer.scala 591:105] + node _T_4644 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] + node _T_4645 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 591:101] + node _T_4646 = eq(_T_4645, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] + node _T_4647 = and(_T_4644, _T_4646) @[el2_lsu_bus_buffer.scala 591:89] + node _T_4648 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:108] + node _T_4649 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:123] + node _T_4650 = or(_T_4648, _T_4649) @[el2_lsu_bus_buffer.scala 591:121] + node _T_4651 = and(_T_4647, _T_4650) @[el2_lsu_bus_buffer.scala 591:105] node _T_4652 = mux(_T_4627, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4653 = mux(_T_4635, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4654 = mux(_T_4643, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6112,30 +6110,30 @@ circuit el2_lsu_bus_buffer : node _T_4658 = or(_T_4657, _T_4655) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4658 @[Mux.scala 27:72] - node _T_4659 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4660 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4661 = eq(_T_4660, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4662 = and(_T_4659, _T_4661) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4663 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 591:120] - node _T_4664 = and(_T_4662, _T_4663) @[el2_lsu_bus_buffer.scala 591:105] - node _T_4665 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4666 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4667 = eq(_T_4666, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4668 = and(_T_4665, _T_4667) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4669 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 591:120] - node _T_4670 = and(_T_4668, _T_4669) @[el2_lsu_bus_buffer.scala 591:105] - node _T_4671 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4672 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4673 = eq(_T_4672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4674 = and(_T_4671, _T_4673) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4675 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 591:120] - node _T_4676 = and(_T_4674, _T_4675) @[el2_lsu_bus_buffer.scala 591:105] - node _T_4677 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 591:78] - node _T_4678 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 591:101] - node _T_4679 = eq(_T_4678, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 591:91] - node _T_4680 = and(_T_4677, _T_4679) @[el2_lsu_bus_buffer.scala 591:89] - node _T_4681 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 591:120] - node _T_4682 = and(_T_4680, _T_4681) @[el2_lsu_bus_buffer.scala 591:105] + node _T_4659 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 592:78] + node _T_4660 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 592:101] + node _T_4661 = eq(_T_4660, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:91] + node _T_4662 = and(_T_4659, _T_4661) @[el2_lsu_bus_buffer.scala 592:89] + node _T_4663 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 592:120] + node _T_4664 = and(_T_4662, _T_4663) @[el2_lsu_bus_buffer.scala 592:105] + node _T_4665 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 592:78] + node _T_4666 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 592:101] + node _T_4667 = eq(_T_4666, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:91] + node _T_4668 = and(_T_4665, _T_4667) @[el2_lsu_bus_buffer.scala 592:89] + node _T_4669 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 592:120] + node _T_4670 = and(_T_4668, _T_4669) @[el2_lsu_bus_buffer.scala 592:105] + node _T_4671 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 592:78] + node _T_4672 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 592:101] + node _T_4673 = eq(_T_4672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:91] + node _T_4674 = and(_T_4671, _T_4673) @[el2_lsu_bus_buffer.scala 592:89] + node _T_4675 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 592:120] + node _T_4676 = and(_T_4674, _T_4675) @[el2_lsu_bus_buffer.scala 592:105] + node _T_4677 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 592:78] + node _T_4678 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 592:101] + node _T_4679 = eq(_T_4678, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 592:91] + node _T_4680 = and(_T_4677, _T_4679) @[el2_lsu_bus_buffer.scala 592:89] + node _T_4681 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 592:120] + node _T_4682 = and(_T_4680, _T_4681) @[el2_lsu_bus_buffer.scala 592:105] node _T_4683 = mux(_T_4664, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4684 = mux(_T_4670, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4685 = mux(_T_4676, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6158,7 +6156,7 @@ circuit el2_lsu_bus_buffer : node _T_4700 = or(_T_4699, _T_4697) @[Mux.scala 27:72] wire _T_4701 : UInt<32> @[Mux.scala 27:72] _T_4701 <= _T_4700 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4701, 1, 0) @[el2_lsu_bus_buffer.scala 592:83] + node lsu_nonblock_addr_offset = bits(_T_4701, 1, 0) @[el2_lsu_bus_buffer.scala 593:83] node _T_4702 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4703 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4704 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] @@ -6210,38 +6208,38 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4745 @[Mux.scala 27:72] node _T_4746 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4747 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 596:121] - node lsu_nonblock_data_unalgn = dshr(_T_4746, _T_4747) @[el2_lsu_bus_buffer.scala 596:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 597:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 598:14] - node _T_4748 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:69] - node _T_4749 = and(lsu_nonblock_load_data_ready, _T_4748) @[el2_lsu_bus_buffer.scala 599:67] - io.lsu_nonblock_load_data_valid <= _T_4749 @[el2_lsu_bus_buffer.scala 599:35] - node _T_4750 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:81] - node _T_4751 = and(lsu_nonblock_unsign, _T_4750) @[el2_lsu_bus_buffer.scala 600:63] - node _T_4752 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 600:131] + node _T_4747 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 597:121] + node lsu_nonblock_data_unalgn = dshr(_T_4746, _T_4747) @[el2_lsu_bus_buffer.scala 597:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 598:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 599:14] + node _T_4748 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:69] + node _T_4749 = and(lsu_nonblock_load_data_ready, _T_4748) @[el2_lsu_bus_buffer.scala 600:67] + io.lsu_nonblock_load_data_valid <= _T_4749 @[el2_lsu_bus_buffer.scala 600:35] + node _T_4750 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:81] + node _T_4751 = and(lsu_nonblock_unsign, _T_4750) @[el2_lsu_bus_buffer.scala 601:63] + node _T_4752 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 601:131] node _T_4753 = cat(UInt<24>("h00"), _T_4752) @[Cat.scala 29:58] - node _T_4754 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 601:45] - node _T_4755 = and(lsu_nonblock_unsign, _T_4754) @[el2_lsu_bus_buffer.scala 601:26] - node _T_4756 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 601:95] + node _T_4754 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 602:45] + node _T_4755 = and(lsu_nonblock_unsign, _T_4754) @[el2_lsu_bus_buffer.scala 602:26] + node _T_4756 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 602:95] node _T_4757 = cat(UInt<16>("h00"), _T_4756) @[Cat.scala 29:58] - node _T_4758 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:6] - node _T_4759 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:45] - node _T_4760 = and(_T_4758, _T_4759) @[el2_lsu_bus_buffer.scala 602:27] - node _T_4761 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 602:93] + node _T_4758 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:6] + node _T_4759 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:45] + node _T_4760 = and(_T_4758, _T_4759) @[el2_lsu_bus_buffer.scala 603:27] + node _T_4761 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 603:93] node _T_4762 = bits(_T_4761, 0, 0) @[Bitwise.scala 72:15] node _T_4763 = mux(_T_4762, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4764 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 602:123] + node _T_4764 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 603:123] node _T_4765 = cat(_T_4763, _T_4764) @[Cat.scala 29:58] - node _T_4766 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:6] - node _T_4767 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 603:45] - node _T_4768 = and(_T_4766, _T_4767) @[el2_lsu_bus_buffer.scala 603:27] - node _T_4769 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 603:93] + node _T_4766 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 604:6] + node _T_4767 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 604:45] + node _T_4768 = and(_T_4766, _T_4767) @[el2_lsu_bus_buffer.scala 604:27] + node _T_4769 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 604:93] node _T_4770 = bits(_T_4769, 0, 0) @[Bitwise.scala 72:15] node _T_4771 = mux(_T_4770, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4772 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 603:124] + node _T_4772 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 604:124] node _T_4773 = cat(_T_4771, _T_4772) @[Cat.scala 29:58] - node _T_4774 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 604:21] + node _T_4774 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 605:21] node _T_4775 = mux(_T_4751, _T_4753, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4776 = mux(_T_4755, _T_4757, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4777 = mux(_T_4760, _T_4765, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6253,75 +6251,75 @@ circuit el2_lsu_bus_buffer : node _T_4783 = or(_T_4782, _T_4779) @[Mux.scala 27:72] wire _T_4784 : UInt<64> @[Mux.scala 27:72] _T_4784 <= _T_4783 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4784 @[el2_lsu_bus_buffer.scala 600:29] - node _T_4785 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] - node _T_4786 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 605:89] - node _T_4787 = and(_T_4785, _T_4786) @[el2_lsu_bus_buffer.scala 605:73] - node _T_4788 = and(_T_4787, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] - node _T_4789 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] - node _T_4790 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 605:89] - node _T_4791 = and(_T_4789, _T_4790) @[el2_lsu_bus_buffer.scala 605:73] - node _T_4792 = and(_T_4791, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] - node _T_4793 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] - node _T_4794 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 605:89] - node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 605:73] - node _T_4796 = and(_T_4795, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] - node _T_4797 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:62] - node _T_4798 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 605:89] - node _T_4799 = and(_T_4797, _T_4798) @[el2_lsu_bus_buffer.scala 605:73] - node _T_4800 = and(_T_4799, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 605:93] - node _T_4801 = or(_T_4788, _T_4792) @[el2_lsu_bus_buffer.scala 605:141] - node _T_4802 = or(_T_4801, _T_4796) @[el2_lsu_bus_buffer.scala 605:141] - node _T_4803 = or(_T_4802, _T_4800) @[el2_lsu_bus_buffer.scala 605:141] - bus_sideeffect_pend <= _T_4803 @[el2_lsu_bus_buffer.scala 605:23] - node _T_4804 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] - node _T_4805 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] - node _T_4806 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] - node _T_4807 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] - node _T_4808 = eq(_T_4806, _T_4807) @[el2_lsu_bus_buffer.scala 607:56] - node _T_4809 = and(_T_4805, _T_4808) @[el2_lsu_bus_buffer.scala 607:38] - node _T_4810 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:92] - node _T_4811 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:126] - node _T_4812 = and(obuf_merge, _T_4811) @[el2_lsu_bus_buffer.scala 607:114] - node _T_4813 = or(_T_4810, _T_4812) @[el2_lsu_bus_buffer.scala 607:100] - node _T_4814 = eq(_T_4813, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] - node _T_4815 = and(_T_4809, _T_4814) @[el2_lsu_bus_buffer.scala 607:78] - node _T_4816 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] - node _T_4817 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] - node _T_4818 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] - node _T_4819 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] - node _T_4820 = eq(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 607:56] - node _T_4821 = and(_T_4817, _T_4820) @[el2_lsu_bus_buffer.scala 607:38] - node _T_4822 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 607:92] - node _T_4823 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 607:126] - node _T_4824 = and(obuf_merge, _T_4823) @[el2_lsu_bus_buffer.scala 607:114] - node _T_4825 = or(_T_4822, _T_4824) @[el2_lsu_bus_buffer.scala 607:100] - node _T_4826 = eq(_T_4825, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] - node _T_4827 = and(_T_4821, _T_4826) @[el2_lsu_bus_buffer.scala 607:78] - node _T_4828 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] - node _T_4829 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] - node _T_4830 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] - node _T_4831 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] - node _T_4832 = eq(_T_4830, _T_4831) @[el2_lsu_bus_buffer.scala 607:56] - node _T_4833 = and(_T_4829, _T_4832) @[el2_lsu_bus_buffer.scala 607:38] - node _T_4834 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 607:92] - node _T_4835 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 607:126] - node _T_4836 = and(obuf_merge, _T_4835) @[el2_lsu_bus_buffer.scala 607:114] - node _T_4837 = or(_T_4834, _T_4836) @[el2_lsu_bus_buffer.scala 607:100] - node _T_4838 = eq(_T_4837, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] - node _T_4839 = and(_T_4833, _T_4838) @[el2_lsu_bus_buffer.scala 607:78] - node _T_4840 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:71] - node _T_4841 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 607:25] - node _T_4842 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 607:50] - node _T_4843 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 607:70] - node _T_4844 = eq(_T_4842, _T_4843) @[el2_lsu_bus_buffer.scala 607:56] - node _T_4845 = and(_T_4841, _T_4844) @[el2_lsu_bus_buffer.scala 607:38] - node _T_4846 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 607:92] - node _T_4847 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 607:126] - node _T_4848 = and(obuf_merge, _T_4847) @[el2_lsu_bus_buffer.scala 607:114] - node _T_4849 = or(_T_4846, _T_4848) @[el2_lsu_bus_buffer.scala 607:100] - node _T_4850 = eq(_T_4849, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 607:80] - node _T_4851 = and(_T_4845, _T_4850) @[el2_lsu_bus_buffer.scala 607:78] + io.lsu_nonblock_load_data <= _T_4784 @[el2_lsu_bus_buffer.scala 601:29] + node _T_4785 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:62] + node _T_4786 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 606:89] + node _T_4787 = and(_T_4785, _T_4786) @[el2_lsu_bus_buffer.scala 606:73] + node _T_4788 = and(_T_4787, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 606:93] + node _T_4789 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:62] + node _T_4790 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 606:89] + node _T_4791 = and(_T_4789, _T_4790) @[el2_lsu_bus_buffer.scala 606:73] + node _T_4792 = and(_T_4791, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 606:93] + node _T_4793 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:62] + node _T_4794 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 606:89] + node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 606:73] + node _T_4796 = and(_T_4795, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 606:93] + node _T_4797 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 606:62] + node _T_4798 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 606:89] + node _T_4799 = and(_T_4797, _T_4798) @[el2_lsu_bus_buffer.scala 606:73] + node _T_4800 = and(_T_4799, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 606:93] + node _T_4801 = or(_T_4788, _T_4792) @[el2_lsu_bus_buffer.scala 606:141] + node _T_4802 = or(_T_4801, _T_4796) @[el2_lsu_bus_buffer.scala 606:141] + node _T_4803 = or(_T_4802, _T_4800) @[el2_lsu_bus_buffer.scala 606:141] + bus_sideeffect_pend <= _T_4803 @[el2_lsu_bus_buffer.scala 606:23] + node _T_4804 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:71] + node _T_4805 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 608:25] + node _T_4806 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 608:50] + node _T_4807 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 608:70] + node _T_4808 = eq(_T_4806, _T_4807) @[el2_lsu_bus_buffer.scala 608:56] + node _T_4809 = and(_T_4805, _T_4808) @[el2_lsu_bus_buffer.scala 608:38] + node _T_4810 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:92] + node _T_4811 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:126] + node _T_4812 = and(obuf_merge, _T_4811) @[el2_lsu_bus_buffer.scala 608:114] + node _T_4813 = or(_T_4810, _T_4812) @[el2_lsu_bus_buffer.scala 608:100] + node _T_4814 = eq(_T_4813, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:80] + node _T_4815 = and(_T_4809, _T_4814) @[el2_lsu_bus_buffer.scala 608:78] + node _T_4816 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:71] + node _T_4817 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 608:25] + node _T_4818 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 608:50] + node _T_4819 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 608:70] + node _T_4820 = eq(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 608:56] + node _T_4821 = and(_T_4817, _T_4820) @[el2_lsu_bus_buffer.scala 608:38] + node _T_4822 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 608:92] + node _T_4823 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 608:126] + node _T_4824 = and(obuf_merge, _T_4823) @[el2_lsu_bus_buffer.scala 608:114] + node _T_4825 = or(_T_4822, _T_4824) @[el2_lsu_bus_buffer.scala 608:100] + node _T_4826 = eq(_T_4825, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:80] + node _T_4827 = and(_T_4821, _T_4826) @[el2_lsu_bus_buffer.scala 608:78] + node _T_4828 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:71] + node _T_4829 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 608:25] + node _T_4830 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 608:50] + node _T_4831 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 608:70] + node _T_4832 = eq(_T_4830, _T_4831) @[el2_lsu_bus_buffer.scala 608:56] + node _T_4833 = and(_T_4829, _T_4832) @[el2_lsu_bus_buffer.scala 608:38] + node _T_4834 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 608:92] + node _T_4835 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 608:126] + node _T_4836 = and(obuf_merge, _T_4835) @[el2_lsu_bus_buffer.scala 608:114] + node _T_4837 = or(_T_4834, _T_4836) @[el2_lsu_bus_buffer.scala 608:100] + node _T_4838 = eq(_T_4837, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:80] + node _T_4839 = and(_T_4833, _T_4838) @[el2_lsu_bus_buffer.scala 608:78] + node _T_4840 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 607:71] + node _T_4841 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 608:25] + node _T_4842 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 608:50] + node _T_4843 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 608:70] + node _T_4844 = eq(_T_4842, _T_4843) @[el2_lsu_bus_buffer.scala 608:56] + node _T_4845 = and(_T_4841, _T_4844) @[el2_lsu_bus_buffer.scala 608:38] + node _T_4846 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 608:92] + node _T_4847 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 608:126] + node _T_4848 = and(obuf_merge, _T_4847) @[el2_lsu_bus_buffer.scala 608:114] + node _T_4849 = or(_T_4846, _T_4848) @[el2_lsu_bus_buffer.scala 608:100] + node _T_4850 = eq(_T_4849, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 608:80] + node _T_4851 = and(_T_4845, _T_4850) @[el2_lsu_bus_buffer.scala 608:78] node _T_4852 = mux(_T_4804, _T_4815, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4853 = mux(_T_4816, _T_4827, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4854 = mux(_T_4828, _T_4839, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6331,117 +6329,117 @@ circuit el2_lsu_bus_buffer : node _T_4858 = or(_T_4857, _T_4855) @[Mux.scala 27:72] wire _T_4859 : UInt<1> @[Mux.scala 27:72] _T_4859 <= _T_4858 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4859 @[el2_lsu_bus_buffer.scala 606:26] - node _T_4860 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 609:54] - node _T_4861 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 609:75] - node _T_4862 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 609:150] - node _T_4863 = mux(_T_4860, _T_4861, _T_4862) @[el2_lsu_bus_buffer.scala 609:39] - node _T_4864 = mux(obuf_write, _T_4863, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 609:23] - bus_cmd_ready <= _T_4864 @[el2_lsu_bus_buffer.scala 609:17] - node _T_4865 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 610:39] - bus_wcmd_sent <= _T_4865 @[el2_lsu_bus_buffer.scala 610:17] - node _T_4866 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 611:39] - bus_wdata_sent <= _T_4866 @[el2_lsu_bus_buffer.scala 611:18] - node _T_4867 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 612:35] - node _T_4868 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 612:70] - node _T_4869 = and(_T_4867, _T_4868) @[el2_lsu_bus_buffer.scala 612:52] - node _T_4870 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 612:111] - node _T_4871 = or(_T_4869, _T_4870) @[el2_lsu_bus_buffer.scala 612:89] - bus_cmd_sent <= _T_4871 @[el2_lsu_bus_buffer.scala 612:16] - node _T_4872 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 613:37] - bus_rsp_read <= _T_4872 @[el2_lsu_bus_buffer.scala 613:16] - node _T_4873 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 614:38] - bus_rsp_write <= _T_4873 @[el2_lsu_bus_buffer.scala 614:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 615:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 616:21] - node _T_4874 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:60] - node _T_4875 = and(bus_rsp_write, _T_4874) @[el2_lsu_bus_buffer.scala 617:40] - bus_rsp_write_error <= _T_4875 @[el2_lsu_bus_buffer.scala 617:23] - node _T_4876 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:58] - node _T_4877 = and(bus_rsp_read, _T_4876) @[el2_lsu_bus_buffer.scala 618:38] - bus_rsp_read_error <= _T_4877 @[el2_lsu_bus_buffer.scala 618:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 619:17] - node _T_4878 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 622:36] - node _T_4879 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 622:51] - node _T_4880 = and(_T_4878, _T_4879) @[el2_lsu_bus_buffer.scala 622:49] - node _T_4881 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 622:68] - node _T_4882 = and(_T_4880, _T_4881) @[el2_lsu_bus_buffer.scala 622:66] - io.lsu_axi_awvalid <= _T_4882 @[el2_lsu_bus_buffer.scala 622:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 623:19] - node _T_4883 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 624:69] + bus_addr_match_pending <= _T_4859 @[el2_lsu_bus_buffer.scala 607:26] + node _T_4860 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 610:54] + node _T_4861 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 610:75] + node _T_4862 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 610:150] + node _T_4863 = mux(_T_4860, _T_4861, _T_4862) @[el2_lsu_bus_buffer.scala 610:39] + node _T_4864 = mux(obuf_write, _T_4863, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 610:23] + bus_cmd_ready <= _T_4864 @[el2_lsu_bus_buffer.scala 610:17] + node _T_4865 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 611:39] + bus_wcmd_sent <= _T_4865 @[el2_lsu_bus_buffer.scala 611:17] + node _T_4866 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 612:39] + bus_wdata_sent <= _T_4866 @[el2_lsu_bus_buffer.scala 612:18] + node _T_4867 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 613:35] + node _T_4868 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 613:70] + node _T_4869 = and(_T_4867, _T_4868) @[el2_lsu_bus_buffer.scala 613:52] + node _T_4870 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 613:111] + node _T_4871 = or(_T_4869, _T_4870) @[el2_lsu_bus_buffer.scala 613:89] + bus_cmd_sent <= _T_4871 @[el2_lsu_bus_buffer.scala 613:16] + node _T_4872 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 614:37] + bus_rsp_read <= _T_4872 @[el2_lsu_bus_buffer.scala 614:16] + node _T_4873 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 615:38] + bus_rsp_write <= _T_4873 @[el2_lsu_bus_buffer.scala 615:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 616:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 617:21] + node _T_4874 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:60] + node _T_4875 = and(bus_rsp_write, _T_4874) @[el2_lsu_bus_buffer.scala 618:40] + bus_rsp_write_error <= _T_4875 @[el2_lsu_bus_buffer.scala 618:23] + node _T_4876 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 619:58] + node _T_4877 = and(bus_rsp_read, _T_4876) @[el2_lsu_bus_buffer.scala 619:38] + bus_rsp_read_error <= _T_4877 @[el2_lsu_bus_buffer.scala 619:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 620:17] + node _T_4878 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 623:36] + node _T_4879 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 623:51] + node _T_4880 = and(_T_4878, _T_4879) @[el2_lsu_bus_buffer.scala 623:49] + node _T_4881 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 623:68] + node _T_4882 = and(_T_4880, _T_4881) @[el2_lsu_bus_buffer.scala 623:66] + io.lsu_axi_awvalid <= _T_4882 @[el2_lsu_bus_buffer.scala 623:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 624:19] + node _T_4883 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 625:69] node _T_4884 = cat(_T_4883, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4885 = mux(obuf_sideeffect, obuf_addr, _T_4884) @[el2_lsu_bus_buffer.scala 624:27] - io.lsu_axi_awaddr <= _T_4885 @[el2_lsu_bus_buffer.scala 624:21] + node _T_4885 = mux(obuf_sideeffect, obuf_addr, _T_4884) @[el2_lsu_bus_buffer.scala 625:27] + io.lsu_axi_awaddr <= _T_4885 @[el2_lsu_bus_buffer.scala 625:21] node _T_4886 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4887 = mux(obuf_sideeffect, _T_4886, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 625:27] - io.lsu_axi_awsize <= _T_4887 @[el2_lsu_bus_buffer.scala 625:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 626:21] - node _T_4888 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 627:28] - io.lsu_axi_awcache <= _T_4888 @[el2_lsu_bus_buffer.scala 627:22] - node _T_4889 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 628:35] - io.lsu_axi_awregion <= _T_4889 @[el2_lsu_bus_buffer.scala 628:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 629:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 630:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 631:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 632:21] - node _T_4890 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 634:35] - node _T_4891 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:50] - node _T_4892 = and(_T_4890, _T_4891) @[el2_lsu_bus_buffer.scala 634:48] - node _T_4893 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 634:68] - node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 634:66] - io.lsu_axi_wvalid <= _T_4894 @[el2_lsu_bus_buffer.scala 634:21] + node _T_4887 = mux(obuf_sideeffect, _T_4886, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 626:27] + io.lsu_axi_awsize <= _T_4887 @[el2_lsu_bus_buffer.scala 626:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 627:21] + node _T_4888 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 628:28] + io.lsu_axi_awcache <= _T_4888 @[el2_lsu_bus_buffer.scala 628:22] + node _T_4889 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 629:35] + io.lsu_axi_awregion <= _T_4889 @[el2_lsu_bus_buffer.scala 629:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 631:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 632:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 633:21] + node _T_4890 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 635:35] + node _T_4891 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:50] + node _T_4892 = and(_T_4890, _T_4891) @[el2_lsu_bus_buffer.scala 635:48] + node _T_4893 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:68] + node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 635:66] + io.lsu_axi_wvalid <= _T_4894 @[el2_lsu_bus_buffer.scala 635:21] node _T_4895 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4896 = mux(_T_4895, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4897 = and(obuf_byteen, _T_4896) @[el2_lsu_bus_buffer.scala 635:35] - io.lsu_axi_wstrb <= _T_4897 @[el2_lsu_bus_buffer.scala 635:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 636:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 637:20] - node _T_4898 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:38] - node _T_4899 = and(obuf_valid, _T_4898) @[el2_lsu_bus_buffer.scala 639:36] - node _T_4900 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:52] - node _T_4901 = and(_T_4899, _T_4900) @[el2_lsu_bus_buffer.scala 639:50] - node _T_4902 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 639:67] - node _T_4903 = and(_T_4901, _T_4902) @[el2_lsu_bus_buffer.scala 639:65] - io.lsu_axi_arvalid <= _T_4903 @[el2_lsu_bus_buffer.scala 639:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 640:19] - node _T_4904 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 641:69] + node _T_4897 = and(obuf_byteen, _T_4896) @[el2_lsu_bus_buffer.scala 636:35] + io.lsu_axi_wstrb <= _T_4897 @[el2_lsu_bus_buffer.scala 636:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 637:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 638:20] + node _T_4898 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:38] + node _T_4899 = and(obuf_valid, _T_4898) @[el2_lsu_bus_buffer.scala 640:36] + node _T_4900 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:52] + node _T_4901 = and(_T_4899, _T_4900) @[el2_lsu_bus_buffer.scala 640:50] + node _T_4902 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 640:67] + node _T_4903 = and(_T_4901, _T_4902) @[el2_lsu_bus_buffer.scala 640:65] + io.lsu_axi_arvalid <= _T_4903 @[el2_lsu_bus_buffer.scala 640:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 641:19] + node _T_4904 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 642:69] node _T_4905 = cat(_T_4904, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4906 = mux(obuf_sideeffect, obuf_addr, _T_4905) @[el2_lsu_bus_buffer.scala 641:27] - io.lsu_axi_araddr <= _T_4906 @[el2_lsu_bus_buffer.scala 641:21] + node _T_4906 = mux(obuf_sideeffect, obuf_addr, _T_4905) @[el2_lsu_bus_buffer.scala 642:27] + io.lsu_axi_araddr <= _T_4906 @[el2_lsu_bus_buffer.scala 642:21] node _T_4907 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4908 = mux(obuf_sideeffect, _T_4907, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 642:27] - io.lsu_axi_arsize <= _T_4908 @[el2_lsu_bus_buffer.scala 642:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 643:21] - node _T_4909 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 644:28] - io.lsu_axi_arcache <= _T_4909 @[el2_lsu_bus_buffer.scala 644:22] - node _T_4910 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 645:35] - io.lsu_axi_arregion <= _T_4910 @[el2_lsu_bus_buffer.scala 645:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 646:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 647:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 648:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 649:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 650:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 651:21] - node _T_4911 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] - node _T_4912 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 652:125] - node _T_4913 = and(io.lsu_bus_clk_en_q, _T_4912) @[el2_lsu_bus_buffer.scala 652:114] - node _T_4914 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 652:140] - node _T_4915 = and(_T_4913, _T_4914) @[el2_lsu_bus_buffer.scala 652:129] - node _T_4916 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] - node _T_4917 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 652:125] - node _T_4918 = and(io.lsu_bus_clk_en_q, _T_4917) @[el2_lsu_bus_buffer.scala 652:114] - node _T_4919 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 652:140] - node _T_4920 = and(_T_4918, _T_4919) @[el2_lsu_bus_buffer.scala 652:129] - node _T_4921 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] - node _T_4922 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 652:125] - node _T_4923 = and(io.lsu_bus_clk_en_q, _T_4922) @[el2_lsu_bus_buffer.scala 652:114] - node _T_4924 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 652:140] - node _T_4925 = and(_T_4923, _T_4924) @[el2_lsu_bus_buffer.scala 652:129] - node _T_4926 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:81] - node _T_4927 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 652:125] - node _T_4928 = and(io.lsu_bus_clk_en_q, _T_4927) @[el2_lsu_bus_buffer.scala 652:114] - node _T_4929 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 652:140] - node _T_4930 = and(_T_4928, _T_4929) @[el2_lsu_bus_buffer.scala 652:129] + node _T_4908 = mux(obuf_sideeffect, _T_4907, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 643:27] + io.lsu_axi_arsize <= _T_4908 @[el2_lsu_bus_buffer.scala 643:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 644:21] + node _T_4909 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 645:28] + io.lsu_axi_arcache <= _T_4909 @[el2_lsu_bus_buffer.scala 645:22] + node _T_4910 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 646:35] + io.lsu_axi_arregion <= _T_4910 @[el2_lsu_bus_buffer.scala 646:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 647:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 648:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 649:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 650:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 651:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 652:21] + node _T_4911 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:81] + node _T_4912 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 653:125] + node _T_4913 = and(io.lsu_bus_clk_en_q, _T_4912) @[el2_lsu_bus_buffer.scala 653:114] + node _T_4914 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 653:140] + node _T_4915 = and(_T_4913, _T_4914) @[el2_lsu_bus_buffer.scala 653:129] + node _T_4916 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:81] + node _T_4917 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 653:125] + node _T_4918 = and(io.lsu_bus_clk_en_q, _T_4917) @[el2_lsu_bus_buffer.scala 653:114] + node _T_4919 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 653:140] + node _T_4920 = and(_T_4918, _T_4919) @[el2_lsu_bus_buffer.scala 653:129] + node _T_4921 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:81] + node _T_4922 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 653:125] + node _T_4923 = and(io.lsu_bus_clk_en_q, _T_4922) @[el2_lsu_bus_buffer.scala 653:114] + node _T_4924 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 653:140] + node _T_4925 = and(_T_4923, _T_4924) @[el2_lsu_bus_buffer.scala 653:129] + node _T_4926 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:81] + node _T_4927 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 653:125] + node _T_4928 = and(io.lsu_bus_clk_en_q, _T_4927) @[el2_lsu_bus_buffer.scala 653:114] + node _T_4929 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 653:140] + node _T_4930 = and(_T_4928, _T_4929) @[el2_lsu_bus_buffer.scala 653:129] node _T_4931 = mux(_T_4911, _T_4915, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4932 = mux(_T_4916, _T_4920, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4933 = mux(_T_4921, _T_4925, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6451,25 +6449,25 @@ circuit el2_lsu_bus_buffer : node _T_4937 = or(_T_4936, _T_4934) @[Mux.scala 27:72] wire _T_4938 : UInt<1> @[Mux.scala 27:72] _T_4938 <= _T_4937 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4938 @[el2_lsu_bus_buffer.scala 652:36] - node _T_4939 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:87] - node _T_4940 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 653:109] - node _T_4941 = and(_T_4939, _T_4940) @[el2_lsu_bus_buffer.scala 653:98] - node _T_4942 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 653:124] - node _T_4943 = and(_T_4941, _T_4942) @[el2_lsu_bus_buffer.scala 653:113] - node _T_4944 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 653:87] - node _T_4945 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 653:109] - node _T_4946 = and(_T_4944, _T_4945) @[el2_lsu_bus_buffer.scala 653:98] - node _T_4947 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 653:124] - node _T_4948 = and(_T_4946, _T_4947) @[el2_lsu_bus_buffer.scala 653:113] + io.lsu_imprecise_error_store_any <= _T_4938 @[el2_lsu_bus_buffer.scala 653:36] + node _T_4939 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 654:87] + node _T_4940 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 654:109] + node _T_4941 = and(_T_4939, _T_4940) @[el2_lsu_bus_buffer.scala 654:98] + node _T_4942 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 654:124] + node _T_4943 = and(_T_4941, _T_4942) @[el2_lsu_bus_buffer.scala 654:113] + node _T_4944 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 654:87] + node _T_4945 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 654:109] + node _T_4946 = and(_T_4944, _T_4945) @[el2_lsu_bus_buffer.scala 654:98] + node _T_4947 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 654:124] + node _T_4948 = and(_T_4946, _T_4947) @[el2_lsu_bus_buffer.scala 654:113] node _T_4949 = mux(_T_4943, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4950 = mux(_T_4948, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4951 = or(_T_4949, _T_4950) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4951 @[Mux.scala 27:72] - node _T_4952 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 655:72] - node _T_4953 = and(io.lsu_nonblock_load_data_error, _T_4952) @[el2_lsu_bus_buffer.scala 655:70] - io.lsu_imprecise_error_load_any <= _T_4953 @[el2_lsu_bus_buffer.scala 655:35] + node _T_4952 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 656:72] + node _T_4953 = and(io.lsu_nonblock_load_data_error, _T_4952) @[el2_lsu_bus_buffer.scala 656:70] + io.lsu_imprecise_error_load_any <= _T_4953 @[el2_lsu_bus_buffer.scala 656:35] node _T_4954 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4955 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] node _T_4956 = mux(_T_4954, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6490,44 +6488,44 @@ circuit el2_lsu_bus_buffer : node _T_4970 = or(_T_4969, _T_4967) @[Mux.scala 27:72] wire _T_4971 : UInt<32> @[Mux.scala 27:72] _T_4971 <= _T_4970 @[Mux.scala 27:72] - node _T_4972 = mux(io.lsu_imprecise_error_store_any, _T_4959, _T_4971) @[el2_lsu_bus_buffer.scala 656:41] - io.lsu_imprecise_error_addr_any <= _T_4972 @[el2_lsu_bus_buffer.scala 656:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 657:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 659:23] - node _T_4973 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 662:46] - node _T_4974 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 662:89] - node _T_4975 = or(_T_4973, _T_4974) @[el2_lsu_bus_buffer.scala 662:68] - node _T_4976 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 662:132] - node _T_4977 = or(_T_4975, _T_4976) @[el2_lsu_bus_buffer.scala 662:110] - io.lsu_pmu_bus_trxn <= _T_4977 @[el2_lsu_bus_buffer.scala 662:23] - node _T_4978 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 663:48] - node _T_4979 = and(_T_4978, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 663:65] - io.lsu_pmu_bus_misaligned <= _T_4979 @[el2_lsu_bus_buffer.scala 663:29] - node _T_4980 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 664:59] - io.lsu_pmu_bus_error <= _T_4980 @[el2_lsu_bus_buffer.scala 664:24] - node _T_4981 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:48] - node _T_4982 = and(io.lsu_axi_awvalid, _T_4981) @[el2_lsu_bus_buffer.scala 666:46] - node _T_4983 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:92] - node _T_4984 = and(io.lsu_axi_wvalid, _T_4983) @[el2_lsu_bus_buffer.scala 666:90] - node _T_4985 = or(_T_4982, _T_4984) @[el2_lsu_bus_buffer.scala 666:69] - node _T_4986 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:136] - node _T_4987 = and(io.lsu_axi_arvalid, _T_4986) @[el2_lsu_bus_buffer.scala 666:134] - node _T_4988 = or(_T_4985, _T_4987) @[el2_lsu_bus_buffer.scala 666:112] - io.lsu_pmu_bus_busy <= _T_4988 @[el2_lsu_bus_buffer.scala 666:23] - reg _T_4989 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 668:49] - _T_4989 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 668:49] - WrPtr0_r <= _T_4989 @[el2_lsu_bus_buffer.scala 668:12] - reg _T_4990 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:49] - _T_4990 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 669:49] - WrPtr1_r <= _T_4990 @[el2_lsu_bus_buffer.scala 669:12] - node _T_4991 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 670:75] - node _T_4992 = and(io.lsu_busreq_m, _T_4991) @[el2_lsu_bus_buffer.scala 670:73] - node _T_4993 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 670:89] - node _T_4994 = and(_T_4992, _T_4993) @[el2_lsu_bus_buffer.scala 670:87] - reg _T_4995 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 670:56] - _T_4995 <= _T_4994 @[el2_lsu_bus_buffer.scala 670:56] - io.lsu_busreq_r <= _T_4995 @[el2_lsu_bus_buffer.scala 670:19] - reg _T_4996 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 671:66] - _T_4996 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 671:66] - lsu_nonblock_load_valid_r <= _T_4996 @[el2_lsu_bus_buffer.scala 671:29] + node _T_4972 = mux(io.lsu_imprecise_error_store_any, _T_4959, _T_4971) @[el2_lsu_bus_buffer.scala 657:41] + io.lsu_imprecise_error_addr_any <= _T_4972 @[el2_lsu_bus_buffer.scala 657:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 658:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 660:23] + node _T_4973 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 663:46] + node _T_4974 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 663:89] + node _T_4975 = or(_T_4973, _T_4974) @[el2_lsu_bus_buffer.scala 663:68] + node _T_4976 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 663:132] + node _T_4977 = or(_T_4975, _T_4976) @[el2_lsu_bus_buffer.scala 663:110] + io.lsu_pmu_bus_trxn <= _T_4977 @[el2_lsu_bus_buffer.scala 663:23] + node _T_4978 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 664:48] + node _T_4979 = and(_T_4978, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 664:65] + io.lsu_pmu_bus_misaligned <= _T_4979 @[el2_lsu_bus_buffer.scala 664:29] + node _T_4980 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 665:59] + io.lsu_pmu_bus_error <= _T_4980 @[el2_lsu_bus_buffer.scala 665:24] + node _T_4981 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 667:48] + node _T_4982 = and(io.lsu_axi_awvalid, _T_4981) @[el2_lsu_bus_buffer.scala 667:46] + node _T_4983 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 667:92] + node _T_4984 = and(io.lsu_axi_wvalid, _T_4983) @[el2_lsu_bus_buffer.scala 667:90] + node _T_4985 = or(_T_4982, _T_4984) @[el2_lsu_bus_buffer.scala 667:69] + node _T_4986 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 667:136] + node _T_4987 = and(io.lsu_axi_arvalid, _T_4986) @[el2_lsu_bus_buffer.scala 667:134] + node _T_4988 = or(_T_4985, _T_4987) @[el2_lsu_bus_buffer.scala 667:112] + io.lsu_pmu_bus_busy <= _T_4988 @[el2_lsu_bus_buffer.scala 667:23] + reg _T_4989 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:49] + _T_4989 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 669:49] + WrPtr0_r <= _T_4989 @[el2_lsu_bus_buffer.scala 669:12] + reg _T_4990 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 670:49] + _T_4990 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 670:49] + WrPtr1_r <= _T_4990 @[el2_lsu_bus_buffer.scala 670:12] + node _T_4991 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 671:75] + node _T_4992 = and(io.lsu_busreq_m, _T_4991) @[el2_lsu_bus_buffer.scala 671:73] + node _T_4993 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 671:89] + node _T_4994 = and(_T_4992, _T_4993) @[el2_lsu_bus_buffer.scala 671:87] + reg _T_4995 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 671:56] + _T_4995 <= _T_4994 @[el2_lsu_bus_buffer.scala 671:56] + io.lsu_busreq_r <= _T_4995 @[el2_lsu_bus_buffer.scala 671:19] + reg _T_4996 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 672:66] + _T_4996 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 672:66] + lsu_nonblock_load_valid_r <= _T_4996 @[el2_lsu_bus_buffer.scala 672:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index f859dbcf..2c782465 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -308,577 +308,577 @@ module el2_lsu_bus_buffer( wire rvclkhdr_11_io_clk; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_en; // @[el2_lib.scala 485:23] wire rvclkhdr_11_io_scan_mode; // @[el2_lib.scala 485:23] - wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 133:46] - wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 134:46] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[el2_lsu_bus_buffer.scala 134:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 135:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] - wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] reg _T_4372; // @[Reg.scala 27:20] reg _T_4369; // @[Reg.scala 27:20] reg _T_4366; // @[Reg.scala 27:20] reg _T_4363; // @[Reg.scala 27:20] wire [3:0] buf_write = {_T_4372,_T_4369,_T_4366,_T_4363}; // @[Cat.scala 29:58] - wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 136:98] + wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 137:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] - wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] - wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 136:113] - wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 136:141] + wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 137:129] + wire _T_6 = _T_4 & _T_5; // @[el2_lsu_bus_buffer.scala 137:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] reg [31:0] buf_addr_1; // @[el2_lib.scala 491:16] - wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] - wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 136:98] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] + wire _T_11 = _T_9 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 137:98] reg [2:0] buf_state_1; // @[Reg.scala 27:20] - wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] - wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 136:113] - wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 136:141] + wire _T_12 = buf_state_1 != 3'h0; // @[el2_lsu_bus_buffer.scala 137:129] + wire _T_13 = _T_11 & _T_12; // @[el2_lsu_bus_buffer.scala 137:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] reg [31:0] buf_addr_2; // @[el2_lib.scala 491:16] - wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] - wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 136:98] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] + wire _T_18 = _T_16 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 137:98] reg [2:0] buf_state_2; // @[Reg.scala 27:20] - wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] - wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 136:113] - wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 136:141] + wire _T_19 = buf_state_2 != 3'h0; // @[el2_lsu_bus_buffer.scala 137:129] + wire _T_20 = _T_18 & _T_19; // @[el2_lsu_bus_buffer.scala 137:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] reg [31:0] buf_addr_3; // @[el2_lib.scala 491:16] - wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] - wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 136:98] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] + wire _T_25 = _T_23 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 137:98] reg [2:0] buf_state_3; // @[Reg.scala 27:20] - wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] - wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 136:113] - wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 136:141] - wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] - wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 137:98] - wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 137:113] - wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] - wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] - wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 137:98] - wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 137:113] - wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] - wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] - wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 137:98] - wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 137:113] - wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] - wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 137:74] - wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 137:98] - wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 137:113] - wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] + wire _T_26 = buf_state_3 != 3'h0; // @[el2_lsu_bus_buffer.scala 137:129] + wire _T_27 = _T_25 & _T_26; // @[el2_lsu_bus_buffer.scala 137:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] + wire _T_32 = _T_30 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 138:98] + wire _T_34 = _T_32 & _T_5; // @[el2_lsu_bus_buffer.scala 138:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] + wire _T_39 = _T_37 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 138:98] + wire _T_41 = _T_39 & _T_12; // @[el2_lsu_bus_buffer.scala 138:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] + wire _T_46 = _T_44 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 138:98] + wire _T_48 = _T_46 & _T_19; // @[el2_lsu_bus_buffer.scala 138:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[el2_lsu_bus_buffer.scala 138:74] + wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 138:98] + wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 138:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 138:141] reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] - wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] - wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] - wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] - wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 552:60] - wire _T_2633 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:95] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 553:60] + wire _T_2633 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 465:95] wire _T_4119 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4142 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4146 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1861; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 409:13] - wire _T_4153 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 507:48] + wire _T_4153 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 508:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 507:104] - wire _T_4154 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 507:104] - wire _T_4155 = obuf_merge & _T_4154; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_4156 = _T_4153 | _T_4155; // @[el2_lsu_bus_buffer.scala 507:77] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 508:104] + wire _T_4154 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 508:104] + wire _T_4155 = obuf_merge & _T_4154; // @[el2_lsu_bus_buffer.scala 508:91] + wire _T_4156 = _T_4153 | _T_4155; // @[el2_lsu_bus_buffer.scala 508:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 403:54] - wire _T_4157 = _T_4156 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] + wire _T_4157 = _T_4156 & obuf_valid; // @[el2_lsu_bus_buffer.scala 508:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 402:55] - wire _T_4158 = _T_4157 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] + wire _T_4158 = _T_4157 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 508:148] wire _GEN_280 = _T_4146 & _T_4158; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4142 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4119 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2634 = _T_2633 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 464:105] - wire _T_2635 = ~_T_2634; // @[el2_lsu_bus_buffer.scala 464:80] - wire _T_2636 = buf_ageQ_3[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2628 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:95] + wire _T_2634 = _T_2633 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 465:105] + wire _T_2635 = ~_T_2634; // @[el2_lsu_bus_buffer.scala 465:80] + wire _T_2636 = buf_ageQ_3[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2628 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 465:95] wire _T_3926 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3949 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3953 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3960 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 507:48] - wire _T_3961 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 507:104] - wire _T_3962 = obuf_merge & _T_3961; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_3963 = _T_3960 | _T_3962; // @[el2_lsu_bus_buffer.scala 507:77] - wire _T_3964 = _T_3963 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] - wire _T_3965 = _T_3964 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] + wire _T_3960 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 508:48] + wire _T_3961 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 508:104] + wire _T_3962 = obuf_merge & _T_3961; // @[el2_lsu_bus_buffer.scala 508:91] + wire _T_3963 = _T_3960 | _T_3962; // @[el2_lsu_bus_buffer.scala 508:77] + wire _T_3964 = _T_3963 & obuf_valid; // @[el2_lsu_bus_buffer.scala 508:135] + wire _T_3965 = _T_3964 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 508:148] wire _GEN_204 = _T_3953 & _T_3965; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3949 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3926 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2629 = _T_2628 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 464:105] - wire _T_2630 = ~_T_2629; // @[el2_lsu_bus_buffer.scala 464:80] - wire _T_2631 = buf_ageQ_3[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2623 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:95] + wire _T_2629 = _T_2628 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 465:105] + wire _T_2630 = ~_T_2629; // @[el2_lsu_bus_buffer.scala 465:80] + wire _T_2631 = buf_ageQ_3[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2623 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 465:95] wire _T_3733 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3756 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3760 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3767 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 507:48] - wire _T_3768 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 507:104] - wire _T_3769 = obuf_merge & _T_3768; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_3770 = _T_3767 | _T_3769; // @[el2_lsu_bus_buffer.scala 507:77] - wire _T_3771 = _T_3770 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] - wire _T_3772 = _T_3771 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] + wire _T_3767 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 508:48] + wire _T_3768 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 508:104] + wire _T_3769 = obuf_merge & _T_3768; // @[el2_lsu_bus_buffer.scala 508:91] + wire _T_3770 = _T_3767 | _T_3769; // @[el2_lsu_bus_buffer.scala 508:77] + wire _T_3771 = _T_3770 & obuf_valid; // @[el2_lsu_bus_buffer.scala 508:135] + wire _T_3772 = _T_3771 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 508:148] wire _GEN_128 = _T_3760 & _T_3772; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3756 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3733 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2624 = _T_2623 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 464:105] - wire _T_2625 = ~_T_2624; // @[el2_lsu_bus_buffer.scala 464:80] - wire _T_2626 = buf_ageQ_3[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2618 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:95] + wire _T_2624 = _T_2623 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 465:105] + wire _T_2625 = ~_T_2624; // @[el2_lsu_bus_buffer.scala 465:80] + wire _T_2626 = buf_ageQ_3[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2618 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 465:95] wire _T_3540 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3563 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3567 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3574 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 507:48] - wire _T_3575 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 507:104] - wire _T_3576 = obuf_merge & _T_3575; // @[el2_lsu_bus_buffer.scala 507:91] - wire _T_3577 = _T_3574 | _T_3576; // @[el2_lsu_bus_buffer.scala 507:77] - wire _T_3578 = _T_3577 & obuf_valid; // @[el2_lsu_bus_buffer.scala 507:135] - wire _T_3579 = _T_3578 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 507:148] + wire _T_3574 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 508:48] + wire _T_3575 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 508:104] + wire _T_3576 = obuf_merge & _T_3575; // @[el2_lsu_bus_buffer.scala 508:91] + wire _T_3577 = _T_3574 | _T_3576; // @[el2_lsu_bus_buffer.scala 508:77] + wire _T_3578 = _T_3577 & obuf_valid; // @[el2_lsu_bus_buffer.scala 508:135] + wire _T_3579 = _T_3578 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 508:148] wire _GEN_52 = _T_3567 & _T_3579; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3563 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3540 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2619 = _T_2618 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 464:105] - wire _T_2620 = ~_T_2619; // @[el2_lsu_bus_buffer.scala 464:80] - wire _T_2621 = buf_ageQ_3[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2619 = _T_2618 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 465:105] + wire _T_2620 = ~_T_2619; // @[el2_lsu_bus_buffer.scala 465:80] + wire _T_2621 = buf_ageQ_3[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 465:78] wire [3:0] buf_age_3 = {_T_2636,_T_2631,_T_2626,_T_2621}; // @[Cat.scala 29:58] - wire _T_2735 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2737 = _T_2735 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2729 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2731 = _T_2729 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2723 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2725 = _T_2723 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2735 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2737 = _T_2735 & _T_19; // @[el2_lsu_bus_buffer.scala 466:106] + wire _T_2729 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2731 = _T_2729 & _T_12; // @[el2_lsu_bus_buffer.scala 466:106] + wire _T_2723 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2725 = _T_2723 & _T_5; // @[el2_lsu_bus_buffer.scala 466:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2737,_T_2731,_T_2725}; // @[Cat.scala 29:58] - wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 204:97] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[el2_lsu_bus_buffer.scala 205:97] reg [31:0] ibuf_addr; // @[el2_lib.scala 491:16] - wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 210:51] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 211:51] reg ibuf_write; // @[Reg.scala 27:20] - wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 210:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 295:24] - wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 210:86] - wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 210:99] + wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 211:73] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 296:24] + wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 211:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 211:99] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] - wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 215:48] - wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 215:65] - wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25] - wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 204:150] - wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 552:60] - wire _T_2613 = buf_ageQ_2[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2608 = buf_ageQ_2[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2603 = buf_ageQ_2[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2598 = buf_ageQ_2[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_545 = ld_addr_ibuf_hit_lo & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 216:48] + wire _T_547 = _T_545 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 216:65] + wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 205:150] + wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 205:148] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 553:60] + wire _T_2613 = buf_ageQ_2[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2608 = buf_ageQ_2[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2603 = buf_ageQ_2[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2598 = buf_ageQ_2[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 465:78] wire [3:0] buf_age_2 = {_T_2613,_T_2608,_T_2603,_T_2598}; // @[Cat.scala 29:58] - wire _T_2714 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2716 = _T_2714 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2702 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2704 = _T_2702 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2696 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2698 = _T_2696 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2714 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2716 = _T_2714 & _T_26; // @[el2_lsu_bus_buffer.scala 466:106] + wire _T_2702 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2704 = _T_2702 & _T_12; // @[el2_lsu_bus_buffer.scala 466:106] + wire _T_2696 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2698 = _T_2696 & _T_5; // @[el2_lsu_bus_buffer.scala 466:106] wire [3:0] buf_age_younger_2 = {_T_2716,1'h0,_T_2704,_T_2698}; // @[Cat.scala 29:58] - wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 552:60] - wire _T_2590 = buf_ageQ_1[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2585 = buf_ageQ_1[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2580 = buf_ageQ_1[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2575 = buf_ageQ_1[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 205:148] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 553:60] + wire _T_2590 = buf_ageQ_1[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2585 = buf_ageQ_1[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2580 = buf_ageQ_1[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2575 = buf_ageQ_1[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 465:78] wire [3:0] buf_age_1 = {_T_2590,_T_2585,_T_2580,_T_2575}; // @[Cat.scala 29:58] - wire _T_2687 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2689 = _T_2687 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2681 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2683 = _T_2681 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2669 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2671 = _T_2669 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2687 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2689 = _T_2687 & _T_26; // @[el2_lsu_bus_buffer.scala 466:106] + wire _T_2681 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2683 = _T_2681 & _T_19; // @[el2_lsu_bus_buffer.scala 466:106] + wire _T_2669 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2671 = _T_2669 & _T_5; // @[el2_lsu_bus_buffer.scala 466:106] wire [3:0] buf_age_younger_1 = {_T_2689,_T_2683,1'h0,_T_2671}; // @[Cat.scala 29:58] - wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 552:60] - wire _T_2567 = buf_ageQ_0[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2562 = buf_ageQ_0[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2557 = buf_ageQ_0[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 464:78] - wire _T_2552 = buf_ageQ_0[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 205:148] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 553:60] + wire _T_2567 = buf_ageQ_0[3] & _T_2635; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2562 = buf_ageQ_0[2] & _T_2630; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2557 = buf_ageQ_0[1] & _T_2625; // @[el2_lsu_bus_buffer.scala 465:78] + wire _T_2552 = buf_ageQ_0[0] & _T_2620; // @[el2_lsu_bus_buffer.scala 465:78] wire [3:0] buf_age_0 = {_T_2567,_T_2562,_T_2557,_T_2552}; // @[Cat.scala 29:58] - wire _T_2660 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2662 = _T_2660 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2654 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2656 = _T_2654 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] - wire _T_2648 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 465:91] - wire _T_2650 = _T_2648 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2660 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2662 = _T_2660 & _T_26; // @[el2_lsu_bus_buffer.scala 466:106] + wire _T_2654 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2656 = _T_2654 & _T_19; // @[el2_lsu_bus_buffer.scala 466:106] + wire _T_2648 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 466:91] + wire _T_2650 = _T_2648 & _T_12; // @[el2_lsu_bus_buffer.scala 466:106] wire [3:0] buf_age_younger_0 = {_T_2662,_T_2656,_T_2650,1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_237 = _T_234 & _T_260; // @[el2_lsu_bus_buffer.scala 205:148] wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] - wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 196:73] - wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 196:77] - wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 199:114] - wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 199:114] - wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 199:114] - wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[el2_lsu_bus_buffer.scala 197:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 197:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] - wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 204:150] - wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 204:148] - wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 204:148] - wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 204:148] - wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_291 = |_T_290; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_292 = ~_T_291; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 205:150] + wire _T_296 = _T_293 & _T_295; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_283 = |_T_282; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_284 = ~_T_283; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_288 = _T_285 & _T_295; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_275 = |_T_274; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_276 = ~_T_275; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_280 = _T_277 & _T_295; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_267 = |_T_266; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_268 = ~_T_267; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_272 = _T_269 & _T_295; // @[el2_lsu_bus_buffer.scala 205:148] wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] - wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 196:73] - wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 196:77] - wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 199:114] - wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 199:114] - wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 199:114] - wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[el2_lsu_bus_buffer.scala 197:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[el2_lsu_bus_buffer.scala 197:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] - wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 204:150] - wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 204:148] - wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 204:148] - wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 204:148] - wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_326 = |_T_325; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_327 = ~_T_326; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 205:150] + wire _T_331 = _T_328 & _T_330; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_318 = |_T_317; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_319 = ~_T_318; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_323 = _T_320 & _T_330; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_310 = |_T_309; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_311 = ~_T_310; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_315 = _T_312 & _T_330; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_302 = |_T_301; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_303 = ~_T_302; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_307 = _T_304 & _T_330; // @[el2_lsu_bus_buffer.scala 205:148] wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] - wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 196:73] - wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 196:77] - wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 199:114] - wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 199:114] - wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 199:114] - wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 199:95] - wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 199:114] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[el2_lsu_bus_buffer.scala 197:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[el2_lsu_bus_buffer.scala 197:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 200:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] - wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 204:150] - wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 204:148] - wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 204:148] - wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 204:148] - wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] - wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 204:144] - wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 204:99] - wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 204:97] - wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 204:148] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_361 = |_T_360; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_362 = ~_T_361; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 205:150] + wire _T_366 = _T_363 & _T_365; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_353 = |_T_352; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_354 = ~_T_353; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_358 = _T_355 & _T_365; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_345 = |_T_344; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_346 = ~_T_345; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_350 = _T_347 & _T_365; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] + wire _T_337 = |_T_336; // @[el2_lsu_bus_buffer.scala 205:144] + wire _T_338 = ~_T_337; // @[el2_lsu_bus_buffer.scala 205:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[el2_lsu_bus_buffer.scala 205:97] + wire _T_342 = _T_339 & _T_365; // @[el2_lsu_bus_buffer.scala 205:148] wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] - wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 196:73] - wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 196:77] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[el2_lsu_bus_buffer.scala 197:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[el2_lsu_bus_buffer.scala 197:77] wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] - wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] - wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 211:51] - wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 211:73] - wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 211:86] - wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 211:99] - wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 216:48] - wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 216:65] - wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25 el2_lsu_bus_buffer.scala 216:25] - wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 205:150] - wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_396 = |_T_395; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_397 = ~_T_396; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 212:51] + wire _T_518 = _T_517 & ibuf_write; // @[el2_lsu_bus_buffer.scala 212:73] + wire _T_519 = _T_518 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 212:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 212:99] + wire _T_549 = ld_addr_ibuf_hit_hi & ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 217:48] + wire _T_551 = _T_549 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [3:0] ld_byte_ibuf_hit_hi = {{3'd0}, _T_551}; // @[el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25 el2_lsu_bus_buffer.scala 217:25] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 206:150] + wire _T_401 = _T_398 & _T_400; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_388 = |_T_387; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_389 = ~_T_388; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_393 = _T_390 & _T_400; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_380 = |_T_379; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_381 = ~_T_380; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_385 = _T_382 & _T_400; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_372 = |_T_371; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_373 = ~_T_372; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_377 = _T_374 & _T_400; // @[el2_lsu_bus_buffer.scala 206:148] wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] - wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 197:73] - wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 197:77] - wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[el2_lsu_bus_buffer.scala 198:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[el2_lsu_bus_buffer.scala 198:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] - wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 205:150] - wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_431 = |_T_430; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_432 = ~_T_431; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 206:150] + wire _T_436 = _T_433 & _T_435; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_423 = |_T_422; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_424 = ~_T_423; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_428 = _T_425 & _T_435; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_415 = |_T_414; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_416 = ~_T_415; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_420 = _T_417 & _T_435; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_407 = |_T_406; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_408 = ~_T_407; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_412 = _T_409 & _T_435; // @[el2_lsu_bus_buffer.scala 206:148] wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] - wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 197:73] - wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 197:77] - wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[el2_lsu_bus_buffer.scala 198:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[el2_lsu_bus_buffer.scala 198:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] - wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 205:150] - wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_466 = |_T_465; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_467 = ~_T_466; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 206:150] + wire _T_471 = _T_468 & _T_470; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_458 = |_T_457; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_459 = ~_T_458; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_463 = _T_460 & _T_470; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_450 = |_T_449; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_451 = ~_T_450; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_455 = _T_452 & _T_470; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_442 = |_T_441; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_443 = ~_T_442; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_447 = _T_444 & _T_470; // @[el2_lsu_bus_buffer.scala 206:148] wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] - wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 197:73] - wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 197:77] - wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] - wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 200:95] - wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 200:114] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[el2_lsu_bus_buffer.scala 198:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[el2_lsu_bus_buffer.scala 198:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[el2_lsu_bus_buffer.scala 201:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[el2_lsu_bus_buffer.scala 201:114] wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] - wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 205:150] - wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 205:148] - wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 205:122] - wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 205:144] - wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 205:99] - wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 205:97] - wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 205:148] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_501 = |_T_500; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_502 = ~_T_501; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 206:150] + wire _T_506 = _T_503 & _T_505; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_493 = |_T_492; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_494 = ~_T_493; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_498 = _T_495 & _T_505; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_485 = |_T_484; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_486 = ~_T_485; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_490 = _T_487 & _T_505; // @[el2_lsu_bus_buffer.scala 206:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 206:122] + wire _T_477 = |_T_476; // @[el2_lsu_bus_buffer.scala 206:144] + wire _T_478 = ~_T_477; // @[el2_lsu_bus_buffer.scala 206:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[el2_lsu_bus_buffer.scala 206:97] + wire _T_482 = _T_479 & _T_505; // @[el2_lsu_bus_buffer.scala 206:148] wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] - wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 197:73] - wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 197:77] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[el2_lsu_bus_buffer.scala 198:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 198:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 223:91] + wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 223:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 223:91] + wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 223:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 223:91] + wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 223:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 222:91] - wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 222:123] - wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 222:123] - wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 222:123] + wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 223:91] + wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 223:91] + wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 223:123] + wire [8:0] _T_573 = _T_572 | _T_566; // @[el2_lsu_bus_buffer.scala 223:123] + wire [8:0] _T_574 = _T_573 | _T_571; // @[el2_lsu_bus_buffer.scala 223:123] wire [7:0] _T_577 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_579 = _T_577 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_582 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_584 = _T_582 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_587 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_589 = _T_587 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 224:65] wire [7:0] _T_592 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 223:65] - wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 223:97] - wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 223:97] - wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 223:97] + wire [7:0] _T_594 = _T_592 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_595 = _T_579 | _T_584; // @[el2_lsu_bus_buffer.scala 224:97] + wire [7:0] _T_596 = _T_595 | _T_589; // @[el2_lsu_bus_buffer.scala 224:97] + wire [7:0] _T_597 = _T_596 | _T_594; // @[el2_lsu_bus_buffer.scala 224:97] wire [7:0] _T_600 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_602 = _T_600 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_605 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_607 = _T_605 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_610 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_612 = _T_610 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 225:65] wire [7:0] _T_615 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 224:65] - wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 224:96] - wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 224:96] - wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 224:96] + wire [7:0] _T_617 = _T_615 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_618 = _T_602 | _T_607; // @[el2_lsu_bus_buffer.scala 225:96] + wire [7:0] _T_619 = _T_618 | _T_612; // @[el2_lsu_bus_buffer.scala 225:96] + wire [7:0] _T_620 = _T_619 | _T_617; // @[el2_lsu_bus_buffer.scala 225:96] wire [7:0] _T_623 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_625 = _T_623 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_628 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_630 = _T_628 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_633 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] + wire [7:0] _T_635 = _T_633 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 226:65] wire [7:0] _T_638 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 225:65] - wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 225:95] - wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 225:95] - wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 225:95] + wire [7:0] _T_640 = _T_638 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 226:65] + wire [7:0] _T_641 = _T_625 | _T_630; // @[el2_lsu_bus_buffer.scala 226:95] + wire [7:0] _T_642 = _T_641 | _T_635; // @[el2_lsu_bus_buffer.scala 226:95] + wire [7:0] _T_643 = _T_642 | _T_640; // @[el2_lsu_bus_buffer.scala 226:95] wire [32:0] _T_646 = {_T_574,_T_597,_T_620,_T_643}; // @[Cat.scala 29:58] wire [7:0] _T_649 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 227:91] - wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 227:91] + wire [8:0] _GEN_358 = {{1'd0}, _T_649}; // @[el2_lsu_bus_buffer.scala 228:91] + wire [8:0] _T_651 = _GEN_358 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 228:91] wire [7:0] _T_654 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 227:91] - wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 227:91] + wire [8:0] _GEN_359 = {{1'd0}, _T_654}; // @[el2_lsu_bus_buffer.scala 228:91] + wire [8:0] _T_656 = _GEN_359 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 228:91] wire [7:0] _T_659 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 227:91] - wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 227:91] + wire [8:0] _GEN_360 = {{1'd0}, _T_659}; // @[el2_lsu_bus_buffer.scala 228:91] + wire [8:0] _T_661 = _GEN_360 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 228:91] wire [7:0] _T_664 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 227:91] - wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 227:91] - wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 227:123] - wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 227:123] - wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 227:123] + wire [8:0] _GEN_361 = {{1'd0}, _T_664}; // @[el2_lsu_bus_buffer.scala 228:91] + wire [8:0] _T_666 = _GEN_361 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 228:91] + wire [8:0] _T_667 = _T_651 | _T_656; // @[el2_lsu_bus_buffer.scala 228:123] + wire [8:0] _T_668 = _T_667 | _T_661; // @[el2_lsu_bus_buffer.scala 228:123] + wire [8:0] _T_669 = _T_668 | _T_666; // @[el2_lsu_bus_buffer.scala 228:123] wire [7:0] _T_672 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_674 = _T_672 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 229:65] wire [7:0] _T_677 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_679 = _T_677 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 229:65] wire [7:0] _T_682 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 228:65] + wire [7:0] _T_684 = _T_682 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 229:65] wire [7:0] _T_687 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 228:65] - wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 228:97] - wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 228:97] - wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 228:97] + wire [7:0] _T_689 = _T_687 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 229:65] + wire [7:0] _T_690 = _T_674 | _T_679; // @[el2_lsu_bus_buffer.scala 229:97] + wire [7:0] _T_691 = _T_690 | _T_684; // @[el2_lsu_bus_buffer.scala 229:97] + wire [7:0] _T_692 = _T_691 | _T_689; // @[el2_lsu_bus_buffer.scala 229:97] wire [7:0] _T_695 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 229:65] + wire [7:0] _T_697 = _T_695 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 230:65] wire [7:0] _T_700 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 229:65] + wire [7:0] _T_702 = _T_700 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 230:65] wire [7:0] _T_705 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 229:65] + wire [7:0] _T_707 = _T_705 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 230:65] wire [7:0] _T_710 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 229:65] - wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 229:96] - wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 229:96] - wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 229:96] + wire [7:0] _T_712 = _T_710 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 230:65] + wire [7:0] _T_713 = _T_697 | _T_702; // @[el2_lsu_bus_buffer.scala 230:96] + wire [7:0] _T_714 = _T_713 | _T_707; // @[el2_lsu_bus_buffer.scala 230:96] + wire [7:0] _T_715 = _T_714 | _T_712; // @[el2_lsu_bus_buffer.scala 230:96] wire [7:0] _T_718 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 230:65] + wire [7:0] _T_720 = _T_718 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 231:65] wire [7:0] _T_723 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 230:65] + wire [7:0] _T_725 = _T_723 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 231:65] wire [7:0] _T_728 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 230:65] + wire [7:0] _T_730 = _T_728 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 231:65] wire [7:0] _T_733 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 230:65] - wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 230:95] - wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 230:95] - wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 230:95] + wire [7:0] _T_735 = _T_733 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 231:65] + wire [7:0] _T_736 = _T_720 | _T_725; // @[el2_lsu_bus_buffer.scala 231:95] + wire [7:0] _T_737 = _T_736 | _T_730; // @[el2_lsu_bus_buffer.scala 231:95] + wire [7:0] _T_738 = _T_737 | _T_735; // @[el2_lsu_bus_buffer.scala 231:95] wire [32:0] _T_741 = {_T_669,_T_692,_T_715,_T_738}; // @[Cat.scala 29:58] wire [3:0] _T_742 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_743 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_744 = io_lsu_pkt_r_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_745 = _T_742 | _T_743; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_745 | _T_744; // @[Mux.scala 27:72] - wire _T_748 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 237:55] - wire _T_750 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 238:55] + wire _T_748 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 238:55] + wire _T_750 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 239:55] wire [3:0] _T_752 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] - wire _T_754 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 239:55] + wire _T_754 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 240:55] wire [3:0] _T_756 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] - wire _T_758 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 240:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 241:55] wire [3:0] _T_760 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] wire [3:0] _T_762 = _T_750 ? _T_752 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_763 = _T_754 ? _T_756 : 4'h0; // @[Mux.scala 27:72] @@ -913,191 +913,131 @@ module el2_lsu_bus_buffer( wire [31:0] _T_828 = _T_824 | _T_825; // @[Mux.scala 27:72] wire [31:0] _T_829 = _T_828 | _T_826; // @[Mux.scala 27:72] wire [31:0] store_data_lo_r = _T_829 | _T_827; // @[Mux.scala 27:72] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 258:40] - wire _T_836 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 260:26] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 259:40] + wire _T_836 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 261:26] wire _T_837 = io_lsu_pkt_r_word & _T_748; // @[Mux.scala 27:72] wire _T_838 = io_lsu_pkt_r_half & _T_836; // @[Mux.scala 27:72] wire _T_840 = _T_837 | _T_838; // @[Mux.scala 27:72] wire is_aligned_r = _T_840 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_842 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 262:55] - wire _T_843 = io_lsu_busreq_r & _T_842; // @[el2_lsu_bus_buffer.scala 262:34] - wire _T_844 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 262:79] - wire ibuf_byp = _T_843 & _T_844; // @[el2_lsu_bus_buffer.scala 262:77] - wire _T_845 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 263:36] - wire _T_846 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 263:56] - wire ibuf_wr_en = _T_845 & _T_846; // @[el2_lsu_bus_buffer.scala 263:54] - wire _T_847 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 265:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 308:59] - wire _T_856 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 271:62] - wire _T_857 = ibuf_wr_en | _T_856; // @[el2_lsu_bus_buffer.scala 271:48] - wire _T_921 = _T_845 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 290:54] - wire _T_922 = _T_921 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 290:75] - wire _T_923 = _T_922 & ibuf_write; // @[el2_lsu_bus_buffer.scala 290:88] - wire _T_926 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 290:124] - wire _T_927 = _T_923 & _T_926; // @[el2_lsu_bus_buffer.scala 290:101] - wire _T_928 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 290:147] - wire _T_929 = _T_927 & _T_928; // @[el2_lsu_bus_buffer.scala 290:145] - wire _T_930 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 290:170] - wire ibuf_merge_en = _T_929 & _T_930; // @[el2_lsu_bus_buffer.scala 290:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 291:20] - wire _T_858 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 271:98] - wire _T_859 = ~_T_858; // @[el2_lsu_bus_buffer.scala 271:82] - wire _T_860 = _T_857 & _T_859; // @[el2_lsu_bus_buffer.scala 271:80] - wire _T_861 = _T_860 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 272:5] - wire _T_849 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 266:44] - wire _T_850 = io_lsu_busreq_m & _T_849; // @[el2_lsu_bus_buffer.scala 266:42] - wire _T_851 = _T_850 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 266:61] - wire _T_854 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 266:115] - wire _T_855 = io_lsu_pkt_m_load | _T_854; // @[el2_lsu_bus_buffer.scala 266:95] - wire ibuf_force_drain = _T_851 & _T_855; // @[el2_lsu_bus_buffer.scala 266:74] - wire _T_862 = _T_861 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 272:16] + wire _T_842 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 263:55] + wire _T_843 = io_lsu_busreq_r & _T_842; // @[el2_lsu_bus_buffer.scala 263:34] + wire _T_844 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 263:79] + wire ibuf_byp = _T_843 & _T_844; // @[el2_lsu_bus_buffer.scala 263:77] + wire _T_845 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 264:36] + wire _T_846 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 264:56] + wire ibuf_wr_en = _T_845 & _T_846; // @[el2_lsu_bus_buffer.scala 264:54] + wire _T_847 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 266:36] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 309:59] + wire _T_856 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 272:62] + wire _T_857 = ibuf_wr_en | _T_856; // @[el2_lsu_bus_buffer.scala 272:48] + wire _T_921 = _T_845 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 291:54] + wire _T_922 = _T_921 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 291:75] + wire _T_923 = _T_922 & ibuf_write; // @[el2_lsu_bus_buffer.scala 291:88] + wire _T_926 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 291:124] + wire _T_927 = _T_923 & _T_926; // @[el2_lsu_bus_buffer.scala 291:101] + wire _T_928 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 291:147] + wire _T_929 = _T_927 & _T_928; // @[el2_lsu_bus_buffer.scala 291:145] + wire _T_930 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 291:170] + wire ibuf_merge_en = _T_929 & _T_930; // @[el2_lsu_bus_buffer.scala 291:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 292:20] + wire _T_858 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 272:98] + wire _T_859 = ~_T_858; // @[el2_lsu_bus_buffer.scala 272:82] + wire _T_860 = _T_857 & _T_859; // @[el2_lsu_bus_buffer.scala 272:80] + wire _T_861 = _T_860 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 273:5] + wire _T_849 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 267:44] + wire _T_850 = io_lsu_busreq_m & _T_849; // @[el2_lsu_bus_buffer.scala 267:42] + wire _T_851 = _T_850 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 267:61] + wire _T_854 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 267:115] + wire _T_855 = io_lsu_pkt_m_load | _T_854; // @[el2_lsu_bus_buffer.scala 267:95] + wire ibuf_force_drain = _T_851 & _T_855; // @[el2_lsu_bus_buffer.scala 267:74] + wire _T_862 = _T_861 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 273:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_863 = _T_862 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 272:35] - wire _T_864 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 272:55] - wire _T_865 = _T_863 | _T_864; // @[el2_lsu_bus_buffer.scala 272:53] - wire _T_866 = _T_865 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 272:67] - wire ibuf_drain_vld = ibuf_valid & _T_866; // @[el2_lsu_bus_buffer.scala 271:32] - wire _T_848 = ibuf_drain_vld & _T_847; // @[el2_lsu_bus_buffer.scala 265:34] - wire ibuf_rst = _T_848 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 265:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 669:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 668:49] + wire _T_863 = _T_862 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 273:35] + wire _T_864 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 273:55] + wire _T_865 = _T_863 | _T_864; // @[el2_lsu_bus_buffer.scala 273:53] + wire _T_866 = _T_865 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 273:67] + wire ibuf_drain_vld = ibuf_valid & _T_866; // @[el2_lsu_bus_buffer.scala 272:32] + wire _T_848 = ibuf_drain_vld & _T_847; // @[el2_lsu_bus_buffer.scala 266:34] + wire ibuf_rst = _T_848 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 266:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 670:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 669:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_873 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 281:77] + wire [3:0] _T_873 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 282:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [7:0] _T_881 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_884 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 287:8] - wire [7:0] _T_885 = _T_858 ? _T_881 : _T_884; // @[el2_lsu_bus_buffer.scala 285:46] - wire [7:0] _T_890 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_893 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 287:8] - wire [7:0] _T_894 = _T_858 ? _T_890 : _T_893; // @[el2_lsu_bus_buffer.scala 285:46] - wire [7:0] _T_899 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_902 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 287:8] - wire [7:0] _T_903 = _T_858 ? _T_899 : _T_902; // @[el2_lsu_bus_buffer.scala 285:46] - wire [7:0] _T_908 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_911 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 287:8] - wire [7:0] _T_912 = _T_858 ? _T_908 : _T_911; // @[el2_lsu_bus_buffer.scala 285:46] + wire [7:0] _T_881 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_884 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 288:8] + wire [7:0] _T_885 = _T_858 ? _T_881 : _T_884; // @[el2_lsu_bus_buffer.scala 286:46] + wire [7:0] _T_890 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_893 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 288:8] + wire [7:0] _T_894 = _T_858 ? _T_890 : _T_893; // @[el2_lsu_bus_buffer.scala 286:46] + wire [7:0] _T_899 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_902 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 288:8] + wire [7:0] _T_903 = _T_858 ? _T_899 : _T_902; // @[el2_lsu_bus_buffer.scala 286:46] + wire [7:0] _T_908 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_911 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 288:8] + wire [7:0] _T_912 = _T_858 ? _T_908 : _T_911; // @[el2_lsu_bus_buffer.scala 286:46] wire [23:0] _T_914 = {_T_912,_T_903,_T_894}; // @[Cat.scala 29:58] - wire _T_915 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 288:59] - wire [2:0] _T_918 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 288:93] - wire _T_933 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 292:65] - wire _T_934 = ibuf_merge_en & _T_933; // @[el2_lsu_bus_buffer.scala 292:63] - wire _T_937 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 292:96] - wire _T_939 = _T_934 ? _T_937 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 292:48] - wire _T_944 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 292:96] - wire _T_946 = _T_934 ? _T_944 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 292:48] - wire _T_951 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 292:96] - wire _T_953 = _T_934 ? _T_951 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 292:48] - wire _T_958 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 292:96] - wire _T_960 = _T_934 ? _T_958 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 292:48] + wire _T_915 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 289:59] + wire [2:0] _T_918 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 289:93] + wire _T_933 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 293:65] + wire _T_934 = ibuf_merge_en & _T_933; // @[el2_lsu_bus_buffer.scala 293:63] + wire _T_937 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 293:96] + wire _T_939 = _T_934 ? _T_937 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 293:48] + wire _T_944 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 293:96] + wire _T_946 = _T_934 ? _T_944 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 293:48] + wire _T_951 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 293:96] + wire _T_953 = _T_934 ? _T_951 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 293:48] + wire _T_958 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 293:96] + wire _T_960 = _T_934 ? _T_958 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 293:48] wire [3:0] ibuf_byteen_out = {_T_960,_T_953,_T_946,_T_939}; // @[Cat.scala 29:58] - wire [7:0] _T_970 = _T_934 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 293:45] - wire [7:0] _T_978 = _T_934 ? _T_890 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 293:45] - wire [7:0] _T_986 = _T_934 ? _T_899 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 293:45] - wire [7:0] _T_994 = _T_934 ? _T_908 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 293:45] + wire [7:0] _T_970 = _T_934 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 294:45] + wire [7:0] _T_978 = _T_934 ? _T_890 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 294:45] + wire [7:0] _T_986 = _T_934 ? _T_899 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 294:45] + wire [7:0] _T_994 = _T_934 ? _T_908 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 294:45] wire [31:0] ibuf_data_out = {_T_994,_T_986,_T_978,_T_970}; // @[Cat.scala 29:58] - wire _T_997 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 295:28] - wire _T_998 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 295:63] - wire _T_1003 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 296:89] + wire _T_997 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 296:28] + wire _T_998 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 296:63] + wire _T_1003 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 297:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4453 = buf_write[3] & _T_2633; // @[el2_lsu_bus_buffer.scala 574:64] - wire _T_4454 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 574:91] - wire _T_4455 = _T_4453 & _T_4454; // @[el2_lsu_bus_buffer.scala 574:89] - wire _T_4448 = buf_write[2] & _T_2628; // @[el2_lsu_bus_buffer.scala 574:64] - wire _T_4449 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 574:91] - wire _T_4450 = _T_4448 & _T_4449; // @[el2_lsu_bus_buffer.scala 574:89] - wire [1:0] _T_4456 = _T_4455 + _T_4450; // @[el2_lsu_bus_buffer.scala 574:142] - wire _T_4443 = buf_write[1] & _T_2623; // @[el2_lsu_bus_buffer.scala 574:64] - wire _T_4444 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 574:91] - wire _T_4445 = _T_4443 & _T_4444; // @[el2_lsu_bus_buffer.scala 574:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4445}; // @[el2_lsu_bus_buffer.scala 574:142] - wire [2:0] _T_4457 = _T_4456 + _GEN_362; // @[el2_lsu_bus_buffer.scala 574:142] - wire _T_4438 = buf_write[0] & _T_2618; // @[el2_lsu_bus_buffer.scala 574:64] - wire _T_4439 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 574:91] - wire _T_4440 = _T_4438 & _T_4439; // @[el2_lsu_bus_buffer.scala 574:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4440}; // @[el2_lsu_bus_buffer.scala 574:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4457 + _GEN_363; // @[el2_lsu_bus_buffer.scala 574:142] + wire _T_4453 = buf_write[3] & _T_2633; // @[el2_lsu_bus_buffer.scala 575:64] + wire _T_4454 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 575:91] + wire _T_4455 = _T_4453 & _T_4454; // @[el2_lsu_bus_buffer.scala 575:89] + wire _T_4448 = buf_write[2] & _T_2628; // @[el2_lsu_bus_buffer.scala 575:64] + wire _T_4449 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 575:91] + wire _T_4450 = _T_4448 & _T_4449; // @[el2_lsu_bus_buffer.scala 575:89] + wire [1:0] _T_4456 = _T_4455 + _T_4450; // @[el2_lsu_bus_buffer.scala 575:142] + wire _T_4443 = buf_write[1] & _T_2623; // @[el2_lsu_bus_buffer.scala 575:64] + wire _T_4444 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 575:91] + wire _T_4445 = _T_4443 & _T_4444; // @[el2_lsu_bus_buffer.scala 575:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4445}; // @[el2_lsu_bus_buffer.scala 575:142] + wire [2:0] _T_4457 = _T_4456 + _GEN_362; // @[el2_lsu_bus_buffer.scala 575:142] + wire _T_4438 = buf_write[0] & _T_2618; // @[el2_lsu_bus_buffer.scala 575:64] + wire _T_4439 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 575:91] + wire _T_4440 = _T_4438 & _T_4439; // @[el2_lsu_bus_buffer.scala 575:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4440}; // @[el2_lsu_bus_buffer.scala 575:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4457 + _GEN_363; // @[el2_lsu_bus_buffer.scala 575:142] wire _T_1029 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:43] - wire _T_4470 = _T_2633 & _T_4454; // @[el2_lsu_bus_buffer.scala 575:73] - wire _T_4467 = _T_2628 & _T_4449; // @[el2_lsu_bus_buffer.scala 575:73] - wire [1:0] _T_4471 = _T_4470 + _T_4467; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4464 = _T_2623 & _T_4444; // @[el2_lsu_bus_buffer.scala 575:73] - wire [1:0] _GEN_364 = {{1'd0}, _T_4464}; // @[el2_lsu_bus_buffer.scala 575:126] - wire [2:0] _T_4472 = _T_4471 + _GEN_364; // @[el2_lsu_bus_buffer.scala 575:126] - wire _T_4461 = _T_2618 & _T_4439; // @[el2_lsu_bus_buffer.scala 575:73] - wire [2:0] _GEN_365 = {{2'd0}, _T_4461}; // @[el2_lsu_bus_buffer.scala 575:126] - wire [3:0] buf_numvld_cmd_any = _T_4472 + _GEN_365; // @[el2_lsu_bus_buffer.scala 575:126] + wire _T_4470 = _T_2633 & _T_4454; // @[el2_lsu_bus_buffer.scala 576:73] + wire _T_4467 = _T_2628 & _T_4449; // @[el2_lsu_bus_buffer.scala 576:73] + wire [1:0] _T_4471 = _T_4470 + _T_4467; // @[el2_lsu_bus_buffer.scala 576:126] + wire _T_4464 = _T_2623 & _T_4444; // @[el2_lsu_bus_buffer.scala 576:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4464}; // @[el2_lsu_bus_buffer.scala 576:126] + wire [2:0] _T_4472 = _T_4471 + _GEN_364; // @[el2_lsu_bus_buffer.scala 576:126] + wire _T_4461 = _T_2618 & _T_4439; // @[el2_lsu_bus_buffer.scala 576:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4461}; // @[el2_lsu_bus_buffer.scala 576:126] + wire [3:0] buf_numvld_cmd_any = _T_4472 + _GEN_365; // @[el2_lsu_bus_buffer.scala 576:126] wire _T_1030 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:72] wire _T_1031 = _T_1029 & _T_1030; // @[el2_lsu_bus_buffer.scala 319:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 418:54] wire _T_1032 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 319:97] wire _T_1033 = _T_1031 & _T_1032; // @[el2_lsu_bus_buffer.scala 319:80] wire _T_1035 = _T_1033 & _T_930; // @[el2_lsu_bus_buffer.scala 319:114] - reg buf_nomerge_0; // @[Reg.scala 27:20] - reg buf_nomerge_1; // @[Reg.scala 27:20] - reg buf_nomerge_2; // @[Reg.scala 27:20] - reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_1048 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 320:31] - wire _T_1049 = _T_1035 & _T_1048; // @[el2_lsu_bus_buffer.scala 320:29] - reg _T_4342; // @[Reg.scala 27:20] - reg _T_4339; // @[Reg.scala 27:20] - reg _T_4336; // @[Reg.scala 27:20] - reg _T_4333; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4342,_T_4339,_T_4336,_T_4333}; // @[Cat.scala 29:58] - wire _T_1066 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 321:5] - wire _T_1067 = _T_1049 & _T_1066; // @[el2_lsu_bus_buffer.scala 320:140] - wire _T_1078 = _T_850 & _T_844; // @[el2_lsu_bus_buffer.scala 323:58] - wire _T_1080 = _T_1078 & _T_1030; // @[el2_lsu_bus_buffer.scala 323:72] - wire _T_1098 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 323:123] - wire obuf_force_wr_en = _T_1080 & _T_1098; // @[el2_lsu_bus_buffer.scala 323:101] - wire _T_1068 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 321:119] - wire obuf_wr_wait = _T_1067 & _T_1068; // @[el2_lsu_bus_buffer.scala 321:117] - wire _T_1069 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 322:75] - wire _T_1070 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 322:95] - wire _T_1071 = _T_1069 & _T_1070; // @[el2_lsu_bus_buffer.scala 322:79] - wire [2:0] _T_1073 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 322:121] - wire _T_4489 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] - wire _T_4493 = _T_4489 | _T_4470; // @[el2_lsu_bus_buffer.scala 576:74] - wire _T_4484 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] - wire _T_4488 = _T_4484 | _T_4467; // @[el2_lsu_bus_buffer.scala 576:74] - wire [1:0] _T_4494 = _T_4493 + _T_4488; // @[el2_lsu_bus_buffer.scala 576:154] - wire _T_4479 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] - wire _T_4483 = _T_4479 | _T_4464; // @[el2_lsu_bus_buffer.scala 576:74] - wire [1:0] _GEN_366 = {{1'd0}, _T_4483}; // @[el2_lsu_bus_buffer.scala 576:154] - wire [2:0] _T_4495 = _T_4494 + _GEN_366; // @[el2_lsu_bus_buffer.scala 576:154] - wire _T_4474 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 576:63] - wire _T_4478 = _T_4474 | _T_4461; // @[el2_lsu_bus_buffer.scala 576:74] - wire [2:0] _GEN_367 = {{2'd0}, _T_4478}; // @[el2_lsu_bus_buffer.scala 576:154] - wire [3:0] buf_numvld_pend_any = _T_4495 + _GEN_367; // @[el2_lsu_bus_buffer.scala 576:154] - wire _T_1100 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 325:53] - wire _T_1101 = ibuf_byp & _T_1100; // @[el2_lsu_bus_buffer.scala 325:31] - wire _T_1102 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 325:64] - wire _T_1103 = _T_1102 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 325:84] - wire ibuf_buf_byp = _T_1101 & _T_1103; // @[el2_lsu_bus_buffer.scala 325:61] - wire _T_1104 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 340:32] - wire _T_4785 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] - wire _T_4787 = _T_4785 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 605:73] - wire _T_4788 = _T_4787 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] - wire _T_4789 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] - wire _T_4791 = _T_4789 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 605:73] - wire _T_4792 = _T_4791 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] - wire _T_4801 = _T_4788 | _T_4792; // @[el2_lsu_bus_buffer.scala 605:141] - wire _T_4793 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] - wire _T_4795 = _T_4793 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 605:73] - wire _T_4796 = _T_4795 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] - wire _T_4802 = _T_4801 | _T_4796; // @[el2_lsu_bus_buffer.scala 605:141] - wire _T_4797 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 605:62] - wire _T_4799 = _T_4797 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 605:73] - wire _T_4800 = _T_4799 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 605:93] - wire bus_sideeffect_pend = _T_4802 | _T_4800; // @[el2_lsu_bus_buffer.scala 605:141] - wire _T_1105 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 340:74] - wire _T_1106 = ~_T_1105; // @[el2_lsu_bus_buffer.scala 340:52] - wire _T_1107 = _T_1104 & _T_1106; // @[el2_lsu_bus_buffer.scala 340:50] wire _T_1991 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 430:58] wire _T_1992 = ~_T_1991; // @[el2_lsu_bus_buffer.scala 430:45] wire _T_1994 = _T_1992 & _T_2633; // @[el2_lsu_bus_buffer.scala 430:63] @@ -1115,12 +1055,124 @@ module el2_lsu_bus_buffer( wire _T_1976 = _T_1974 & _T_2618; // @[el2_lsu_bus_buffer.scala 430:63] wire _T_1978 = _T_1976 & _T_4439; // @[el2_lsu_bus_buffer.scala 430:88] wire [3:0] CmdPtr0Dec = {_T_1996,_T_1990,_T_1984,_T_1978}; // @[Cat.scala 29:58] + wire [7:0] _T_2066 = {4'h0,_T_1996,_T_1990,_T_1984,_T_1978}; // @[Cat.scala 29:58] + wire _T_2069 = _T_2066[4] | _T_2066[5]; // @[el2_lsu_bus_buffer.scala 438:42] + wire _T_2071 = _T_2069 | _T_2066[6]; // @[el2_lsu_bus_buffer.scala 438:48] + wire _T_2073 = _T_2071 | _T_2066[7]; // @[el2_lsu_bus_buffer.scala 438:54] + wire _T_2076 = _T_2066[2] | _T_2066[3]; // @[el2_lsu_bus_buffer.scala 438:67] + wire _T_2078 = _T_2076 | _T_2066[6]; // @[el2_lsu_bus_buffer.scala 438:73] + wire _T_2080 = _T_2078 | _T_2066[7]; // @[el2_lsu_bus_buffer.scala 438:79] + wire _T_2083 = _T_2066[1] | _T_2066[3]; // @[el2_lsu_bus_buffer.scala 438:92] + wire _T_2085 = _T_2083 | _T_2066[5]; // @[el2_lsu_bus_buffer.scala 438:98] + wire _T_2087 = _T_2085 | _T_2066[7]; // @[el2_lsu_bus_buffer.scala 438:104] + wire [2:0] _T_2089 = {_T_2073,_T_2080,_T_2087}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2089[1:0]; // @[el2_lsu_bus_buffer.scala 444:11] + wire _T_1036 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 320:114] + wire _T_1037 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 320:114] + wire _T_1038 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 320:114] + wire _T_1039 = CmdPtr0 == 2'h3; // @[el2_lsu_bus_buffer.scala 320:114] + reg buf_nomerge_0; // @[Reg.scala 27:20] + wire _T_1040 = _T_1036 & buf_nomerge_0; // @[Mux.scala 27:72] + reg buf_nomerge_1; // @[Reg.scala 27:20] + wire _T_1041 = _T_1037 & buf_nomerge_1; // @[Mux.scala 27:72] + reg buf_nomerge_2; // @[Reg.scala 27:20] + wire _T_1042 = _T_1038 & buf_nomerge_2; // @[Mux.scala 27:72] + reg buf_nomerge_3; // @[Reg.scala 27:20] + wire _T_1043 = _T_1039 & buf_nomerge_3; // @[Mux.scala 27:72] + wire _T_1044 = _T_1040 | _T_1041; // @[Mux.scala 27:72] + wire _T_1045 = _T_1044 | _T_1042; // @[Mux.scala 27:72] + wire _T_1046 = _T_1045 | _T_1043; // @[Mux.scala 27:72] + wire _T_1048 = ~_T_1046; // @[el2_lsu_bus_buffer.scala 320:31] + wire _T_1049 = _T_1035 & _T_1048; // @[el2_lsu_bus_buffer.scala 320:29] + reg _T_4342; // @[Reg.scala 27:20] + reg _T_4339; // @[Reg.scala 27:20] + reg _T_4336; // @[Reg.scala 27:20] + reg _T_4333; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4342,_T_4339,_T_4336,_T_4333}; // @[Cat.scala 29:58] + wire _T_1058 = _T_1036 & buf_sideeffect[0]; // @[Mux.scala 27:72] + wire _T_1059 = _T_1037 & buf_sideeffect[1]; // @[Mux.scala 27:72] + wire _T_1060 = _T_1038 & buf_sideeffect[2]; // @[Mux.scala 27:72] + wire _T_1061 = _T_1039 & buf_sideeffect[3]; // @[Mux.scala 27:72] + wire _T_1062 = _T_1058 | _T_1059; // @[Mux.scala 27:72] + wire _T_1063 = _T_1062 | _T_1060; // @[Mux.scala 27:72] + wire _T_1064 = _T_1063 | _T_1061; // @[Mux.scala 27:72] + wire _T_1066 = ~_T_1064; // @[el2_lsu_bus_buffer.scala 321:5] + wire _T_1067 = _T_1049 & _T_1066; // @[el2_lsu_bus_buffer.scala 320:140] + wire _T_1078 = _T_850 & _T_844; // @[el2_lsu_bus_buffer.scala 323:58] + wire _T_1080 = _T_1078 & _T_1030; // @[el2_lsu_bus_buffer.scala 323:72] + wire [29:0] _T_1090 = _T_1036 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1091 = _T_1037 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1094 = _T_1090 | _T_1091; // @[Mux.scala 27:72] + wire [29:0] _T_1092 = _T_1038 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1095 = _T_1094 | _T_1092; // @[Mux.scala 27:72] + wire [29:0] _T_1093 = _T_1039 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1096 = _T_1095 | _T_1093; // @[Mux.scala 27:72] + wire _T_1098 = io_lsu_addr_m[31:2] != _T_1096; // @[el2_lsu_bus_buffer.scala 323:123] + wire obuf_force_wr_en = _T_1080 & _T_1098; // @[el2_lsu_bus_buffer.scala 323:101] + wire _T_1068 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 321:119] + wire obuf_wr_wait = _T_1067 & _T_1068; // @[el2_lsu_bus_buffer.scala 321:117] + wire _T_1069 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 322:75] + wire _T_1070 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 322:95] + wire _T_1071 = _T_1069 & _T_1070; // @[el2_lsu_bus_buffer.scala 322:79] + wire [2:0] _T_1073 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 322:121] + wire _T_4489 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 577:63] + wire _T_4493 = _T_4489 | _T_4470; // @[el2_lsu_bus_buffer.scala 577:74] + wire _T_4484 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 577:63] + wire _T_4488 = _T_4484 | _T_4467; // @[el2_lsu_bus_buffer.scala 577:74] + wire [1:0] _T_4494 = _T_4493 + _T_4488; // @[el2_lsu_bus_buffer.scala 577:154] + wire _T_4479 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 577:63] + wire _T_4483 = _T_4479 | _T_4464; // @[el2_lsu_bus_buffer.scala 577:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4483}; // @[el2_lsu_bus_buffer.scala 577:154] + wire [2:0] _T_4495 = _T_4494 + _GEN_366; // @[el2_lsu_bus_buffer.scala 577:154] + wire _T_4474 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 577:63] + wire _T_4478 = _T_4474 | _T_4461; // @[el2_lsu_bus_buffer.scala 577:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4478}; // @[el2_lsu_bus_buffer.scala 577:154] + wire [3:0] buf_numvld_pend_any = _T_4495 + _GEN_367; // @[el2_lsu_bus_buffer.scala 577:154] + wire _T_1100 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 325:53] + wire _T_1101 = ibuf_byp & _T_1100; // @[el2_lsu_bus_buffer.scala 325:31] + wire _T_1102 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 325:64] + wire _T_1103 = _T_1102 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 325:84] + wire ibuf_buf_byp = _T_1101 & _T_1103; // @[el2_lsu_bus_buffer.scala 325:61] + wire _T_1104 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 340:32] + wire _T_4785 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 606:62] + wire _T_4787 = _T_4785 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 606:73] + wire _T_4788 = _T_4787 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 606:93] + wire _T_4789 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 606:62] + wire _T_4791 = _T_4789 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 606:73] + wire _T_4792 = _T_4791 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 606:93] + wire _T_4801 = _T_4788 | _T_4792; // @[el2_lsu_bus_buffer.scala 606:141] + wire _T_4793 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 606:62] + wire _T_4795 = _T_4793 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 606:73] + wire _T_4796 = _T_4795 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 606:93] + wire _T_4802 = _T_4801 | _T_4796; // @[el2_lsu_bus_buffer.scala 606:141] + wire _T_4797 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 606:62] + wire _T_4799 = _T_4797 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 606:73] + wire _T_4800 = _T_4799 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 606:93] + wire bus_sideeffect_pend = _T_4802 | _T_4800; // @[el2_lsu_bus_buffer.scala 606:141] + wire _T_1105 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 340:74] + wire _T_1106 = ~_T_1105; // @[el2_lsu_bus_buffer.scala 340:52] + wire _T_1107 = _T_1104 & _T_1106; // @[el2_lsu_bus_buffer.scala 340:50] + wire [2:0] _T_1112 = _T_1036 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1113 = _T_1037 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1116 = _T_1112 | _T_1113; // @[Mux.scala 27:72] + wire [2:0] _T_1114 = _T_1038 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1117 = _T_1116 | _T_1114; // @[Mux.scala 27:72] + wire [2:0] _T_1115 = _T_1039 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1118 = _T_1117 | _T_1115; // @[Mux.scala 27:72] + wire _T_1120 = _T_1118 == 3'h2; // @[el2_lsu_bus_buffer.scala 341:36] wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 435:31] - wire _T_1121 = _T_2618 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 341:47] + wire _T_1121 = _T_1120 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 341:47] wire [3:0] _T_1124 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] - wire _T_1141 = ~_T_1124[0]; // @[el2_lsu_bus_buffer.scala 342:23] + wire _T_1133 = _T_1036 & _T_1124[0]; // @[Mux.scala 27:72] + wire _T_1134 = _T_1037 & _T_1124[1]; // @[Mux.scala 27:72] + wire _T_1137 = _T_1133 | _T_1134; // @[Mux.scala 27:72] + wire _T_1135 = _T_1038 & _T_1124[2]; // @[Mux.scala 27:72] + wire _T_1138 = _T_1137 | _T_1135; // @[Mux.scala 27:72] + wire _T_1136 = _T_1039 & _T_1124[3]; // @[Mux.scala 27:72] + wire _T_1139 = _T_1138 | _T_1136; // @[Mux.scala 27:72] + wire _T_1141 = ~_T_1139; // @[el2_lsu_bus_buffer.scala 342:23] wire _T_1142 = _T_1121 & _T_1141; // @[el2_lsu_bus_buffer.scala 342:21] - wire _T_1159 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 342:141] + wire _T_1159 = _T_1064 & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 342:141] wire _T_1160 = ~_T_1159; // @[el2_lsu_bus_buffer.scala 342:105] wire _T_1161 = _T_1142 & _T_1160; // @[el2_lsu_bus_buffer.scala 342:103] reg buf_dual_3; // @[Reg.scala 27:20] @@ -1128,13 +1180,34 @@ module el2_lsu_bus_buffer( reg buf_dual_1; // @[Reg.scala 27:20] reg buf_dual_0; // @[Reg.scala 27:20] wire [3:0] _T_1164 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire _T_1173 = _T_1036 & _T_1164[0]; // @[Mux.scala 27:72] + wire _T_1174 = _T_1037 & _T_1164[1]; // @[Mux.scala 27:72] + wire _T_1177 = _T_1173 | _T_1174; // @[Mux.scala 27:72] + wire _T_1175 = _T_1038 & _T_1164[2]; // @[Mux.scala 27:72] + wire _T_1178 = _T_1177 | _T_1175; // @[Mux.scala 27:72] + wire _T_1176 = _T_1039 & _T_1164[3]; // @[Mux.scala 27:72] + wire _T_1179 = _T_1178 | _T_1176; // @[Mux.scala 27:72] reg buf_samedw_3; // @[Reg.scala 27:20] reg buf_samedw_2; // @[Reg.scala 27:20] reg buf_samedw_1; // @[Reg.scala 27:20] reg buf_samedw_0; // @[Reg.scala 27:20] wire [3:0] _T_1183 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] - wire _T_1200 = _T_1164[0] & _T_1183[0]; // @[el2_lsu_bus_buffer.scala 343:77] - wire _T_1217 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 343:150] + wire _T_1192 = _T_1036 & _T_1183[0]; // @[Mux.scala 27:72] + wire _T_1193 = _T_1037 & _T_1183[1]; // @[Mux.scala 27:72] + wire _T_1196 = _T_1192 | _T_1193; // @[Mux.scala 27:72] + wire _T_1194 = _T_1038 & _T_1183[2]; // @[Mux.scala 27:72] + wire _T_1197 = _T_1196 | _T_1194; // @[Mux.scala 27:72] + wire _T_1195 = _T_1039 & _T_1183[3]; // @[Mux.scala 27:72] + wire _T_1198 = _T_1197 | _T_1195; // @[Mux.scala 27:72] + wire _T_1200 = _T_1179 & _T_1198; // @[el2_lsu_bus_buffer.scala 343:77] + wire _T_1209 = _T_1036 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1210 = _T_1037 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1213 = _T_1209 | _T_1210; // @[Mux.scala 27:72] + wire _T_1211 = _T_1038 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1214 = _T_1213 | _T_1211; // @[Mux.scala 27:72] + wire _T_1212 = _T_1039 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1215 = _T_1214 | _T_1212; // @[Mux.scala 27:72] + wire _T_1217 = ~_T_1215; // @[el2_lsu_bus_buffer.scala 343:150] wire _T_1218 = _T_1200 & _T_1217; // @[el2_lsu_bus_buffer.scala 343:148] wire _T_1219 = ~_T_1218; // @[el2_lsu_bus_buffer.scala 343:8] wire [3:0] _T_2032 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 431:62] @@ -1170,17 +1243,24 @@ module el2_lsu_bus_buffer( wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 436:31] wire _T_1220 = _T_1219 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 343:181] wire [3:0] _T_1223 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] - wire _T_1240 = _T_1220 | _T_1223[0]; // @[el2_lsu_bus_buffer.scala 343:197] + wire _T_1232 = _T_1036 & _T_1223[0]; // @[Mux.scala 27:72] + wire _T_1233 = _T_1037 & _T_1223[1]; // @[Mux.scala 27:72] + wire _T_1236 = _T_1232 | _T_1233; // @[Mux.scala 27:72] + wire _T_1234 = _T_1038 & _T_1223[2]; // @[Mux.scala 27:72] + wire _T_1237 = _T_1236 | _T_1234; // @[Mux.scala 27:72] + wire _T_1235 = _T_1039 & _T_1223[3]; // @[Mux.scala 27:72] + wire _T_1238 = _T_1237 | _T_1235; // @[Mux.scala 27:72] + wire _T_1240 = _T_1220 | _T_1238; // @[el2_lsu_bus_buffer.scala 343:197] wire _T_1241 = _T_1240 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 343:269] wire _T_1242 = _T_1161 & _T_1241; // @[el2_lsu_bus_buffer.scala 342:164] wire _T_1243 = _T_1107 | _T_1242; // @[el2_lsu_bus_buffer.scala 340:98] reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 405:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 406:55] - wire _T_4860 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 609:54] - wire _T_4861 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 609:75] - wire _T_4863 = _T_4860 ? _T_4861 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 609:39] - wire bus_cmd_ready = obuf_write ? _T_4863 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 609:23] + wire _T_4860 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 610:54] + wire _T_4861 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 610:75] + wire _T_4863 = _T_4860 ? _T_4861 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 610:39] + wire bus_cmd_ready = obuf_write ? _T_4863 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 610:23] wire _T_1244 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 344:48] wire _T_1245 = bus_cmd_ready | _T_1244; // @[el2_lsu_bus_buffer.scala 344:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1189,64 +1269,78 @@ module el2_lsu_bus_buffer( wire _T_1248 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 344:77] wire _T_1249 = _T_1247 & _T_1248; // @[el2_lsu_bus_buffer.scala 344:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4808 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] - wire _T_4809 = obuf_valid & _T_4808; // @[el2_lsu_bus_buffer.scala 607:38] - wire _T_4811 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 607:126] - wire _T_4812 = obuf_merge & _T_4811; // @[el2_lsu_bus_buffer.scala 607:114] - wire _T_4813 = _T_3574 | _T_4812; // @[el2_lsu_bus_buffer.scala 607:100] - wire _T_4814 = ~_T_4813; // @[el2_lsu_bus_buffer.scala 607:80] - wire _T_4815 = _T_4809 & _T_4814; // @[el2_lsu_bus_buffer.scala 607:78] + wire _T_4808 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 608:56] + wire _T_4809 = obuf_valid & _T_4808; // @[el2_lsu_bus_buffer.scala 608:38] + wire _T_4811 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 608:126] + wire _T_4812 = obuf_merge & _T_4811; // @[el2_lsu_bus_buffer.scala 608:114] + wire _T_4813 = _T_3574 | _T_4812; // @[el2_lsu_bus_buffer.scala 608:100] + wire _T_4814 = ~_T_4813; // @[el2_lsu_bus_buffer.scala 608:80] + wire _T_4815 = _T_4809 & _T_4814; // @[el2_lsu_bus_buffer.scala 608:78] wire _T_4852 = _T_4785 & _T_4815; // @[Mux.scala 27:72] - wire _T_4820 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] - wire _T_4821 = obuf_valid & _T_4820; // @[el2_lsu_bus_buffer.scala 607:38] - wire _T_4823 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 607:126] - wire _T_4824 = obuf_merge & _T_4823; // @[el2_lsu_bus_buffer.scala 607:114] - wire _T_4825 = _T_3767 | _T_4824; // @[el2_lsu_bus_buffer.scala 607:100] - wire _T_4826 = ~_T_4825; // @[el2_lsu_bus_buffer.scala 607:80] - wire _T_4827 = _T_4821 & _T_4826; // @[el2_lsu_bus_buffer.scala 607:78] + wire _T_4820 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 608:56] + wire _T_4821 = obuf_valid & _T_4820; // @[el2_lsu_bus_buffer.scala 608:38] + wire _T_4823 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 608:126] + wire _T_4824 = obuf_merge & _T_4823; // @[el2_lsu_bus_buffer.scala 608:114] + wire _T_4825 = _T_3767 | _T_4824; // @[el2_lsu_bus_buffer.scala 608:100] + wire _T_4826 = ~_T_4825; // @[el2_lsu_bus_buffer.scala 608:80] + wire _T_4827 = _T_4821 & _T_4826; // @[el2_lsu_bus_buffer.scala 608:78] wire _T_4853 = _T_4789 & _T_4827; // @[Mux.scala 27:72] wire _T_4856 = _T_4852 | _T_4853; // @[Mux.scala 27:72] - wire _T_4832 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] - wire _T_4833 = obuf_valid & _T_4832; // @[el2_lsu_bus_buffer.scala 607:38] - wire _T_4835 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 607:126] - wire _T_4836 = obuf_merge & _T_4835; // @[el2_lsu_bus_buffer.scala 607:114] - wire _T_4837 = _T_3960 | _T_4836; // @[el2_lsu_bus_buffer.scala 607:100] - wire _T_4838 = ~_T_4837; // @[el2_lsu_bus_buffer.scala 607:80] - wire _T_4839 = _T_4833 & _T_4838; // @[el2_lsu_bus_buffer.scala 607:78] + wire _T_4832 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 608:56] + wire _T_4833 = obuf_valid & _T_4832; // @[el2_lsu_bus_buffer.scala 608:38] + wire _T_4835 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 608:126] + wire _T_4836 = obuf_merge & _T_4835; // @[el2_lsu_bus_buffer.scala 608:114] + wire _T_4837 = _T_3960 | _T_4836; // @[el2_lsu_bus_buffer.scala 608:100] + wire _T_4838 = ~_T_4837; // @[el2_lsu_bus_buffer.scala 608:80] + wire _T_4839 = _T_4833 & _T_4838; // @[el2_lsu_bus_buffer.scala 608:78] wire _T_4854 = _T_4793 & _T_4839; // @[Mux.scala 27:72] wire _T_4857 = _T_4856 | _T_4854; // @[Mux.scala 27:72] - wire _T_4844 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 607:56] - wire _T_4845 = obuf_valid & _T_4844; // @[el2_lsu_bus_buffer.scala 607:38] - wire _T_4847 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 607:126] - wire _T_4848 = obuf_merge & _T_4847; // @[el2_lsu_bus_buffer.scala 607:114] - wire _T_4849 = _T_4153 | _T_4848; // @[el2_lsu_bus_buffer.scala 607:100] - wire _T_4850 = ~_T_4849; // @[el2_lsu_bus_buffer.scala 607:80] - wire _T_4851 = _T_4845 & _T_4850; // @[el2_lsu_bus_buffer.scala 607:78] + wire _T_4844 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 608:56] + wire _T_4845 = obuf_valid & _T_4844; // @[el2_lsu_bus_buffer.scala 608:38] + wire _T_4847 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 608:126] + wire _T_4848 = obuf_merge & _T_4847; // @[el2_lsu_bus_buffer.scala 608:114] + wire _T_4849 = _T_4153 | _T_4848; // @[el2_lsu_bus_buffer.scala 608:100] + wire _T_4850 = ~_T_4849; // @[el2_lsu_bus_buffer.scala 608:80] + wire _T_4851 = _T_4845 & _T_4850; // @[el2_lsu_bus_buffer.scala 608:78] wire _T_4855 = _T_4797 & _T_4851; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4857 | _T_4855; // @[Mux.scala 27:72] wire _T_1252 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 344:118] wire _T_1253 = _T_1249 & _T_1252; // @[el2_lsu_bus_buffer.scala 344:116] wire obuf_wr_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 344:142] wire _T_1255 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 346:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 610:39] - wire _T_4867 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 612:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 611:39] - wire _T_4868 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 612:70] - wire _T_4869 = _T_4867 & _T_4868; // @[el2_lsu_bus_buffer.scala 612:52] - wire _T_4870 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 612:111] - wire bus_cmd_sent = _T_4869 | _T_4870; // @[el2_lsu_bus_buffer.scala 612:89] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 611:39] + wire _T_4867 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 613:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 612:39] + wire _T_4868 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 613:70] + wire _T_4869 = _T_4867 & _T_4868; // @[el2_lsu_bus_buffer.scala 613:52] + wire _T_4870 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 613:111] + wire bus_cmd_sent = _T_4869 | _T_4870; // @[el2_lsu_bus_buffer.scala 613:89] wire _T_1256 = bus_cmd_sent | _T_1255; // @[el2_lsu_bus_buffer.scala 346:33] wire _T_1257 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 346:65] wire _T_1258 = _T_1256 & _T_1257; // @[el2_lsu_bus_buffer.scala 346:63] wire _T_1259 = _T_1258 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 346:77] wire obuf_rst = _T_1259 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 346:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 347:26] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 349:25] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : _T_1215; // @[el2_lsu_bus_buffer.scala 347:26] + wire [31:0] _T_1296 = _T_1036 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1297 = _T_1037 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1298 = _T_1038 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1299 = _T_1039 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1300 = _T_1296 | _T_1297; // @[Mux.scala 27:72] + wire [31:0] _T_1301 = _T_1300 | _T_1298; // @[Mux.scala 27:72] + wire [31:0] _T_1302 = _T_1301 | _T_1299; // @[Mux.scala 27:72] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1302; // @[el2_lsu_bus_buffer.scala 349:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] + wire [1:0] _T_1309 = _T_1036 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] + wire [1:0] _T_1310 = _T_1037 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_2; // @[Reg.scala 27:20] + wire [1:0] _T_1311 = _T_1038 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_3; // @[Reg.scala 27:20] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 352:23] + wire [1:0] _T_1312 = _T_1039 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1313 = _T_1309 | _T_1310; // @[Mux.scala 27:72] + wire [1:0] _T_1314 = _T_1313 | _T_1311; // @[Mux.scala 27:72] + wire [1:0] _T_1315 = _T_1314 | _T_1312; // @[Mux.scala 27:72] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1315; // @[el2_lsu_bus_buffer.scala 352:23] wire _T_1317 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 361:39] wire _T_1318 = ~_T_1317; // @[el2_lsu_bus_buffer.scala 361:26] wire _T_1324 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 365:72] @@ -1272,7 +1366,7 @@ module el2_lsu_bus_buffer( wire _T_1362 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 380:20] wire _T_1363 = obuf_valid & _T_1362; // @[el2_lsu_bus_buffer.scala 380:18] reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 407:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 613:37] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 614:37] reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 408:55] wire _T_1364 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:90] wire _T_1365 = bus_rsp_read & _T_1364; // @[el2_lsu_bus_buffer.scala 380:70] @@ -1292,31 +1386,72 @@ module el2_lsu_bus_buffer( wire [7:0] _T_1371 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1372 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] wire [7:0] _T_1373 = io_lsu_addr_r[2] ? _T_1371 : _T_1372; // @[el2_lsu_bus_buffer.scala 381:46] - wire [7:0] _T_1400 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1413 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1414 = buf_addr_0[2] ? _T_1400 : _T_1413; // @[el2_lsu_bus_buffer.scala 382:8] + wire [3:0] _T_1392 = _T_1036 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1393 = _T_1037 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1394 = _T_1038 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1395 = _T_1039 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1396 = _T_1392 | _T_1393; // @[Mux.scala 27:72] + wire [3:0] _T_1397 = _T_1396 | _T_1394; // @[Mux.scala 27:72] + wire [3:0] _T_1398 = _T_1397 | _T_1395; // @[Mux.scala 27:72] + wire [7:0] _T_1400 = {_T_1398,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1413 = {4'h0,_T_1398}; // @[Cat.scala 29:58] + wire [7:0] _T_1414 = _T_1302[2] ? _T_1400 : _T_1413; // @[el2_lsu_bus_buffer.scala 382:8] wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1373 : _T_1414; // @[el2_lsu_bus_buffer.scala 381:28] wire [7:0] _T_1416 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1417 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] wire [7:0] _T_1418 = io_end_addr_r[2] ? _T_1416 : _T_1417; // @[el2_lsu_bus_buffer.scala 383:46] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1418 : _T_1414; // @[el2_lsu_bus_buffer.scala 383:28] + wire [7:0] _T_1445 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1458 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] + wire [7:0] _T_1459 = buf_addr_0[2] ? _T_1445 : _T_1458; // @[el2_lsu_bus_buffer.scala 384:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1418 : _T_1459; // @[el2_lsu_bus_buffer.scala 383:28] wire [63:0] _T_1461 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1462 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] wire [63:0] _T_1463 = io_lsu_addr_r[2] ? _T_1461 : _T_1462; // @[el2_lsu_bus_buffer.scala 386:44] - wire [63:0] _T_1490 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1503 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1504 = buf_addr_0[2] ? _T_1490 : _T_1503; // @[el2_lsu_bus_buffer.scala 387:8] + wire [31:0] _T_1482 = _T_1036 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1483 = _T_1037 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1484 = _T_1038 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1485 = _T_1039 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1486 = _T_1482 | _T_1483; // @[Mux.scala 27:72] + wire [31:0] _T_1487 = _T_1486 | _T_1484; // @[Mux.scala 27:72] + wire [31:0] _T_1488 = _T_1487 | _T_1485; // @[Mux.scala 27:72] + wire [63:0] _T_1490 = {_T_1488,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1503 = {32'h0,_T_1488}; // @[Cat.scala 29:58] + wire [63:0] _T_1504 = _T_1302[2] ? _T_1490 : _T_1503; // @[el2_lsu_bus_buffer.scala 387:8] wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1463 : _T_1504; // @[el2_lsu_bus_buffer.scala 386:26] wire [63:0] _T_1506 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1507 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] wire [63:0] _T_1508 = io_lsu_addr_r[2] ? _T_1506 : _T_1507; // @[el2_lsu_bus_buffer.scala 388:44] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1508 : _T_1504; // @[el2_lsu_bus_buffer.scala 388:26] + wire [63:0] _T_1535 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1548 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] + wire [63:0] _T_1549 = buf_addr_0[2] ? _T_1535 : _T_1548; // @[el2_lsu_bus_buffer.scala 389:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1508 : _T_1549; // @[el2_lsu_bus_buffer.scala 388:26] + wire _T_1634 = CmdPtr0 != 2'h0; // @[el2_lsu_bus_buffer.scala 395:30] + wire _T_1635 = _T_1634 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 395:43] + wire _T_1636 = _T_1635 & found_cmdptr1; // @[el2_lsu_bus_buffer.scala 395:59] + wire _T_1650 = _T_1636 & _T_1120; // @[el2_lsu_bus_buffer.scala 395:75] + wire _T_1664 = _T_1650 & _T_2618; // @[el2_lsu_bus_buffer.scala 395:118] + wire _T_1685 = _T_1664 & _T_1141; // @[el2_lsu_bus_buffer.scala 395:161] + wire _T_1703 = _T_1685 & _T_1066; // @[el2_lsu_bus_buffer.scala 396:83] + wire _T_1805 = _T_1217 & _T_1179; // @[el2_lsu_bus_buffer.scala 399:36] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] reg buf_dualhi_0; // @[Reg.scala 27:20] + wire [3:0] _T_1808 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] + wire _T_1817 = _T_1036 & _T_1808[0]; // @[Mux.scala 27:72] + wire _T_1818 = _T_1037 & _T_1808[1]; // @[Mux.scala 27:72] + wire _T_1821 = _T_1817 | _T_1818; // @[Mux.scala 27:72] + wire _T_1819 = _T_1038 & _T_1808[2]; // @[Mux.scala 27:72] + wire _T_1822 = _T_1821 | _T_1819; // @[Mux.scala 27:72] + wire _T_1820 = _T_1039 & _T_1808[3]; // @[Mux.scala 27:72] + wire _T_1823 = _T_1822 | _T_1820; // @[Mux.scala 27:72] + wire _T_1825 = ~_T_1823; // @[el2_lsu_bus_buffer.scala 399:107] + wire _T_1826 = _T_1805 & _T_1825; // @[el2_lsu_bus_buffer.scala 399:105] + wire _T_1846 = _T_1826 & _T_1198; // @[el2_lsu_bus_buffer.scala 399:177] + wire _T_1848 = _T_1703 & _T_1846; // @[el2_lsu_bus_buffer.scala 396:120] wire _T_1849 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 400:19] - wire obuf_merge_en = _T_1849 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 400:35] + wire _T_1850 = _T_1849 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 400:35] + wire obuf_merge_en = _T_1848 | _T_1850; // @[el2_lsu_bus_buffer.scala 399:251] wire _T_1552 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 390:80] wire _T_1553 = obuf_byteen0_in[0] | _T_1552; // @[el2_lsu_bus_buffer.scala 390:63] wire _T_1556 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 390:80] @@ -1427,42 +1562,42 @@ module el2_lsu_bus_buffer( wire [1:0] _T_1970 = _T_1969 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] wire [1:0] _T_1971 = _T_1955 ? 2'h2 : _T_1970; // @[Mux.scala 98:16] wire [1:0] _T_1972 = _T_1941 ? 2'h1 : _T_1971; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 553:63] - wire _T_2758 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] - wire _T_2759 = buf_rspageQ_0[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2755 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] - wire _T_2756 = buf_rspageQ_0[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2752 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] - wire _T_2753 = buf_rspageQ_0[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2749 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] - wire _T_2750 = buf_rspageQ_0[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 466:89] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 554:63] + wire _T_2758 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 467:104] + wire _T_2759 = buf_rspageQ_0[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2755 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 467:104] + wire _T_2756 = buf_rspageQ_0[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2752 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 467:104] + wire _T_2753 = buf_rspageQ_0[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2749 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 467:104] + wire _T_2750 = buf_rspageQ_0[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 467:89] wire [3:0] buf_rsp_pickage_0 = {_T_2759,_T_2756,_T_2753,_T_2750}; // @[Cat.scala 29:58] wire _T_2045 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 434:65] wire _T_2046 = ~_T_2045; // @[el2_lsu_bus_buffer.scala 434:44] wire _T_2048 = _T_2046 & _T_2749; // @[el2_lsu_bus_buffer.scala 434:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 553:63] - wire _T_2774 = buf_rspageQ_1[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2771 = buf_rspageQ_1[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2768 = buf_rspageQ_1[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2765 = buf_rspageQ_1[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 466:89] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 554:63] + wire _T_2774 = buf_rspageQ_1[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2771 = buf_rspageQ_1[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2768 = buf_rspageQ_1[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2765 = buf_rspageQ_1[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 467:89] wire [3:0] buf_rsp_pickage_1 = {_T_2774,_T_2771,_T_2768,_T_2765}; // @[Cat.scala 29:58] wire _T_2049 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 434:65] wire _T_2050 = ~_T_2049; // @[el2_lsu_bus_buffer.scala 434:44] wire _T_2052 = _T_2050 & _T_2752; // @[el2_lsu_bus_buffer.scala 434:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 553:63] - wire _T_2789 = buf_rspageQ_2[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2786 = buf_rspageQ_2[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2783 = buf_rspageQ_2[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2780 = buf_rspageQ_2[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 466:89] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 554:63] + wire _T_2789 = buf_rspageQ_2[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2786 = buf_rspageQ_2[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2783 = buf_rspageQ_2[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2780 = buf_rspageQ_2[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 467:89] wire [3:0] buf_rsp_pickage_2 = {_T_2789,_T_2786,_T_2783,_T_2780}; // @[Cat.scala 29:58] wire _T_2053 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 434:65] wire _T_2054 = ~_T_2053; // @[el2_lsu_bus_buffer.scala 434:44] wire _T_2056 = _T_2054 & _T_2755; // @[el2_lsu_bus_buffer.scala 434:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 553:63] - wire _T_2804 = buf_rspageQ_3[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2801 = buf_rspageQ_3[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2798 = buf_rspageQ_3[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 466:89] - wire _T_2795 = buf_rspageQ_3[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 466:89] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 554:63] + wire _T_2804 = buf_rspageQ_3[3] & _T_2758; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2801 = buf_rspageQ_3[2] & _T_2755; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2798 = buf_rspageQ_3[1] & _T_2752; // @[el2_lsu_bus_buffer.scala 467:89] + wire _T_2795 = buf_rspageQ_3[0] & _T_2749; // @[el2_lsu_bus_buffer.scala 467:89] wire [3:0] buf_rsp_pickage_3 = {_T_2804,_T_2801,_T_2798,_T_2795}; // @[Cat.scala 29:58] wire _T_2057 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 434:65] wire _T_2058 = ~_T_2057; // @[el2_lsu_bus_buffer.scala 434:44] @@ -1478,76 +1613,77 @@ module el2_lsu_bus_buffer( wire _T_2135 = _T_2133 | _T_2116[5]; // @[el2_lsu_bus_buffer.scala 438:98] wire _T_2137 = _T_2135 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:104] wire [2:0] _T_2139 = {_T_2123,_T_2130,_T_2137}; // @[Cat.scala 29:58] - wire _T_3544 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 496:77] - wire _T_3545 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 496:97] - wire _T_3546 = _T_3544 & _T_3545; // @[el2_lsu_bus_buffer.scala 496:95] - wire _T_3547 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] - wire _T_3548 = _T_3546 & _T_3547; // @[el2_lsu_bus_buffer.scala 496:112] - wire _T_3549 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 496:144] - wire _T_3550 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] - wire _T_3551 = _T_3549 & _T_3550; // @[el2_lsu_bus_buffer.scala 496:161] - wire _T_3552 = _T_3548 | _T_3551; // @[el2_lsu_bus_buffer.scala 496:132] - wire _T_3553 = _T_845 & _T_3552; // @[el2_lsu_bus_buffer.scala 496:63] - wire _T_3554 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] - wire _T_3555 = ibuf_drain_vld & _T_3554; // @[el2_lsu_bus_buffer.scala 496:201] - wire _T_3556 = _T_3553 | _T_3555; // @[el2_lsu_bus_buffer.scala 496:183] - wire _T_3566 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 503:46] + wire _T_3544 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 497:77] + wire _T_3545 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 497:97] + wire _T_3546 = _T_3544 & _T_3545; // @[el2_lsu_bus_buffer.scala 497:95] + wire _T_3547 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 497:117] + wire _T_3548 = _T_3546 & _T_3547; // @[el2_lsu_bus_buffer.scala 497:112] + wire _T_3549 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 497:144] + wire _T_3550 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 497:166] + wire _T_3551 = _T_3549 & _T_3550; // @[el2_lsu_bus_buffer.scala 497:161] + wire _T_3552 = _T_3548 | _T_3551; // @[el2_lsu_bus_buffer.scala 497:132] + wire _T_3553 = _T_845 & _T_3552; // @[el2_lsu_bus_buffer.scala 497:63] + wire _T_3554 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 497:206] + wire _T_3555 = ibuf_drain_vld & _T_3554; // @[el2_lsu_bus_buffer.scala 497:201] + wire _T_3556 = _T_3553 | _T_3555; // @[el2_lsu_bus_buffer.scala 497:183] + wire _T_3566 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 504:46] wire _T_3601 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 614:38] - wire _T_3646 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 521:73] - wire _T_3647 = bus_rsp_write & _T_3646; // @[el2_lsu_bus_buffer.scala 521:52] - wire _T_3648 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 522:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 615:38] + wire _T_3646 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 522:73] + wire _T_3647 = bus_rsp_write & _T_3646; // @[el2_lsu_bus_buffer.scala 522:52] + wire _T_3648 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 523:46] reg _T_4319; // @[Reg.scala 27:20] reg _T_4317; // @[Reg.scala 27:20] reg _T_4315; // @[Reg.scala 27:20] reg _T_4313; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4319,_T_4317,_T_4315,_T_4313}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_3650 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_3651 = buf_ldfwd[0] & _T_3650; // @[el2_lsu_bus_buffer.scala 523:27] - wire _T_3652 = _T_3648 | _T_3651; // @[el2_lsu_bus_buffer.scala 522:77] - wire _T_3653 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 524:26] - wire _T_3656 = _T_3653 & _T_1217; // @[el2_lsu_bus_buffer.scala 524:42] - wire _T_3657 = _T_3656 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 524:58] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_3650 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_3651 = buf_ldfwd[0] & _T_3650; // @[el2_lsu_bus_buffer.scala 524:27] + wire _T_3652 = _T_3648 | _T_3651; // @[el2_lsu_bus_buffer.scala 523:77] + wire _T_3653 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 525:26] + wire _T_3655 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 525:44] + wire _T_3656 = _T_3653 & _T_3655; // @[el2_lsu_bus_buffer.scala 525:42] + wire _T_3657 = _T_3656 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 525:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_3658 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_3659 = _T_3657 & _T_3658; // @[el2_lsu_bus_buffer.scala 524:74] - wire _T_3660 = _T_3652 | _T_3659; // @[el2_lsu_bus_buffer.scala 523:71] - wire _T_3661 = bus_rsp_read & _T_3660; // @[el2_lsu_bus_buffer.scala 522:25] - wire _T_3662 = _T_3647 | _T_3661; // @[el2_lsu_bus_buffer.scala 521:105] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 525:94] + wire _T_3658 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 525:94] + wire _T_3659 = _T_3657 & _T_3658; // @[el2_lsu_bus_buffer.scala 525:74] + wire _T_3660 = _T_3652 | _T_3659; // @[el2_lsu_bus_buffer.scala 524:71] + wire _T_3661 = bus_rsp_read & _T_3660; // @[el2_lsu_bus_buffer.scala 523:25] + wire _T_3662 = _T_3647 | _T_3661; // @[el2_lsu_bus_buffer.scala 522:105] wire _GEN_42 = _T_3601 & _T_3662; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3567 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3563 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3540 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3688 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3698 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 536:21] + wire [3:0] _T_3698 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 537:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 536:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 536:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 536:58] - wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_3700 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_3701 = _T_3698[0] & _T_3700; // @[el2_lsu_bus_buffer.scala 536:38] - wire _T_3702 = _T_3658 | _T_3701; // @[el2_lsu_bus_buffer.scala 535:95] - wire _T_3703 = bus_rsp_read & _T_3702; // @[el2_lsu_bus_buffer.scala 535:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 537:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 537:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 537:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 537:58] + wire _T_3700 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 537:58] + wire _T_3701 = _T_3698[0] & _T_3700; // @[el2_lsu_bus_buffer.scala 537:38] + wire _T_3702 = _T_3658 | _T_3701; // @[el2_lsu_bus_buffer.scala 536:95] + wire _T_3703 = bus_rsp_read & _T_3702; // @[el2_lsu_bus_buffer.scala 536:45] wire _GEN_36 = _T_3688 & _T_3703; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3601 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3567 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3563 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3540 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3580 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] - wire _T_3581 = _T_3580 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] + wire _T_3580 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 510:49] + wire _T_3581 = _T_3580 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 510:70] wire _T_3706 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2139[1:0]; // @[el2_lsu_bus_buffer.scala 446:10] - wire _T_3709 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 541:37] - wire _T_3710 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] - wire _T_3711 = buf_dual_0 & _T_3710; // @[el2_lsu_bus_buffer.scala 541:80] - wire _T_3712 = _T_3709 | _T_3711; // @[el2_lsu_bus_buffer.scala 541:65] - wire _T_3713 = _T_3712 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] + wire [1:0] RspPtr = _T_2139[1:0]; // @[el2_lsu_bus_buffer.scala 447:10] + wire _T_3709 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 542:37] + wire _T_3710 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 542:98] + wire _T_3711 = buf_dual_0 & _T_3710; // @[el2_lsu_bus_buffer.scala 542:80] + wire _T_3712 = _T_3709 | _T_3711; // @[el2_lsu_bus_buffer.scala 542:65] + wire _T_3713 = _T_3712 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 542:112] wire _T_3714 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3706 ? _T_3713 : _T_3714; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3688 ? _T_3581 : _GEN_31; // @[Conditional.scala 39:67] @@ -1555,93 +1691,93 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3567 ? _T_3581 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3563 ? _T_3566 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3540 ? _T_3556 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2141 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 458:94] - wire _T_2147 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 460:23] - wire _T_2149 = _T_2147 & _T_3544; // @[el2_lsu_bus_buffer.scala 460:41] - wire _T_2151 = _T_2149 & _T_1869; // @[el2_lsu_bus_buffer.scala 460:71] - wire _T_2153 = _T_2151 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2154 = _T_4478 | _T_2153; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2155 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 461:17] - wire _T_2156 = _T_2155 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:35] - wire _T_2158 = _T_2156 & _T_1872; // @[el2_lsu_bus_buffer.scala 461:52] - wire _T_2160 = _T_2158 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2161 = _T_2154 | _T_2160; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2162 = _T_2141 & _T_2161; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2164 = _T_2162 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2178 = _T_2151 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2179 = _T_4483 | _T_2178; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2185 = _T_2158 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2186 = _T_2179 | _T_2185; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2187 = _T_2141 & _T_2186; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2189 = _T_2187 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2203 = _T_2151 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2204 = _T_4488 | _T_2203; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2210 = _T_2158 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2211 = _T_2204 | _T_2210; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2212 = _T_2141 & _T_2211; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2214 = _T_2212 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2228 = _T_2151 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2229 = _T_4493 | _T_2228; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2235 = _T_2158 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2236 = _T_2229 | _T_2235; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2237 = _T_2141 & _T_2236; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2239 = _T_2237 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2141 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 459:94] + wire _T_2147 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 461:23] + wire _T_2149 = _T_2147 & _T_3544; // @[el2_lsu_bus_buffer.scala 461:41] + wire _T_2151 = _T_2149 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:71] + wire _T_2153 = _T_2151 & _T_1867; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2154 = _T_4478 | _T_2153; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2155 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 462:17] + wire _T_2156 = _T_2155 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 462:35] + wire _T_2158 = _T_2156 & _T_1872; // @[el2_lsu_bus_buffer.scala 462:52] + wire _T_2160 = _T_2158 & _T_1869; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2161 = _T_2154 | _T_2160; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2162 = _T_2141 & _T_2161; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2164 = _T_2162 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2178 = _T_2151 & _T_1878; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2179 = _T_4483 | _T_2178; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2185 = _T_2158 & _T_1880; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2186 = _T_2179 | _T_2185; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2187 = _T_2141 & _T_2186; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2189 = _T_2187 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2203 = _T_2151 & _T_1889; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2204 = _T_4488 | _T_2203; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2210 = _T_2158 & _T_1891; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2211 = _T_2204 | _T_2210; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2212 = _T_2141 & _T_2211; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2214 = _T_2212 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2228 = _T_2151 & _T_1900; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2229 = _T_4493 | _T_2228; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2235 = _T_2158 & _T_1902; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2236 = _T_2229 | _T_2235; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2237 = _T_2141 & _T_2236; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2239 = _T_2237 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 462:97] wire [2:0] _T_2241 = {_T_2239,_T_2214,_T_2189}; // @[Cat.scala 29:58] - wire _T_3740 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] - wire _T_3741 = _T_3546 & _T_3740; // @[el2_lsu_bus_buffer.scala 496:112] - wire _T_3743 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] - wire _T_3744 = _T_3549 & _T_3743; // @[el2_lsu_bus_buffer.scala 496:161] - wire _T_3745 = _T_3741 | _T_3744; // @[el2_lsu_bus_buffer.scala 496:132] - wire _T_3746 = _T_845 & _T_3745; // @[el2_lsu_bus_buffer.scala 496:63] - wire _T_3747 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] - wire _T_3748 = ibuf_drain_vld & _T_3747; // @[el2_lsu_bus_buffer.scala 496:201] - wire _T_3749 = _T_3746 | _T_3748; // @[el2_lsu_bus_buffer.scala 496:183] + wire _T_3740 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 497:117] + wire _T_3741 = _T_3546 & _T_3740; // @[el2_lsu_bus_buffer.scala 497:112] + wire _T_3743 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 497:166] + wire _T_3744 = _T_3549 & _T_3743; // @[el2_lsu_bus_buffer.scala 497:161] + wire _T_3745 = _T_3741 | _T_3744; // @[el2_lsu_bus_buffer.scala 497:132] + wire _T_3746 = _T_845 & _T_3745; // @[el2_lsu_bus_buffer.scala 497:63] + wire _T_3747 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 497:206] + wire _T_3748 = ibuf_drain_vld & _T_3747; // @[el2_lsu_bus_buffer.scala 497:201] + wire _T_3749 = _T_3746 | _T_3748; // @[el2_lsu_bus_buffer.scala 497:183] wire _T_3794 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3839 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 521:73] - wire _T_3840 = bus_rsp_write & _T_3839; // @[el2_lsu_bus_buffer.scala 521:52] - wire _T_3841 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 522:46] - wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_3843 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_3844 = buf_ldfwd[1] & _T_3843; // @[el2_lsu_bus_buffer.scala 523:27] - wire _T_3845 = _T_3841 | _T_3844; // @[el2_lsu_bus_buffer.scala 522:77] - wire _T_3846 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 524:26] - wire _T_3848 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 524:44] - wire _T_3849 = _T_3846 & _T_3848; // @[el2_lsu_bus_buffer.scala 524:42] - wire _T_3850 = _T_3849 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 524:58] + wire _T_3839 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 522:73] + wire _T_3840 = bus_rsp_write & _T_3839; // @[el2_lsu_bus_buffer.scala 522:52] + wire _T_3841 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 523:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_3843 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_3844 = buf_ldfwd[1] & _T_3843; // @[el2_lsu_bus_buffer.scala 524:27] + wire _T_3845 = _T_3841 | _T_3844; // @[el2_lsu_bus_buffer.scala 523:77] + wire _T_3846 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 525:26] + wire _T_3848 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 525:44] + wire _T_3849 = _T_3846 & _T_3848; // @[el2_lsu_bus_buffer.scala 525:42] + wire _T_3850 = _T_3849 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 525:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_3851 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_3852 = _T_3850 & _T_3851; // @[el2_lsu_bus_buffer.scala 524:74] - wire _T_3853 = _T_3845 | _T_3852; // @[el2_lsu_bus_buffer.scala 523:71] - wire _T_3854 = bus_rsp_read & _T_3853; // @[el2_lsu_bus_buffer.scala 522:25] - wire _T_3855 = _T_3840 | _T_3854; // @[el2_lsu_bus_buffer.scala 521:105] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 525:94] + wire _T_3851 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 525:94] + wire _T_3852 = _T_3850 & _T_3851; // @[el2_lsu_bus_buffer.scala 525:74] + wire _T_3853 = _T_3845 | _T_3852; // @[el2_lsu_bus_buffer.scala 524:71] + wire _T_3854 = bus_rsp_read & _T_3853; // @[el2_lsu_bus_buffer.scala 523:25] + wire _T_3855 = _T_3840 | _T_3854; // @[el2_lsu_bus_buffer.scala 522:105] wire _GEN_118 = _T_3794 & _T_3855; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3760 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3756 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3733 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3881 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3891 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 536:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 536:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 536:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 536:58] - wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_3893 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_3894 = _T_3891[0] & _T_3893; // @[el2_lsu_bus_buffer.scala 536:38] - wire _T_3895 = _T_3851 | _T_3894; // @[el2_lsu_bus_buffer.scala 535:95] - wire _T_3896 = bus_rsp_read & _T_3895; // @[el2_lsu_bus_buffer.scala 535:45] + wire [3:0] _T_3891 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 537:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 537:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 537:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 537:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 537:58] + wire _T_3893 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 537:58] + wire _T_3894 = _T_3891[0] & _T_3893; // @[el2_lsu_bus_buffer.scala 537:38] + wire _T_3895 = _T_3851 | _T_3894; // @[el2_lsu_bus_buffer.scala 536:95] + wire _T_3896 = bus_rsp_read & _T_3895; // @[el2_lsu_bus_buffer.scala 536:45] wire _GEN_112 = _T_3881 & _T_3896; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3794 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3760 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3756 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3733 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3773 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] - wire _T_3774 = _T_3773 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] + wire _T_3773 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 510:49] + wire _T_3774 = _T_3773 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 510:70] wire _T_3899 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3902 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 541:37] - wire _T_3903 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] - wire _T_3904 = buf_dual_1 & _T_3903; // @[el2_lsu_bus_buffer.scala 541:80] - wire _T_3905 = _T_3902 | _T_3904; // @[el2_lsu_bus_buffer.scala 541:65] - wire _T_3906 = _T_3905 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] + wire _T_3902 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 542:37] + wire _T_3903 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 542:98] + wire _T_3904 = buf_dual_1 & _T_3903; // @[el2_lsu_bus_buffer.scala 542:80] + wire _T_3905 = _T_3902 | _T_3904; // @[el2_lsu_bus_buffer.scala 542:65] + wire _T_3906 = _T_3905 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 542:112] wire _T_3907 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3899 ? _T_3906 : _T_3907; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3881 ? _T_3774 : _GEN_107; // @[Conditional.scala 39:67] @@ -1649,89 +1785,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3760 ? _T_3774 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3756 ? _T_3566 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3733 ? _T_3749 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2243 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 458:94] - wire _T_2253 = _T_2149 & _T_1880; // @[el2_lsu_bus_buffer.scala 460:71] - wire _T_2255 = _T_2253 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2256 = _T_4478 | _T_2255; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2260 = _T_2156 & _T_1883; // @[el2_lsu_bus_buffer.scala 461:52] - wire _T_2262 = _T_2260 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2263 = _T_2256 | _T_2262; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2264 = _T_2243 & _T_2263; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2266 = _T_2264 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2280 = _T_2253 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2281 = _T_4483 | _T_2280; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2287 = _T_2260 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2288 = _T_2281 | _T_2287; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2289 = _T_2243 & _T_2288; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2291 = _T_2289 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2305 = _T_2253 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2306 = _T_4488 | _T_2305; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2312 = _T_2260 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2313 = _T_2306 | _T_2312; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2314 = _T_2243 & _T_2313; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2316 = _T_2314 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2330 = _T_2253 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2331 = _T_4493 | _T_2330; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2337 = _T_2260 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2338 = _T_2331 | _T_2337; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2339 = _T_2243 & _T_2338; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2341 = _T_2339 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2243 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 459:94] + wire _T_2253 = _T_2149 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:71] + wire _T_2255 = _T_2253 & _T_1867; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2256 = _T_4478 | _T_2255; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2260 = _T_2156 & _T_1883; // @[el2_lsu_bus_buffer.scala 462:52] + wire _T_2262 = _T_2260 & _T_1869; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2263 = _T_2256 | _T_2262; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2264 = _T_2243 & _T_2263; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2266 = _T_2264 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2280 = _T_2253 & _T_1878; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2281 = _T_4483 | _T_2280; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2287 = _T_2260 & _T_1880; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2288 = _T_2281 | _T_2287; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2289 = _T_2243 & _T_2288; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2291 = _T_2289 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2305 = _T_2253 & _T_1889; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2306 = _T_4488 | _T_2305; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2312 = _T_2260 & _T_1891; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2313 = _T_2306 | _T_2312; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2314 = _T_2243 & _T_2313; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2316 = _T_2314 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2330 = _T_2253 & _T_1900; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2331 = _T_4493 | _T_2330; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2337 = _T_2260 & _T_1902; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2338 = _T_2331 | _T_2337; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2339 = _T_2243 & _T_2338; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2341 = _T_2339 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 462:97] wire [2:0] _T_2343 = {_T_2341,_T_2316,_T_2291}; // @[Cat.scala 29:58] - wire _T_3933 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] - wire _T_3934 = _T_3546 & _T_3933; // @[el2_lsu_bus_buffer.scala 496:112] - wire _T_3936 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] - wire _T_3937 = _T_3549 & _T_3936; // @[el2_lsu_bus_buffer.scala 496:161] - wire _T_3938 = _T_3934 | _T_3937; // @[el2_lsu_bus_buffer.scala 496:132] - wire _T_3939 = _T_845 & _T_3938; // @[el2_lsu_bus_buffer.scala 496:63] - wire _T_3940 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] - wire _T_3941 = ibuf_drain_vld & _T_3940; // @[el2_lsu_bus_buffer.scala 496:201] - wire _T_3942 = _T_3939 | _T_3941; // @[el2_lsu_bus_buffer.scala 496:183] + wire _T_3933 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 497:117] + wire _T_3934 = _T_3546 & _T_3933; // @[el2_lsu_bus_buffer.scala 497:112] + wire _T_3936 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 497:166] + wire _T_3937 = _T_3549 & _T_3936; // @[el2_lsu_bus_buffer.scala 497:161] + wire _T_3938 = _T_3934 | _T_3937; // @[el2_lsu_bus_buffer.scala 497:132] + wire _T_3939 = _T_845 & _T_3938; // @[el2_lsu_bus_buffer.scala 497:63] + wire _T_3940 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 497:206] + wire _T_3941 = ibuf_drain_vld & _T_3940; // @[el2_lsu_bus_buffer.scala 497:201] + wire _T_3942 = _T_3939 | _T_3941; // @[el2_lsu_bus_buffer.scala 497:183] wire _T_3987 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4032 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 521:73] - wire _T_4033 = bus_rsp_write & _T_4032; // @[el2_lsu_bus_buffer.scala 521:52] - wire _T_4034 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 522:46] - wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_4036 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_4037 = buf_ldfwd[2] & _T_4036; // @[el2_lsu_bus_buffer.scala 523:27] - wire _T_4038 = _T_4034 | _T_4037; // @[el2_lsu_bus_buffer.scala 522:77] - wire _T_4039 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 524:26] - wire _T_4041 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 524:44] - wire _T_4042 = _T_4039 & _T_4041; // @[el2_lsu_bus_buffer.scala 524:42] - wire _T_4043 = _T_4042 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 524:58] + wire _T_4032 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 522:73] + wire _T_4033 = bus_rsp_write & _T_4032; // @[el2_lsu_bus_buffer.scala 522:52] + wire _T_4034 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 523:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_4036 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_4037 = buf_ldfwd[2] & _T_4036; // @[el2_lsu_bus_buffer.scala 524:27] + wire _T_4038 = _T_4034 | _T_4037; // @[el2_lsu_bus_buffer.scala 523:77] + wire _T_4039 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 525:26] + wire _T_4041 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 525:44] + wire _T_4042 = _T_4039 & _T_4041; // @[el2_lsu_bus_buffer.scala 525:42] + wire _T_4043 = _T_4042 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 525:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_4044 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_4045 = _T_4043 & _T_4044; // @[el2_lsu_bus_buffer.scala 524:74] - wire _T_4046 = _T_4038 | _T_4045; // @[el2_lsu_bus_buffer.scala 523:71] - wire _T_4047 = bus_rsp_read & _T_4046; // @[el2_lsu_bus_buffer.scala 522:25] - wire _T_4048 = _T_4033 | _T_4047; // @[el2_lsu_bus_buffer.scala 521:105] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 525:94] + wire _T_4044 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 525:94] + wire _T_4045 = _T_4043 & _T_4044; // @[el2_lsu_bus_buffer.scala 525:74] + wire _T_4046 = _T_4038 | _T_4045; // @[el2_lsu_bus_buffer.scala 524:71] + wire _T_4047 = bus_rsp_read & _T_4046; // @[el2_lsu_bus_buffer.scala 523:25] + wire _T_4048 = _T_4033 | _T_4047; // @[el2_lsu_bus_buffer.scala 522:105] wire _GEN_194 = _T_3987 & _T_4048; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3953 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3949 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3926 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_4074 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4084 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 536:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 536:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 536:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 536:58] - wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_4086 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_4087 = _T_4084[0] & _T_4086; // @[el2_lsu_bus_buffer.scala 536:38] - wire _T_4088 = _T_4044 | _T_4087; // @[el2_lsu_bus_buffer.scala 535:95] - wire _T_4089 = bus_rsp_read & _T_4088; // @[el2_lsu_bus_buffer.scala 535:45] + wire [3:0] _T_4084 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 537:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 537:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 537:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 537:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 537:58] + wire _T_4086 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 537:58] + wire _T_4087 = _T_4084[0] & _T_4086; // @[el2_lsu_bus_buffer.scala 537:38] + wire _T_4088 = _T_4044 | _T_4087; // @[el2_lsu_bus_buffer.scala 536:95] + wire _T_4089 = bus_rsp_read & _T_4088; // @[el2_lsu_bus_buffer.scala 536:45] wire _GEN_188 = _T_4074 & _T_4089; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3987 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3953 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3949 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3926 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3966 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] - wire _T_3967 = _T_3966 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] + wire _T_3966 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 510:49] + wire _T_3967 = _T_3966 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 510:70] wire _T_4092 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4095 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 541:37] - wire _T_4096 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] - wire _T_4097 = buf_dual_2 & _T_4096; // @[el2_lsu_bus_buffer.scala 541:80] - wire _T_4098 = _T_4095 | _T_4097; // @[el2_lsu_bus_buffer.scala 541:65] - wire _T_4099 = _T_4098 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] + wire _T_4095 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 542:37] + wire _T_4096 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 542:98] + wire _T_4097 = buf_dual_2 & _T_4096; // @[el2_lsu_bus_buffer.scala 542:80] + wire _T_4098 = _T_4095 | _T_4097; // @[el2_lsu_bus_buffer.scala 542:65] + wire _T_4099 = _T_4098 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 542:112] wire _T_4100 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_4092 ? _T_4099 : _T_4100; // @[Conditional.scala 39:67] wire _GEN_189 = _T_4074 ? _T_3967 : _GEN_183; // @[Conditional.scala 39:67] @@ -1739,89 +1875,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3953 ? _T_3967 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3949 ? _T_3566 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3926 ? _T_3942 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2345 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 458:94] - wire _T_2355 = _T_2149 & _T_1891; // @[el2_lsu_bus_buffer.scala 460:71] - wire _T_2357 = _T_2355 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2358 = _T_4478 | _T_2357; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2362 = _T_2156 & _T_1894; // @[el2_lsu_bus_buffer.scala 461:52] - wire _T_2364 = _T_2362 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2365 = _T_2358 | _T_2364; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2366 = _T_2345 & _T_2365; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2368 = _T_2366 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2382 = _T_2355 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2383 = _T_4483 | _T_2382; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2389 = _T_2362 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2390 = _T_2383 | _T_2389; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2391 = _T_2345 & _T_2390; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2393 = _T_2391 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2407 = _T_2355 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2408 = _T_4488 | _T_2407; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2414 = _T_2362 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2415 = _T_2408 | _T_2414; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2416 = _T_2345 & _T_2415; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2418 = _T_2416 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2432 = _T_2355 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2433 = _T_4493 | _T_2432; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2439 = _T_2362 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2440 = _T_2433 | _T_2439; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2441 = _T_2345 & _T_2440; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2443 = _T_2441 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2345 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 459:94] + wire _T_2355 = _T_2149 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:71] + wire _T_2357 = _T_2355 & _T_1867; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2358 = _T_4478 | _T_2357; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2362 = _T_2156 & _T_1894; // @[el2_lsu_bus_buffer.scala 462:52] + wire _T_2364 = _T_2362 & _T_1869; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2365 = _T_2358 | _T_2364; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2366 = _T_2345 & _T_2365; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2368 = _T_2366 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2382 = _T_2355 & _T_1878; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2383 = _T_4483 | _T_2382; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2389 = _T_2362 & _T_1880; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2390 = _T_2383 | _T_2389; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2391 = _T_2345 & _T_2390; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2393 = _T_2391 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2407 = _T_2355 & _T_1889; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2408 = _T_4488 | _T_2407; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2414 = _T_2362 & _T_1891; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2415 = _T_2408 | _T_2414; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2416 = _T_2345 & _T_2415; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2418 = _T_2416 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2432 = _T_2355 & _T_1900; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2433 = _T_4493 | _T_2432; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2439 = _T_2362 & _T_1902; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2440 = _T_2433 | _T_2439; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2441 = _T_2345 & _T_2440; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2443 = _T_2441 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 462:97] wire [2:0] _T_2445 = {_T_2443,_T_2418,_T_2393}; // @[Cat.scala 29:58] - wire _T_4126 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 496:117] - wire _T_4127 = _T_3546 & _T_4126; // @[el2_lsu_bus_buffer.scala 496:112] - wire _T_4129 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 496:166] - wire _T_4130 = _T_3549 & _T_4129; // @[el2_lsu_bus_buffer.scala 496:161] - wire _T_4131 = _T_4127 | _T_4130; // @[el2_lsu_bus_buffer.scala 496:132] - wire _T_4132 = _T_845 & _T_4131; // @[el2_lsu_bus_buffer.scala 496:63] - wire _T_4133 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 496:206] - wire _T_4134 = ibuf_drain_vld & _T_4133; // @[el2_lsu_bus_buffer.scala 496:201] - wire _T_4135 = _T_4132 | _T_4134; // @[el2_lsu_bus_buffer.scala 496:183] + wire _T_4126 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 497:117] + wire _T_4127 = _T_3546 & _T_4126; // @[el2_lsu_bus_buffer.scala 497:112] + wire _T_4129 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 497:166] + wire _T_4130 = _T_3549 & _T_4129; // @[el2_lsu_bus_buffer.scala 497:161] + wire _T_4131 = _T_4127 | _T_4130; // @[el2_lsu_bus_buffer.scala 497:132] + wire _T_4132 = _T_845 & _T_4131; // @[el2_lsu_bus_buffer.scala 497:63] + wire _T_4133 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 497:206] + wire _T_4134 = ibuf_drain_vld & _T_4133; // @[el2_lsu_bus_buffer.scala 497:201] + wire _T_4135 = _T_4132 | _T_4134; // @[el2_lsu_bus_buffer.scala 497:183] wire _T_4180 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4225 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 521:73] - wire _T_4226 = bus_rsp_write & _T_4225; // @[el2_lsu_bus_buffer.scala 521:52] - wire _T_4227 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 522:46] - wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_4229 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 523:47] - wire _T_4230 = buf_ldfwd[3] & _T_4229; // @[el2_lsu_bus_buffer.scala 523:27] - wire _T_4231 = _T_4227 | _T_4230; // @[el2_lsu_bus_buffer.scala 522:77] - wire _T_4232 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 524:26] - wire _T_4234 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 524:44] - wire _T_4235 = _T_4232 & _T_4234; // @[el2_lsu_bus_buffer.scala 524:42] - wire _T_4236 = _T_4235 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 524:58] + wire _T_4225 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 522:73] + wire _T_4226 = bus_rsp_write & _T_4225; // @[el2_lsu_bus_buffer.scala 522:52] + wire _T_4227 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 523:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_4229 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 524:47] + wire _T_4230 = buf_ldfwd[3] & _T_4229; // @[el2_lsu_bus_buffer.scala 524:27] + wire _T_4231 = _T_4227 | _T_4230; // @[el2_lsu_bus_buffer.scala 523:77] + wire _T_4232 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 525:26] + wire _T_4234 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 525:44] + wire _T_4235 = _T_4232 & _T_4234; // @[el2_lsu_bus_buffer.scala 525:42] + wire _T_4236 = _T_4235 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 525:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_4237 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 524:94] - wire _T_4238 = _T_4236 & _T_4237; // @[el2_lsu_bus_buffer.scala 524:74] - wire _T_4239 = _T_4231 | _T_4238; // @[el2_lsu_bus_buffer.scala 523:71] - wire _T_4240 = bus_rsp_read & _T_4239; // @[el2_lsu_bus_buffer.scala 522:25] - wire _T_4241 = _T_4226 | _T_4240; // @[el2_lsu_bus_buffer.scala 521:105] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 525:94] + wire _T_4237 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 525:94] + wire _T_4238 = _T_4236 & _T_4237; // @[el2_lsu_bus_buffer.scala 525:74] + wire _T_4239 = _T_4231 | _T_4238; // @[el2_lsu_bus_buffer.scala 524:71] + wire _T_4240 = bus_rsp_read & _T_4239; // @[el2_lsu_bus_buffer.scala 523:25] + wire _T_4241 = _T_4226 | _T_4240; // @[el2_lsu_bus_buffer.scala 522:105] wire _GEN_270 = _T_4180 & _T_4241; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4146 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4142 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4119 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4267 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4277 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 536:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 536:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 536:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 536:58] - wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_4279 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 536:58] - wire _T_4280 = _T_4277[0] & _T_4279; // @[el2_lsu_bus_buffer.scala 536:38] - wire _T_4281 = _T_4237 | _T_4280; // @[el2_lsu_bus_buffer.scala 535:95] - wire _T_4282 = bus_rsp_read & _T_4281; // @[el2_lsu_bus_buffer.scala 535:45] + wire [3:0] _T_4277 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 537:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 537:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 537:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 537:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 537:58] + wire _T_4279 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 537:58] + wire _T_4280 = _T_4277[0] & _T_4279; // @[el2_lsu_bus_buffer.scala 537:38] + wire _T_4281 = _T_4237 | _T_4280; // @[el2_lsu_bus_buffer.scala 536:95] + wire _T_4282 = bus_rsp_read & _T_4281; // @[el2_lsu_bus_buffer.scala 536:45] wire _GEN_264 = _T_4267 & _T_4282; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4180 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4146 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4142 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4119 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4159 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 509:49] - wire _T_4160 = _T_4159 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 509:70] + wire _T_4159 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 510:49] + wire _T_4160 = _T_4159 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 510:70] wire _T_4285 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4288 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 541:37] - wire _T_4289 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 541:98] - wire _T_4290 = buf_dual_3 & _T_4289; // @[el2_lsu_bus_buffer.scala 541:80] - wire _T_4291 = _T_4288 | _T_4290; // @[el2_lsu_bus_buffer.scala 541:65] - wire _T_4292 = _T_4291 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 541:112] + wire _T_4288 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 542:37] + wire _T_4289 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 542:98] + wire _T_4290 = buf_dual_3 & _T_4289; // @[el2_lsu_bus_buffer.scala 542:80] + wire _T_4291 = _T_4288 | _T_4290; // @[el2_lsu_bus_buffer.scala 542:65] + wire _T_4292 = _T_4291 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 542:112] wire _T_4293 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4285 ? _T_4292 : _T_4293; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4267 ? _T_4160 : _GEN_259; // @[Conditional.scala 39:67] @@ -1829,228 +1965,228 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_4146 ? _T_4160 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4142 ? _T_3566 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4119 ? _T_4135 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2447 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 458:94] - wire _T_2457 = _T_2149 & _T_1902; // @[el2_lsu_bus_buffer.scala 460:71] - wire _T_2459 = _T_2457 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2460 = _T_4478 | _T_2459; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2464 = _T_2156 & _T_1905; // @[el2_lsu_bus_buffer.scala 461:52] - wire _T_2466 = _T_2464 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2467 = _T_2460 | _T_2466; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2468 = _T_2447 & _T_2467; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2470 = _T_2468 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2484 = _T_2457 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2485 = _T_4483 | _T_2484; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2491 = _T_2464 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2492 = _T_2485 | _T_2491; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2493 = _T_2447 & _T_2492; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2495 = _T_2493 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2509 = _T_2457 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2510 = _T_4488 | _T_2509; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2516 = _T_2464 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2517 = _T_2510 | _T_2516; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2518 = _T_2447 & _T_2517; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2520 = _T_2518 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 461:97] - wire _T_2534 = _T_2457 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] - wire _T_2535 = _T_4493 | _T_2534; // @[el2_lsu_bus_buffer.scala 459:86] - wire _T_2541 = _T_2464 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] - wire _T_2542 = _T_2535 | _T_2541; // @[el2_lsu_bus_buffer.scala 460:114] - wire _T_2543 = _T_2447 & _T_2542; // @[el2_lsu_bus_buffer.scala 458:113] - wire _T_2545 = _T_2543 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2447 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 459:94] + wire _T_2457 = _T_2149 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:71] + wire _T_2459 = _T_2457 & _T_1867; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2460 = _T_4478 | _T_2459; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2464 = _T_2156 & _T_1905; // @[el2_lsu_bus_buffer.scala 462:52] + wire _T_2466 = _T_2464 & _T_1869; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2467 = _T_2460 | _T_2466; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2468 = _T_2447 & _T_2467; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2470 = _T_2468 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2484 = _T_2457 & _T_1878; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2485 = _T_4483 | _T_2484; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2491 = _T_2464 & _T_1880; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2492 = _T_2485 | _T_2491; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2493 = _T_2447 & _T_2492; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2495 = _T_2493 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2509 = _T_2457 & _T_1889; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2510 = _T_4488 | _T_2509; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2516 = _T_2464 & _T_1891; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2517 = _T_2510 | _T_2516; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2518 = _T_2447 & _T_2517; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2520 = _T_2518 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 462:97] + wire _T_2534 = _T_2457 & _T_1900; // @[el2_lsu_bus_buffer.scala 461:92] + wire _T_2535 = _T_4493 | _T_2534; // @[el2_lsu_bus_buffer.scala 460:86] + wire _T_2541 = _T_2464 & _T_1902; // @[el2_lsu_bus_buffer.scala 462:73] + wire _T_2542 = _T_2535 | _T_2541; // @[el2_lsu_bus_buffer.scala 461:114] + wire _T_2543 = _T_2447 & _T_2542; // @[el2_lsu_bus_buffer.scala 459:113] + wire _T_2545 = _T_2543 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 462:97] wire [2:0] _T_2547 = {_T_2545,_T_2520,_T_2495}; // @[Cat.scala 29:58] - wire _T_2811 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] - wire _T_2812 = _T_1866 | _T_2811; // @[el2_lsu_bus_buffer.scala 469:34] - wire _T_2813 = ~_T_2812; // @[el2_lsu_bus_buffer.scala 469:8] - wire _T_2821 = _T_2813 | _T_2153; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2828 = _T_2821 | _T_2160; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2829 = _T_2141 & _T_2828; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2833 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] - wire _T_2834 = _T_1877 | _T_2833; // @[el2_lsu_bus_buffer.scala 469:34] - wire _T_2835 = ~_T_2834; // @[el2_lsu_bus_buffer.scala 469:8] - wire _T_2843 = _T_2835 | _T_2178; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2850 = _T_2843 | _T_2185; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2851 = _T_2141 & _T_2850; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2855 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] - wire _T_2856 = _T_1888 | _T_2855; // @[el2_lsu_bus_buffer.scala 469:34] - wire _T_2857 = ~_T_2856; // @[el2_lsu_bus_buffer.scala 469:8] - wire _T_2865 = _T_2857 | _T_2203; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2872 = _T_2865 | _T_2210; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2873 = _T_2141 & _T_2872; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2877 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] - wire _T_2878 = _T_1899 | _T_2877; // @[el2_lsu_bus_buffer.scala 469:34] - wire _T_2879 = ~_T_2878; // @[el2_lsu_bus_buffer.scala 469:8] - wire _T_2887 = _T_2879 | _T_2228; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2894 = _T_2887 | _T_2235; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2895 = _T_2141 & _T_2894; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2811 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 470:49] + wire _T_2812 = _T_1866 | _T_2811; // @[el2_lsu_bus_buffer.scala 470:34] + wire _T_2813 = ~_T_2812; // @[el2_lsu_bus_buffer.scala 470:8] + wire _T_2821 = _T_2813 | _T_2153; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_2828 = _T_2821 | _T_2160; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_2829 = _T_2141 & _T_2828; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2833 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 470:49] + wire _T_2834 = _T_1877 | _T_2833; // @[el2_lsu_bus_buffer.scala 470:34] + wire _T_2835 = ~_T_2834; // @[el2_lsu_bus_buffer.scala 470:8] + wire _T_2843 = _T_2835 | _T_2178; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_2850 = _T_2843 | _T_2185; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_2851 = _T_2141 & _T_2850; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2855 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 470:49] + wire _T_2856 = _T_1888 | _T_2855; // @[el2_lsu_bus_buffer.scala 470:34] + wire _T_2857 = ~_T_2856; // @[el2_lsu_bus_buffer.scala 470:8] + wire _T_2865 = _T_2857 | _T_2203; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_2872 = _T_2865 | _T_2210; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_2873 = _T_2141 & _T_2872; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2877 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 470:49] + wire _T_2878 = _T_1899 | _T_2877; // @[el2_lsu_bus_buffer.scala 470:34] + wire _T_2879 = ~_T_2878; // @[el2_lsu_bus_buffer.scala 470:8] + wire _T_2887 = _T_2879 | _T_2228; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_2894 = _T_2887 | _T_2235; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_2895 = _T_2141 & _T_2894; // @[el2_lsu_bus_buffer.scala 469:114] wire [3:0] buf_rspage_set_0 = {_T_2895,_T_2873,_T_2851,_T_2829}; // @[Cat.scala 29:58] - wire _T_2912 = _T_2813 | _T_2255; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2919 = _T_2912 | _T_2262; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2920 = _T_2243 & _T_2919; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2934 = _T_2835 | _T_2280; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2941 = _T_2934 | _T_2287; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2942 = _T_2243 & _T_2941; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2956 = _T_2857 | _T_2305; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2963 = _T_2956 | _T_2312; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2964 = _T_2243 & _T_2963; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_2978 = _T_2879 | _T_2330; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_2985 = _T_2978 | _T_2337; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_2986 = _T_2243 & _T_2985; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2912 = _T_2813 | _T_2255; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_2919 = _T_2912 | _T_2262; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_2920 = _T_2243 & _T_2919; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2934 = _T_2835 | _T_2280; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_2941 = _T_2934 | _T_2287; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_2942 = _T_2243 & _T_2941; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2956 = _T_2857 | _T_2305; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_2963 = _T_2956 | _T_2312; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_2964 = _T_2243 & _T_2963; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_2978 = _T_2879 | _T_2330; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_2985 = _T_2978 | _T_2337; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_2986 = _T_2243 & _T_2985; // @[el2_lsu_bus_buffer.scala 469:114] wire [3:0] buf_rspage_set_1 = {_T_2986,_T_2964,_T_2942,_T_2920}; // @[Cat.scala 29:58] - wire _T_3003 = _T_2813 | _T_2357; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3010 = _T_3003 | _T_2364; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3011 = _T_2345 & _T_3010; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3025 = _T_2835 | _T_2382; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3032 = _T_3025 | _T_2389; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3033 = _T_2345 & _T_3032; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3047 = _T_2857 | _T_2407; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3054 = _T_3047 | _T_2414; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3055 = _T_2345 & _T_3054; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3069 = _T_2879 | _T_2432; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3076 = _T_3069 | _T_2439; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3077 = _T_2345 & _T_3076; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3003 = _T_2813 | _T_2357; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_3010 = _T_3003 | _T_2364; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_3011 = _T_2345 & _T_3010; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_3025 = _T_2835 | _T_2382; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_3032 = _T_3025 | _T_2389; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_3033 = _T_2345 & _T_3032; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_3047 = _T_2857 | _T_2407; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_3054 = _T_3047 | _T_2414; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_3055 = _T_2345 & _T_3054; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_3069 = _T_2879 | _T_2432; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_3076 = _T_3069 | _T_2439; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_3077 = _T_2345 & _T_3076; // @[el2_lsu_bus_buffer.scala 469:114] wire [3:0] buf_rspage_set_2 = {_T_3077,_T_3055,_T_3033,_T_3011}; // @[Cat.scala 29:58] - wire _T_3094 = _T_2813 | _T_2459; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3101 = _T_3094 | _T_2466; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3102 = _T_2447 & _T_3101; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3116 = _T_2835 | _T_2484; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3123 = _T_3116 | _T_2491; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3124 = _T_2447 & _T_3123; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3138 = _T_2857 | _T_2509; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3145 = _T_3138 | _T_2516; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3146 = _T_2447 & _T_3145; // @[el2_lsu_bus_buffer.scala 468:114] - wire _T_3160 = _T_2879 | _T_2534; // @[el2_lsu_bus_buffer.scala 469:61] - wire _T_3167 = _T_3160 | _T_2541; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3168 = _T_2447 & _T_3167; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3094 = _T_2813 | _T_2459; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_3101 = _T_3094 | _T_2466; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_3102 = _T_2447 & _T_3101; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_3116 = _T_2835 | _T_2484; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_3123 = _T_3116 | _T_2491; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_3124 = _T_2447 & _T_3123; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_3138 = _T_2857 | _T_2509; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_3145 = _T_3138 | _T_2516; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_3146 = _T_2447 & _T_3145; // @[el2_lsu_bus_buffer.scala 469:114] + wire _T_3160 = _T_2879 | _T_2534; // @[el2_lsu_bus_buffer.scala 470:61] + wire _T_3167 = _T_3160 | _T_2541; // @[el2_lsu_bus_buffer.scala 471:112] + wire _T_3168 = _T_2447 & _T_3167; // @[el2_lsu_bus_buffer.scala 469:114] wire [3:0] buf_rspage_set_3 = {_T_3168,_T_3146,_T_3124,_T_3102}; // @[Cat.scala 29:58] - wire _T_3253 = _T_2877 | _T_1899; // @[el2_lsu_bus_buffer.scala 473:112] - wire _T_3254 = ~_T_3253; // @[el2_lsu_bus_buffer.scala 473:86] - wire _T_3255 = buf_rspageQ_0[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3247 = _T_2855 | _T_1888; // @[el2_lsu_bus_buffer.scala 473:112] - wire _T_3248 = ~_T_3247; // @[el2_lsu_bus_buffer.scala 473:86] - wire _T_3249 = buf_rspageQ_0[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3241 = _T_2833 | _T_1877; // @[el2_lsu_bus_buffer.scala 473:112] - wire _T_3242 = ~_T_3241; // @[el2_lsu_bus_buffer.scala 473:86] - wire _T_3243 = buf_rspageQ_0[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3235 = _T_2811 | _T_1866; // @[el2_lsu_bus_buffer.scala 473:112] - wire _T_3236 = ~_T_3235; // @[el2_lsu_bus_buffer.scala 473:86] - wire _T_3237 = buf_rspageQ_0[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3253 = _T_2877 | _T_1899; // @[el2_lsu_bus_buffer.scala 474:112] + wire _T_3254 = ~_T_3253; // @[el2_lsu_bus_buffer.scala 474:86] + wire _T_3255 = buf_rspageQ_0[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3247 = _T_2855 | _T_1888; // @[el2_lsu_bus_buffer.scala 474:112] + wire _T_3248 = ~_T_3247; // @[el2_lsu_bus_buffer.scala 474:86] + wire _T_3249 = buf_rspageQ_0[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3241 = _T_2833 | _T_1877; // @[el2_lsu_bus_buffer.scala 474:112] + wire _T_3242 = ~_T_3241; // @[el2_lsu_bus_buffer.scala 474:86] + wire _T_3243 = buf_rspageQ_0[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3235 = _T_2811 | _T_1866; // @[el2_lsu_bus_buffer.scala 474:112] + wire _T_3236 = ~_T_3235; // @[el2_lsu_bus_buffer.scala 474:86] + wire _T_3237 = buf_rspageQ_0[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 474:84] wire [3:0] buf_rspage_0 = {_T_3255,_T_3249,_T_3243,_T_3237}; // @[Cat.scala 29:58] - wire _T_3174 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3177 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3180 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3183 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3174 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3177 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3180 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3183 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 473:90] wire [2:0] _T_3185 = {_T_3183,_T_3180,_T_3177}; // @[Cat.scala 29:58] - wire _T_3282 = buf_rspageQ_1[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3276 = buf_rspageQ_1[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3270 = buf_rspageQ_1[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3264 = buf_rspageQ_1[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3282 = buf_rspageQ_1[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3276 = buf_rspageQ_1[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3270 = buf_rspageQ_1[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3264 = buf_rspageQ_1[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 474:84] wire [3:0] buf_rspage_1 = {_T_3282,_T_3276,_T_3270,_T_3264}; // @[Cat.scala 29:58] - wire _T_3189 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3192 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3195 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3198 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3189 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3192 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3195 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3198 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 473:90] wire [2:0] _T_3200 = {_T_3198,_T_3195,_T_3192}; // @[Cat.scala 29:58] - wire _T_3309 = buf_rspageQ_2[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3303 = buf_rspageQ_2[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3297 = buf_rspageQ_2[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3291 = buf_rspageQ_2[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3309 = buf_rspageQ_2[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3303 = buf_rspageQ_2[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3297 = buf_rspageQ_2[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3291 = buf_rspageQ_2[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 474:84] wire [3:0] buf_rspage_2 = {_T_3309,_T_3303,_T_3297,_T_3291}; // @[Cat.scala 29:58] - wire _T_3204 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3207 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3210 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3213 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3204 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3207 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3210 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3213 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 473:90] wire [2:0] _T_3215 = {_T_3213,_T_3210,_T_3207}; // @[Cat.scala 29:58] - wire _T_3336 = buf_rspageQ_3[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3330 = buf_rspageQ_3[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3324 = buf_rspageQ_3[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 473:84] - wire _T_3318 = buf_rspageQ_3[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3336 = buf_rspageQ_3[3] & _T_3254; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3330 = buf_rspageQ_3[2] & _T_3248; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3324 = buf_rspageQ_3[1] & _T_3242; // @[el2_lsu_bus_buffer.scala 474:84] + wire _T_3318 = buf_rspageQ_3[0] & _T_3236; // @[el2_lsu_bus_buffer.scala 474:84] wire [3:0] buf_rspage_3 = {_T_3336,_T_3330,_T_3324,_T_3318}; // @[Cat.scala 29:58] - wire _T_3219 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3222 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3225 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 472:90] - wire _T_3228 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3219 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3222 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3225 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 473:90] + wire _T_3228 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 473:90] wire [2:0] _T_3230 = {_T_3228,_T_3225,_T_3222}; // @[Cat.scala 29:58] - wire _T_3341 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 478:65] - wire _T_3343 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 478:65] - wire _T_3345 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 478:65] - wire _T_3347 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 478:65] + wire _T_3341 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 479:65] + wire _T_3343 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 479:65] + wire _T_3345 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 479:65] + wire _T_3347 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 479:65] wire [3:0] ibuf_drainvec_vld = {_T_3347,_T_3345,_T_3343,_T_3341}; // @[Cat.scala 29:58] - wire _T_3355 = _T_3549 & _T_1872; // @[el2_lsu_bus_buffer.scala 480:37] - wire _T_3364 = _T_3549 & _T_1883; // @[el2_lsu_bus_buffer.scala 480:37] - wire _T_3373 = _T_3549 & _T_1894; // @[el2_lsu_bus_buffer.scala 480:37] - wire _T_3382 = _T_3549 & _T_1905; // @[el2_lsu_bus_buffer.scala 480:37] - wire _T_3412 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] - wire _T_3414 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] - wire _T_3416 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] - wire _T_3418 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 482:47] + wire _T_3355 = _T_3549 & _T_1872; // @[el2_lsu_bus_buffer.scala 481:37] + wire _T_3364 = _T_3549 & _T_1883; // @[el2_lsu_bus_buffer.scala 481:37] + wire _T_3373 = _T_3549 & _T_1894; // @[el2_lsu_bus_buffer.scala 481:37] + wire _T_3382 = _T_3549 & _T_1905; // @[el2_lsu_bus_buffer.scala 481:37] + wire _T_3412 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 483:47] + wire _T_3414 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 483:47] + wire _T_3416 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 483:47] + wire _T_3418 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 483:47] wire [3:0] buf_dual_in = {_T_3418,_T_3416,_T_3414,_T_3412}; // @[Cat.scala 29:58] - wire _T_3423 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3425 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3427 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3429 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 483:49] + wire _T_3423 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3425 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3427 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3429 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 484:49] wire [3:0] buf_samedw_in = {_T_3429,_T_3427,_T_3425,_T_3423}; // @[Cat.scala 29:58] - wire _T_3434 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 484:86] - wire _T_3435 = ibuf_drainvec_vld[0] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] - wire _T_3438 = ibuf_drainvec_vld[1] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] - wire _T_3441 = ibuf_drainvec_vld[2] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] - wire _T_3444 = ibuf_drainvec_vld[3] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 484:50] + wire _T_3434 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 485:86] + wire _T_3435 = ibuf_drainvec_vld[0] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 485:50] + wire _T_3438 = ibuf_drainvec_vld[1] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 485:50] + wire _T_3441 = ibuf_drainvec_vld[2] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 485:50] + wire _T_3444 = ibuf_drainvec_vld[3] ? _T_3434 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 485:50] wire [3:0] buf_nomerge_in = {_T_3444,_T_3441,_T_3438,_T_3435}; // @[Cat.scala 29:58] - wire _T_3452 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3355; // @[el2_lsu_bus_buffer.scala 485:49] - wire _T_3457 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3364; // @[el2_lsu_bus_buffer.scala 485:49] - wire _T_3462 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3373; // @[el2_lsu_bus_buffer.scala 485:49] - wire _T_3467 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3382; // @[el2_lsu_bus_buffer.scala 485:49] + wire _T_3452 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3355; // @[el2_lsu_bus_buffer.scala 486:49] + wire _T_3457 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3364; // @[el2_lsu_bus_buffer.scala 486:49] + wire _T_3462 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3373; // @[el2_lsu_bus_buffer.scala 486:49] + wire _T_3467 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3382; // @[el2_lsu_bus_buffer.scala 486:49] wire [3:0] buf_dualhi_in = {_T_3467,_T_3462,_T_3457,_T_3452}; // @[Cat.scala 29:58] - wire _T_3496 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] - wire _T_3498 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] - wire _T_3500 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] - wire _T_3502 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 487:53] + wire _T_3496 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 488:53] + wire _T_3498 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 488:53] + wire _T_3500 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 488:53] + wire _T_3502 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 488:53] wire [3:0] buf_sideeffect_in = {_T_3502,_T_3500,_T_3498,_T_3496}; // @[Cat.scala 29:58] - wire _T_3507 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] - wire _T_3509 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] - wire _T_3511 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] - wire _T_3513 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 488:49] + wire _T_3507 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 489:49] + wire _T_3509 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 489:49] + wire _T_3511 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 489:49] + wire _T_3513 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 489:49] wire [3:0] buf_unsign_in = {_T_3513,_T_3511,_T_3509,_T_3507}; // @[Cat.scala 29:58] - wire _T_3530 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] - wire _T_3532 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] - wire _T_3534 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] - wire _T_3536 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 490:48] + wire _T_3530 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 491:48] + wire _T_3532 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 491:48] + wire _T_3534 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 491:48] + wire _T_3536 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 491:48] wire [3:0] buf_write_in = {_T_3536,_T_3534,_T_3532,_T_3530}; // @[Cat.scala 29:58] - wire _T_3569 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 506:89] - wire _T_3571 = _T_3569 & _T_1364; // @[el2_lsu_bus_buffer.scala 506:104] - wire _T_3584 = buf_state_en_0 & _T_1217; // @[el2_lsu_bus_buffer.scala 511:44] - wire _T_3585 = _T_3584 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] - wire _T_3587 = _T_3585 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] - wire _T_3590 = _T_3580 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] - wire _T_3591 = _T_3590 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] - wire _T_4876 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 618:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4876; // @[el2_lsu_bus_buffer.scala 618:38] - wire _T_3594 = _T_3590 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] - wire _T_3669 = bus_rsp_read_error & _T_3648; // @[el2_lsu_bus_buffer.scala 528:91] - wire _T_3671 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 529:31] - wire _T_3673 = _T_3671 & _T_3650; // @[el2_lsu_bus_buffer.scala 529:46] - wire _T_3674 = _T_3669 | _T_3673; // @[el2_lsu_bus_buffer.scala 528:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4876; // @[el2_lsu_bus_buffer.scala 617:40] - wire _T_3677 = bus_rsp_write_error & _T_3646; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_3678 = _T_3674 | _T_3677; // @[el2_lsu_bus_buffer.scala 529:88] - wire _T_3679 = _T_3580 & _T_3678; // @[el2_lsu_bus_buffer.scala 528:68] + wire _T_3569 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 507:89] + wire _T_3571 = _T_3569 & _T_1364; // @[el2_lsu_bus_buffer.scala 507:104] + wire _T_3584 = buf_state_en_0 & _T_3655; // @[el2_lsu_bus_buffer.scala 512:44] + wire _T_3585 = _T_3584 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:60] + wire _T_3587 = _T_3585 & _T_1346; // @[el2_lsu_bus_buffer.scala 512:74] + wire _T_3590 = _T_3580 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 514:67] + wire _T_3591 = _T_3590 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 514:81] + wire _T_4876 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 619:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4876; // @[el2_lsu_bus_buffer.scala 619:38] + wire _T_3594 = _T_3590 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 515:82] + wire _T_3669 = bus_rsp_read_error & _T_3648; // @[el2_lsu_bus_buffer.scala 529:91] + wire _T_3671 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 530:31] + wire _T_3673 = _T_3671 & _T_3650; // @[el2_lsu_bus_buffer.scala 530:46] + wire _T_3674 = _T_3669 | _T_3673; // @[el2_lsu_bus_buffer.scala 529:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4876; // @[el2_lsu_bus_buffer.scala 618:40] + wire _T_3677 = bus_rsp_write_error & _T_3646; // @[el2_lsu_bus_buffer.scala 531:53] + wire _T_3678 = _T_3674 | _T_3677; // @[el2_lsu_bus_buffer.scala 530:88] + wire _T_3679 = _T_3580 & _T_3678; // @[el2_lsu_bus_buffer.scala 529:68] wire _GEN_46 = _T_3601 & _T_3679; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3567 ? _T_3594 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3563 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3540 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3604 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 518:73] - wire _T_3605 = buf_write[0] & _T_3604; // @[el2_lsu_bus_buffer.scala 518:71] - wire _T_3606 = io_dec_tlu_force_halt | _T_3605; // @[el2_lsu_bus_buffer.scala 518:55] - wire _T_3608 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 519:30] - wire _T_3609 = buf_dual_0 & _T_3608; // @[el2_lsu_bus_buffer.scala 519:28] - wire _T_3612 = _T_3609 & _T_1217; // @[el2_lsu_bus_buffer.scala 519:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 519:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_3613 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_3614 = _T_3612 & _T_3613; // @[el2_lsu_bus_buffer.scala 519:61] - wire _T_4501 = _T_2758 | _T_2755; // @[el2_lsu_bus_buffer.scala 577:93] - wire _T_4502 = _T_4501 | _T_2752; // @[el2_lsu_bus_buffer.scala 577:93] - wire any_done_wait_state = _T_4502 | _T_2749; // @[el2_lsu_bus_buffer.scala 577:93] - wire _T_3616 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] + wire _T_3604 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 519:73] + wire _T_3605 = buf_write[0] & _T_3604; // @[el2_lsu_bus_buffer.scala 519:71] + wire _T_3606 = io_dec_tlu_force_halt | _T_3605; // @[el2_lsu_bus_buffer.scala 519:55] + wire _T_3608 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 520:30] + wire _T_3609 = buf_dual_0 & _T_3608; // @[el2_lsu_bus_buffer.scala 520:28] + wire _T_3612 = _T_3609 & _T_3655; // @[el2_lsu_bus_buffer.scala 520:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 520:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 520:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 520:90] + wire _T_3613 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 520:90] + wire _T_3614 = _T_3612 & _T_3613; // @[el2_lsu_bus_buffer.scala 520:61] + wire _T_4501 = _T_2758 | _T_2755; // @[el2_lsu_bus_buffer.scala 578:93] + wire _T_4502 = _T_4501 | _T_2752; // @[el2_lsu_bus_buffer.scala 578:93] + wire any_done_wait_state = _T_4502 | _T_2749; // @[el2_lsu_bus_buffer.scala 578:93] + wire _T_3616 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:31] wire _T_3622 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3624 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3626 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] @@ -2062,17 +2198,17 @@ module el2_lsu_bus_buffer( wire _T_3634 = _T_3630 | _T_3631; // @[Mux.scala 27:72] wire _T_3635 = _T_3634 | _T_3632; // @[Mux.scala 27:72] wire _T_3636 = _T_3635 | _T_3633; // @[Mux.scala 27:72] - wire _T_3638 = _T_3612 & _T_3636; // @[el2_lsu_bus_buffer.scala 520:101] - wire _T_3639 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] - wire _T_3640 = _T_3638 & _T_3639; // @[el2_lsu_bus_buffer.scala 520:138] - wire _T_3641 = _T_3640 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] - wire _T_3642 = _T_3616 | _T_3641; // @[el2_lsu_bus_buffer.scala 520:53] - wire _T_3665 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] - wire _T_3666 = _T_3665 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] - wire _T_3680 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 531:50] - wire _T_3681 = buf_state_en_0 & _T_3680; // @[el2_lsu_bus_buffer.scala 531:48] - wire _T_3693 = buf_ldfwd[0] | _T_3698[0]; // @[el2_lsu_bus_buffer.scala 534:90] - wire _T_3694 = _T_3693 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] + wire _T_3638 = _T_3612 & _T_3636; // @[el2_lsu_bus_buffer.scala 521:101] + wire _T_3639 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 521:167] + wire _T_3640 = _T_3638 & _T_3639; // @[el2_lsu_bus_buffer.scala 521:138] + wire _T_3641 = _T_3640 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:187] + wire _T_3642 = _T_3616 | _T_3641; // @[el2_lsu_bus_buffer.scala 521:53] + wire _T_3665 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 528:47] + wire _T_3666 = _T_3665 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 528:62] + wire _T_3680 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 532:50] + wire _T_3681 = buf_state_en_0 & _T_3680; // @[el2_lsu_bus_buffer.scala 532:48] + wire _T_3693 = buf_ldfwd[0] | _T_3698[0]; // @[el2_lsu_bus_buffer.scala 535:90] + wire _T_3694 = _T_3693 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 535:118] wire _GEN_29 = _T_3714 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3706 ? 1'h0 : _T_3714; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3706 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2091,34 +2227,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_0 = _T_3540 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3540 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3540 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3777 = buf_state_en_1 & _T_3848; // @[el2_lsu_bus_buffer.scala 511:44] - wire _T_3778 = _T_3777 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] - wire _T_3780 = _T_3778 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] - wire _T_3783 = _T_3773 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] - wire _T_3784 = _T_3783 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] - wire _T_3787 = _T_3783 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] - wire _T_3862 = bus_rsp_read_error & _T_3841; // @[el2_lsu_bus_buffer.scala 528:91] - wire _T_3864 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 529:31] - wire _T_3866 = _T_3864 & _T_3843; // @[el2_lsu_bus_buffer.scala 529:46] - wire _T_3867 = _T_3862 | _T_3866; // @[el2_lsu_bus_buffer.scala 528:143] - wire _T_3870 = bus_rsp_write_error & _T_3839; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_3871 = _T_3867 | _T_3870; // @[el2_lsu_bus_buffer.scala 529:88] - wire _T_3872 = _T_3773 & _T_3871; // @[el2_lsu_bus_buffer.scala 528:68] + wire _T_3777 = buf_state_en_1 & _T_3848; // @[el2_lsu_bus_buffer.scala 512:44] + wire _T_3778 = _T_3777 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:60] + wire _T_3780 = _T_3778 & _T_1346; // @[el2_lsu_bus_buffer.scala 512:74] + wire _T_3783 = _T_3773 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 514:67] + wire _T_3784 = _T_3783 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 514:81] + wire _T_3787 = _T_3783 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 515:82] + wire _T_3862 = bus_rsp_read_error & _T_3841; // @[el2_lsu_bus_buffer.scala 529:91] + wire _T_3864 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 530:31] + wire _T_3866 = _T_3864 & _T_3843; // @[el2_lsu_bus_buffer.scala 530:46] + wire _T_3867 = _T_3862 | _T_3866; // @[el2_lsu_bus_buffer.scala 529:143] + wire _T_3870 = bus_rsp_write_error & _T_3839; // @[el2_lsu_bus_buffer.scala 531:53] + wire _T_3871 = _T_3867 | _T_3870; // @[el2_lsu_bus_buffer.scala 530:88] + wire _T_3872 = _T_3773 & _T_3871; // @[el2_lsu_bus_buffer.scala 529:68] wire _GEN_122 = _T_3794 & _T_3872; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3760 ? _T_3787 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3756 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3733 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3798 = buf_write[1] & _T_3604; // @[el2_lsu_bus_buffer.scala 518:71] - wire _T_3799 = io_dec_tlu_force_halt | _T_3798; // @[el2_lsu_bus_buffer.scala 518:55] - wire _T_3801 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 519:30] - wire _T_3802 = buf_dual_1 & _T_3801; // @[el2_lsu_bus_buffer.scala 519:28] - wire _T_3805 = _T_3802 & _T_3848; // @[el2_lsu_bus_buffer.scala 519:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 519:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_3806 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_3807 = _T_3805 & _T_3806; // @[el2_lsu_bus_buffer.scala 519:61] - wire _T_3809 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] + wire _T_3798 = buf_write[1] & _T_3604; // @[el2_lsu_bus_buffer.scala 519:71] + wire _T_3799 = io_dec_tlu_force_halt | _T_3798; // @[el2_lsu_bus_buffer.scala 519:55] + wire _T_3801 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 520:30] + wire _T_3802 = buf_dual_1 & _T_3801; // @[el2_lsu_bus_buffer.scala 520:28] + wire _T_3805 = _T_3802 & _T_3848; // @[el2_lsu_bus_buffer.scala 520:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 520:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 520:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 520:90] + wire _T_3806 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 520:90] + wire _T_3807 = _T_3805 & _T_3806; // @[el2_lsu_bus_buffer.scala 520:61] + wire _T_3809 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:31] wire _T_3815 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3817 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_3819 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] @@ -2130,17 +2266,17 @@ module el2_lsu_bus_buffer( wire _T_3827 = _T_3823 | _T_3824; // @[Mux.scala 27:72] wire _T_3828 = _T_3827 | _T_3825; // @[Mux.scala 27:72] wire _T_3829 = _T_3828 | _T_3826; // @[Mux.scala 27:72] - wire _T_3831 = _T_3805 & _T_3829; // @[el2_lsu_bus_buffer.scala 520:101] - wire _T_3832 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] - wire _T_3833 = _T_3831 & _T_3832; // @[el2_lsu_bus_buffer.scala 520:138] - wire _T_3834 = _T_3833 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] - wire _T_3835 = _T_3809 | _T_3834; // @[el2_lsu_bus_buffer.scala 520:53] - wire _T_3858 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] - wire _T_3859 = _T_3858 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] - wire _T_3873 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 531:50] - wire _T_3874 = buf_state_en_1 & _T_3873; // @[el2_lsu_bus_buffer.scala 531:48] - wire _T_3886 = buf_ldfwd[1] | _T_3891[0]; // @[el2_lsu_bus_buffer.scala 534:90] - wire _T_3887 = _T_3886 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] + wire _T_3831 = _T_3805 & _T_3829; // @[el2_lsu_bus_buffer.scala 521:101] + wire _T_3832 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 521:167] + wire _T_3833 = _T_3831 & _T_3832; // @[el2_lsu_bus_buffer.scala 521:138] + wire _T_3834 = _T_3833 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:187] + wire _T_3835 = _T_3809 | _T_3834; // @[el2_lsu_bus_buffer.scala 521:53] + wire _T_3858 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 528:47] + wire _T_3859 = _T_3858 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 528:62] + wire _T_3873 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 532:50] + wire _T_3874 = buf_state_en_1 & _T_3873; // @[el2_lsu_bus_buffer.scala 532:48] + wire _T_3886 = buf_ldfwd[1] | _T_3891[0]; // @[el2_lsu_bus_buffer.scala 535:90] + wire _T_3887 = _T_3886 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 535:118] wire _GEN_105 = _T_3907 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3899 ? 1'h0 : _T_3907; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3899 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2159,34 +2295,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_1 = _T_3733 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3733 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3733 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3970 = buf_state_en_2 & _T_4041; // @[el2_lsu_bus_buffer.scala 511:44] - wire _T_3971 = _T_3970 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] - wire _T_3973 = _T_3971 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] - wire _T_3976 = _T_3966 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] - wire _T_3977 = _T_3976 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] - wire _T_3980 = _T_3976 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] - wire _T_4055 = bus_rsp_read_error & _T_4034; // @[el2_lsu_bus_buffer.scala 528:91] - wire _T_4057 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 529:31] - wire _T_4059 = _T_4057 & _T_4036; // @[el2_lsu_bus_buffer.scala 529:46] - wire _T_4060 = _T_4055 | _T_4059; // @[el2_lsu_bus_buffer.scala 528:143] - wire _T_4063 = bus_rsp_write_error & _T_4032; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_4064 = _T_4060 | _T_4063; // @[el2_lsu_bus_buffer.scala 529:88] - wire _T_4065 = _T_3966 & _T_4064; // @[el2_lsu_bus_buffer.scala 528:68] + wire _T_3970 = buf_state_en_2 & _T_4041; // @[el2_lsu_bus_buffer.scala 512:44] + wire _T_3971 = _T_3970 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:60] + wire _T_3973 = _T_3971 & _T_1346; // @[el2_lsu_bus_buffer.scala 512:74] + wire _T_3976 = _T_3966 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 514:67] + wire _T_3977 = _T_3976 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 514:81] + wire _T_3980 = _T_3976 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 515:82] + wire _T_4055 = bus_rsp_read_error & _T_4034; // @[el2_lsu_bus_buffer.scala 529:91] + wire _T_4057 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 530:31] + wire _T_4059 = _T_4057 & _T_4036; // @[el2_lsu_bus_buffer.scala 530:46] + wire _T_4060 = _T_4055 | _T_4059; // @[el2_lsu_bus_buffer.scala 529:143] + wire _T_4063 = bus_rsp_write_error & _T_4032; // @[el2_lsu_bus_buffer.scala 531:53] + wire _T_4064 = _T_4060 | _T_4063; // @[el2_lsu_bus_buffer.scala 530:88] + wire _T_4065 = _T_3966 & _T_4064; // @[el2_lsu_bus_buffer.scala 529:68] wire _GEN_198 = _T_3987 & _T_4065; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3953 ? _T_3980 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3949 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3926 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_3991 = buf_write[2] & _T_3604; // @[el2_lsu_bus_buffer.scala 518:71] - wire _T_3992 = io_dec_tlu_force_halt | _T_3991; // @[el2_lsu_bus_buffer.scala 518:55] - wire _T_3994 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 519:30] - wire _T_3995 = buf_dual_2 & _T_3994; // @[el2_lsu_bus_buffer.scala 519:28] - wire _T_3998 = _T_3995 & _T_4041; // @[el2_lsu_bus_buffer.scala 519:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 519:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_3999 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_4000 = _T_3998 & _T_3999; // @[el2_lsu_bus_buffer.scala 519:61] - wire _T_4002 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] + wire _T_3991 = buf_write[2] & _T_3604; // @[el2_lsu_bus_buffer.scala 519:71] + wire _T_3992 = io_dec_tlu_force_halt | _T_3991; // @[el2_lsu_bus_buffer.scala 519:55] + wire _T_3994 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 520:30] + wire _T_3995 = buf_dual_2 & _T_3994; // @[el2_lsu_bus_buffer.scala 520:28] + wire _T_3998 = _T_3995 & _T_4041; // @[el2_lsu_bus_buffer.scala 520:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 520:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 520:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 520:90] + wire _T_3999 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 520:90] + wire _T_4000 = _T_3998 & _T_3999; // @[el2_lsu_bus_buffer.scala 520:61] + wire _T_4002 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:31] wire _T_4008 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_4010 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_4012 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] @@ -2198,17 +2334,17 @@ module el2_lsu_bus_buffer( wire _T_4020 = _T_4016 | _T_4017; // @[Mux.scala 27:72] wire _T_4021 = _T_4020 | _T_4018; // @[Mux.scala 27:72] wire _T_4022 = _T_4021 | _T_4019; // @[Mux.scala 27:72] - wire _T_4024 = _T_3998 & _T_4022; // @[el2_lsu_bus_buffer.scala 520:101] - wire _T_4025 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] - wire _T_4026 = _T_4024 & _T_4025; // @[el2_lsu_bus_buffer.scala 520:138] - wire _T_4027 = _T_4026 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] - wire _T_4028 = _T_4002 | _T_4027; // @[el2_lsu_bus_buffer.scala 520:53] - wire _T_4051 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] - wire _T_4052 = _T_4051 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] - wire _T_4066 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 531:50] - wire _T_4067 = buf_state_en_2 & _T_4066; // @[el2_lsu_bus_buffer.scala 531:48] - wire _T_4079 = buf_ldfwd[2] | _T_4084[0]; // @[el2_lsu_bus_buffer.scala 534:90] - wire _T_4080 = _T_4079 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] + wire _T_4024 = _T_3998 & _T_4022; // @[el2_lsu_bus_buffer.scala 521:101] + wire _T_4025 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 521:167] + wire _T_4026 = _T_4024 & _T_4025; // @[el2_lsu_bus_buffer.scala 521:138] + wire _T_4027 = _T_4026 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:187] + wire _T_4028 = _T_4002 | _T_4027; // @[el2_lsu_bus_buffer.scala 521:53] + wire _T_4051 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 528:47] + wire _T_4052 = _T_4051 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 528:62] + wire _T_4066 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 532:50] + wire _T_4067 = buf_state_en_2 & _T_4066; // @[el2_lsu_bus_buffer.scala 532:48] + wire _T_4079 = buf_ldfwd[2] | _T_4084[0]; // @[el2_lsu_bus_buffer.scala 535:90] + wire _T_4080 = _T_4079 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 535:118] wire _GEN_181 = _T_4100 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_4092 ? 1'h0 : _T_4100; // @[Conditional.scala 39:67] wire _GEN_186 = _T_4092 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2227,34 +2363,34 @@ module el2_lsu_bus_buffer( wire buf_data_en_2 = _T_3926 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3926 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3926 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4163 = buf_state_en_3 & _T_4234; // @[el2_lsu_bus_buffer.scala 511:44] - wire _T_4164 = _T_4163 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:60] - wire _T_4166 = _T_4164 & _T_1346; // @[el2_lsu_bus_buffer.scala 511:74] - wire _T_4169 = _T_4159 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 513:67] - wire _T_4170 = _T_4169 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 513:81] - wire _T_4173 = _T_4169 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 514:82] - wire _T_4248 = bus_rsp_read_error & _T_4227; // @[el2_lsu_bus_buffer.scala 528:91] - wire _T_4250 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 529:31] - wire _T_4252 = _T_4250 & _T_4229; // @[el2_lsu_bus_buffer.scala 529:46] - wire _T_4253 = _T_4248 | _T_4252; // @[el2_lsu_bus_buffer.scala 528:143] - wire _T_4256 = bus_rsp_write_error & _T_4225; // @[el2_lsu_bus_buffer.scala 530:53] - wire _T_4257 = _T_4253 | _T_4256; // @[el2_lsu_bus_buffer.scala 529:88] - wire _T_4258 = _T_4159 & _T_4257; // @[el2_lsu_bus_buffer.scala 528:68] + wire _T_4163 = buf_state_en_3 & _T_4234; // @[el2_lsu_bus_buffer.scala 512:44] + wire _T_4164 = _T_4163 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:60] + wire _T_4166 = _T_4164 & _T_1346; // @[el2_lsu_bus_buffer.scala 512:74] + wire _T_4169 = _T_4159 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 514:67] + wire _T_4170 = _T_4169 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 514:81] + wire _T_4173 = _T_4169 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 515:82] + wire _T_4248 = bus_rsp_read_error & _T_4227; // @[el2_lsu_bus_buffer.scala 529:91] + wire _T_4250 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 530:31] + wire _T_4252 = _T_4250 & _T_4229; // @[el2_lsu_bus_buffer.scala 530:46] + wire _T_4253 = _T_4248 | _T_4252; // @[el2_lsu_bus_buffer.scala 529:143] + wire _T_4256 = bus_rsp_write_error & _T_4225; // @[el2_lsu_bus_buffer.scala 531:53] + wire _T_4257 = _T_4253 | _T_4256; // @[el2_lsu_bus_buffer.scala 530:88] + wire _T_4258 = _T_4159 & _T_4257; // @[el2_lsu_bus_buffer.scala 529:68] wire _GEN_274 = _T_4180 & _T_4258; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4146 ? _T_4173 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4142 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4119 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4184 = buf_write[3] & _T_3604; // @[el2_lsu_bus_buffer.scala 518:71] - wire _T_4185 = io_dec_tlu_force_halt | _T_4184; // @[el2_lsu_bus_buffer.scala 518:55] - wire _T_4187 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 519:30] - wire _T_4188 = buf_dual_3 & _T_4187; // @[el2_lsu_bus_buffer.scala 519:28] - wire _T_4191 = _T_4188 & _T_4234; // @[el2_lsu_bus_buffer.scala 519:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 519:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 519:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_4192 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 519:90] - wire _T_4193 = _T_4191 & _T_4192; // @[el2_lsu_bus_buffer.scala 519:61] - wire _T_4195 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:31] + wire _T_4184 = buf_write[3] & _T_3604; // @[el2_lsu_bus_buffer.scala 519:71] + wire _T_4185 = io_dec_tlu_force_halt | _T_4184; // @[el2_lsu_bus_buffer.scala 519:55] + wire _T_4187 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 520:30] + wire _T_4188 = buf_dual_3 & _T_4187; // @[el2_lsu_bus_buffer.scala 520:28] + wire _T_4191 = _T_4188 & _T_4234; // @[el2_lsu_bus_buffer.scala 520:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 520:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 520:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 520:90] + wire _T_4192 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 520:90] + wire _T_4193 = _T_4191 & _T_4192; // @[el2_lsu_bus_buffer.scala 520:61] + wire _T_4195 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:31] wire _T_4201 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_4203 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] wire _T_4205 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] @@ -2266,17 +2402,17 @@ module el2_lsu_bus_buffer( wire _T_4213 = _T_4209 | _T_4210; // @[Mux.scala 27:72] wire _T_4214 = _T_4213 | _T_4211; // @[Mux.scala 27:72] wire _T_4215 = _T_4214 | _T_4212; // @[Mux.scala 27:72] - wire _T_4217 = _T_4191 & _T_4215; // @[el2_lsu_bus_buffer.scala 520:101] - wire _T_4218 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 520:167] - wire _T_4219 = _T_4217 & _T_4218; // @[el2_lsu_bus_buffer.scala 520:138] - wire _T_4220 = _T_4219 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 520:187] - wire _T_4221 = _T_4195 | _T_4220; // @[el2_lsu_bus_buffer.scala 520:53] - wire _T_4244 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 527:47] - wire _T_4245 = _T_4244 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 527:62] - wire _T_4259 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 531:50] - wire _T_4260 = buf_state_en_3 & _T_4259; // @[el2_lsu_bus_buffer.scala 531:48] - wire _T_4272 = buf_ldfwd[3] | _T_4277[0]; // @[el2_lsu_bus_buffer.scala 534:90] - wire _T_4273 = _T_4272 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 534:118] + wire _T_4217 = _T_4191 & _T_4215; // @[el2_lsu_bus_buffer.scala 521:101] + wire _T_4218 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 521:167] + wire _T_4219 = _T_4217 & _T_4218; // @[el2_lsu_bus_buffer.scala 521:138] + wire _T_4220 = _T_4219 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 521:187] + wire _T_4221 = _T_4195 | _T_4220; // @[el2_lsu_bus_buffer.scala 521:53] + wire _T_4244 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 528:47] + wire _T_4245 = _T_4244 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 528:62] + wire _T_4259 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 532:50] + wire _T_4260 = buf_state_en_3 & _T_4259; // @[el2_lsu_bus_buffer.scala 532:48] + wire _T_4272 = buf_ldfwd[3] | _T_4277[0]; // @[el2_lsu_bus_buffer.scala 535:90] + wire _T_4273 = _T_4272 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 535:118] wire _GEN_257 = _T_4293 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4285 ? 1'h0 : _T_4293; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4285 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2300,77 +2436,77 @@ module el2_lsu_bus_buffer( reg _T_4354; // @[Reg.scala 27:20] reg _T_4357; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4357,_T_4354,_T_4351,_T_4348}; // @[Cat.scala 29:58] - reg _T_4423; // @[el2_lsu_bus_buffer.scala 570:82] - reg _T_4418; // @[el2_lsu_bus_buffer.scala 570:82] - reg _T_4413; // @[el2_lsu_bus_buffer.scala 570:82] - reg _T_4408; // @[el2_lsu_bus_buffer.scala 570:82] + reg _T_4423; // @[el2_lsu_bus_buffer.scala 571:82] + reg _T_4418; // @[el2_lsu_bus_buffer.scala 571:82] + reg _T_4413; // @[el2_lsu_bus_buffer.scala 571:82] + reg _T_4408; // @[el2_lsu_bus_buffer.scala 571:82] wire [3:0] buf_error = {_T_4423,_T_4418,_T_4413,_T_4408}; // @[Cat.scala 29:58] - wire _T_4405 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 570:86] - wire _T_4406 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 570:128] - wire _T_4410 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 570:86] - wire _T_4411 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 570:128] - wire _T_4415 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 570:86] - wire _T_4416 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 570:128] - wire _T_4420 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 570:86] - wire _T_4421 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 570:128] + wire _T_4405 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 571:86] + wire _T_4406 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 571:128] + wire _T_4410 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 571:86] + wire _T_4411 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 571:128] + wire _T_4415 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 571:86] + wire _T_4416 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 571:128] + wire _T_4420 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 571:86] + wire _T_4421 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 571:128] wire [2:0] _T_4428 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4434 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 573:96] - wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 573:96] - wire [2:0] _T_4435 = _T_4434 + _GEN_388; // @[el2_lsu_bus_buffer.scala 573:96] - wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 573:96] - wire [3:0] buf_numvld_any = _T_4435 + _GEN_389; // @[el2_lsu_bus_buffer.scala 573:96] - wire _T_4505 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 579:52] - wire _T_4506 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 579:92] - wire _T_4507 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 579:119] - wire _T_4509 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 580:52] - wire _T_4510 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 580:52] - wire _T_4511 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 580:52] - wire _T_4512 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 580:52] - wire _T_4513 = _T_4509 | _T_4510; // @[el2_lsu_bus_buffer.scala 580:65] - wire _T_4514 = _T_4513 | _T_4511; // @[el2_lsu_bus_buffer.scala 580:65] - wire _T_4515 = _T_4514 | _T_4512; // @[el2_lsu_bus_buffer.scala 580:65] - wire _T_4516 = ~_T_4515; // @[el2_lsu_bus_buffer.scala 580:34] - wire _T_4518 = _T_4516 & _T_844; // @[el2_lsu_bus_buffer.scala 580:70] - wire _T_4521 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 582:51] - wire _T_4522 = _T_4521 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 582:72] - wire _T_4523 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 582:94] - wire _T_4524 = _T_4522 & _T_4523; // @[el2_lsu_bus_buffer.scala 582:92] - wire _T_4525 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 582:111] - wire _T_4527 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 585:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 671:66] - wire _T_4545 = _T_2811 & _T_1217; // @[Mux.scala 27:72] + wire [1:0] _T_4434 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 574:96] + wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 574:96] + wire [2:0] _T_4435 = _T_4434 + _GEN_388; // @[el2_lsu_bus_buffer.scala 574:96] + wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 574:96] + wire [3:0] buf_numvld_any = _T_4435 + _GEN_389; // @[el2_lsu_bus_buffer.scala 574:96] + wire _T_4505 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 580:52] + wire _T_4506 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 580:92] + wire _T_4507 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 580:119] + wire _T_4509 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 581:52] + wire _T_4510 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 581:52] + wire _T_4511 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 581:52] + wire _T_4512 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 581:52] + wire _T_4513 = _T_4509 | _T_4510; // @[el2_lsu_bus_buffer.scala 581:65] + wire _T_4514 = _T_4513 | _T_4511; // @[el2_lsu_bus_buffer.scala 581:65] + wire _T_4515 = _T_4514 | _T_4512; // @[el2_lsu_bus_buffer.scala 581:65] + wire _T_4516 = ~_T_4515; // @[el2_lsu_bus_buffer.scala 581:34] + wire _T_4518 = _T_4516 & _T_844; // @[el2_lsu_bus_buffer.scala 581:70] + wire _T_4521 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 583:51] + wire _T_4522 = _T_4521 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 583:72] + wire _T_4523 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 583:94] + wire _T_4524 = _T_4522 & _T_4523; // @[el2_lsu_bus_buffer.scala 583:92] + wire _T_4525 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 583:111] + wire _T_4527 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 586:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 672:66] + wire _T_4545 = _T_2811 & _T_3655; // @[Mux.scala 27:72] wire _T_4546 = _T_2833 & _T_3848; // @[Mux.scala 27:72] wire _T_4547 = _T_2855 & _T_4041; // @[Mux.scala 27:72] wire _T_4548 = _T_2877 & _T_4234; // @[Mux.scala 27:72] wire _T_4549 = _T_4545 | _T_4546; // @[Mux.scala 27:72] wire _T_4550 = _T_4549 | _T_4547; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4550 | _T_4548; // @[Mux.scala 27:72] - wire _T_4556 = buf_error[0] & _T_1217; // @[el2_lsu_bus_buffer.scala 588:108] - wire _T_4561 = buf_error[1] & _T_3848; // @[el2_lsu_bus_buffer.scala 588:108] - wire _T_4566 = buf_error[2] & _T_4041; // @[el2_lsu_bus_buffer.scala 588:108] - wire _T_4571 = buf_error[3] & _T_4234; // @[el2_lsu_bus_buffer.scala 588:108] + wire _T_4556 = buf_error[0] & _T_3655; // @[el2_lsu_bus_buffer.scala 589:108] + wire _T_4561 = buf_error[1] & _T_3848; // @[el2_lsu_bus_buffer.scala 589:108] + wire _T_4566 = buf_error[2] & _T_4041; // @[el2_lsu_bus_buffer.scala 589:108] + wire _T_4571 = buf_error[3] & _T_4234; // @[el2_lsu_bus_buffer.scala 589:108] wire _T_4572 = _T_2811 & _T_4556; // @[Mux.scala 27:72] wire _T_4573 = _T_2833 & _T_4561; // @[Mux.scala 27:72] wire _T_4574 = _T_2855 & _T_4566; // @[Mux.scala 27:72] wire _T_4575 = _T_2877 & _T_4571; // @[Mux.scala 27:72] wire _T_4576 = _T_4572 | _T_4573; // @[Mux.scala 27:72] wire _T_4577 = _T_4576 | _T_4574; // @[Mux.scala 27:72] - wire _T_4584 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 589:109] - wire _T_4585 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 589:124] - wire _T_4586 = _T_4584 | _T_4585; // @[el2_lsu_bus_buffer.scala 589:122] - wire _T_4587 = _T_4545 & _T_4586; // @[el2_lsu_bus_buffer.scala 589:106] - wire _T_4592 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 589:109] - wire _T_4593 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 589:124] - wire _T_4594 = _T_4592 | _T_4593; // @[el2_lsu_bus_buffer.scala 589:122] - wire _T_4595 = _T_4546 & _T_4594; // @[el2_lsu_bus_buffer.scala 589:106] - wire _T_4600 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 589:109] - wire _T_4601 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 589:124] - wire _T_4602 = _T_4600 | _T_4601; // @[el2_lsu_bus_buffer.scala 589:122] - wire _T_4603 = _T_4547 & _T_4602; // @[el2_lsu_bus_buffer.scala 589:106] - wire _T_4608 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 589:109] - wire _T_4609 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 589:124] - wire _T_4610 = _T_4608 | _T_4609; // @[el2_lsu_bus_buffer.scala 589:122] - wire _T_4611 = _T_4548 & _T_4610; // @[el2_lsu_bus_buffer.scala 589:106] + wire _T_4584 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 590:109] + wire _T_4585 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 590:124] + wire _T_4586 = _T_4584 | _T_4585; // @[el2_lsu_bus_buffer.scala 590:122] + wire _T_4587 = _T_4545 & _T_4586; // @[el2_lsu_bus_buffer.scala 590:106] + wire _T_4592 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 590:109] + wire _T_4593 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 590:124] + wire _T_4594 = _T_4592 | _T_4593; // @[el2_lsu_bus_buffer.scala 590:122] + wire _T_4595 = _T_4546 & _T_4594; // @[el2_lsu_bus_buffer.scala 590:106] + wire _T_4600 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 590:109] + wire _T_4601 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 590:124] + wire _T_4602 = _T_4600 | _T_4601; // @[el2_lsu_bus_buffer.scala 590:122] + wire _T_4603 = _T_4547 & _T_4602; // @[el2_lsu_bus_buffer.scala 590:106] + wire _T_4608 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 590:109] + wire _T_4609 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 590:124] + wire _T_4610 = _T_4608 | _T_4609; // @[el2_lsu_bus_buffer.scala 590:122] + wire _T_4611 = _T_4548 & _T_4610; // @[el2_lsu_bus_buffer.scala 590:106] wire [1:0] _T_4614 = _T_4603 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4615 = _T_4611 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _GEN_390 = {{1'd0}, _T_4595}; // @[Mux.scala 27:72] @@ -2382,14 +2518,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4656 = _T_4652 | _T_4653; // @[Mux.scala 27:72] wire [31:0] _T_4657 = _T_4656 | _T_4654; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4657 | _T_4655; // @[Mux.scala 27:72] - wire _T_4663 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 591:120] - wire _T_4664 = _T_4545 & _T_4663; // @[el2_lsu_bus_buffer.scala 591:105] - wire _T_4669 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 591:120] - wire _T_4670 = _T_4546 & _T_4669; // @[el2_lsu_bus_buffer.scala 591:105] - wire _T_4675 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 591:120] - wire _T_4676 = _T_4547 & _T_4675; // @[el2_lsu_bus_buffer.scala 591:105] - wire _T_4681 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 591:120] - wire _T_4682 = _T_4548 & _T_4681; // @[el2_lsu_bus_buffer.scala 591:105] + wire _T_4663 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 592:120] + wire _T_4664 = _T_4545 & _T_4663; // @[el2_lsu_bus_buffer.scala 592:105] + wire _T_4669 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 592:120] + wire _T_4670 = _T_4546 & _T_4669; // @[el2_lsu_bus_buffer.scala 592:105] + wire _T_4675 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 592:120] + wire _T_4676 = _T_4547 & _T_4675; // @[el2_lsu_bus_buffer.scala 592:105] + wire _T_4681 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 592:120] + wire _T_4682 = _T_4548 & _T_4681; // @[el2_lsu_bus_buffer.scala 592:105] wire [31:0] _T_4683 = _T_4664 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4684 = _T_4670 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4685 = _T_4676 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2408,7 +2544,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4698 = _T_4694 | _T_4695; // @[Mux.scala 27:72] wire [31:0] _T_4699 = _T_4698 | _T_4696; // @[Mux.scala 27:72] wire [31:0] _T_4700 = _T_4699 | _T_4697; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4700[1:0]; // @[el2_lsu_bus_buffer.scala 592:83] + wire [1:0] lsu_nonblock_addr_offset = _T_4700[1:0]; // @[el2_lsu_bus_buffer.scala 593:83] wire [1:0] _T_4706 = _T_4690 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4707 = _T_4691 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4708 = _T_4692 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -2424,24 +2560,24 @@ module el2_lsu_bus_buffer( wire _T_4726 = _T_4725 | _T_4723; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4726 | _T_4724; // @[Mux.scala 27:72] wire [63:0] _T_4746 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 596:121] - wire [5:0] _T_4747 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 596:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4746 >> _T_4747; // @[el2_lsu_bus_buffer.scala 596:92] - wire _T_4748 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 599:69] - wire _T_4750 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 600:81] - wire _T_4751 = lsu_nonblock_unsign & _T_4750; // @[el2_lsu_bus_buffer.scala 600:63] + wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 597:121] + wire [5:0] _T_4747 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 597:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4746 >> _T_4747; // @[el2_lsu_bus_buffer.scala 597:92] + wire _T_4748 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 600:69] + wire _T_4750 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 601:81] + wire _T_4751 = lsu_nonblock_unsign & _T_4750; // @[el2_lsu_bus_buffer.scala 601:63] wire [31:0] _T_4753 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4754 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 601:45] - wire _T_4755 = lsu_nonblock_unsign & _T_4754; // @[el2_lsu_bus_buffer.scala 601:26] + wire _T_4754 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 602:45] + wire _T_4755 = lsu_nonblock_unsign & _T_4754; // @[el2_lsu_bus_buffer.scala 602:26] wire [31:0] _T_4757 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4758 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 602:6] - wire _T_4760 = _T_4758 & _T_4750; // @[el2_lsu_bus_buffer.scala 602:27] + wire _T_4758 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 603:6] + wire _T_4760 = _T_4758 & _T_4750; // @[el2_lsu_bus_buffer.scala 603:27] wire [23:0] _T_4763 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4765 = {_T_4763,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4768 = _T_4758 & _T_4754; // @[el2_lsu_bus_buffer.scala 603:27] + wire _T_4768 = _T_4758 & _T_4754; // @[el2_lsu_bus_buffer.scala 604:27] wire [15:0] _T_4771 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4773 = {_T_4771,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4774 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 604:21] + wire _T_4774 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 605:21] wire [31:0] _T_4775 = _T_4751 ? _T_4753 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4776 = _T_4755 ? _T_4757 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4777 = _T_4760 ? _T_4765 : 32'h0; // @[Mux.scala 27:72] @@ -2452,49 +2588,49 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4782 = _T_4781 | _T_4778; // @[Mux.scala 27:72] wire [63:0] _GEN_392 = {{32'd0}, _T_4782}; // @[Mux.scala 27:72] wire [63:0] _T_4783 = _GEN_392 | _T_4779; // @[Mux.scala 27:72] - wire _T_4878 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 622:36] - wire _T_4879 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 622:51] - wire _T_4880 = _T_4878 & _T_4879; // @[el2_lsu_bus_buffer.scala 622:49] + wire _T_4878 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 623:36] + wire _T_4879 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 623:51] + wire _T_4880 = _T_4878 & _T_4879; // @[el2_lsu_bus_buffer.scala 623:49] wire [31:0] _T_4884 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4886 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4891 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 634:50] - wire _T_4892 = _T_4878 & _T_4891; // @[el2_lsu_bus_buffer.scala 634:48] + wire _T_4891 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 635:50] + wire _T_4892 = _T_4878 & _T_4891; // @[el2_lsu_bus_buffer.scala 635:48] wire [7:0] _T_4896 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4899 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 639:36] - wire _T_4901 = _T_4899 & _T_1362; // @[el2_lsu_bus_buffer.scala 639:50] - wire _T_4913 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 652:114] - wire _T_4915 = _T_4913 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 652:129] - wire _T_4918 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 652:114] - wire _T_4920 = _T_4918 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 652:129] - wire _T_4923 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 652:114] - wire _T_4925 = _T_4923 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 652:129] - wire _T_4928 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 652:114] - wire _T_4930 = _T_4928 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 652:129] + wire _T_4899 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 640:36] + wire _T_4901 = _T_4899 & _T_1362; // @[el2_lsu_bus_buffer.scala 640:50] + wire _T_4913 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 653:114] + wire _T_4915 = _T_4913 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 653:129] + wire _T_4918 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 653:114] + wire _T_4920 = _T_4918 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 653:129] + wire _T_4923 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 653:114] + wire _T_4925 = _T_4923 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 653:129] + wire _T_4928 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 653:114] + wire _T_4930 = _T_4928 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 653:129] wire _T_4931 = _T_2811 & _T_4915; // @[Mux.scala 27:72] wire _T_4932 = _T_2833 & _T_4920; // @[Mux.scala 27:72] wire _T_4933 = _T_2855 & _T_4925; // @[Mux.scala 27:72] wire _T_4934 = _T_2877 & _T_4930; // @[Mux.scala 27:72] wire _T_4935 = _T_4931 | _T_4932; // @[Mux.scala 27:72] wire _T_4936 = _T_4935 | _T_4933; // @[Mux.scala 27:72] - wire _T_4946 = _T_2833 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 653:98] - wire lsu_imprecise_error_store_tag = _T_4946 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 653:113] - wire _T_4952 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 655:72] + wire _T_4946 = _T_2833 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 654:98] + wire lsu_imprecise_error_store_tag = _T_4946 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 654:113] + wire _T_4952 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 656:72] wire _T_4954 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] wire [31:0] _T_4956 = _T_4954 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4957 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4958 = _T_4956 | _T_4957; // @[Mux.scala 27:72] - wire _T_4975 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 662:68] - wire _T_4978 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 663:48] - wire _T_4981 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 666:48] - wire _T_4982 = io_lsu_axi_awvalid & _T_4981; // @[el2_lsu_bus_buffer.scala 666:46] - wire _T_4983 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 666:92] - wire _T_4984 = io_lsu_axi_wvalid & _T_4983; // @[el2_lsu_bus_buffer.scala 666:90] - wire _T_4985 = _T_4982 | _T_4984; // @[el2_lsu_bus_buffer.scala 666:69] - wire _T_4986 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 666:136] - wire _T_4987 = io_lsu_axi_arvalid & _T_4986; // @[el2_lsu_bus_buffer.scala 666:134] - wire _T_4991 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 670:75] - wire _T_4992 = io_lsu_busreq_m & _T_4991; // @[el2_lsu_bus_buffer.scala 670:73] - reg _T_4995; // @[el2_lsu_bus_buffer.scala 670:56] + wire _T_4975 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 663:68] + wire _T_4978 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 664:48] + wire _T_4981 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 667:48] + wire _T_4982 = io_lsu_axi_awvalid & _T_4981; // @[el2_lsu_bus_buffer.scala 667:46] + wire _T_4983 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 667:92] + wire _T_4984 = io_lsu_axi_wvalid & _T_4983; // @[el2_lsu_bus_buffer.scala 667:90] + wire _T_4985 = _T_4982 | _T_4984; // @[el2_lsu_bus_buffer.scala 667:69] + wire _T_4986 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 667:136] + wire _T_4987 = io_lsu_axi_arvalid & _T_4986; // @[el2_lsu_bus_buffer.scala 667:134] + wire _T_4991 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 671:75] + wire _T_4992 = io_lsu_busreq_m & _T_4991; // @[el2_lsu_bus_buffer.scala 671:73] + reg _T_4995; // @[el2_lsu_bus_buffer.scala 671:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2567,65 +2703,65 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4995; // @[el2_lsu_bus_buffer.scala 670:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 578:30] - assign io_lsu_bus_buffer_full_any = _T_4505 ? _T_4506 : _T_4507; // @[el2_lsu_bus_buffer.scala 579:30] - assign io_lsu_bus_buffer_empty_any = _T_4518 & _T_1244; // @[el2_lsu_bus_buffer.scala 580:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 659:23] - assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 196:25] - assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 197:25] - assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 222:24] - assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 227:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4952; // @[el2_lsu_bus_buffer.scala 655:35] - assign io_lsu_imprecise_error_store_any = _T_4936 | _T_4934; // @[el2_lsu_bus_buffer.scala 652:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4958 : _T_4700; // @[el2_lsu_bus_buffer.scala 656:35] - assign io_lsu_nonblock_load_valid_m = _T_4524 & _T_4525; // @[el2_lsu_bus_buffer.scala 582:32] - assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 583:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4527; // @[el2_lsu_bus_buffer.scala 585:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 586:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4748; // @[el2_lsu_bus_buffer.scala 599:35] - assign io_lsu_nonblock_load_data_error = _T_4577 | _T_4575; // @[el2_lsu_bus_buffer.scala 588:35] - assign io_lsu_nonblock_load_data_tag = _T_4617 | _T_4615; // @[el2_lsu_bus_buffer.scala 589:33] - assign io_lsu_nonblock_load_data = _T_4783[31:0]; // @[el2_lsu_bus_buffer.scala 600:29] - assign io_lsu_pmu_bus_trxn = _T_4975 | _T_4870; // @[el2_lsu_bus_buffer.scala 662:23] - assign io_lsu_pmu_bus_misaligned = _T_4978 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 663:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 664:24] - assign io_lsu_pmu_bus_busy = _T_4985 | _T_4987; // @[el2_lsu_bus_buffer.scala 666:23] - assign io_lsu_axi_awvalid = _T_4880 & _T_1252; // @[el2_lsu_bus_buffer.scala 622:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 623:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4884; // @[el2_lsu_bus_buffer.scala 624:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 628:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 629:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4886 : 3'h3; // @[el2_lsu_bus_buffer.scala 625:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 630:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 632:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 627:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 626:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 631:20] - assign io_lsu_axi_wvalid = _T_4892 & _T_1252; // @[el2_lsu_bus_buffer.scala 634:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 636:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4896; // @[el2_lsu_bus_buffer.scala 635:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 637:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 650:21] - assign io_lsu_axi_arvalid = _T_4901 & _T_1252; // @[el2_lsu_bus_buffer.scala 639:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 640:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4884; // @[el2_lsu_bus_buffer.scala 641:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 645:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 646:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4886 : 3'h3; // @[el2_lsu_bus_buffer.scala 642:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 647:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 649:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 644:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 643:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 648:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 651:21] - assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 256:11] - assign io_data_hi = _T_4688 | _T_4686; // @[el2_lsu_bus_buffer.scala 597:14] - assign io_data_lo = _T_4657 | _T_4655; // @[el2_lsu_bus_buffer.scala 598:14] - assign io_data_en = {_T_4428,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 571:14] - assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 315:14] + assign io_lsu_busreq_r = _T_4995; // @[el2_lsu_bus_buffer.scala 671:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 579:30] + assign io_lsu_bus_buffer_full_any = _T_4505 ? _T_4506 : _T_4507; // @[el2_lsu_bus_buffer.scala 580:30] + assign io_lsu_bus_buffer_empty_any = _T_4518 & _T_1244; // @[el2_lsu_bus_buffer.scala 581:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 660:23] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 197:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 198:25] + assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 223:24] + assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 228:24] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4952; // @[el2_lsu_bus_buffer.scala 656:35] + assign io_lsu_imprecise_error_store_any = _T_4936 | _T_4934; // @[el2_lsu_bus_buffer.scala 653:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4958 : _T_4700; // @[el2_lsu_bus_buffer.scala 657:35] + assign io_lsu_nonblock_load_valid_m = _T_4524 & _T_4525; // @[el2_lsu_bus_buffer.scala 583:32] + assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 584:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4527; // @[el2_lsu_bus_buffer.scala 586:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 587:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4748; // @[el2_lsu_bus_buffer.scala 600:35] + assign io_lsu_nonblock_load_data_error = _T_4577 | _T_4575; // @[el2_lsu_bus_buffer.scala 589:35] + assign io_lsu_nonblock_load_data_tag = _T_4617 | _T_4615; // @[el2_lsu_bus_buffer.scala 590:33] + assign io_lsu_nonblock_load_data = _T_4783[31:0]; // @[el2_lsu_bus_buffer.scala 601:29] + assign io_lsu_pmu_bus_trxn = _T_4975 | _T_4870; // @[el2_lsu_bus_buffer.scala 663:23] + assign io_lsu_pmu_bus_misaligned = _T_4978 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 664:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 665:24] + assign io_lsu_pmu_bus_busy = _T_4985 | _T_4987; // @[el2_lsu_bus_buffer.scala 667:23] + assign io_lsu_axi_awvalid = _T_4880 & _T_1252; // @[el2_lsu_bus_buffer.scala 623:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 624:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4884; // @[el2_lsu_bus_buffer.scala 625:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 629:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 630:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4886 : 3'h3; // @[el2_lsu_bus_buffer.scala 626:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 631:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 633:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 628:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 627:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 632:20] + assign io_lsu_axi_wvalid = _T_4892 & _T_1252; // @[el2_lsu_bus_buffer.scala 635:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 637:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4896; // @[el2_lsu_bus_buffer.scala 636:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 638:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 651:21] + assign io_lsu_axi_arvalid = _T_4901 & _T_1252; // @[el2_lsu_bus_buffer.scala 640:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 641:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4884; // @[el2_lsu_bus_buffer.scala 642:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 646:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 647:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4886 : 3'h3; // @[el2_lsu_bus_buffer.scala 643:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 648:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 650:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 645:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 644:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 649:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 652:21] + assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 257:11] + assign io_data_hi = _T_4688 | _T_4686; // @[el2_lsu_bus_buffer.scala 598:14] + assign io_data_lo = _T_4657 | _T_4655; // @[el2_lsu_bus_buffer.scala 599:14] + assign io_data_en = {_T_4428,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 572:14] + assign io_Cmdptr0 = _T_2089[1:0]; // @[el2_lsu_bus_buffer.scala 441:14] assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 357:14] - assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 276:15] + assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 277:15] assign io_WrPtr1_m = _T_1927 ? 2'h0 : _T_1972; // @[el2_lsu_bus_buffer.scala 426:15] assign io_wdata_in = {_T_1633,_T_1592}; // @[el2_lsu_bus_buffer.scala 392:15] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] @@ -3591,7 +3727,7 @@ end // initial if (ibuf_buf_byp) begin _T_1861 <= WrPtr0_r; end else begin - _T_1861 <= 2'h0; + _T_1861 <= CmdPtr0; end end end @@ -4052,7 +4188,7 @@ end // initial if (ibuf_buf_byp) begin obuf_write <= io_lsu_pkt_r_store; end else begin - obuf_write <= buf_write[0]; + obuf_write <= _T_1215; end end end @@ -4083,7 +4219,7 @@ end // initial end else if (ibuf_buf_byp) begin obuf_addr <= io_lsu_addr_r; end else begin - obuf_addr <= buf_addr_0; + obuf_addr <= _T_1302; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4137,7 +4273,7 @@ end // initial if (ibuf_buf_byp) begin obuf_sideeffect <= io_is_sideeffects_r; end else begin - obuf_sideeffect <= buf_sideeffect[0]; + obuf_sideeffect <= _T_1064; end end end @@ -4190,7 +4326,7 @@ end // initial if (ibuf_buf_byp) begin obuf_sz <= ibuf_sz_in; end else begin - obuf_sz <= buf_sz_0; + obuf_sz <= _T_1315; end end end diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index 042623e2..6b8114cd 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -128,6 +128,7 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val buf_addr = Wire(Vec(DEPTH, UInt(32.W))) val buf_state = Wire(Vec(DEPTH, UInt(3.W))) val buf_write = WireInit(UInt(DEPTH.W), 0.U) + val CmdPtr0 = WireInit(UInt(DEPTH_LOG2.W), 0.U) val ldst_byteen_hi_m = io.ldst_byteen_ext_m(7, 4) @@ -311,16 +312,15 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val obuf_wr_timer = WireInit(UInt(TIMER_LOG2.W), 0.U) val buf_nomerge = Wire(Vec(DEPTH, Bool())) buf_nomerge := buf_nomerge.map(i=> false.B) - val Cmdptr0 = WireInit(UInt(LSU_NUM_NBLOAD_WIDTH.W), 0.U) - io.Cmdptr0 := Cmdptr0 + val buf_sideeffect = WireInit(UInt(LSU_NUM_NBLOAD.W), 0.U) val obuf_force_wr_en = WireInit(Bool(), false.B) val obuf_wr_en = WireInit(Bool(), false.B) val obuf_wr_wait = (buf_numvld_wrcmd_any===1.U) & (buf_numvld_cmd_any===1.U) & (obuf_wr_timer =/= TIMER_MAX.U) & - !bus_coalescing_disable & !Mux1H((0 until math.pow(2,LSU_NUM_NBLOAD_WIDTH).asInstanceOf[Int]).map(i=>(Cmdptr0===i.U)->buf_nomerge(i))) & - !Mux1H((0 until math.pow(2,LSU_NUM_NBLOAD_WIDTH).asInstanceOf[Int]).map(i=>(Cmdptr0===i.U)->buf_sideeffect(i))) & !obuf_force_wr_en + !bus_coalescing_disable & !Mux1H((0 until math.pow(2,LSU_NUM_NBLOAD_WIDTH).asInstanceOf[Int]).map(i=>(CmdPtr0===i.U)->buf_nomerge(i))) & + !Mux1H((0 until math.pow(2,LSU_NUM_NBLOAD_WIDTH).asInstanceOf[Int]).map(i=>(CmdPtr0===i.U)->buf_sideeffect(i))) & !obuf_force_wr_en val obuf_wr_timer_in = Mux(obuf_wr_en, 0.U(3.W), Mux(buf_numvld_cmd_any.orR & (obuf_wr_timer(Cmdptr0===i.U)->buf_addr(i)(31,2)))) + obuf_force_wr_en := io.lsu_busreq_m & !io.lsu_busreq_r & !ibuf_valid & (buf_numvld_cmd_any===1.U) & (io.lsu_addr_m(31,2)=/=Mux1H((0 until math.pow(2,LSU_NUM_NBLOAD_WIDTH).asInstanceOf[Int]).map(i=>(CmdPtr0===i.U)->buf_addr(i)(31,2)))) val buf_numvld_pend_any = WireInit(UInt(4.W), 0.U) val ibuf_buf_byp = ibuf_byp & (buf_numvld_pend_any===0.U) & (!io.lsu_pkt_r.store | io.no_dword_merge_r) val bus_sideeffect_pend = WireInit(Bool(), false.B) @@ -338,21 +338,21 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val lsu_bus_cntr_overflow = WireInit(Bool(), false.B) val bus_addr_match_pending = WireInit(Bool(), false.B) obuf_wr_en := ((ibuf_buf_byp & io.lsu_commit_r & !(io.is_sideeffects_r & bus_sideeffect_pend)) | - ((indexing(buf_state, Cmdptr0) === cmd_C) & - found_cmdptr0 & !indexing(buf_cmd_state_bus_en.map(_.asUInt).reverse.reduce(Cat(_,_)), Cmdptr0) & !(indexing(buf_sideeffect, Cmdptr0) & bus_sideeffect_pend) & - (!(indexing(buf_dual.map(_.asUInt).reverse.reduce(Cat(_,_)), Cmdptr0) & indexing(buf_samedw.map(_.asUInt).reverse.reduce(Cat(_,_)), Cmdptr0) & !indexing(buf_write, Cmdptr0)) | found_cmdptr1 | indexing(buf_nomerge.map(_.asUInt).reverse.reduce(Cat(_,_)), Cmdptr0) | + ((indexing(buf_state, CmdPtr0) === cmd_C) & + found_cmdptr0 & !indexing(buf_cmd_state_bus_en.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & !(indexing(buf_sideeffect, CmdPtr0) & bus_sideeffect_pend) & + (!(indexing(buf_dual.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & indexing(buf_samedw.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & !indexing(buf_write, CmdPtr0)) | found_cmdptr1 | indexing(buf_nomerge.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) | obuf_force_wr_en))) & (bus_cmd_ready | !obuf_valid | obuf_nosend) & !obuf_wr_wait & !lsu_bus_cntr_overflow & !bus_addr_match_pending & io.lsu_bus_clk_en val bus_cmd_sent = WireInit(Bool(), false.B) val obuf_rst = ((bus_cmd_sent | (obuf_valid & obuf_nosend)) & !obuf_wr_en & io.lsu_bus_clk_en) | io.dec_tlu_force_halt - val obuf_write_in = Mux(ibuf_buf_byp, io.lsu_pkt_r.store, indexing(buf_write, Cmdptr0)) - val obuf_sideeffect_in = Mux(ibuf_buf_byp, io.is_sideeffects_r, indexing(buf_sideeffect, Cmdptr0)) - val obuf_addr_in = Mux(ibuf_buf_byp, io.lsu_addr_r, indexing(buf_addr, Cmdptr0)) + val obuf_write_in = Mux(ibuf_buf_byp, io.lsu_pkt_r.store, indexing(buf_write, CmdPtr0)) + val obuf_sideeffect_in = Mux(ibuf_buf_byp, io.is_sideeffects_r, indexing(buf_sideeffect, CmdPtr0)) + val obuf_addr_in = Mux(ibuf_buf_byp, io.lsu_addr_r, indexing(buf_addr, CmdPtr0)) val buf_sz = Wire(Vec(DEPTH, UInt(2.W))) buf_sz := buf_sz.map(i=> 0.U) - val obuf_sz_in = Mux(ibuf_buf_byp, Cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half), indexing(buf_sz, Cmdptr0)) + val obuf_sz_in = Mux(ibuf_buf_byp, Cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half), indexing(buf_sz, CmdPtr0)) val obuf_merge_en = WireInit(Bool(), false.B) val obuf_merge_in = obuf_merge_en - val obuf_tag0_in = Mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) + val obuf_tag0_in = Mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) val Cmdptr1 = WireInit(UInt(DEPTH_LOG2.W), 0.U) io.Cmdptr1 := Cmdptr1 val obuf_tag1_in = Mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @@ -379,12 +379,12 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { obuf_nosend_in := (obuf_addr_in(31,3)===obuf_addr(31,3)) & obuf_aligned_in & !obuf_sideeffect & !obuf_write & !obuf_write_in & !io.dec_tlu_external_ldfwd_disable & ((obuf_valid & !obuf_nosend) | (obuf_rdrsp_pend & !(bus_rsp_read & (bus_rsp_read_tag === obuf_rdrsp_tag)))) val obuf_byteen0_in = Mux(ibuf_buf_byp, Mux(io.lsu_addr_r(2), Cat(ldst_byteen_lo_r, 0.U(4.W)), Cat(0.U(4.W), ldst_byteen_lo_r)), - Mux(indexing(buf_addr, Cmdptr0)(2).asBool(), Cat(indexing(buf_byteen, Cmdptr0), 0.U(4.W)), Cat(0.U(4.W),indexing(buf_byteen, Cmdptr0)))) + Mux(indexing(buf_addr, CmdPtr0)(2).asBool(), Cat(indexing(buf_byteen, CmdPtr0), 0.U(4.W)), Cat(0.U(4.W),indexing(buf_byteen, CmdPtr0)))) val obuf_byteen1_in = Mux(ibuf_buf_byp, Mux(io.end_addr_r(2), Cat(ldst_byteen_hi_r, 0.U(4.W)), Cat(0.U(4.W), ldst_byteen_hi_r)), Mux(indexing(buf_addr, Cmdptr1)(2).asBool(), Cat(indexing(buf_byteen, Cmdptr1), 0.U(4.W)), Cat(0.U(4.W),indexing(buf_byteen, Cmdptr1)))) val obuf_data0_in = Mux(ibuf_buf_byp, Mux(io.lsu_addr_r(2), Cat(store_data_lo_r, 0.U(32.W)), Cat(0.U(32.W), store_data_lo_r)), - Mux(indexing(buf_addr, Cmdptr0)(2).asBool(), Cat(indexing(buf_data, Cmdptr0), 0.U(32.W)), Cat(0.U(32.W),indexing(buf_data, Cmdptr0)))) + Mux(indexing(buf_addr, CmdPtr0)(2).asBool(), Cat(indexing(buf_data, CmdPtr0), 0.U(32.W)), Cat(0.U(32.W),indexing(buf_data, CmdPtr0)))) val obuf_data1_in = Mux(ibuf_buf_byp, Mux(io.lsu_addr_r(2), Cat(store_data_hi_r, 0.U(32.W)), Cat(0.U(32.W), store_data_hi_r)), Mux(indexing(buf_addr, Cmdptr1)(2).asBool(), Cat(indexing(buf_data, Cmdptr1), 0.U(32.W)), Cat(0.U(32.W),indexing(buf_data, Cmdptr1)))) val obuf_byteen_in = (0 until 8).map(i=>(obuf_byteen0_in(i) | (obuf_merge_en & obuf_byteen1_in(i))).asUInt).reverse.reduce(Cat(_,_)) @@ -392,11 +392,11 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { io.wdata_in := obuf_data_in val buf_dualhi = Wire(Vec(DEPTH, Bool())) buf_dualhi := buf_dualhi.map(i=> false.B) - obuf_merge_en := ((Cmdptr0 =/= Cmdptr1) & found_cmdptr0 & found_cmdptr1 & (indexing(buf_state, Cmdptr0) === cmd_C) & (indexing(buf_state, Cmdptr1) === cmd_C) & - !indexing(buf_cmd_state_bus_en.map(_.asUInt).reverse.reduce(Cat(_,_)), Cmdptr0) & !indexing(buf_sideeffect, Cmdptr0) & - ((indexing(buf_write, Cmdptr0) & indexing(buf_write, Cmdptr1) & - (indexing(buf_addr, Cmdptr0)(31,3)===indexing(buf_addr, Cmdptr1)(31,3)) & !bus_coalescing_disable & !BUILD_AXI_NATIVE.B) | - (!indexing(buf_write, Cmdptr0) & indexing(buf_dual.map(_.asUInt).reverse.reduce(Cat(_,_)), Cmdptr0) & !indexing(buf_dualhi.map(_.asUInt).reverse.reduce(Cat(_,_)), Cmdptr0) & indexing(buf_samedw.map(_.asUInt).reverse.reduce(Cat(_,_)), Cmdptr0)))) | + obuf_merge_en := ((CmdPtr0 =/= Cmdptr1) & found_cmdptr0 & found_cmdptr1 & (indexing(buf_state, CmdPtr0) === cmd_C) & (indexing(buf_state, Cmdptr1) === cmd_C) & + !indexing(buf_cmd_state_bus_en.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & !indexing(buf_sideeffect, CmdPtr0) & + ((indexing(buf_write, CmdPtr0) & indexing(buf_write, Cmdptr1) & + (indexing(buf_addr, CmdPtr0)(31,3)===indexing(buf_addr, Cmdptr1)(31,3)) & !bus_coalescing_disable & !BUILD_AXI_NATIVE.B) | + (!indexing(buf_write, CmdPtr0) & indexing(buf_dual.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & !indexing(buf_dualhi.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0) & indexing(buf_samedw.map(_.asUInt).reverse.reduce(Cat(_,_)), CmdPtr0)))) | (ibuf_buf_byp & ldst_samedw_r & io.ldst_dual_r) val obuf_wr_enQ = withClock(io.lsu_busm_clk){RegNext(obuf_wr_en, false.B)} @@ -437,7 +437,8 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { def Enc8x3(in: UInt) : UInt = Cat(in(4)|in(5)|in(6)|in(7), in(2)|in(3)|in(6)|in(7), in(1)|in(3)|in(5)|in(7)) - val CmdPtr0 = WireInit(UInt(DEPTH_LOG2.W), 0.U) + + io.Cmdptr0 := CmdPtr0 val CmdPtr1 = WireInit(UInt(DEPTH_LOG2.W), 0.U) val RspPtr = WireInit(UInt(DEPTH_LOG2.W), 0.U) CmdPtr0 := Enc8x3(Cat(Fill(8-DEPTH, 0.U),CmdPtr0Dec)) diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index faef5319b091cdae40a9bf7a1b0aef27d05fed7b..3f701d944a98e619498c78d98f91b2beaa04595e 100644 GIT binary patch delta 103 zcmcaFcVBLU1UKX2$&%c*K(c^Wd~zPQCzN%b+X~3y;;{je?mQ5A$;tD0EP(v$Jg#6> ml9SDNEy1i9#-)?b@`iFQVc=j|%An7*c(OKM1yE)Q-);cN=^<4B delta 103 zcmcaFcVBLU1UKWN$&%c*K(c^Wd~zPQCzN%b+X~3y;;{je?mQ5A$;tD0EP(v$Jg#6> ml9SDNEy1i9#wC-_@`iFQX5e62!l2KzXtFk61yE)Q-);cLVbwA!cz?=mLBq2b@E)u#{NH7Bk1X^i_LkJ1b0y>ems(T8gB>ws1 z6|Y~t_v%&Ew_a7f?&L^ z-p&>>i|wu1|n~>RL9RNfsU~&rW0tnPSMO zFpbz^c80BIXF^8EH0n#MI#!rXFQ!dn&xj;i^O^a@%xtlBlGu~kh2?Z{EuZN%jf$BE z#Z19`t}bXc!H>SaouQl=9}icAL#aTrCfRqQW`DzpusLH!%NmBmWfkQjV8&|t`c8qL zjWGW~1pIpD57+EId^lwGo3RUht4(_w%}CkdNVvZu_{glOtl4V@-~aNake_Y`oVm=LIx(0L28n94{ z!XH=oZHk?mkZZq#`Q^>j50c+-7=R9STYT!DnlQ<8|D06inJ?v^V?O&6as3%k?2Jo3 z+d0d8*>5#b*Pjv9zT~q%&nx_znDj^1dr`H|e42QntITJAgzL`@=JR+-K9AQe#ZFD7 zv@h+Al8kc40Ca(8B=#}$59ofsTFDH4Q)Dlhrm04u7>3By5^`BqTni6mnx^R1W~Y9wIE z$Qi#Dh5e`5zU0?zCqJ3rtoSo7`P?uivZj^D8nPp+1TIHb2?#r~65oofl5a;=DbJBr z^6khfevKPJ zLl+hPxWd1x@N3-28oHtI#})o9i!c4zEpa0KnN#JNFDJllRep~vzo_uX75<9C=ZMY| z*ox>JXkS>!k=M2tqiMi z8R_N95S~}%-IXDH$Kn&N;vDHE-j)^qs>07J{5VH?DSuD3@2&vh7ZiTnT>-+@zE|RT zmHE<6z@2!jaSrs7A5{35Ftn0Oew6v#UK!}Qy;TZ-RpHkv{J0GCEMKSE=LJC8Z&dhk zxd3pzdldev!r!a#<8lFD`2z}nRpCFQ@Z;_R5I&~xR~3Fj;m6$tAbecmuPXc=g&%hp zhVUtiPw{8f-ExQfm`@ACYTR8I!e>-@cVP%yTW&dyyyfQh+FNeIm9^!T{i$rZSJf@I zwbS7^<}M6jWnoxV7KX6BFpzy~VUYH3DebLs7lyFCFi3fYuPh9!HSPisRu+a;Wnl;_ z3&X0iFof-ef%=oT`aDmSg<(}$7{bcJusZH83}I(sfTLzDZ|SLF5qn`k1?Ggi)sNT< z1MzF!Kp(Le2C_53d}+tp>eGOkwH)Xrf15i|%?Wn_h}0|mS~t)~b}9S`g>M}J*nWjG zQ6tT&Jo70~Mh+?bS~t)~S`_|-!nck91QfH@4fGNF2q61M;dd)`YTZB|Imvtq9Oi`N z%RmsZjsWaG2YT5*1B#sqcL9i;wfM3>-K~CPM3r|JfQWqrkp8=YKB61}%n60BOboNu z4fGLffsfpT|9KhElMjOEPb;8HenJLr$qy<=19QUN(nqWY|Yt#OUWIS0BsLX@|WrJr- zGdP@1p9a5E<)3x=gUoLjG$DVE_{o_H$X{aq_U$3?M~L6FcMtd(l|Sb4*Hr%VF25ou z{b4(pYqp*3%x}n4!2U(zCua_l|Em0DSH6?^+^(y{=XMQR{K_EgOi6yzGvvR@zv1#T zs-2szoi&wz%jH*ul>VG?`P)_goXg*D@uffWk}v(~RQb1E{-A2-j%(+V%3rkjW&5|! z9bBmID&Bpx^-6BKZT4x5;t%3+zXivc{ADfbf~MbAyiRT-dA~PHqh6% zH}q6KnQp2rAL*=#uSS9up{ALc%NPPUxuJ(J^>Mp|G_VSC#rug_sU)#<|L&E{+%~X!= zYpQr=e)jUn!Pcp!Vxec(!6Q>W^VvSgjrJXf+=-#GK>3}DhUBrGiD;y=&B4{aqf?1n*+k3z7WjAmTyaO`jkcxX z#*=54>f7%u1h)+xYpjTcW_LE6lkuRkt|{C;KlEtd*<&}`?hiiNxqhsnYkr|>WMO%# zEi*YL4p!c1k8H2JbUeOQW?~zTBx^F~s~(=IzR`PY;Xw11qm3O4=c}6Ui|OuSwyt?y zOm!CfqjOg(%Yx-SRipbGn)=PqP^_tJs(tSC#N3r!eaA|ss%2eVk1u8Sj>pHZ_MNLJ z-ycb*+X4;oT~~8g6YZzZJv?4{<2d@WB82{I4TVN9UWxLHk;C!GjqbTC(5snp^$wC= zed6B2qw@!k?&-KSbmj%phu@uDmfsIrlF_Aw%MTA6z1eds6>Yh_bTyGUT{YKL1wSWj zKVJqvF<(wcTe`{K=%bxG7Op0isJ`**ZhcS5`Z@~d>mD8-9c`Ptc5I+&rm3rZTQoF` z%=N9Zc)F|gCg$PT;`(Ak*CNI>yX=nZw&A*6>w@Z;0`7LU-^v6}-WN13{k!aOsc$Ny z`CQgrb+c{xNTlI#HRkQ@s?Mvx{o3|O!?o6A`JL&ixhvIE?U*mmcGv6)RPlU)-M$+` zx%ytTf3({kx7K6@wLh5K*?TL4_N=C?_B5RiY?u8W&kUb?c==c`Q2vnSX({ZX63@fL&S%+O#g&fAK8ui4%+oq)}_n4S5Du2c(8h^ZTbAU zlUJ7Ndm`IwrsH<|j+l{L>e0US+^(L?>EkU2b5rP7_!S?p$L%oVi*RzTWk>F67xZ6p z^^>8c;YaOPs&{qW8b8o{zhxKdA9CwYmUS%M9UEA0xzTnnP3;|Y?N&Dc*SkBpzB4Z0 z!t=`Y<0BXk^7q+<;_sb>M?34QrrPI68(X?6flKM{kgLBV-rQAvvn_KsIJZvth~!*< z(q&y4^w*iAH{(mEj}J5jW~y!cO_Uu?4=wHPy)}GU3@yugU$W~RZc9d%hR2%kk6c51 zs4K2hoM8PLSN~aw-IuB%LS-s(TM z?ds8n_7{MoYxC~>s=hXc_}B34ozv$!>ML(_W(a3?IdCT2@{u9LsfsxlpW^|Vm%)L0 z^c&%j{O-{Dz1#MCr|tJd*$I2z%lLKcd=Is2ms_6nmp`nd`BAj%ABrVq{fIvorrYzU z&yoHfSAW{kKY%#5aQ@uGV5^)@#T{E<=b>w7)f2}WF(2wX?p;eftQ)=YEaASx=})uL ziHn1mI~I;&KA%5cezrB$HZwg>^*6coyZ+bFdUZO;^@i>EiTPc&tNqsCeDl?%`p!F9 z>_;w4wJoJ+9!^-eM!eY{Z(f)9d(^)J@eTWxhrwezf$yj6btzNdRMC|=jdiPXD!$gQ z+L?6i>?1oureS3+rRM5=*J78(0UrXApNJPI(?aWB2z4+wPB1Twk~4v5si_gYCl~nt!+4 z`4{h_xHEmKh^oHbR5x(H+ujc}95IDl z4_mR18N9sfe#;H)TM{Gdqc_?qUR+yp<4G;W3o+fr`vM+ciifvtznX)l^ecV51#z{v zNc)FY!pT8rJ;(Y*;~opOU2mJo@w!iO&Gu)w%?!D5?Iy>i8+LmNBJISbtMU8&wao`9 zZrpOqxxEy(P@btfxdY=1`<3?kk8xM+&s`a%_3eV~AI3rTZ*1gX8;$D~x7_1@wB=B4 zH})4b&)W9UpGsW5Ik&!i4g0SeXa9lv)$(1~r*~q%+jL^ZUH{#<9XuJnFx|aI^%O5!9Ee$>Ez!|MO!FoGh7X-(B9>2n5?LgDs znUEU?cwLd}@#r+|)2KY`mUCR@a>Stv2OcgUJ_MUx`-=bZ!nL|0&JF$BZ2w*ey8gqz z;^dtcDz9_PJ^s($J-6#D@E2^e?GMFNd=@CKQ2iZtc|oiy_$>?tcZKL25_9%5Ui@YH zw%w=wW^7$MJ~Np!54))T=WP3G{q;SC^TB3X4}$}CIr`VU*^X{=6bzd3UICcW)ux1bGdA7X0{#D_=9cH5}X1lc4o|?@YZr zj!h>HRB!Js%)WG&`aj|Je{!{2u7l?nj+qsArfXY5Z8(P>ZfH1sWbe-4a?^?NcvX2a zP`Ol}Om^n*B+P@MK-vtgi}9wN-I?<<%`?c01dH33%4hoek5`+a@$u?NDAfDRiQDPZ z(a82pM?4lNKU%jdgz|2b$K$umV5F|>Ol&e%7Aq_3!vQB4Jzg_DUK1>fRmaBD(}|gD z!I5Os%)VeS+E8EW`I(xdWT)<#i~A;RJC>YfC-7i+ zFtB$Y{A#XR>W<&)dlXvVg?Mqg{NVv~_@(~-iiW1k)w{dqa~1I#xnHS@tv2m!UpoJ2 zX9W)C_xrKWUmQ2XxghrESNArRZEv_zHPtpy*1&(074fFlsh-u-&`VCY7t>NNoJsZI z{5l;kWDj6}eRXf(shWp4-?y#ypKGqi`T15T5RC_>x^w3r_0}K7c`Fo5Czp10&!4_2 zXumT6yL=71rj#RpDrg^6SJ~KJI1)L0_)yZlPF4&z(YbbE0(hM=W7wyyFYfN0$<~!` zZ7QR4YVV=eoxSsAhihoRbw6{U`D*2`84ew94gqKJvZjVhRgH(&GJS!qCiYc0Hyk+n zRPX%x9_+iWw=I_q@_B*wZATlsasI*nV%V&?R=evg*3TERbuA0DPdI&C)L?&C#J+CF zmYePK(^!9zpJjqCZziUzVXTbRJUaVPb&+^;UBf=zlp#@)03ooeYCmHjD_dVcxV zH2EJLIg4}e9U52i_w?S89cEC=5A8RDgcEE0M7-}>?*i+$=aLm^iIeG>=I-j7@wM~8 ztoJ$)t&#FKu|I7@zN%r=>_>hjmTNqGgzetDFx@skjdn;mS|_jJI>>hAKKm-wWA1hF zNO_Kjmq%}ywJt6>&vL$}e5+Yb{Wd!^ihcU&1H~Op-fOfcMD00K)!1==_|cJtV@dBd za42NIIPs6mk2dtqz+Py7GVJOjZ?JT=Ggp?B^FZdkuur`$<+z^9qq}=nGHyN2HR{<) z`@oTD%y;Amu+N^)oI^e$8Kw3e$n8FHD^n+ito?m>Kly3%Wj~qKu6{G^kAb%u%EuNK z`W~WRJJ-%XI&u*EnnKyQo}a9^CdZ4;?N#u*EH2wY=YNbtM^o8;w_Qhhytv;2(eZx5 z!MT>M>gl#(Hc00$+V`SAO(F3jZ( zeYa;<{W9T??eaWhyF4Eer~L793G2_12p$2eC=brnbz;3Yw_dB|IEZ*Tbm1oQ%ZZ1C zKdcjSeWE;`Tqnj4`0^5n%aor;Ry>g7(!U4sJ=J}0dQZ#2RulNeI=qDZVc}HE?d7TV z0@mM}G3s}@&X_TYGl>thufLPzd4314vE0pV)F0TtFizz;dCC-wPtJ$!M?TtK&zMj7 z5}aqvn3fNmZ9{wI{^0b1ZM4o~y`%Np+^*&C1a9|BIa0O{}LqYxX*Z@mwF7Hfz0loyg~5J@M{eu+L~K z`1dc@PCYzOJ#21K^T}9Ok!Lp(oX6q(0`@tjayPn5_6Tzv~-i& zk*-;uZbQEFBF3i;R zjAze@;>hlrfOU?FpFSrne!1jZxTidsjMv=H94~?UEqqP!9C<^kFKBXqC~*~X);#Zd zQO0YY=T6+?@#6g`#Xave;sW=By6#x@TKj3aE?9Ae_YYiOefvx{2%NM28Ec=j!|GT2 zn$|U?-#Knu?Yu+%DA$2!xnJ#led=;^y*Vhy$M)+U_Tf!soh--uyY740;Hrf$x&K?a zJE8c)>x}CUU&9~9pP0hB7#z3sX`OQ7kTp-NIAqNu%u_2ab+7RFn^oKn>$=g=zc)Qb z`8iv^H@AR!hH(l@`KvuImNjuZ7Vf~`AniK~S6X*rzTkY6yGG}P;*PdtjN{%>j2kyh;rNGq5BAwBa{Y%sop)Q4-fO2G z?BlHV(0XpwgZ``Ub#aA#E!Lmh-QykDceSma?`d9dY3P1|_O&`b#YGu!ZS2WPizYxG{Xz2%S9fyzUD*Kl{JCGavw(H z$opE#%gXqAN%}c=z4jsU`Zy=?a}#v#F`fO@4KvE?Bk+v)%;SRl|BdbUt^Fe78S!vu z!^0~VaNlDH=k03bb;?e}*N^SNea@;ynHR$I0kkhF(lzc|()~2#TWNp3lkyFmuR^@x zahCgo9qImViPP4Gt~U@ ze&=)ba{t7x(6Ss4tUs8K*dIer_ABIjY2T_|HTl8;K2^Lz=VzQ(cwG4WPv^rOY3>(Ze`=BM0uFG_ z!MLB4_v;sd%WM$)Y3u`Ke*Ico5peAc9}bfCJC{FL*Q@yhdy?8fc*PxsA2!)7hVlb#Hn z*Xg`X{bbEA%MR_YGTvb)tKy&bqa6R`{;>0o75^zO6FNrcEXEnuee8EHnU&H$t)q3t9hBeZ z`hbr!(IzJjOf@*`wYBfD*6XhM%pS_4luH~e?#6TEY`?hXtOHj5)m{f={*dx=!;0Vb zdSdM-`&$Z4SSJ^*b_KTb{fGGJxz;hCtQe0U-qnu%efhxQsYGB0-B-c=kaW$FF66UgRz5ossoRD1 zk>ck*#Ls>6L&y`!^_l1S9r=7Be%4`~hcM4ax|XiTZ=a|9=cS%i^ut-QzkPUTnC`d0 z9`;A&XQrzL%2z656`}Qk6Opot;9{gQ+>q>hRJPm7pPz}EGvi$u=u>+``^U=ZK2D(8 zvSa77I8RS`Q_jCS*LGeNeqz4P@3Zo%n4g%3?GtvM$ht-xZ?5M&(bn$jY54zW=haHu zZ^(Tr;y@73LDBr-JQ3mx;u6ni#;aA2g;y>|yxT7KMP%SUrd>=p>a7J z*lNq2Dcef>6?Z?4{c_6OqV9`n{|EeO@6U7o1LyRte166MJcGO+ihWU2*{Zgjp9h<6 zpBbJP{CtN0IR~D%_CBhS?h}vP=*|r$9%9__{7KNtXUP1D_W1{1*JS=kJ`dx6K7z}U zm*4Dph4BOEKi~5V{2a)O3#0VBYtY|6yl+W0c3>Zea~MB&<@V3m#d_pBuzz!(zd$^o z`xx|mlq+|+*wuQyBZvDKT7I%3;v1KJSFo?db2#+eWcSK+RNk+b&x_$a)!^$t+5gA~ z&RpAr{erK3ysjU)jr(4=5how>{ER%$KPB(uU+-GYU>;*XdrLkSLV4>`+paFjbu?fa z3HxOPgZEb!v$NS^e{N|dyO_DKQq1O-3(elk{>5~m5Hc$9=7G)iLPoV|Y}F{6#&%nC zB|UpLy^v|{%jFiELq@G>RQrlT#x}gdK$dItE)6dip|=Afk~EENKKn-OzBEY<7u4%_@O92Z5mrhS>-DIWw+W9xsshL z-VPc2Ory%nGmZUs()ZG>i|OSByiVd??rx@aG*i5tn>(9co?FZmnn!ZEyK5^U;{fb$ zE*dfpnMRQ1(Ur<8CYtm2W*6_?p39@7j+jQoxy1N+#pdvm3kV%EjZprcyeP3mZ?Y&9 zAQYFDtV?*Oe`7{-b9ytMvUN;Vz+h$a^XXZ7XGS2K z!w@=peQVU&^e&Bo!RIfYH4SsvG@_DDja(Q?py1;0=->oeEdQoPlUE_YGz*m7Cs(Sh zmvvlRTUpF>!C){8!>N8Mv7IC!Q^|TdTcnbT*`+!98_Z`4D^heWx133>r1QmWdXX40 z$*|>v@(S!Q-bs>5&&}mcqtdaCNdV)!)$5bag{=A%$|Iy&D5i@Ui(b!TVqnz!m*$=? z=G)LYi*toyie4>}Sx()~rj~rH#T>C}7U!e~kSN~E%%&F6tp}|>n9VKH3ri4UTC+<_ zYsK`;Vy1O?c`kE5GdG!8g%@2XXp(|-czFvk5NZX5Z^Xqe| ztoM>iU3Ds~CUq%WOfR_N8BD3Xv|mdvt;qvW`Am8)CHX1{rB}d>BSns(5AAD-2V0G+ zUP~{cE(A)+VjHoggnmvVuYpLoNqpE}ur2 z1k&y;&Pn&}p^<$c9imXs0fg0(5Qn zFx-WO>|7>;C^=hnmDZLEcps0v=85%!q-9gMBgvk`5sO-#XpIVinee4fqW|{PaHh83 z8bMg11?zC?L2hk%A%lPsk-f>mBPwZYO!(qndNGUsrOCtHNljNLkC#hz#H1B_p2*n5 zmXQ&*f)PH{lVUNS2EY+gdRT ze4%-=n4v~y zkBE@6L^GV_rSw`}b4`|RZVioR*QJ8IwhTDIf$ibacAC4MI$oJ@cA-5TLb9;%0ybq* zYHbPgJGGvtr4gaTG!V`(Tbb=JD|5tM&!?H6YQ*yeq5!R6m81;@LJ|odv8huuJ%);99W)sf?@* z6drIyn_0}w-o@q^yG0pBXxZ6SS|$hC3OX89Sxl#Zjml`NQ13A-BSmh0Ubd;)Q$%57 ztA}GR7&RU)Va;z=da{PMGcEv;yGcB|w35%v;?<43uSMjg-GiKUl>^C($<*lJXli75 zY!H2|p5rpZ|%vno&ekc;)5y_iZ43{0fX4y#uq7f_!po0v#m zOIe5HD&h>Cg>Z86YzjCwrvPD_IXOIqjttW!ld-;weX4Q$ijC8iYP^!9`z|JjQfDrV z44?_lHCx+8*Hn~94UY{BUX@N*Vv-S;ZIEi#HC5zZCw%1zob=W5c3*kBEDuw)>_JuE zrQwl*RPt;eKywjojarPW!ySnA&P8f0d2#qM%E6jUJwI`gI`B;L(#S=`Z;88PfB)d* zr0g5Sbn~2skKQejML;UywQG3rrbMn&!$S(DM6L@HQ1x+eEZH|Q2ro5U_^9E+OAQx( zYPj%J!-cOJF1*!n;jgC+qshthv`(sRAfCTJiRbT6;`#fNc>ew*p1(hd=kHJA!N013 z{{GQaUvlhxs&Dw>Bo+l`NV=NoYZnJoSB3{D6~!Fszr|q#gZ=%sNK7j6&;Vxg>Wr$v zHh7+Joor+55<3DX*v7=*(C~#Z+oj3mz=T7~>CA?tAC~i#1Ea}Q-=#BlP>AyNWNH|b zR@fJWda6UpPNp!e5!%iqCof|1UL2g5xb*zR)WqPx@Wfz0W<}+2f3pAVU@AeK1mCt; z*GivNsVoXs9tO?i8dbvTIn~f)>PiwmZMDS)lS=4w1c}Q%X4{kfYDK_pma^n=_o`K^ zLlCt@F>G!F?IEkR3cZ77G1)G)QCOUjvD73&t?i^7ML3F{sikQzb%y8;~4Y)>XHCNCmdano%ripEyU?QSEM+i-i}h)W$|4+>m)KG}a>GQ8MF zlWYdH5Y^k4uGI)L<@`W%AYk_!UvUd%<59+X7Rsfxf^s&+eZk5O;-#pCc%~)dqr+z| z0pAv$?F$Rf_NByg&F~oT?2Y9mJc>JhIf^@eUb}`zamO!5amUZ2xZ~$h99Ma6953Zk z953Zk953a;x->bMdVb{6Bzy^tOkPSL%3(7A%EgU+Vd2KUl(?y)E5hhlA6Y~S z#LIA^Jh3OSDdL4fUR_ioZ_U_+6yu%#QUHY)&ky&f68+cuM{rR|7kx>1OItkb&E&PT zCW{b?Q&%ob3?OPqJ$q_MISvm}?&5`u$q@?N7&$WGT-&`+H8F@y-$l%LYpWC?x2-u8 zvuN&$sCC6XVck#}9D@BpEJL;Q(sRBa*35s<$43t-du@5QviGpUvEP%<^4QdFJERs{ z+x0ExdvT|aPlNfjWlHh1P8RcPvv{d`da*yfxHyRuc*y)ktba4P9P<77^onVGyIS`q z_v_T-0L&t-qH;Wn7ay!-HZN4&w^VHhdxiPS=KG@NFPZPJ4w}D$n@Q=Fl||%(n$hUT zwGPT3F#k`jVVJ*)yQlNn!TM2E{zN} z)18k^WKqk9%nwJ*51PLXT+um_RlT^URsUSa;e`4MvK z4-n+tlNaBqHvbSqw2&$Cc$>y^>JV9dUushAQy*f4`N!tRqUIl&e}eTgvs}Pi_LH-- znL;5eQ`N^+`##l8t$y76L=?U7XQokx9x#m|)etvSY59N0@?V&LNxkz|*dd@VZ|COv z)3diTm@0ey15<)<%#RT3K)i9|`YGJ`dLF9vF3an0$o#*$*^9_52m#20UR>J>AT4#*wD45KxAnR37|l&fv#-@EkF`JfY7zApan<*>_3hlm;rfbV3 zA~g!Wk#2WKnn;a;Z=~DZktR~3fZ=o(48Z-Gw-egkktb4P0K@CDpG%j(i7(v&vq;v2f#tOgQk!XT%+S64$iL zH~Jm!=o6_?z!1v_J)2uv!m~<1+$()`x_Ic&;-SNb2fDUfs>MTx4-a&0D`@f1fkCgG z!!tO=#kCaQPQQ((WWs^h_*`{yLMo-L?eyVWP1jZpL~0a#BYoT*X(BZWzL7rejx>=P1>Z;?cSo8?je>8a zkGmsHq(;Fv(#PGACQ_r|8|mZjNE4}1@Qw6wcch8bC}22i?Wjh#kF8Tl;6vU4J?&hkz~@0YqvPd-?BNF>>O3HE+nyG5CjccpTkAd)kKZ652UWJ$XU7E} zX=wcNf$xM1J|Z;=Kv=Evh%kMM6wm2|%Y0||6D}x;)EENtN(|l3hRa%gO>n__LJQUt zfSRZ8Toh7~re=W3qu}E0gcffne0Za4dvvsTI{_SdYH%S&McXv#ETD#-mB!P_@=+nX zSD(Ya-;?HoT*S_Ef!3J!{SzaA5939X)9e~KI1BRmmTBifNcy+*VbU^EL02!|i7>*8Toeogr)d9oN0j<*k zaJ)KTI69zpI>3%s2Mk9?53D#q;?W_7twXe?o;c9p)lr~Ag*qJ!wO2>ML0pf6xE}|^ zaD3C_AnwNjF&rH|4&r_s5W~^Y;~mD;kU_LYhZ3Hi5hI4(D@5yb{K%kusH3At24z6K^(e@oLR~$6WKb&9sYj0t zN`89lQIJ7}x_bP`poFMXj~*G64fWQe;D8Es_4si>8B(VnJq{=l>a9n?0Tt@%!BCgu z``g8E*&F=Y3L+?Fs?nyzsHa9^*j^H?)A1vMlBJH09ubrt_12>xf(mu@_z^)VQl}n0 zA}9&!tw%uw73%8oBZ6|IPCa@=P*&7ikAes))Yaoh1Z7H{di02(+^Dx61rb!Js|Q0} zjr(4n+g@ew^BYpYK?zljAgedfLCI5ZJqkFeP*;y19F$OX z>d}Kkrc~9f6)_wgJvb;$>fBVrZu=vCR~4*~tj<+GRw!@kRIA5IM_1T*lfNnjE|gT& zRpo~Z$4FkAe&;)Yaoh z2IWnidi2PkOsTgX1sPPRs|Ta))lr~8nN*#Q9}1K}b$ru9fwHIGdK4T`p{^c34k)AQ z)T74%B~HEdC^(=(O+A!5_2>}8u7_xyjvohe1tXvZ>yB6dX{Yt{y)QD8uU1qsIZ|PQCRgIG{pZJ$@We zKGms5j|0k}dg>vDtwXe?9!jEmbrc*>p-#t-14^tq_2_XxsZ?)03J$1HSC1bDlwNh} z(c^#;s@{4O98jUI9zPB!$?DXj#{s2Pz4a(Kph8_eejHG$)u~6114^!X>rrq(g_?RO zzv|H;hFuTQIvqa_DCg?v=y5=4Rc}2C4yaI9j~@q=YIW+-eQph0p(7;^(Z)?LR~$698l)esYj0k%9VQSQE)(ox_VB8jX&_KDNvvcsz!~HqMoXV zVY^4PP6wmC$4)*Wy_w15=X3m)2`2+xj^AN=?J97gB&yD?A1;&`b$rpog>s?ZdK9=& zp{^c3TqqCf)T4(BWjMX{C~%=dT|GcVn13*wT@IJ${T3APP(D;=!4DovgF2q-!9zJv z`{}JE`7B}i3;voFIFY!nX23=M$Z|fP$)sizZ0KcvLkht3`O`$34`7mEH9^v)l_%PO z54E!;!_m=#C(-5uk7PJHTJR*=eBhA`M@I{uM4JyhlHurR!INn7fk!eN9W8hgZ9s&# z4_vs&LS4W4;F4%_;Ua0B4#wYGj|+}On-(02HVnI0$Hjpx)YRiocP5l{r=)c{ejHGW z)9yEYx-&r;O^9hy5 zP6x-*FZ;Vefr382nV=M=^#+BuaQQFz4Jf$KCp#0A&kV`CBzOv{{QZ6d3P|*s&jckm zci6Wfai?WIlb5eZpqG1u%klFA&&VoiQK_lv3Ce={4a&QP(jb1F;5Degi!^lxfgt61 z@40aK@A!=nRxf1HUnMHIrI8kAOA#OL=;0gw*%uA`Ym2mk#_-!c2)MsE5{tT>S*zMD2U=x&ZmEiNfHUV!EzzyN@fAKk?q-Uk3 zrty@4)fmK&eQ@`@{EL2r3J~?V+XSU*J-w;qZe^j)2>@s(0oU6qa)Me0NB+xiRDqm6 zxtpL|?nZBskgvZASCsp$Dxf5FovXlIW2vh$Lc!N?MZ|AY0j)kgoS?0^TfP6wFu)}w$_p9xO*Gr^K!*Q3t_Cn)jDF&np{D;j;3DrsRUsd1Dtzia>x z8&o{yH=uw}pCe9CN_eBOm%%Yyfgg`~`a;PfOLd)F0G(GyK_O+7bvl4iogOdYiWa{; z1%LXKa)L6*^5Nk0{Vd);MXz8ASD?SWekqtE1)X1jmE4SzowRL;j4NsK1SOlD!PWzj z^2}Zx1&CCrtK1JnN;W(7fY#_xZrOD>Z?zmhclA`RWTO-MY;=N>&#s|$3l3-eh9G5o zL>fAefJ1NP3NZC4>I7w^-Qlz9#?MW?c9a#G6m)g_p-8D}$6q}ZDKqUhJa1Kg*&8zSyml10>GRtON@u%vEEM4FM_xM$1W7^X4?&RT zCEWkZcI|?lIdGLhHgFALCfAEqfk3ULoTq&eNJ2 zuJ}5C)e1gIURSjrpOja3s@CI^QtC3r!SRs#?p6Mp6?Brgu4WaT>2>} z^_lntCEgu$rq>JE7c$|B@A2DF&`Am!e`I#v9?SIlNWaLFs*^WixAd+hDljC;f&L?2?Ag9~Hal_0?PU{rq}BjG$DE`tHKbQ}!u!+ftx3UWzB=aP!tbY2F7&-%?M$kpHQ zNYD)prDawy_=4Y%f?fSBkObZ3aIl-s%V6*opBd$@h?Lhfi*9NtBPN4E(D2)VkUg>W zcR><#)5FuH%3TmysH>aMtDXhu&WBTv9;tNGW0UtCQi3dq=l{KC72uM-&K2NLp62|o zQIxNYlvcO+ttv3q-%3f){SmJx3S#v)PZD&m#H*uVlL~d-19w{Q<;zH<_dESo6{zZO zrX=Xrh}RPZmHPW8?f&~Fl3@+0q)WYTr~4+(uQ=ov1*FFZytPX)#}ZU@mHi zh9gzX-(L;~kN7RQfwi4(!00UbpxI70U7Xsr&}{eLW04F;M~l05|2-DTaCEf6vE6@< zMKT;6AWYXDAFA4wdn}UH=>Q_?__x!26{jB1Ivqen<|6D7Si(!t`Gw@+V4vTfi>G$F zIis=XzyH#%+<%d@PKQF85?0!k`!AB#>G;A|yK?_U(mEZ$K)$KfZY!t!g3tS_SD-_; zXmqB44zJ5@$ZFT$mTC7tg)SNP@aS*Lw9{P}&jdmC9Rc&YzjilFNfn*Pen2TtqPOes z)U?z67jG*SK&FY-6LD$=t)&zS*~Tb9E7X zW$+tfMmYG5^a%UyYytP1MsQAT%`7J59W3|8Q!i4%^mgR_CM0ja#%Hf zMO1slTkWQ1MDTlp-%C!s7N1A)+rsM-=({C#T7I(#zMfUTUvWcjP`ClLwwl9(${Sb( zX#l6m3%Bzr)&L$npB?gEm2^OmwCGjhWiX-mU>ihNoY<@@HZ?DTZwvk~b8b$W`cg|`kz>0LR0GPARIcg`$+sE_`cquC7* zyG%^CkMq<-G6mC(O7H}Q6hG?@;b!>Il=&70)A*XNk|}rkYHD@*KvPC<`VY{Y2vQ5F z8$t#8VSi@M=@4!<#`w|(W2`Z|S(Uy{5W&9<{v8bHzAQq4P+1gm<9B*+_=N(y+x=4U15eO~!yt{!RoQMk(=>KM^6!o#rkwhqpLlVU|prZ>cgukcH3)MLQ#*zE6jOME1jb}am`|Hc)F)31b1#?d73MysnuXcS)B$1c zXX=nJ4>0wNFb}eBi!cu{@0c(TGp|jU&oD0`%p**72(yK${KBg{dj z7KC|*EoX%}#ME73o@HuD;KQBL`<%dMG$geu%=1hYggL_0nlMM1S{LRRxBr1KFEI6@ zFrR1YC1H*;^)g{jF!c&yPO>jwE6j_``+8wsV(J@&d6}ti66O`AzD1ZX(GM%GCRWnP=T!5oUpT9}s4dsb3Z58dJY6%zI4zrZCr;`k*lH zGxcF%K42@qBg_|=_j|&8k*SXe^C43o73ND!{gE&qvBt-Q`7);dRG2U4(oYEU6-@oP zFu#UN|5BJ=%e=o9=GQSw{#KY@&%D1E<|~={zry?mramRiZ)EBph51da@z28iW~M$X z%x_`pUxoQ9ramvsZ)NI>!u&R-zAVgFGxZf=emhhDAKzwL_Rc z$W((c-@w#PVZM>6-NO7Kw)~VZ-^5gtFyGA7K4HFvsr|xyD^mxB`8K8w3-gDWIwH)s zGj&v$Kf+Y2Fn?5zM>sCbA7fs-Fn^ru?G)xanAauDpJ3{QFn^M%USa+eQ_l+XolKn; z=1((~6z0z`)i2DSWol5EKgZONFn^w@VPU?Dsq=V|HZ2(PUFGgdCclTo-%8>YV^g7# z3oIm8MV+My=gUegxPOBx-48C=>(mr2Y5O)2r+4?W@KYN|xf0q&(8rk`+frL-)0UEu zzopGOry%Hj{fT|wRLpI_kqxZ*zZJE)QTY`S`h?UIiP=rw$VF+5J~;IR*62G_PasC0 zr+OkW+nRRh(aUbnX%Xl7#uZm6cTau@vm8Es#WDwVqhQOV_={MZNqRq&#gd0KHsy9w z{pJdbmLEDtlj2D<;!kco@#LcIPcCZp*pv9$`Q%oQKe=cZi$3$1?j7V$fBkoat-gIS z%U16`nXuKrPbO^j@RJE!ef(s?Rxdx9u+`6x8)*8xOxu%<9*I67eo6ER@k^plh+h(Y zLj02G6XKUdpAf$!YW1rweDT-R>`$$6r#`L2IVD}`!#XUYTcmv-W_ygF`{^$h+|W7a zGj1%WTYiHx?PklLmw<+DeWM+pSEM6V;Y!k@Wne;&^5#Qcw0h# z2#>{dgKvpB-^XJ~9p+R?djs(}IeQ183tXx_9v7L?d5n|t+k5P!E_B*Pl~3|LzU}sx z`dD%kU**)4pRr?6-G!i3xjNKlcAKcfj&I=*osM#zDLM{y7^;OOrPd^Y`xCc3m1cgNk?YpW(K_Z`@ASiL%h_Pi_m3^* zZHO+u%P-HlE2w^mVNON$hC&t?3Diz-3@Kh?i$>FI~*y>+x@KUhAK5ue(Dz&`H;i*)3 zlfzS~@Fs^RRhZ5Ln;f3}qEColvigMhCDA9uFNr=Oeo6ER@k^plh+h)jq+d(EZ_06D zk7h4|kCD=6Pgy`0(&%fY`~^^!(S@=? zw*-*1^#N8ksau<*ko#R$7Sx5^lFzlWsIK?iueh>|ZYSaSxGRh4QhxR~UpF`8dVV@5jpV)P*I@XJ*+m-Qat_KFe~tmDu?xEsN+zT=`b*#y#PF!ggby zykE9uxrFjm0-hI=Z`*2JPA}nWv8`kBPk#>V`^8}@oKMeYdWF$zoW$MPFnwNAQSVz@ zo?E2iXN^--j9>4B@jchfeb|yuh1yR)XdWSPpKXwjJ>fAGdOD>-s^delwx;9ZzytDe zmOY#-rf2Uqhr<7Y`~A)%7MqFU=FEq}pNoY5HT-$}Om+nyh_D(d!e0!3G7A2e@MwWu z=~^+n*gCp)KYkW<{2Lx&aOzM>q{)AegufF04?ODO{S@s)cJ?;9588NnMZNEHsCFS4 zh?tRx5eeX795(QLJ~Nk@Zw^K9q(!x*XYr(XFcPVVgz)|mH1-O<4ZK2+6VfvS!y*!i zM58Fh0~K4@7#^{^-MWIFN-yB!xsgh0h^9muu8u^iB3pvb8T>yy6lHe?ja?|R4MU61 z-CoJg6>p13UGOW>$o9w%+`M1O;iv;$mjDh=SJa($ZRyo}X)-pl2R?MPzqmPZYg^cRw6ZKUQmfLs|XW zt^HZ$NE2Qn(wxRCMCR9)n{5a-$D7l0=@op*gdUJ|#!v%BQ|nhw4?3uKeM(hM?VhmeIU|VYnYMa7;f$(EjWfL zu4LvWGpm3yex6`Isq;8tiSC|AZjBbJp^iD!8+MzgK` ziYP$&Y~)lFMoydd_q(+fO9+P|Nlc6-`f~S(?WWt{C#+Eea}nu}3`8S+kwH9rRpR$B zqBWyxNIp8gp#Z*HZqMxjJUA;yU^p87O5_}-?MiNCB7?7yFI(}^G%l++vjE{3aANM} z3y8KcBau-A-pH5|YiKD5MesYF?QG9-qIoGjo6j{TWV>wNFK1@m2WfQ=<(WpWi$zHL z9-=(;IBiWpNw@*!S=Y8Vph&;m`Ql6=9uFs;T#8(#cya}^=BjBN+sv8`QWrxsX{KtS zetm<2+M2VT>@fDvVL43c?s;Y7HxyVwk#zeq-W-P&YKfxL`a_H9ru>1XC{xW0Ebt zB#etpy-XOFn0kdUE;IGD8_a8WopD16JUO9@0XkV1K61ly3frD5##Qq18-y{%)Hey^ zI(z#q!nncye5){~nfGd8++^Nsgpp$2cM9VcQ{TNwuQ)ZPS@yNUm}TnwgfYj|_X{J# z)DH?{o~btqV}YqR3F9_XZxKe8skeEDTvj5CJH}mV)Q<>bk)8c9VJtE49l}^<)t?kb zj!WMuj1}hnj4)PN?&pM&XX;(TD6rgngi&OFMlJ9Z)WQ6gz+s* zeNq^&V(K4+@vW@!X<>XDTlptpyqbBR5yrQ(+`kCpHO%{*FusGSF9_p1nfj72zKf}U z6UKKl_3y&?9@hO&VSKOTMGaxRR`Q|&VZ4s1a$$TQQ$b<8o~f`fzMrY6Fn)k3A&ehn zD^Rx!rDMW)A5+f@%N2$3`%K*v#z(mHzA*lPsTYLtQKlXW;}2Qxkud&b1i78!r7mVf-yq-!F{6W9kQm@%JqEMqzxCd2bTN|7Gee!uSWK-X@GsG4*y~ ze442r6~;d@_2a_$C+@YM5XL{Vy`K`sXSnpIh4ESD{j4zlg?T?OjDKb7-NN`BQ|}eV z=b8FN)41~F3zoX&@GW1?4$g{T6vMtCBUco$_)AP77Jr%RMJ)a|ZUH>NkKj09 zt2w*OLT)WTo5?QE=UOKvIg(w@grXk}8+i3%@MLy5TRcTSBT&wB){zHC&&wkE$I*{P zqkkCv6FNXItt@6|v&F>+BKq-26Hd-i{1oU3svJh`q3EAuwYJ?Gyw9fk@vDjKV&=jM zoofqd<6q*Lf2WNbNXZuebtL*%(Z4|x$lKYXX&hJfM;j=5YwO2Latgg7`ghU4kHXd` zk&>|8^9qKdcwK3DE|)^`VDW)zj4QwP-MF8r(e8fPYf(8*@D?Ka>F7TqJrMmT`f+70 z)jX3%lH#Vj!<;(a3ldDWR>JBWx)8Ih-@rkusUc%qR2tG@5?$kVeqn zY%9k*J3qk*mpQ48uKq&wi-Z~^jyjaKWs8O0(jy*@tx7lX=Gy!~^xvXD`d2pC!*>Yd zzj!YFr!Wmk#SDQ|0&R?A0bvH1Di>xMQ$b;tGZhwQ1yfOB2IXvy31NnqS0&6a+uI_{ zh>Sq7T46?++9u2xm(~eWFjX(iN~RixS;f>YVOF!o9${`_3O`1v;ZpnwHLo(+GBil3o9s`~RK{7wh+IMxz160xHgYxkse z@peA9E>FO*)~L}EI~p}wrHCdmB!9zdPY^jA4j$!|lc;ijVH=WJCHh5Fd3Krpm|46H z)*5LmMF(W$){})oZkB!m^`TgI#OR8hKnv`eoMc=KCy!dEN}DZ}o{hv##t_B!Bdb>; zF^{~(@N)5DZX`>`{Y7-t-q>?dXdvZaUJ|kX$nB`n6dM58Y3d1>|j*Z5~ zqUhlZfI;aPBU`ZH@6hQGy5SH*k%kz5V)nQ}J`}q|I9A5QPM*ZBL}Qm@IK=OAoWKWO z`N#6v;yrpVca8va7&z9y=0GtjV{|mzzz~5_2(~M@n|^ z{%l6BIHA}y(uB6loSP5DQk=Mz>jU};6VWwkEgG?OY$h7J6`K|2yUq8|tiz9Hx42?F zAfea-7AQ_M${*zW1$wp7v_wk8vavf+^B-b&Ezi>}D*d z=7|txLoxh_Zvr`GXOjG{k<4*9`sr998q3G9r@atar|!U>c9P~*Aa>tc($Y&SBKCq@ zabhnbMZt1NOboHy%aGBx=HFcQ9_FBky@Kb**9h}d+{s^u84Fk(Rhs?SF-F*^SY1mm zQv3lxC5^uuBJXy#8NX!t3|IInVSb*eZ-YAaWl|k~P5cs9()e5DfOt8xPO$YdP??tj zb>$5g625NOve10^(3H!wgB^u3D$k^s)o}Jy?6nlmUI(xGMC|pEw?~aVF}#_=TWg7< zoqh?Vlf5ckenz*Sfk<8LZ9VQ<_&Deg9|t|+=6 za>HWpioF}Vzu0^H9Yk*!D!Wg?9RHRKOd^it9Cry$JvZ6eUn#M{+89LGz`%KC+suPv zhCUW=#d(N6vvHhP0=@Jb7Vlt!8doA;PtoN!vFewk?RB}xQ0#-)G}2<^hD>YOL~1zp z;aKcLvEN3Hk(>6rh-T4$LV}dr_mRz8fKEa)fkylb1a8(?ibBX0oW|Wic#l(6von2^ zFUQ+GpHB@5I@wpj6os!$FMRQMBRe%bo7Y zugCtn)+meNOjchqBG}B2WbbA|vA@G=kjEQk@`a3uebRhyEcW*?+#-LvMB`#UO;WTu zy|6qB%}<#|w|Yw5WY1GF!dqhhC}JNCzL`4VpV0}Q*%Ev+uk7AtB(ROxcFPICeoaE9=|BO}i+`_Ii4 z8D71coztTifPeI!cNr8jx` zIGE#TR6DY|vQc6Sa=CIUiQ4~{8)*yQFNkf3o?<(eC&bLP<#hf5ouQW(gxEoWbzIb! zc#P>En`ed+)UH;kjDKGAnm zc5O1vFC*1X`-y<)L4sYPRGh@h)Qq!!ZdpDBH0~~ja(n;3-dkQ4;*>Z|fKHC$Y(xwR{DAla!LN;iI*)q?jM~u> z^B4^p6u^T1bc*O_7<3aQk`VE^hS@<%7UW7cDfFQep9W+|1CXIVz=f|I1wIaAhZb*W z&|Chz<@E6p9|tld%4jQ{)AX?rp9c2~NC}}x;LxVK9 z<@7Be7x7tqTq#9wuEJ}1D8vbSxbff-26`3K-$5U-^N}2a`84g=G78 zGNO@e|8Axp(k2YTKf;$dlf2-geepPwg9h7UFK6^bW?^ud_6mT(_u^3xXOw)U5)rS9h}R0- zz<3MyByM25juG*L!u${JXS}4Z(ylxy`@c&q1qY+e+2uOxch zX7C7`9}qtrg*SN9o{6a-M-zYjqlx1yzLrGW-nX*P?+}3idx~=jUjM?H_`A(FF3^Np zpn`>eR+%&8KuG+&2!y%p-7th9kS^da-XFs>{?>Vo7ScteIgKJBRB{I zXh{vq6E_H7OO|s>8DwC{r7G6=H4)g#)Nhb3P{_I<0<;kF6$l?%PKZDq>-~-h>}2Zq zNC)6#9S{Ku*L(%S*NinhWw(GhA_99@{f}`#$S%(=uFYkfH*2okEvAYgfsJ~Q<%Re- z8ZG`*d_n~Fu^zV60eVR-R|FzJk)E$g;#+5%2pnKN?1d`|cv>wZ#3$J%cESOA;VkQd zsP*;y3)JgxV+`P4fwxaOQTBE&`)o-WdeF(KVz!LoF+G;)}Rb z%m%+~8jEfu^dj4P^;L>}m>FM*!UepT%hyVbtBa}}EeY%S)OtEAL)fM#d*1BZxlrSx zY+5A}_V1F5er4H)ma$uZH%kXg`~k9j9+t#5`UH?q27~M4VA5@z7P8L16vazzVTw`# zOwu3N@mi+u=}-a0dj7K}mnocVno4 z`;$Hbu$qS z4_tPmqzp*>TSiB!qzs|rBV0D4F2}NOu!zSA#vf$?q!zY&!EUdP#_x00w@b|9i2Wfh z!_5G1XLaLf`C%?wR+kQ0bwMs2zsnV`mW&$DGV<-yEK)47-ie2CxNKdW67xCyq#>22 z`@^zr-^?ChPyItI{ZIrB%LT6z53szMc`p}%W6Z+?EN@~SlKTNV@bKaXB0%RJz5?M+ zS*6AVJPyR!(zoD|54QBJBGAFSSBpRwQ?G$NIvh!RLOd(plVGYYnEY7`74N96?}!wz750S zrZ(_9fw_#Rd^=@uw^#oE%$*0A6t(;Kvpc(Ud$+mU-MI>f9UDcZHx-d4N|7$0^rJ|X zDu{{=0UIiIML`h+6r`MhiYS8EP_cnn5CMC|UjJ|MW^{KkSYV%QM(JAf#LTbBzyyH^HP^1l|m@@vtGjK>f|J z3A_li_Am_|I?uqg?bLr3O0Q6VYm{DN%>g<#Yz`p!*&8p$K=88{UyOm^XYaNcQ<_Nv zC0GFWh(4V4*xGY}^dn?vkf2>LR+sw$AK}T}6R~qYbVwLRcyiz4BRsjEK+;}^(9{tg z_B%95-UMp!8f;aWg#b-71ofgBL0;M>aOrtdSgt%KZi@zXhc49Fof@ zzd*_#A?YWFyQY; z`JLnB`670n-yu=@t zT~IojwNzllmI{KOjj&)01V0;S!59dBHekSGOh`U0@IO0Y&|(2(PtE}CCHcG?R-E@K zc;2VNNuK7Ae0JWo@Vpy9QbUIn=*}C6;k;ohRSW9_HW3V>1mAa)0T7M-+8GeCA1zDH z0Ckp3*rbB66%w8eIISI0$Oz9s!gi3<-XTRALiW}RgsIJdz0xuaY%c6a%aUopg-JB^ zPOG3fA8EQm(cK(U%xF3yO;1Sb<&Y8`4V0Et40|zV9C#J6A1h1F0FMt-uvPVkdBG?R zr2cN$f?y`>ZJ0KcwGcQfY$2)cg~3rW8?%n2{+_5liux}=X$T=y$+YlfxDpGwl==sw!Rbs1&J2|_rwG-uJ; z)u}~7MKNvByi!|!eRyVk618FG?fv{-lQ)<8%aHCyMh8VB9SBLM#2!e%KD#5bAl0$; z>r?*-v^AgEg0n$esjZIPznBqhb?hdPTo}~$6Ucf$^^ZcU3z$_nJ+ul!vJ%wn19(~w zQ~y|0f*~+Jd$&X-jaSgy?AOW|QEIK!hsXEThyvqUm!MS`*YdL$Q*M#7-Jwr`pH2?{t}aw_fiUE185L)&|mO)F&ztHj~DFC87-yO47;@0DTxzIbttC>eyO@eM4|K?%OR4@c<-_VI2pEKb0hJj8ql4GDb*f}f2sU?2!1xc zfH4sK?ANt1mfElzG^GA{oF_u4{|=P=)PFZh0j3^r;!N%?0r0mS}>y@)9Sz?EMCKrQ>cFdx(7x^ z7or63&}DcOdZ}S3HKzVY@F+C=5t!DT`j=qZ>99l#)6S&+Wtaw^4=={j&W4p)NYIAW zR{Sz%f}ah#VBLY>XJaoIV~vXqxL}MmE;h=7G1j=)U<<}TNUn2$eHHlesX?zlMA4+6{!bdyy$W~2zS(EO}M(I;W@2NJqY*u>3DEm>Opw=x(pAlPdy0t z^BH*X>6C-)d9S*1>*+pqLFo-~(~wrO0+zk`Q?r7N?}l zK~ghkMPLS5ux!luNz>RIs>2xv+pkA<4UaOq1tmW~vs@JHUt zi98qC8O^e2-rKYpyMo`1*pc_xehKjHg7Wn#HOCC;RdeEaUgqJZZ%trZPK+r#mCa>p zdg{6H*<7ay8*mD{BOf@VT4WD2X!f&nXQe$4shhB9gFi7S)e9CsihRt@;uD(pAD+Ue za0>ezQa!SVMkpp7fTV*CDP>9BFzHK3`pO|SSki1vf)R!Yj4&L*lJ3GJ7-5LO2*Z&q z=@Cr&1(JSs$WiPZim{60r+xM~*dC!Ltbodj`~lBgJv(>k!M+`*$<%^I{)+sa9r-f? zyO+qBCeV0C@Gr#BDF1^|GTBvE9AIrT>dTIjC_GWT%KM9GfJT;tpMV;S+7UaN70rf` z-b&23I}L1ZiNfrxqoZMEF^%|jDLjS5I!T?b1_p9-04k6A-$bY=jVwhd7=nnx5X3Rj zF!jHU2a9NAIUX#5gVh{zEIarf9;`tlPvF5L;owmYIgTCNjR%jVktf6b*tyn(gU3g+ zmpY^-JN_XaKbc0>;_=#WypBVTX9qvQgQwESQ+Ti*9IWq<6WGChc(4(TY{Y|2;9ygS zoXFhaZydkfFu~8pC9xxBw;MJL$74+Jv(Y$=vD*zB@WL1fem3rfF?P>jqfZ!P_Y5`& zg|XCo275k)$8-3b+}*;>X4H-I2%ktvmhjCvj@!}%mrdUOUy$c zPR;_@0T>U39LYyunEVSY`a&A*gH_cZiXPyQldIHWd0#2bTV;EFw*@WSDAd6-(a{8K7Gz+Lq!gqW2I0aFuxM2I;w zdM!fS01!7iqz;E*BU8MZnUHjv>;Z@u4lRI(sZS65>nlLO-Fqg#wMXwvH3LHhKO@9F zG&&zE{62uV-ywAoBHr{h17wXG(yZL9Ja)-0g3Sf6kVgP$2>XFPb#WT@I5hfbbP3D9 zl=^?ea+mo?hl<;U`W(yOm}OTfI<5F!KWU~6zE(hQwE|YBMIVQ&kBz0F|3FCgANY+m z6L@-=iri~b^S~?F-w0Vwqfer9)`N34IOG&`PW;-}jMp`W2haad`#A;^?--bn3=_EN%Q)>Cq+;$q>g3aX>fO*w{(`DTm8>_?9O>K~@7vs$&-gZ;F9oEMs zmB~6frp0;+9zvFikLT>;!`R7Vrm!VhW2dn%xoMBZ?ZiWH8(%~>el)CFV=I25d-yEL z=!dBcsh2qGV>wPd)SRy-Nn9yx(hb*6y<#+d8ta63?s%wiTxt@#U=xF;N!kqO)YeV@oHE|M9!*1E)=PYWQ zebh)klSb4E@+&F^ztZPtqrG_ffRLOuZbh^L_8BBO3%t!7@-W)87U#S3t zMS*aVQWLOvenQ!hkrT@X@Sj#6z$bpO-#lj5S8|c56wP2H@eibMQt~&eN394_6jIAU zshC>DC{<%lfD=SA5d2M{0E~g)X9LbW#)Kr4X7#C61I@swke>}oV^$DKD|+|jaS68I zICeq~3B+Jpjt$$(!R#!hoojx%u@{Y<9IFLC(-^A_Z`!bTDQv_yVbGYY7_3>&gNdQ> z1okcjIZqA8PKlicQn(KMjARTR3tGUogv1|%Mhj$VUVZpd=vd<%(kKR1GVbnlrmCLgWnCb}D|ClA~d+`*>x=&V*Y4WGOrB@)fb|f^KC=?5tR;aI9tQ zZ1_#hEJU6>Hm(NWsWt0uVB5r4r&wpE(FJ}O3~9QCNUIQmQMR)&-ZMm6Ly2)$ z@%O;djw2^dVy{!NvK&x=C)*QUGK+-|U})UftQfqs z1x7%BN@ExMHs-`e#^Bi~JZW^zK|_X=O`OQC?86K~VA-)Tv9U~hocka|XYRa9*bW&k zge(_7|B2bL39(6V`IWKBxD(SdYAodYIh#jZ?qUc3joDknBGb~Pn!Njum8B6clY@dN77 z*eq6Am&L9Rk@hU5K8?-Alp8{%150T@V>e^UEg=GX{P_noq_NvD<@OLckEJxCu{$y4 zt`ORD*isnLNXf#UATZCeY*G?i4lSDv$d|<6$+>^R&LzBaliIR3 zOW7~Ra32#O;}_A&8~|ITtoiysE(v>OxL#N1fScBYNS6@l1`Fev|2n{p20E97PaQ0^ zP1&$PlSebJ#GZ<+hi@XW4bX4Id#A)4S+S>cV$aaOL!^6%^q|%;c7%GUU$@*6%ZGVO^<(g*u|`U#)*)d7)7WQlr%vtn60-11=RkIBf9zmR zY=3r3_z=Rj{VYf^3yV>+Vz8x8pZK!Qgxdn!btdDBf~{bSeFNpkz73IqG<*lT;svUjQXJeKM3vM66)Er0+JERFq9Y(_oF*O3IQHL~T zsl#b_5r=jPAhpmT%~$m#6xxDbKuARa}%I&h$_L(X6aE(wtdAu=&UCeiQ`)Pv=V4lG|hlO3K+ z!%I=A8KgFMNK2MFB}Asu@MD<0B^)@*A!o4zm(uVuOlZSmg9;LxiUm%((npA)&q|9bVzG*hJ8r1REBy%OCEnX_b|}!?_fd zr-AZxhjd`dvqI#0N^{ZESHgjr4mp=!B=QZ4ufMIBMCM$BR<4E2*E!_8*p8Hwub9u} z%*M=fAoE;@bYw-&4v{$_GM8Encn9yyqgG>-ZVQncsMQQ3cTnqel;9qJV~E@o%_^a^ zDgUtG+z<91fP1?9>eAsbpIzeJZ~XH^(pQ&y?5hj2(SkY;IuALdld}-se1^dSp+Q4N z)Ev`m2)ADl@3ks=ad=2_9(EpqeU6>QA#yXNZP1rXf$%YhbY`vg7HYM`Q-S9Ib+%-K ziYcbfO7zt#(0tM%U7(@RF4$1kLee^ioX;9cl72$PtVjI~ka?p+x-$KqsQ)Y^ZE{FA zjeg_!^+KKJQU3+Vyu~5inSLMC-v&u9Ii!b1ziEa3E2#e}WPZ&dJ(>PRsQ(5ez3Gr% z?2>7No_IU?Od6h6Vd-78^d6|a?~vZi(jc_-0VM5l$OWk+4HG>1G3vw2TxYLC`Y`=6 z)c*{U_B*7nMnA!mpQHX4koil8^ke!XQU4oA`qm*AYV;F4`2*_17cmFEh+V|=$DlrZ z5p&>+SbydToHFYC0lz)ZXDK*;g~&X3q}JUuYi2qBg2z&C!f?~%cdmSr?fjSTQ{N-% zXXRVe>dZZ!AB3mk!P97d7TntCp8OE(zQt#YVZ-o-!n-^0^b-@lG~S! zP1rMnJ&WeU2eSY2VM*&CDg+Ci22p2H4u;12$9>UH3TC^ zQEM1V$53k|O2<)aG)l*Z$nDe`hmn)0H36ks)S8S^9ctmsul!S}h4ZxXPovgMJPPA0 z*PzreMCMa#7Dk#-YYs}ysC6SsEvR)1N@q~(Hk4XY3ui0kx1tu#R?2TpEu3(a-!?>` z3&ZI(`R7pUVN^PoT92aCk?jC;PKew|t;aCsd}^&gsT;LcqSS+0t5NDjt#v3}5F&R` zYduE#QR``xE`m2=Hr7b<2VfNsq}C=pG&n@=rq&jWlu>INO2b3so)Ed0S}$XKREXS1 zt=BLzmRfJ1G@e>JQJO%l_feV@BKL;~thi{yhaK`S4Uq*Q0kvXcPOV>2 zT1l-xPKuwq69qtqvK&Y~6;o;Pof}Wrqx9wqQGLzQ2_}|8*L` zG2Z+)D7}N;2@gv7Z$ZwTm3aZ;pV9N*aY$MIyEG6&-@H%h3beNy?0w*nVfnCI>JzY2 z3LgKM(lvN|FC72WA;a16l{Aot#}81t36Fmc$G>pM2zGoG4aD&HH-FpQ(jF2)wyy3q4WShUf_?| z1(ribGvy=jpiSwwcrXM9vmG*qDX)QTqxr>BkV^wcW6lT-9EVbj(jQPKA9M;FG8PPy zWvEb011Dg%YBX>%N~M$@LWLth;Yf#!V}+~@k#!+b9wJXs`Y(TgFM!_#DLBC)NO7J>T0Iwqxpy84Yyq_sJBSfAKk!NV24tk~)4V;QnYZ|DJ z614PC_!Dn86t0m$j%UX zn+Ez|DlBt*2b%~~9b9_vg~Tw3X$C*@&Rlij!8RV3vra*36VXp z+dY~A?HwpV?hixcqY(KxL_P_Ty&(e4WFKrOj#?n?Luo$^;4@Xh!4UZ@MD~Zsfe-=t zbJ&p_ZNYxWU1=d~8IBr1&;UMr75qd4Wq2mg;eSB`BQOHPAYak|E>tM^Geo|kfw6ex zpAh*P2F2w)hW8B#%f{oq11%){CQ}FtzY1aD*G#xpb5G`tpb!R13Spq+Dt43kCgrl{ zH!QXSy~0!unhJv+b;#AsR6R5W<0yqNj&cn%^(_tHdWOOR*x;O>cwte9d`EK|^6Raz z8f32SkZW0cY{Z*rA#|IC&~0AFlA55&V<72Rhs>xh&7Ive#J@FunxD>*O%RF`vpM(o9pt%>|!M<>?pF?hC2XDkO`_tTh zcyJ&b9ORJO*gVoL zI}{I2fP)hqatAwAXsr0GT{xBI4##4r!SU%1xsx6Lkp}PyyzmN|dodn|{;UxCv%8q_ z1;~6Y%^iaWXTibi9db82h;!fz=hEEqSTb~Ih0vkh!z{zafwSNXZ>0g8`(AiE4d9IT z!aHd0MAW$pbnbS@y-ep24d7(-!ux3e=WG|kb28NI8su0=bElx%!=UzvL+)d0za(A; z32Uxlm3PHuu$14T3LgW#We&NY-J*Vl*T?wSI{zdGTQRjBG!edc6h6UTAGOEW;BDcm z?823WPr|PHe5!Nd8f9i9ANEgu?PCMB6$9ry6TJEruFC;agWq90e?-_s1Lf!=xTF3_bMN83a^V)p{Gvk^utBPdMZ2vNR)$yDe+fH^?V$3q zLmp%u#Ui}MUW2699r6(CDE>+{ge$-+484VhVAQ=3M%@=OL(9<+j8PQA7{wxH=n^%=ELiMMKLAMw9kQ5N{}+D10DCd$ zemA0Lz6p{4U}gl(c>@dm0XAXBJU_ut8=&-a$mgTEPhp?;8yNiEA&EWWIF!Rxn`51>h!7?W;`#h~;_^GHS=7w&*2)g-|y4(#aY@dv|YeDYX4q2tkT`@FN zbPDE%CAdYf1ouf@ZaIWaiyB~Vcq%S}r{dL`-1RCRhl`qGZn%FG!Tng+2|jIw24MAV5v;ylrz@^vv3XHD%-tSxpW~2nUG9VvKM!+vgxsAR z@)U!+0^wj9ZV^nwU9W?y7+x&ufd+a)?p_YrzzkfA2Kqo!Ux#ee7^t66tvIA#1XFK| zVCwDD%)mS}Fc^}CIOG|ffdu~!LxSOudxS%tWd`m>1EU~mv_m%O3?%q>92yu8xi4|Z za~h8&Y;;*P33E?|+*2H~nHBdK76X27iahG6m%OPonLtcYZAXoE_wFnmVB#}2tXzx#=snwvj#v!jW zQ&*v>a!7j0Av<*B3C`b$2A+o8&p6}_X5dyd@Ejy|r)OK^yRCx#%y4e5k3>CJA2u7Y+Ouv5SdAK4Jzw;|7W?ND4UQW1WGDiYU(F28u(F zJKG_jFaw{X0SZaE4%w?SP|+xhqkQ^7aSU=h4*8TB_&WLxyp9wXLQ+vQ`i(>OvFdq| zS5I+u8o;sT;uHC^e*k&ro9XPN%@H+76|~ zP2mNY?kR3Y0|)U~3mW(mC3xlf4yBeffNKhhTZMetG=L+z#cklnX!!ecaXT9L3$va> z1OK7~GnY=4Pkrvwfn_5r?#Q2qi{Wc{F?dKH&_aX-|%5cDo`$mi_RtaCVSHto0qdLUXD1QrH6EJHZY=m znl2uOM&Q<347b)VnGs5Zxp?NIL%v)ZjACS5$d^Zh`52iH@O*D8UO1ID;4(1l$N_{!lTyCeqlkn&rG*}y@ zyWrP}@aR48i$w@>AN)EIO7N*Wx#}x=h2jVCntTY(b)iGPfvS%M@Pc>*k`_DUTg^q? zG@)0(7s+CHkt~K6$?sU(ISsKMr$HR(EM7^2%`xps8axA~H8gk@O6zE_ElN+(AP%_| zZ=gXOZ!3P92D{Nai4oB%F8XS$%%QT4NImNHi zAdZU^zfOaf;n6o}a3)G`(I5_Y6u(V_voY;m8oU9e_i1n*O1o(g2O5fDxa}u&^hY#! zFCP7b1|LM}QyRqA{^HMQ@G(p~K!Z=9^f?XUn|$$?G>D(%iod48XYuH_G`Jb1@8O5? zu#6vRa4V)Af}hO8v|niO6-@h$2Jx#~@gFp}6Vv{pLHz1g{0|L&h-v@PAbuk&@zEf5 zUL_U{e$9`T1ZnUGl(J~>7nHJT@K2P&G>G4wN^+?kz_bXpvrvjrI|rrw)Mi(4n=UEj zmuv~Vt(Cyr+V`yIfQLcxqvou~bD4H8dykUpXa!#5O5io_2X^5*)Q%v)(bUEdGbP7T z8^6Mo)TDNGJbD7Pk3#7r`1LOC$dX#rJ{HsJQ2Th4PN8-!lun~Ieg!D0Pwo1c){xrR z#7i1e8^`iXno=7F*-M&J`%KJtI<;}+x#Uc0x5KovsNDgjv#H$?r8d;=f>Jwb`v1AyvXJGjwXsmz_g_Xb@ zr4pECcy{Z(4msa@QZJulBqC< zolUog-xnJ;5~egObJ*ik?0eCYX(iKPMt#X;Fd>0$l7ovHK=8A@axeyhpY2+MF%bN0 z^BRnS;AeZ!Uh~f(aI1!kNV;WpfGQFi~8@L4r7Nh&V_P z2NDqn3F5#a;vhjBXha+&hy#y^g9LFP5^<0q4oo5r62yT@#5o^Z7KU*qS@qZ!RwB;% z*uKmn&iUBZQXWpzFS@qcVIwH>b*uE_y&iU9DE+WqP*m`9V z=X`7%7ZK-tY$F#D=X`7{7ZK-tY$33Sb3V3ViHLJPwh9>IOtR{+HM=6t`PjB4BF_2P zf?W~kd~E*_5$Akt2NDtId~72T5$AktD-jXrd~8{}h;u%+!d=8UA6pcPaVA;y*a}b) z=X`AWr-*Ytw$fe1IUiexDdL=ut#%i2&c~Lii#X?F%PU2k^Rcy+BF_2PT5A#Kd~6jZ z#+hW*V{0Hqob$1DjUvwZ*dkmJ=X`8kqKI=owm??IIUif`C*qusZS*GMoR2Mr6LHSR zmcxlS=VR;OFwP{a9$WP$;+&7&Fhrd5v0H|Sb3S&{5OL1OZW|)b`Phv^#5o_kb%;3U zV>b^G=X~t0A>xd$KHCC-$GHUYI3JfF9_Ql{#N&Kif_R*dOAwFqaS7saJ}yB#&c`K) z$N9Jf@i-rstori)!6k@GJ|;n2@-YeGl8;FcmwZfuxa4CJ#3dh-ATIfs1aZm7B#28s zCRz36{ew#omwZfuxa4CJ#3dh-ATIfs1aZm7B#28sCP7^CF$v<5k4X@hd`z)N9G84dg1F>k62v7RlOQhnm;`aj$0V!1ynk>B;*yU^ z5SM&Rg1F>k62ztdm;`aj$0UeLJ|;n2@-YeGl8;FcmwbHhjr#Kb!6mD{ynk>B;*yU^ z5SM&Rg1F>k62v7RlOQhnm;`aj$0UeLJ|;n2@-fM(FYg~*g1Gb_lOQhnm;`aj$0UeL zJ|;n2@-YeGl8;FcmwZfuxa4CJ#3dh-tori)!6k@GJ|;n2@-YeGl8;FcmwZfuxa4CJ z#3dh-ATIfs1aZm7B#28sCRz36{ew#omwZfuxa4CJ#3dh-ATIfs1aZm7B#28sCP7^C zF$v<5k4X@hd`z)N#3diUe>9Ny4=%xR=|6t|Xdv$&T!Q1$fBgQ@K;A#N1jnWSm=vqn%1JEZ z&Z^!MW;&$Ih_Bebj4d%&Oi;*~yeIJj$xxkA@qB8^R!Wb(qiXq^?w? z;jZCs>@X~D+ZJYv6sr%W;XhFtN@*TS!zeuwr4cmu8I)kQ&3=?d)7)QB8cXwnD2=Ci zMJP?6c}-B7MDxx?X$lSZ<@=*lhjm>ypfsI^ALkpmRG&e^n^3xfhIgSf6IK(TbTy?% zpmZ&zr=T>8(zYngrnCo2b1A(5r5h=|5T%J&qnosFyljt7-1nD6OTrf1y-P^C(K|XubwOzp&BHCC zs&A%w7h&29G*6uGi!^U4rfs8nSE00>=3S4{D>UzRlwPBG_n`zIVHctFCe2%c(oULJ zj?z0c?`4$UqtQ+%?V{0sD1AVqqTCN@^ioXwm_}!#w3kNjMrj|7E<|ZRjjluKAdQL^ z@db^(glS*V=-ViLL!gOW{S5tQJYU4G4>WfRLLjD(Lu)5|&z z8Z&t4pqf6?Bys&c{*w5q-Q&52Vm956k;?uczSs=2wy7v0tCaf4?Hco)$|j8%H}srA zV~36|n^?2sxN)NQwr->e<{s}&m`U9N4y42nmnNlR-UvTHxz>0?vn-$ z8P#df_#W&R;n))Ukz>m`PaZS4Y=TH}I>PV4kM1=HybU=-Vift$XPb_cO@d93VNO$r zv17|7v>rWZ;>0qD=5!x7dBTt~_+3e`S`GHvV4r7tjhr|VjIDc-i1Q%qNMcxB7Hzo`oN_4poI8fC~rto zd}vah`N`aF^ERuR8Vgtv=uz6kFS z;hiG?T_S$Bh~Fc^dqsGk2=5o+10q}?^d1!PheWtgq%RWT!y%SHH9fN;bSlDJ5$1_7BJxE=7!&ER83MZ> z`0{-P@%x|;)|~i?_xpW6sw^ zgiS@*OoYuv*g}M-i|`B)o+<3L6yaGSY$d|8Mc7(|ZA92sgzbc#_98q-gdIe9t_aT) zVMh^m5@Ba?9$iFuz6iUDu$u_Gi}HGicux`b5@Bxb3*ttl=`-^aZ z2nULAkO&8haEJ(pim*(C!$de-gd;>aQiK+Mfi{i7YaLzMEI}>9}(eV5k4xyB_doZ!pB6oO!#lPh_4X#9~bc_ zM7UCft3>#u2v>`6jR@C@aGeOtMfem811m|k4<;WGe`yi)3Nzr1hV4sVccX6`AsuVi zD)+tIsm?YMtg~vh?~PjdzPDI_194de>P63RY<`{0$S|Kg7xM zJ)7nGs+9GbHi6p&nNwN~{vHjMgXARNe%}E|4U=Pi2YsKzI}4OX+WNjAq?GlqK_9#j zMF9%tBbh>dn>o~X66$+5D3}^$3iXp0sJV)#4oRq=-JoEWmMN4cPpMG9N~qu5pnf-p z`qP7_d`Byu`b$Fn?FRLaIn;k%piWMN@{>4}-{%6weiGia`aD6^QlKme6>x(JnnQW2 zzS;_uEupg9pkP{Zm3m5r%9c<$ZcyPWhN`1@ib|+lH>f;gD1X#TL$9kq#UzyD1_d*( ztF-!5r~(O9=mrIIyA7fIC0;yrisGqi5~{izRH-qP&r_G6Le-E^N4P=3ymV7f9qq+a zrz)O0MnWCy1_e{(4WYbwN`8vq@5xiADV{n}LY?FWb+S2BZ7Jmp)ec&fgHYTyRd&=|_^srpo?MiQ#A8&nfx zC~wuLLN%38&D@}x8$)^X)ay$1wUAJ!yFtOa7t?;qQ$tsw&XiCs-JoCzjvCDo_(7)I>L^NmUH>l>#+cLQQdln)*M5x>Q0p1Mpz&2WRd+#KpkFFpDX3e-#qb(I^`)#gy1-ur%3pstZn*SbMnXAX6}7f-2B zvnA9VH>kPBP~LiU73u~Fb)y^9P5)Dmrn80s&@Q};`#2i%|*m_vE0zJC>{2PM=) zZcwl(XO%W|6>5=$de{vLwgjn4C_hm=wOB$u>IMaylA1z!sXo77fm$k|9&>|QR>e@3 z0<~O1t#E^aO<7Gn<)usTXDLumNT`)=P^-+LJXK#rfqGIxt#*Tg-EFGWQ!3P23AN4* zs@xpPlc%DJr=F5f>)oI>R54Ucf!Zjco_2$J#vIC1LoZgKo|RCW+@PK_hw@Z?)fK4C z66$$3s29wkJb6lm+9IJ|bc5Pj#ZX5mp4uj%UUGxlUd2#HDo`&=s8`&eUNwh$-NWOs z|0D%!hlF~=4eHG*hEk_0y(OV`x)DNJ4$=2K7l5L#cCJ_DZNv-JteWF_b#3T@@!FRB=-fdciVg!;-2%6#sy-%~?xs6c%qp}uv4`mTyS)kuN*UPArg z2K8eVLp4^Qev(j!+@OB0VyGqx)Gre1S2w8N%%T49@|4h2f%;QI{pAMrw>gxjhTcqp z`bR?j>jw3oIg}?)HBW@HNF2)Yxju)y5pEofoM63Y44?Yq`ycWxtDV+9jOl1xlF}WObCD>g49B z&c;xlR)Y{_R*=<2LY?mh)wPPDlnFRiHwo3<4GQ+WH>^Hyp87^E? zw5jhDs0$=iA2%rYB>+=Td4l?0fs!*~Ew>r5Ru#{PCCdCMtH1Qr05?w!tYS|ovx2Na z5^As;)Q~EM`bnw2p%SXh4Qf~wLmg6}hD)dsZcrnu80u#Q>S75s$_)yBR;Eg?zF!om zF%oL58`QWehEgVVS>q+tC2mj?su)U{KV?mnP?OxCCL2RpQ@!-)DwLcNYq`ycwJI?q z)>DuEhtj6xj9ANUMyzE%Bi5UzRH!Rt^ewpp}cwOAH`EQNvNCM zpl&gS^5!WOYMz9;)eY)4V<>N)Ql?Z|a_+F@Hh0)EpF8Z$Q!134J8Zel9kwblci2;p z{-4sOefnP+qP+p9=N3gnGga zYNa`pCr%w~o>HNnkxz3K+_nlY58DFD8(0`QkZKl~C`wLA`Gb<>||4QuXbUP`llrJ}`!|_IUABq0**4 zlu#eJL49ltWIKbiQ+l;S7f!ZgbK68WG|38H~AfenguC+cl zhVoW@)f7*CA)&r>^VCB&=tl3V;*Zd?3XmDu9f(@tHb zO7;CHJ@u2Drw*BW%F~nQaSGJW66zN>s9(*YJUzOoP`^p2-`$}8Fo*K=IIKd+X}Xr% zG+nC_({w#GbQMZY)3w~D=~|VTrt4|vQw1s@r|AaVrs)REr|Ej@JZmO4^njeE8*rPZ z8!(@y>ur-!Wi3`fZiXCi+YC8S)tezJYq0`yGvt8VX2^l6-VC|6QhhYuB?NL^x`aTU zahKq&`joX;frx~Pxua}z8h3Q6+x{JbHHsvbHIE;v$yI~)?x)p zq^GL6d8&F9drH~jH&7~}YPdliQN>X8l&kMZ33Zek)X~OJelM#*e9Ee|z%df)SU0HS zjG?@>DP`4Kpr(X6-VN#mV<>N)QdX@6PLxn5xj~(54CT#J%BrjrOP@aA=L4|52p_;ovwJ?YB^orF(@zm)O>I^riGtHqqy*Q{)EhW@hZcwevp|12Y zl6QgPDLF|#;5JDq(F6*P~F_1x|>6Js=k2=R1XQ&(+#TE z{}igXgu1{Ds*f>Lz|#zlGR0GJ=6=9!=6;|OGxt4p2~!j(IdebYHgi8MJv@K2Ou%e3vUwaxd$E+g{cI^S!LS4enp5K*_zV18#d+2h8`f_U5Up z6{yj&`o_3b-`FayzH1dIxtDdoZ7=J9`Cit6iC!A|Yz1nP^weZGPfaoQl(&J{c?#52 z33aI()HGwLz-3-Mb(;b;Lqc8d26crw)J!i>w<}O`K6=1yK6=1>K6=0t)O-a>&PNZp z%|{QI&qw!GeRn8Oaz1*%Z9aOyd_KB2s5=!XIUhaXHXl7;J|Ep1)LjacoR1!Gn~xqa zpO5Yh>TU%}&PNZp%|{QI&qwzLb&moi=c5PQ=A#G9=c9Xrx>tdc^U(ut^U(w5^U=LQ z-KRjw`RD<+`RD=j`RLxD?pL7hmp%FeZaw+}^B&#PZRP<5O73MHaNEl|V7`~NH%~24 zpyXcG0k^%Z1Lk{KdxLsVfs%V!2i*3u4pd?wx)Q*4{j&?0^%H zdszqE_OcF`?`7=`YN1knaxd$E+g{dzO6+Crsrpo?CuNtg+O11iQ^j4vBE?f{CDb}M zsB&|tr@TCQE>ob^OQ;QQP#evmp78>;L4kT!LTz$`dd?i`c@I$j(-o)}B-9o+s29zl zwt0b4zs<<0-vPI&-vRTf-+@=XK($dk^_uk5>u#RfVeY9nGlzOhLhW>edfOc8-OQoh zlTh!wLG3b!`oIg6`W0)Bg!<48>LX()%hT>}?Ugq5v4r}>4Qj73l&9qceiiCd3AN7+ z>N8^~Pc!$Ep!Q3s18z_U|EEx&OQK`|#e~qDn#EYlSOY~IG7l#V^U7&)NIaJUKR3`;W&WH`V&4>+}&xj4?c!BDy zK!v5JsGFy9%{}D_s;dH(C!r#4P|+%e>ZU-&B$VR@m0!hB-4&<;303F@RaC`L%3POV zv4kpdgQ{i@RqCao_fkAnLqZ+l26d!4l$R+0{@x0doaY&Io97ucpXV7o-ixP{SwX=Q zq^C}F^VCV^p7PXrs!%6Os9J7NwauaGdht{rrTR{hP^Y>k7Bq0Y{{`dUkq+nl_h`JB997cZU~s(9*rS$$pIs;`@Q z^?B;2RH*I}s)rj?Pjjf=UOc7DGz(rJq58N%^)-j`boHrFa{61)ZTef#eEM6^%alt0 zFr`fml%5*o=BdHvo*L?<`c$Yg2{p_OYPdPnNH0*s6;E9(p+>nujW&lGn>m!6)D?7_ z)D<+J)D`qHsmnh?@sym@6?B`_6*QmJ6};4or$#DJ(`5BccdNe3%&YHmFHjdNP*+H( zE8U=GRx#8l1xilp3c5||3Yt&q3SQ^MQ==8AS<+M2yLoE1xu-lmx{Onx=18cyZcsOv zL*3-XQ{xq=n~x2Z}&^QlU~yS#X6f&z87^wd3Wp1RlE zQ}=s;ny5fMAfXnxK|N>=wa^RHBn3)NzzModzzLd9zzII;1!}SawM2Sqshg)BGxyYT zFHp*Ig5U}X^|%|<6XsB>yg*G=JSC@<1l^{U1kISLZ+Z+bnwmA%%Z*v&j z=mlz;0`;`4zGvL3?^*Ne^Yq@QtOf~gl2FgNL2WjNdcljQW+hNZr&Gk+q^GmzIk8J(;M;0@`7&rU%e+ zAIzbC^5UuM6{tfJ>Ss5oU(BI?^8%$T5DWe;q5g1#`qLchZ!b`D6i>-L&4O-wngz}G zG_!qPpynn*+5WhvY|F({cEH?Ip2nwcP@sYm%65awGKcasym+Gm6_QZdZcsVKPjh`p*%Ho73ydSb&MO-vBpr|=1-|m$4RJ~ZcxV? zL)j;KsXk>Pt9_D$I@t}XmN`@%FHp+zOk3_hWxMS^Wt;Cm74$R^t3t{Br);O^0c!=64bd8>O427j>b^lrhcoQ>Lj5$yFqm^hO)bQX;aGDc3b{P zv+edH&9?cEG~1pYhgGPa(o?<}S5`5Uva^IeQ$k&p9?EB5ZC@jPE~qWB;D180YL(VmZ_il;qQA5R{@TO` zf3xNA31qS7+BZNNlddIa@#9(cb>tk-sLt~GAvp>OQR1_2v~Ln6oC+D4sbH=3_HBCm z6f>ax(n84Ww{Hd`x7+iDk>E0`;?)`(as{5>}d) zuw0g~BC&+!P{Imc!YaL6*60gJtvp^pyz;;-RNmS&(ChU!Q{BQF1b552L}+Ll_Bvj| z274p1N{b*%R}zH(p;gy9>WFHA_4W(;GHQ_k%OqW&Z9n5{%w3;tKMNNLySB3JO;B1u zH0-DOIptdRGf?WY@NW|@d5is`sPgl{Br_VSRl82z_4cc71&3t8A$zN+k&wNOogA}Z zzeGmC`LL@K3fu~XZG(R=@d977Ul#>VB3bZ1A$hF8YJqCOYW8~jeSNX#U`|!Fn`1w&>n8`6ML_y-V1?+(d6JcueZO@n{9}>_#OKS`+#lB3e;O!P+#h^J_FpLk zYwdql08;8rEQ!GW*Zxl^w}$r1I?HISwOPKG;8yrlR*;Dd#wsmle+m46Ve(xO4Ms)N z@MYOqSsH`cXpn-z>`EHU&C1gljH1DOFc__*!Gf$p(fkwcr|axLpVT@mrPYzPz5)Q^ z_vfr4ab=OVtU+fLXO)Q7S7Ewzo&AroY3M8C7b}|d9igk;tm;O!>pN1bT*FNHD6R65 zX39rr9VKe5;<8wqb&Q$vvD%W4GgCfJt9-nfa!sxBiDt^jYn4wnQ$9hfT-!|fM6I{$ znkk>8E%{V4<&(7~*E3VDr7gLEnR0Edaw9Y4I$Gr>X3BN7%FWD_Pthv3FjGENt9*u; z@@ZP-mS)QJwDsJ|Ou4>RxwV;c1FdpfGv$Wbb8c^@+$f7ZI^nI|&+m=whIsDd+WSP( zjn&sgtK88{xv5sUvzc-;t@8P1%FVUP-OQ9*Xq9`IDW9%Y?q#NYhF19kGvzb2Ev&Cu zBWbBszR*nhEUj{XGv!uV<$-3(XKR%Qn<=-}Di1YNZlhHmW~SU$t31L?xt+F=Tx`}z z+G~|Zn<<~8RUT`m+(D~6-c0#it?~pj<@2=4lgyMmYL%y$DRt z9#g2Z-eipoOm@+mJTe25=ZCs#CU9jPV{FoQ3``kdGfjg&oWK?8rl&m4*ksC_uuyls z$>TFH*+Xyg#0*UK)SEmx1Czbl&L(t?>)=l&2b-Os(-gddhmnCQ~W< z>M0u-n@pwbr#IOs1Ctl(O*YBErM8`z~mUc$qONZ}P$nOpe!^?4NMPScwlpMlBgdXp0}FnO8YI_U?qwk5XH8z?0$a<}w za+a~l)Ed7|Z*q19CTHnQ&dtE&^?H*xW?*u*-sH_0n4F_GIWGf~bM+=~%fRFfdXw`r zFnOcCso!aAGPSASq^G>w*ko!`zgchc-V99MqBnVe1}5j}O)kj54`pETHoeJ3 z8JN6XZ}O20OwQMvd^7`-cj!$n&A{ZHdXvjCFnO2WFP{Y%;aRAJLoKmVwE|dXw8TF!`w7P_y*z~p24M)an!$<#))Oi#Jf*ko!WTCO+wP6j4d=uN(tfyu}9CU<3E@(F$Qd|+%c zwR%?SO@5ex$yNFj|Jc}MYK=dsH@PrH-_fyoW}>iNOgWNP(n)SLV%1CvkdP5zvL z$!GK?f6c(;vwD-iXJB%Z-sGPdn0!ue^6v~xZq}RpHv^N;YnytA7@JJJ#$V8z^k-ml zi{4})1CuZ6P1+fl+^RPj%E06{y~&&mOunQyNi#6HU2ifk1CuXnn|df}Y%;aKd_`~4 z$-v~RdXohin0!rdvM2+Suj@^gWMFcK-emO*OunHvStA3JZ|Y4RnSsf-^iBO}W0R@< z2-Mw%%mT3{1YGH+ez^Cg0VYJShW{@99m}%E09NdXsfBFu6-_@{|lr?$(<; zEd!GuXy1E6^^HxYzW40WQ#LdtfD{6uf^ z^bAby)tfvs1CyWXO`es3$$fg0XJ=sYGrh?+8JOI!H`y)&lLz!B&&j~#LA}XyGcftN z-egDbCP|CX7ck3H-EVkp=qrOQgNcuv5&BxA{H>94=)2JOVt(pfB%5z%-F|iG*YeOG zogr8q`ge7yhPhD3JT-;+A}#azP!oj2nfXS-**#L&NlV=d zp6mfeD|kpNt@0o<<+HWQL(G(0Yn98)l-p>Phnp$4&mNK5y0b5?sH((Yb4c2+lXTE3 zk2X_2SF1eMO!+*m@^~}lj#}jjX3Cwk%9G5LJ8PAvm??M3o+|p1gzcfTryD5a#@pGK z!Zp-QYh*^{jC9wY#uWxefS`v~d8V0iPp$IRX3D*^%Ga7H_s+gf&=SnA9Kk@Xkvl7A zWRTWKnje}`I#A(@yWn(&XpP)wPQr`~)f!3j`!wlD%CtrvtQ^TOt&uc8Ym<&-xYo$S z<|M3{kI))fTsb2nwMLeh8)3C`arRQpjdq!V5l|kjy;fG3DUZ>X{DhhESnav5GEh#q z)W>P@R~s0Cb04oISZko1aDiQ-^+CCT5g?eLRZjEMK}vH5<%!yJ-)L6yB<;CBW1yVi z{mEJ*o6L={<~v1u8k-G_fbvu=L7Lx1QXH6Y8kcH~yl6m>U}T#1G`1NSfzz0-Ro-r< ze3@4H6*J`-TIJWwlrPshZij(#g5$2x61-_(1RQszR(YqH@=PuMI|j-L_*ZEO-ZL-) z_*ZL{cbO?)qgDREO!->v^WsMa%HrJj0RAkkkxwdTRTI_^DVYiXSMOx)zvyvayDpxa8enhKWYNotct9*o+@}pYiqs)|- zXqAsKQ(mf7KF&<}F|G3PX3ER7$|ssBFV`xcY^J%(0jF^;&|~21Y=6gSPW;Yo@$WtK8m9`Dv|k2Q%eowC8-Dneww*5EBSeCOY3Q%oY2x<&>HDoIU`%NM*39F$ctKE^fNF5ZGNj( z`64srZCd34X38&Vl?RzAZ`UdhF;jk7t6XNL{EAk2xS8^+TIG>u%CBjaN0}+Vu2mjm zro2PjNsKd7enZ>FFELO~cu9X#OEA&E2( zepjn}xta2N+H=0rO!OQayR^#Jm?`hpDqm-&{DD^adNbucTID%r${%X0>IO6A zkF+JY1X3C#xmG3Z9-lxUB%S`z*t@1r)%KNq0_n9dl z&?-M*rhHJV{Ggfg=h|~#Xr}yyw&aJ+l)uy}FE&&DN~^rYO!;fA@?&Po-)NPWn<;;* zResz|`8(~ccBO%G!h`Mi+WLOdzzE!Gf6(HuF;o6gTk<+H<)5@AKV_zTNUOZTO!;T6 z^3!I@zi5@8HB++oMYlqalbzSnRIcufQ zd8l^ORx>w9pZR+==V|G4g4v`qYnDE9lG(=Ta~=YK;Ir9X`&hMuD$7~vmAV@fZ z9!hS(W$E)Ko!a2^Ia570Dt+c8vm?^yJk))2&M)OTf0XC^4GSY+*+XGP&o7rf_{y!- zV%fvdaCO_(nw)=N34xzo=ka9^*2WND_8@lph6pTlApdE5mH#Tra2aFs5uI|_XQu4a zb&6rjK)K>k#OK!<37Q*$DH%RXYb2|3Mgm$R*_AUA)EWs_&WNqMU59hcjlhH%UzV06 zVs3;vHl%GIF#{u@oUMD-3+J0D=V+A^E*-aK9oAwOo0Uwp%GJ!2bG6E)X3BY5Rw>GS))9Bc6qpM#dAV*N_0xvJ8!r{ zdAMWk8i~1Mzr}@VXg%k zqHrh*iBUM0uHkOtT&@-8($4K%rbaJI3n$K{JlsbEIW+;YUAVh|Y!~i9aKT|a6|y@3 z_K=W$!~GD_KMf#lLVR0lm4|D0s#6{wx;{Ltj`eJKM0t4h5|Z7yZh3h8bMXAKIXoeH zRN`YZnG-!bJ^Ey@H? z3^05JN6#(b^M{`7B~6&~@QZxji}6XOT| zBUx&qKP$T{WG*d%ivVxHb9mc36Jo{04$lf-50^@S)CkWeXF>^cNGLo9(t^H;$T>4GF{DJ|sT%2<2oaMm8F%$Y7q zvX;_97FULCNs_gcGkvr&Y|E0YrLZlj4BN^iYbk6?E5r6=lC>1J$120NHpyBF+p@}7 zD?gmI<(0Aa%;Bu9sEoDEhqLy0_zBU!eG8kAus5y^@I>+{VT zpKm*y&tFh{zRlR@+l+m_tx`VURym(<@$U1)lB$JYls?~@=<^rB=UchYw}oHA+V$0g zcFtaa4?&ZCrN)Nv8-4vs5y3J!pKE@ZzQO=J+L8Ctn!-hrihv{snrq@E@$6Q${)yQJPB^G!O4)E{I>c?8J9-ls~o2=%2$jqnC}F(aVQx zM*k_z=w%(cH@1!(Zd>P5;J2^JJs^68kpa@>=CIR*HRFctXNhU5vaQ zy(oPTu`@xB4b9Me-X~@kCU!EfN2jN?K#P=|F)}Z0*6>;-YjjB3oV1#nb5Poxyq{H{ zLtc-OWoh%P{VZg?Gi}x+h!~llHmlkvgVmMkv!?XPuUA}5zUA=_$+w(F@M3~AWoQ(- zCO9OC-|62Oy zzvFPtKdzUy`R_1p{yWT@{|@8kzax5>=C3{y0)uJuhpeW}AF`S@f5=*y=D#CN^FJwl z^FK*8|JvT0Kb9MB{&i&YubbHX>p=6b%bWixhRwf0y5P_eNklPd{!M8!@nriE zd};8*@b6;wG6tP@3;1`2^0crkdPdr=NbL)EMbpn7(mc)Xigq_P+$wF(@C^B?w<}r= zvWXd1v=yAu3vf2AQ_g6&@fqzt+!?74R=bVQXm`};jCQMMRIz0#uQalY>?FH+sQ&DH=|FYz0z0Y&T`rj>Z-H+ zJ{2uayoY}hO+T|o{Xq3ev|rj%Xi@Tu_$L((B#Yt>1WErngm#hr#UK*mFBWxc)mAyv ze>=$DkgmKB>EYsV_&$~LD!Mm%dRkY6lO0|hjV7MR-e~9a zy2=;9y)Lae)!}<9=kT6~bNF7DyIZQm6HBj4dr61)PIP!LaCmR-@C#fV4xb5A9R6u# z93F`#PX8pI8aw>cN;&+~$~nBx;T-;HbYR+sk?Qco((BT`(&7CQ9o`ol-j6%{Lh0~# zMVGQrb}8_AC&l6WD&z1hHUc2;7yFF;y{}UK-k0F-g|bIkn65{;=y3kt=kj`=>hHwT z>(c(x-vbi;-5>ltfctx(^mj@ZzFF6W@6VtM-*4Q7@2^xBzCXd?23`1|!#RAv%k+;_ zhbNX^mkyQ=ACl2>Ka>G0u+4j%>%AI=>@^B76Sg9^NvGlt1 zV(IWvi4MOQ96pLWe6)0UN*BIa*M)yx8HcM~_~*v{{(t0s2bdMb(&)@=-jn9+*=1=Y z3Mec|6byipL`5y=KLXm@}`s zXQroW&UBx%T>t;Q?|b*V`!V+EuCA`CuCA`GuHIcEdhbT`&O(Kc%S7+pHB#ZY_g2yK zCB4UEdY=#U9xv!U!K1e|+enD8p5N9+A=E2+Dg0fIRy?!5X6FMQDA8yV-u;;k#12Gt zeFflOVHX~7j$Lp%{|9%~z;2~B5~e`IY08G`OBc~8w2MM@7c;KpCN24=q5(A;9y7c&ALf&gIo(Q9}d zj~O%SMz7^@Jm5h1%`@L35prfRHqiO57}&LcUID#dpD@t1gdLp&Fq2dbe=WU9JCNG3jkJI-^Fl18N?%L zOjqI^gsk@8L_xZ6*rVArX{PX>$5lcF*oi^@C@ zmH9$c7D!Qf*@z2KS)ve?gY09J116q+^B~=V7OG|s(w@R=6wN`JsOzXs%^s9A=BbB6 z^z1?Q0Ci2SbpSt=HivHRQ#THDqggQ=Vt&wC}>yl|v7?~cCWSR(M$^&Yy6J(O2 zvp$_DMFTcPH>6XMUmE~fd#sg|wTKh#9wu!q?5RrPM2WPu(5y&487**^mK$|I+Kz%* z(@RR-Fdhb9M@pGzpLkMeajAo#cmqriS1^6yEFfhqd^;dt9T3oJLHPm@ z(8mSkdl`k%C!j3XIMS#e-3VoT&aMmN#*>m80ioTLPHuNw5i=PX-vzK+ATGE zWuMyS{>*J*1P14JOCq$-NTGdJC$!H=GF{>d?RqM-FQgMiFSIXZCA6)Q#;h#q*h=S& zl9T`cs?@e3Qu}WywOgdrZk1B|vMaUg!=!dwCU(49Q+906iXE-9XGiP*_u0{!u;X>f zjyEJb-jwXvp<~Bek{y@3v*S@ZJKj!bNA#Ud(RZq%@1?65SF3-J6-U}6jjOYqAZ>I} zoFNmWlhMoQTVu7}il}f$`-iA?XM-BlO>GEAK9n5!NN}VtsP&HpM>>L9|HS3UqhS-| zQ;#FY!>|f)?SBmA$ZjP^zN8%SjVo`tbFP>+<;GRUWe!=lhe*B4m#nYk*uEBH0|djy z@r@kEaWD>Udes%JZVpAGoKGJ*d=f*%6L0>{49+UT!f zDgW++_fBuj_I5`QWbd^9FJaNr;j~BnwF(=~-!-^SV0J*z_$8v?b%N-=81J6H3VNk` za@EJZV_FrnU;uEgO|Q%*%`TcA1C^xk25;xx{qS_6U&p> zt#q-wI1_eV?V(Cl;bB)myoFuu@yZrqmwL^vXb>06L0qgFM4=qSBcM3J?PN^NG>Gm= z<5eY2?kE&@VohlKiMs8?5TE3OH&UYVdpY67iKCTP)E z%$*#A;id$i+AaAt2|1ew>s%(mfJbvM>aJxhj^-l#2kb3liT&T}JFwlTu9)rZ^ut0M=-15&+s{8$|r7=)q%$Lh*~4RJ-W6%oZDN#mpa99gSaJv_2{ zqQCn8)W{mhku}64YXBo_D0*sCgWxW61QNBdOR=#7rDwFvT`@j{<){f!_*aWXSbaPc zI|!OI3p7y&qf&qL)pmb1AMhO(^Jy zT}{?i=k~mRk0WSw#@b6|7QU{|(VSqWpVG`hI-zCkaIDK9mkQ|4Ble$64s37vIZ~2| zdjqAGJ`%`ul<28LhJnq~oW`UNY#td{M?A2OqPtG}KQITjH?X7Sz>dKKI~oRdjOeMe z99a1BGf%fe*N?1KtP37l7tvqWe`;jimm9( z?w=aj@p5D*;E^2s6FSI)-=_?L!p#eF>U8uR)LXR{TY69{g zazv!wAXHdrAD)2<3(=f(^U#n3qS!!51?tR*4f6Yg5%m@>moy^d#2R7FjM&K%e1NG# zeDIQ~H)b5pjc7QbOdYD~Z+J+5H)Rweoa!UAzf)BGofgvHV*AJp>{^^@e3c6CP3&~1 zKkodH^t?GZzThoe6DJ=D9p4$Uzkqn0<@YDWBMtl#G@MZJ7^wn(b~fN~vXfBoqa}9& z*pKnKBe72t?prfqKUM|)yllYZFK5{msrD)1At z0e@#E>@Shv1B&G`AH19hcLx#9Hv<2`9@)kM>OV{Lybl;9?=N7@vL#)t`WWzyTO-WF%X+1D<_T?)&)^Ep$VX#0uzVD9Q@{%RRFZ0KvC^KPoY_=cthCix*GXalbEWQv zD$bh(Vw$bCSW!c5krUJwR@`b}9VoTNG9&+gLu+tdQ{Y8K^N=DOR+RRGM(3;mowEXT z&PvcZD?sP0w4N|mYSUn&an;zJ+v6g3alvT zp_`!$7W14&o*sfPg&tZ`6UXm^$bJcR{N5s+i_@I^UMAejjorr2A>ou2tAbUU4JXOf zVXo3$2VgK+{M@dwJ7^c0Tc~8_uF^Pxh5Pc(&=Cw*PO{it6cNp7C|QzM*%vEern@;- z33dqgk=fg0HGC;pefR!5Jy1{JsJuryJ(gjo$35WmSSFkv%RMo$PIbhe_R**A@hcyms>JxuhqcEw_^spvMT4X;^ zN%+IMlIA;L0L014xQ@bBjg~N4US@DQB7y_x2Wzns-#Po2m@jZ^nYY z)h<;FI!|#w#cxQgm92IgZNu1|>B-T|t(qMn)zDk*qtxJu4YJj4psdMV88Pv+fD<|z zdoC<=6vDCTjjxyOawSNy`n(|aJR(s(?0H^dd1%igc0&Bgf^?|ul~Aew;$^$JvZm-? zvG;pfD>|6%FWblKd*BW+U|=we?`2)=GSRg!YiXfH8o*0WGBB(gEP0)a?K0P zE6uCGd-uHgmboiZnbR((N6rcG@6|brb8eCQ@-K|SedbjnTpQlBf(^6hoa6U$oRVDE zlPBV|_ZfYReEAb@KubOM9}n>sPC#>%QApb1w&}kFI_+r`r!~d^!ha<|ghLTF<8ZBc zi*dfW)f{8K437+L&8aZA<=$kzoV&@~lKZ9UBwd?eM1U%Vv2Cz%&owVF>c?JzjeCx{ z3(8)FvV8Md*v!8MWqCO#K-qREE6h0*%3g=Ef}C5R>d-MO7LJuW6D&2wTQA5{NDHnym@9Bs%IfP1qdWvc9Ivo)Ko0oOC2qTpfjyzM`yh&Hx!G=O zHgsgU*{+HIh>Crvw1}zUzTLiDEjTl9B4_t*UwU8i49bbphg`#Toh-#~PK7oz(|cA^^x?Yy?AQ&mxy zs-ojIq5S4?IN?$x6E!g^nMF6ACq>tCRHpH4MOC~oOl7{VGsyxflXx>;&)UL%UH4)X z(P^*iBr{E=z3$6}@vJ8o#b*# zWw%#3j8fgY5R|JMEN~hW=hX-YC1h`cAv47NTmezEkI}CN$eAqM5=aMEYn#_Ub#c=KD@9 z->HPC&(Eo}zNy>$3Ew*G>$gT-DN90&1cP*$AN56YT5d+FQ{yyZIggLt{K zTtivfa$PQ$>x%tfuAOms%Qe5f!~e(PJUhI1%OMVTc%XnU*RAAot=&t<4^=JKhlefK z&R+UW*h^z{vRJZ@@Rn?2pKHo(22-v>m?`(R#y=SWQ!d^qyp?7bOEw&D+v$2s}Z#n#l=>on(%$QJ}}NSKZM9@=Y<7G z*9Nfi<7b08mSc=F>c&TdIR^96eBBdu_zL&w$7RZH>rE%F+FTqafFEhj5QPAaO&6;3 zvJk3|G}q$9E=D0#AL%?wM5sQ}*(}^~A#WPQ&kv7xE`tnzqMjRL9G?&#W6bN}3GX5(Tg%Qag=*+eKS zFl$5EB~S(tyyjvl@rzXwf2pT(&30g9fpgYa6DIK=YnCD*@t1R%aP{Y;P*JDi@UbRf zB~2VY*2EujPr7MzG){yRM3sh<^QskBD19`%&-FP#2>-D#f4B;PBjosGLHG_p_$wS0 zVyy893SSBpGG+f)OQ(0w2%0Ka%V~JEoC>SuG_hJ<>9Nju-p!M7Lm2BmvAZj^w_x22 zNOZLcPt{K}zQ#1(e4??S(@#0@n-w=mV&0%4=2iPoOxzQT&y>Wx8WVFS5c6t5%vl~W z&9mHj@Ie?cKedn56Z2XyKW1ZMeyTG*PC~Qh`YdM6r}m-B?$bE)sV=vtGi}Bgml>1j zw3)OY)8c!I_=yM=N3vrN+lG+qo_jW>q!kA|{+rgiPAt;WwZ8JOvw;WJ$z57{$(R+Cx& znJ$~VBTJo)zQzD4OFaEQtk3esUC0$Ynf~85=$z&6Gbv63K%8z8;&cp%(_%-Q%x<3I zfG>p>zIp$}$?4q_rzKLHZo%TT1jOkUAx^hSaoPzcBNwNBVdAtqlQ`WjS`mp_nuSE| z);z|kGZ}Yh?Z4QqyHz5NRD|533u?PHN1N(51*e}%3v_lClomWoy zBG0X>JB4_0>*_97y!uJ;>ZcN~yCu`LR@3L2xK6S3E<^hN-cW8+tLbxF9kj|ROConZ zw=YsI7CioL|E;D#7WU8OeC1Zt3TZX1%-(9cZ~y13)4Mlc@0auS0X$#thxz(|n6D2? z)*az4^pMsZBESPahA%SB*HwshtJ7I0)8?Xi@kJ(4$9BUP_PI*#{i8PT7leB}Ied=6 zZC;)np1ZT$bmZbop=}@8f9^TGd)!+mxyLV4$a9Nzz&*G|0gmu0$-ULEc*7pY*b>IQ zFEy9K#Ke1aZSfn3fz>Jo#y0{_ayJ$Y7?#7UJ6Y>TJ{o^2baU)5J^u7bn~Hy`D*mmi z_)pByFLhUHoK#{K(7cb)A6C)xjj6_Txtd;|TpM$bI<2=}VJ`ZJl8@a{P zqVS~4;%V6QV3d0=mr zQ}NaPpNdZJ-c)=|PQ~qbD!v9&al4p`uSeatoD0T-;S+_}VX%nfJNW6Fn3B>Rurr+{ za2q3XA?C+-A`MXZ`F;FtFfi_bKXO&(JIzHCVPwcmC*R@6jN`iikhrr$=JUIu^I2RP z5%oN0*L&g4uHfa8y_##GC=5nimjG?@0V_mT9>hh6uq!KjH75r=+#`A^Ch1;HpguiK z+N%pLn7lUr=>b*oFMw=UQt%U%>l59Q?A08AA!3M|9zcw*STQ0-ghj&df9%yhSdXxt zNw7{D_hyTB(zr`qm29N|m#dUj=^5YNOqa!<@#%d<0P}6iJ^}d+aqixL*;B-?&+r>F_|LoR6Z#{#apBb+d3`6>h=hT_mvEF7;0+%S zl<$tH1#~sc?;yv<-kdMY?{kyp54lH~KZ;fQU$EpI2-eU~VwFySHT1JsrC$JR=oeuP ztpRK3S78n9fwJGAtiX61%6^Bke6t6X{Q+e~W*aE`6UquL@c767g0hI!3CjM4vSPlh zdX`k)a|Z_T={I zFIlg}zXbJ2`dXaG$!;x9WeM*wzh3cHsQcA5|DkF4j8UU(AhZbe3( zG#05bo-7yEKSho)WS1n`xb};nv#j@*T@S#adY&G0>Vqc^Z!Z#U)hZ2R`M1VF#K!~< z2zMirI4nEm_qQg;22y^12gNGUUYKJtGIfN)`Rk#k`oJ>wi^o=|R+o0~+3i%G-91|3=&bf=mZderVO)eumd52O zc#73Mz*avF8<7(lss%P037$a`HZF8vQ5NON2NGRb6m33ZEEc-?v~qNcl&>{pU^yBd zh=Fy-!kS|b%tl~xf&vrwcTmn4#avAEpxY5ES950swj;QROYYAe>(p;xxX4~M6)&ytsk=3U*WA%&l}Y?A#@j| z-D2VP_5O?f6@p{DYQ$9gG`v^SR`THqySIYxl*~WO(dM7l3+7+e9`o;jPzld0#_WUnq;@?SQg=P*$A(9F+BkvRMAZP&NR{ zz)@QGf@f0~zM!(H2YNPj@(!bfH%e57+thC|#Si_`OZVUsWZ}096UUpM`l? zsPS+`X3&~PE48p#*v@k3J&M?aMfL&8R{U@-F&g(#q}dyBr*|f17HKSC%FLn+%XEY? zvquH zXg4~vs76=NRU(qXeSr1EMGj8*kk^XYb(C@tqRL~M3&(W!$242j#6&FVF->A`p-$b! zDuB28?H$pGGr`jsv^VwRX_N^u?G_fpjA|Bjat>xi#QadLoET=YCIOM}!hq?*-@8N} z8P9W*5EDbDTkuoFQ&6S8q9ep1WUO+LrTU4cdy^baMq_8M+nsd<<7)=VM4_+KPA2Qu!q{ZwS-*kktA#p*!9sRh1>0 zsZG^IiDrqB^EphZmT1CcDE=inHII*ntz^=er__qV`Wi|$4tUmg06AglqK=zV-SZCIaU<{{NA6as&UqgMpRKE>lxib%gkE%h(bOV{ zy(`*O^jgsyk;>w8izgRPg?~RU{;l{=>EfwVgCq2!VgEZW9^>x+&c$O~{ExVJgiGdZ zgTZ4q1?I6X;!qL#EKMjvSNjct!Uw9D^mEC zRb14{iWi-1C5oF^cJV7#GSUwIR#+v`(N;2ggO!SPvPxoSTgli;s}vu`C#Ha_#X07j z3a%Eb2tpGR)1WM`2tpGRS3+5C(Hl@U9m)!do`kX)P?ldj70RxHvZCTKP&O0F3XA`Q zva6viQv4Z|&4My;F(oQJ*G-~Q<+{1XbKT^f1YRaMjL&Tx?z*`y)OAAwsu zAGXCV*IYUhmO0)=Vx?WKxy_ZBLu=~tWWX#Y`@M4e66InLzKJWhvp+xuDyg$h(|uWa zP*+PcQEZX`?=V}rwr0hT{esC-Te}JacopTDU8bZ^Vh%JEn<`Rm94GyV98ascFhd;x!D=+b+R|y=VxcQ*U8RsuR{e9#hc`u zmvco9+>XzAGUt_??cRE0+)#sflbj*{E9;HW^CC#fDmRx{wL#M}YqwQ9=On8R2|rl? zdeqU&H-H|sa;AZ9z6mc<=`D zYI{0Nfc9^o0E{!$)0a&2`nrrdWRFl^cQqUwwEnG$#M12MWPMG*FxvXor{r7%VNLE~ zojKpU%UmXB&TxKvBFjiEb5f0FjvsVo)iah`^@Y@P$>oPj&ebv=bS18q!2^MXiMzSf zn{z?J@8MF4>#jy zaIFJH`V!Rd}emr7K$TH#$PF=m3n#%VQRZS+=;TrfaCHLP`84^uNwdO)Gxg3lB z1v{lc24Sl?LgR3W#^EY79*}52_Bw$^TL+DYT$ynRB{8TFq3b#fDi8*3B?fI(7_5>Q zP*x>YQw)H4BHBE_sur57&MH>5Kp1Ahsx^^Q-1pZXbcN|MAxw!!$ca`<;3Q-iRWQoQkD33RpQkRiP!m(RdGOd;$6e2NdvM(# zPrE#H$06Y!kOsFRxU4(7Sr4x3*SG71`t>E;Mrm+cq`?)&rU%z`+1rgnUG@@gvoyFZ zgLFuUBQ6iF8Y`Ag* zS9khlYx>IiY0uSqv1DmKhF~9k(QQzDH*?WIBI+*bOgOzU#wrUw8t-8jARzu@T_qx7WHQ#D&eQr4`M-?mrD~x=*BW!hYj73IWyA$8^8-E#v z_R)O%W9&8>*~jqhk9jhbb%wG6von-+;hTQ*At>t#Wku#@7dxGReR`+*dk8qWdyWaKFtam3ldWZ(g0@e$ZJ0K_VM4?e`)bUh|aWK#HQ%9p>AQpz} z7a?aN!H?w(l4oG419CD5c#{Lz*R@Xu-thg<2#+`LrQprr{l4e!_U_(uw}*K5-0h+0 zo;wuVP{2v-CwL7=fe6s|cWMeLI865pj4K6cTe-s&QZPIy1;Yi3r${MS12pAQ(2h#M zX&Naw!;=D@5$3;Ut%JG8+A}3<&vIGYPO`S0inSx%c9)B3XOAY9aXZbfDGL+7 zoh~u5YIe3me392Ojmzy(LB5O&d!VQ?7R@jdyydV#VTRBln6_EO`5DMgr&Xig1<^w+AD-*Vo+En zijiCdT$d|PB`k+4gk@$>SRiF3EK1j85|)s&Wx21J8zwA(_1yny zVQ~iO3ClbwEc3G$mIeDSESSX1=Gh_n|CqfH3k%N?xloXJkt8woPTGsJ@=hLMU#cXh zYS}*m2?6p>+BXJ;;6{Pr%@RY!vVV(4W8C2h!9TL>JD7W%T`DfDbDOc@5t~QHt9td*vv^&lo zQm%Ta}%l6ke}}X37#j*V@FN4c~~CNadSsa z7!nW5flKnv2_=x0w9{rTzKc|~)mKI#AVnU%NSAI$p)JrUR@%`@M>GfilGOamel|D< zo)vT81t~QOyX~cP(U5cCWp56UF(r*VlxxR7v;}tt=}i|W)g0e~d#UEK*n;nWsyPdj znAtMboQ+e>L9q=5+}e3vl6WjkOfIm8!%><$6N%(>(%f$5l=F_79X~`T#A$S5s+u=% z?7!`Sdt&yR()QSaZI3r$usg(n-;x8q**%*b=^bYXEkxcTvX0Tjtk4U4$7t?n@RYEW zJjWpNjFb~$q>4N{C3((pk#1Gd0?YL=w)({p`#-wgTiI{BBx_~w!X)F*W9efD+nSz`&pEcsZnL^1oiXjaN}j^N%~*`LVS_bKMcC%}zQ1xG&fIAWgS&c1^wM>b>G?3#fi z%6ZsTcQskX%C4H*43w2!?UCwfPFUGh7xD1}8EewGQVle1B6jt;ZXWD$9ljJ?-z~YW zn224I#x2V3)7g%?hl%*PoQV8MdoLX`6x%jDW)BPVm!2gF`HGzwq7Ibp_%Us(n`Uv2 z^bG3%ZayW9%lgEx9}wi@eL5+TS16D z_IGaYgTX>Ep=!$tECoGuS9I3}JMSTe9(CZ@dpQ>lr~@$bO!yB@_wO?ewud$-GrT|N zM5LAdgBcX)Ht*rA%6KT9&TbjkE< zMl$uuM5eUeSRYMlI$_gL6R3~oB@s%;?0lk&c*B+~^$(hP0EV!X~RB;H0`}!O<7kC_oFU2zUtK{%AE{Er3 zjqyb}+)rbTQx5mjTH^{1_tVT4C5QXjla$<`9PX#{&JfF_pXOq{ z;4owq@5?=nA^h!!_&ZPXcbEM|ve+XmYPidj{ zaeX(8zr6tqF+8hLHY}%w|5yfHZw*ke?c#Qi=Os*#;AFkdw>AJ zrD!Wb60};Cx}*hsD^Wn4o|PI=pz5PiyB`FM+@pwOcrIr0h7VwQnwlAt`H971<6h%F z=~))RIQMBh%N9SYAi+45f`i->c%PAIJ-K*xx~)!^Pj8r>uGy_qTjq3)RZUm)({+muHD&qbq8w|4 z(ak#DSHRYAPXWVMxUrKg_Qch^9Tr14=rrqvh%4PbU9Zb_%EcAwm1z2TgZq$4;2`IE zP1Xjg)2`Pt1^TAe^!2*fssLoId&Hr*X&i41Hik(hSHU9=hiQ}?ygGOUC^@X>a;)p6 zo*M>wE++KcaiHhoj-E5?d7OhU1?LiybBDWTjFpjdb2Z7|xS1N@$y|;7PboK7cbAjr z@u57KYpeGNLBJQN=XplUf380nOiPl~Qjye--sD;%L%@T?9Ve~CEwtEN=j@K$TD)Cc z)<$P{X@Qfsi{2e`q?OaVYmV^O5@4-JD*8*NLi)QSV}EyK>aR3*qBB0LFBl~SFxk6` z@kLtnZo%&gBx|*hR|SfA%&zzF51-I|TZt;QTgfUB=gx^+iEILwX6>Ra4P3GaKDQe^1%WT|dCgq_ze71_fP&*8i_2SmjQL2dz0)g29rVYyLdJSG*y zwfw4l9Y{U`|Bsw*ID!0m^1tD&lAk~~>@(^bxo#D|8bnc}G6<9Z$+4LB85bG(=oj1~ zSnjt%LC;HtsGI;Y071{m13>>+@Le}q7xa$_tA>p@wrQDM|i)`zkj<1r{}0A=~c zJw~HsLn!0Vk+^~DRID3$VrFav+T_4A>P^M0aj2MiH%-Ys-aADK3rg`|WN=d_=sN?2 z(dh3Kqi+bP@zFPtqi-rk59p>%R`4$FEXV<8Yb_&p$T0?kcUX+=&sR8jZAdn&cr@7( z{@TLdVHIl&f37P0ZGGXFkRvnMzT$DC{jiD+$s?x)KszYG+=oaFyXId3PJd zx$yG}!(N*_6_6WTm8=xiNBGr8SFBBrvnv|DaUj)B>qJP%F^JLJO0 z1U@DCZ^mAh2S1930Gyu-SU&$9E_aFFx3NP0JAXyY`MsEbP3QnGX^Gz-U=jX1zgy+} z9^+pV_@yZE`!y`if9JQMoZoH!HK7AsV-mlQVoCmc2`h1bPw}q_9ZFfL_`Mq|<-eD) zGWYjd{A)so^0mo{th_3D`4Xe<N}|bcIm_H%Z|B(&Nc#!&+`g&UmWD`s7T9xa*QLtCH8GlGh440-e?ujYYrANhPoM ze>o5RGC!4E;Qw+y`ejind87Z!1oX?zspJy>mkZG^x22M|`@dX_ez`N1yvzUP67kF0 z7;IKoX!m}!sl)1sex7A@P(KGSaFu!esDj`XHWf`*_Z;8J=@V z7l7w3AI}>Qp8nkShb`$j4?nYkruICXjE>Xu9Usp{0P!{cSDpL_7@FK&mHfOa`NgK> zR}$=ek;B+y)3>46gy@0=BG0+k%%|D{qJRLKJw7%!@k|>0uR8gI0-GB|`ehGnIyOI7 zC4ZHuFC?16$6;YmAN}Uzu!QGP;D6Q0zZEzvvSUbBX29V_oLLHSM)P^JlpqRnQrkyp zIe_ZO|Ef#sDiFF8UqH_!CwD1$bXSl^^?V#wK>vJ5ovTYAy+A0B?hfOTyNqz1;aCQE zt76<^H$hWuW2)ppr^liJ>x=GB)9-c{-9ofX|yG%<{krQPRXm zW>u(826}|B_+G+FpT+kEWv;1D-qipK9tcTPR+ltakatCx%%N3Um>SNjaiLb&N}{QO zJ{3NF)}{@QDEa&BgAtqj5#47uM&%&1E&LI!gVCJJ|Ef#cC`NQYA&9Ho^aArhkVl93 zJgUlu$qxplq^%F&Q3Al7!`@4Lp)`Gn5CCX;s87@CG@7cl!Yaj#Tos&=hxr740*HG7 z|En%JLP6k%!)7Gf!4M{|Cfx9uyjmo-^yU>X`A8p!C!zm)`CoNOCj|~`>h%>i0ZAKHsGhW409NbU(DKs(Wg_U{@)s}ADU%ZIjAAy;AA{&9kpFISI~tpYI8 zaaL{OyZvo2Ww^#)U0u>&!N@0Y<|0q7YM|{m2B*yce|WFfm{?B+i8auNwmp-g(|k%j71%12ILt}Z#-04Mx!B7>pi+H+VT@fYEt)B3Ew==2;`A9dP*HPCcAPSxoJ zA)P)S=v4Txg2T83K}?lg>QIJzRQ}`8Iaq%(segrE|NT%2Ciavh#}&iFS|DR?9V0#e2JRiVr0YC_BL)K6T>;l#h32YiB19&_?r>~cgD%PjvI7w<8nea@Ee7z*qy8OG!1gQ+#8k;5pWt8k1aHEch)!-|O;9II zSyLs!->50U-_YFDq6B}VMg)H&!|7^_5d00z6F4h|>y8yN`h zonych`HD;tZfg2I%aG~1gXkz&O>S{{2gcnuX)5DxxY}BBuhRqCGpG#OcgR-7U)|ra z>8VG_3a3+U2Y~}F+L!-Tmpq_QjPKe}5I@i8OW!`|71VzSQZV8N{SkwU2WCSv)=V&G z6>El=)0{Q;1QpiL;x~NaK%;k@RrC?8Qu*q+!CT103lRAtIx?)`6Tya?yoN<(Ck@LD zYw}#M$xFBiD6X{ghLUZ;CfiYyO~pS|75`RM{HI*k-p3wncbTtXFj}xJ-e47oHZ2$g zJ~#&@O4_^~?EF7o=NV}8QLy1>UPC2qz6v(kgPVlX26B!f0|;0LX-6Hy2YN}T%_jlc z@I_JxPfG>?A3&hrnIEzh>ClQn*oPZs!SCT$RF(YcT*b$F^$YC{pH#_TzFz&onS_OZ zuT5D1B7b8lcUX=LTx!vwHEYe$NaeHEh)-=;8-YgjolVhqs!~Nx$Ujdcj`Tz-0>R;2 z=)C(sEjk>+4iV5|><|R4Eo+OQ#h-Sd<%dGcL(mRohYDy3b|`{&7&{C@+vq^EA%AB& zaxOnoC7X&)s4D7}O1Z9Dz9s#MQl=nCnRcw5=)H`!L%p|W?Hva3BgI^D)c36>&J*G_ zWm<2{$!|69+j>`{crI=|*$-!j3slS5;Rw|u*bypJ_hdrV%^8nT-IEbj&~?rr;UDkF zDHQ=2PPBJLRf^%jSYwYS7{7RrKbeG>VZk_(9Vy0p06P+m_b7IhYP{cN8ZSgV@n|zV z-tTHOUT@DD94|2pztapjIwdgRd^8g}unuCt^;icq;Et@LYQTFl4Vcfqz<~GGXu!Gd zCOtS{Sc3}X%CNTv15TT(_5Jnsmke{Y6YC`C(~xyS^f{Uxt)kEOndsvtz{i96J`;Vo zfTyX%W7shQwFB8P2(`|vvkJ8zGNBeSH-E^48ozb{*yRz0^@Ap`SXjZ%y!AC#Ktl19 z?83T;VK!l1&@j8QuBu`Fm}!_HQ}V|whe`GFk2M-5jMksafdS(w*^R;cfraxR)(s7~ zJL|3*@K2cr95N+;%5uPjtv_kTE24b~TZyiw<4v292m3Sfw+u7#Saz%+PjhxGB2N$2 zLq(onbdND9R?lBF5Ag<8PigCiSS`n~;{>iP*l`HgGE4m=z^zyU)ERj zbr|c5`s&B}`F&Bk{GN#}AyfbNn$e}Uf-e18e}QXz)*sE?{4*07Ll*5nGm%kj#s;xL0+kMI5JKf-cCw2~ z+NwU7LACJOt^=rjK4DBE>?9=3PagYfz2^&D8gnKgWJ1ODU_2n z;xA3!L~*w)xJDp_q|q3|*>HhK7d9Lratb@eM})HU?@VL~(HMVcOO{jFsREmB>{Nu! zY3wu~8#_VB@^_8N;_IUTS+K&WWR(JuV_79aWCR=GBSOitFB4fp6vn=6$#Ob7U0`z@ zI~`$j20O#Y#x5Xa*;iw-`09v~B~4!(@9T@d#8fV6q3P8QsNCapU8|49tT!?q0W{!v_Qh4p*sbrXEXS1^f$Uf|B1adSR ztps_L+W);B>0Ic%nX+c8Zz|Q#B@;Mq_ffT)=FvHaoge?KdBK+LZ9mX^Tx?oG)Y-3h1Y@3la2-*hS7T`O%AD=*8?}QLmC+jOtBf6EPNN zh^m*cOGMSP*d?gyrR-84B$m+v&1Qt$xit$!Kx;VR($1ZrwmQKcxuFX+`>5q;aw;`a z&XVFBpK_P6%fv9xW|yI1Ud}G}hbhSMFZM4{?;Q3oRBsZShw4aa*RL7JVzC1!`{py5@fP5l^W{lYMeiSBA?Au*c8#pcs2!fGL=pBI}vQ2#-@pS7qDrl z-j(c1Sr1>WG$VFPrOc7*jZm{fSu;r%R5uCx&ec&IM2jIiPPH)rJW=6pZ z!nP05a1J`U6#g!!Ei?}h;|z;{#Xu!&s(frsb_X%%h!Rc~D#7xH=;O07x=9fL8@`B% z+RJEd9?q<(jRP~xq-H6l15DcOwQy6@DHdwuj7}$8tP0`yMkY(^+Qy-TsTo8Noq2)c z8huBxY+n*ML{DAi&x^7eLrVpq<+xX+;5e_iyvEQ<1JF`4ecbrzb&C#Hv#W)YoW-t2 zN^%yP^gz=_3S!?_G~sAEne}BfH8O)1`Nv)ZRW5!qRl)u2erALU60#5?ODvlf2LCN{q7q$ z-A5t73c8=m<_b_Zu(=4-JT?zg4eHHj^F_TyY(A>DfGu$9mBEXk0^SYm22t-Ob_1%n zkS+A8H(uIwB}hI}iN0 zr{cyA+fcl)JY@(q66yv*9#gmaBGA-WMjDrL>H*QtKQ)HhZIt+=v8<79lg{;A zD&+@m6+@aTKEq(*-^6YbV!Ddmgv4|)Ta3jN>fOw47WG!Mn^C8hFQ>d|K-{i*h z-NN7=8~BdbvRe?uTiLAwqGQ%azobA9R}v(BXVF-b42IVxF`{N;d#DyRkl;3Un?QIS zyA2_HJGLC9XAu`U69NCd3eIB8s~M1xM~#+qbCB-t4ZZIlwG%ZoeM9b#xz><%=v zrEIC>E?Fl5&4o&kbTpQI9q53`2}Oh|hGFjFZv zApzg}93hmt3uQH1&7qWvw*EdFdt!$5SS4M zv(l(fv!ejBv2X|Lo{Fl{IA|d7J~&Tjby&yN36M5hhd{1p>jg;f{VXZ@hvJ)BAf{E( zqditsu_^(ngjFF(8`uVd9Hgud4EQj-TN5CsxtP`_m_EuL74Yk@M-lwT*kj?CwhLkk zmY2(#YE~^E)n(NP(&OxLf@#AvOxt7Dcykn)ow~?<^Kg;!(~E-Nm?~}Lv!|moGqMGB zgfMOpDDTQW$l%dwpg>=0eP%}nJXWRtrTgN}4m5Ok0N*z@k0Q4be6Pgf`r_pGCN?22)#I&?4k1lb_%%KOPl+o?oQ~V@B$u9GJycE zynFWGlp8C-(Y?&_l19WuPych;ssU0e9Kf01HsQL?nC1Pq{o zv#N^f&J|ZaO8Gr|d2v!iqX&F2UBq+xayLy1f4)-&%*Z&7P)@zHopLvg*oexh z(k{Nf>cyES_6tw2C&a4NjXi-@t&I#~2)vsrqTZA2Nm1`u_9UwJ6nje6BYUat8kY~1 zvhJCDrTA8z*ePTO>C2(+Hq_XqS|J* z*`Zp<=DNFXZcNNP{d;Aa{@pbXPEcLjUAx1U##?usiOMrAvo$)74Ww-;?d=O`A9qQM zy#UhM*PZ-r&Kr(?fUF)H!B& zmOU$g4rI?FpwF@A=Hz}R!U-K2%B*tLa zgGDZkaFd*lcCbi^6<*W9qQHe8r2SysLn`EX40EvNNueM*qm^tD=y1g~Lq!xwE8^XK z_K;%5J18_Sjai+r?s@d{@JXMw6j(Ip#BYi=9dnqvxa7irX zP|bcJ2=^2{T>Kz3(BTqg?I7HXl{GoOVA=kg{aY*s_ppDX#b68D;x7h-R=P)?(IM0z zY*z$Kf5n>j}~hxFb*;Y#`*;XW4Pwvmd%_4OKiP4wj*UPH4`O?^s+!}@m{KQjoBor_8-wG(c0H)3c z{#RZ4I9`jAGNHIR!Y&3~;aQ|^)*RtNJI}jswu9{uwAjdYAX>b|-afH{#C-?n5^Ff-q4406Y##m3l|h zu-m=Vf$NY3e!Y@oig|ukW}ZLeqj@<&Gn>H5k>sQUa+jc6BM{~b3Vj#bB?$f;+l2`J z4toa)Y*pzC(n&K4Yib<4$Y(x}FDxaov}b1?;EVnMuOI_dDE`qwKD^}fVQMx2&Itne zw-4Y-0RTntQ{xj>+ts`o>S*(A{&FS)tk=< z5Yv4RgVW+#hZf#UF5L;@K@ zfX3CzssU1Huey+{3ga|S&QqBjmm$8WELDoa*=J`nMaKuH=)3+Dy*?WN69SiDO1&5! zm>Bc20dPUkR)5dOU;zQ(E^4}z=;npQmkZ9Y_g!dU7A>qXw2Ok&`M`&EBY~z+p%(|; ziy!)fTPy&8tn=k$5SFuvnhRr8%qD6hyD7|i8qo#y@G-4@w6ZqeG9=(^I#CLLwP-8N z9+4d5Pt00VOw^>J3DQD+36$f^H+-d^Vtg+VPWSLF9EE*}CW6u3LcPo06~@wT_AWA( z{=@#`7)x-wmYiK)A`U{r`=)1?mxx^2;WepNotQMPQ?iZk%Rcv|ZwV3KY^K(wf!OoX zFZ{`Hs{>6efoWvEOyioNWWG!z_`-=(Cn$243GES19QQ=}OCQbK(*~^%x4%3%WE2v( zl)zHV|9=Iw(U-dh+LAYvDiQ@}9rT5r- zV)FmM-b0iBefEC%S$h}nP`wtf?tP=@&J`!MjbC`GZpbKv_WlmI|2Xr6=Z7; z3e`rDKv(G0w7BjE!$bZlGK49bb2Rckv^ho7P5gU4Sm@(5kZXQiC(#ZY6B|=$9OwBAJ@lpR2wW{Ky z!l^V>!#gKfOo~Y93&1`iBGa-Kk!hN4u!x90{;5GbA|gPB4}MxrL}Y4a5pka+XqBs{&lN{^sHp>beEf@=7~hH-m9Q8T0*X4FJXrt92+jw||4Uz4l|6O+%_ zXF^Q=W}hK3+0Aw<#N?_h#AKEnudjCzHPFB_(yJ;u3spySx+;4yN!KMavlf$?S&E5D zm+a@T9Wl{plbJOUldCd|3A)R3pCcynA}}Q0;}7qY<-&1|4BAG4DP{2dr-;Ewl@qDbkA{0=3$r!{_XCE~8~->~kceU$8Gc8Rb{x%gUvVbq&5n;TsoTSwJufWziC+oR)gtNi<4Ob?xJt2n} zvo#yg2wbnD0YtNP7bLikf<%PO*4(NF^BhR43Tv9JN#8@w^VzyMcCQa1$1r*lIyGAt zGZXAY9cCZ~0`Zk)aB0cEQiH{_i8iujPQKb|CkN|*?_J8O4i;gjzH{u8m96M*YL0!9 zvZli>FZ77B4!(h`Gz{r_jaqXcTP1n$6Xm zaZzqxuQ}|1Q3S3n&($0SQ2Nf*#xcN31rvI3+EW+i+^i$n=Gqw|*+L@{=Gi(41lmLV zTN$}8hK0MOR=DS75(uur4pB{@W1Z`@Wj%Z^ zBezDFQ`U_TI$@L*#A%NqmqgY#hz-)b$j{@~gRp$+ zLV31>X*|nJLqM9!za*>#{ANOlN#H@CazJcu3ko;*y`7N)01E(E0{Be+MS$l(Ne%&i zZ5r^~1KdUg0O+{@0dDw#^zX+rxlq6B#)n)gl$;91QPlepohxzNj`|fVg;l`R= zC+-ouMKAnrW2(%3^NxF(Hab&G?hQd6oCoE~9)$JV+a0FL9&$zp@0k{F0(LV4)4Xck357!x_E+gvC;41X$Px7A5TFasoV`do#6#)A-yF)#1cW^&HRfH zI0YpshgDAIwPZ@-c|TrLLbjF8DJXhWTDE+E#LD@5%m)Imlb5*xe*Eg#^FX)T_+NF| z^Vl{*fszm6%;VmbsSv_#L4?Ck=9azU+E%GDFE$H!?7nRs0_RN?9C$I$-MjDd$?d*s zjrQGY0<9(ooj!q9lj~8i33mfjIDsJ4Eym4{HPvPBD+sg(t49riK2Z(qb2%_{TD=YC z>m|_f3Ty#7FSIKxz;#j*9>J4S*d+^KI(&s8t<%H_M|?=+?RE}H$aX2nZ>f;&Z}=CH z?J_7S!?GQ-Bwml{YMFl>Z_ zdNw~zX04CV(?m!Bp+6k9a)k0APY-Z2URYhWPl3=gSpRynIV*&oC4pEzLeCQ4-?~&S z!$%05Iq?qsueuiZe1>Ifc@7)KHA3il5-02<^gM|e0fh2=guoC>fIl?xP<5>$1wt=i z?NTFzUL=(85qgo#L_nz6M<@c+>BRr4YjLk`7=>QKYQ;k+ePyYYg7(sSs8#Crv2}gK zO@y5lmtP_B?vLS>z~$&#W&RivFb8(?zv@~{F@{&Mc>-gACE3rkA`PHg_0bSEp+)*N zY{K&9(NtLT#){_KiHX2_UlZ1xJBU02;@)2mHjj4*Yu-xH{7rI`1A0$96xRGuMf0}< z>o3SkSaXt@29a)8Km%39M}##850O810ZxRXE=V=0VX&B5aRWN6U!2BXOOnY${%+VMUFn7}m?Wnu zBHa|%qZa*K^{2kmJ z^Bwk;X`qGuU_Fd&rQtt1&!Z3h`;{5?&489D(pc5XIw^s|9 zVS7|Se{g}OYW?U~Lg3noBw<(EDh#*ZRABxJ!L2j47r6xUv}b9PzuZ#5EYA;t*#+Bg z0%n*Hlt)xB6Cp6W2DNk|O!JqQs$hb#m?jzBFig24uMOO<%_XD!0RBZJgFy*%Bx8Wc z^A9Pc{4-OlyDm;udIF$YeK`MdH)T+&>%l%X2>+15ho_vvOA65@dJaX(V*c{+QbWmG;1(2MLLrwLQ4V)b$tp?N{tR@dW z`h}W@GQ?`wlP)S-CbS>tdFEzmK_3J9wN}`!M_MPZK0&CM=Y)y%8z$j9SXl3Dq8jA zU!)bE9EW+WsJb{&lYWnq_(W~2m?X-H0irl!*bXpgC$Q_qzX*1GBDNPGW zh73*~3!`_Am&*I&g;*r7O_dMusaxjK>2T=naDmB5{EJ}1C-@N_ouV5^no7STvP~8p zNp$;&kc^;~IugJhDY_iazetxyLCI16;1yktNE@5%vM)Xt(Nkz-8kAJImk$rjJNI>} zaO#phw@ck~ebbOR$D}}{OIqCgvlT@9#^BCM8^~O7wPzDC^?#RoGQP-2UgD;MXl8?Fs?L@5x_3uUj$fZ zDCvxVCB~%6FZKnlfd>qtv&y-Dz+b)nH*(6Qf1t<{P_7}nh%Tq_FVbaKDCrvLa;o2@ z`$iML&Qs1G+VW00%4hgz2=LQPI1Pc2-QdG|*2$W@zU9~8rRQXg(l4K#Dxc%iw6RM` zu3Ec^Va?@VWLVvyq`NmPq1Aj1FgUQ4ahQB8v^Z8ko6o-pG_Ey!5NI>xY#9;??Ko(0 zoPfrI6^r)Eu^Q?sOwEvS>A z$km8CRCZ3)yf&3aodPL4d}`;VPlVHS$v;7-L4;TN9U+f`OJ)tjXS>|U=IL3}9X$77 zYlLrTS9lv*-&Jl^1|24)cPUIH99^`DT4f{fsh^0bxYbD)_o?#_go!|)CK9(ZHP2f^ z9I&`(35|rg(HnGWZ=q*b@h_rh`#?z_Zxs+5dAJK3L3-D|C7Xd#2N(Ok~p zJ_vdnBrvJsUj&nrq2y!_6EMyRzsi-mg*s!-#3x}w6t=l!8w}tfwjVaikMb|l^$;i- z;&m;_HWW&SiWb%Ui?kR9CBys{7}>Klv_KAzv(hkq)5Vl;B8H28pWt7l-&3IE6u)1$ z#i>wws%Y^f{~|3;gObzy78ui!*hHu*>QySITNrrQ(*Wk{~{Qk1tn+s7`iP+Lg`4+;(7i>T8x5{ zQGN?Nrn5EKWRTRJordW+x8Jh?_SvG}7x@?IcQllY_WN~PoCBrjh!+3mU!=ttC>i6o zz?hB}N%$3EcJkF|Yjkja@oYnaregv2SkdoR{zdvd7fQ}``sMB*x#pb{JnBn~ccGmJ zEzT3rw(&0ljqi-dg+LpFLo)brMq()Zd6YaKTAeQ-zRJG{#PLuv-h&AHjz$D z{~4H;V|D3Vd|HkTuF{F_NJb|BP7?&a+xZv4_W~%nAQQgl>Mj%$e9z5}_vhl{A~BzO zxZJuB@VQXn`Ud|ZxN;A`MINq*_vc|r5=XLpmA@EjUo0T);9msNL@1f)Kyvn!JjDvh z9A%ByoG);V2A5UP0ytjdX{K)c@pfCK#u0FF_GZ9Boft~E7opXqP;#kHb&#t%650eD^dO;K1}!cV(01`J0_}1rx!i~5m~wmh9R{8kBrWri zb%AEHE;1hlGp6`YI{BMW%&7}BdjxK(l*z$efR%t8+`nJ|{}O|Hmw%DLO@fk1X@m3k z*UpYtZmQoy81lEMB7rBVkbGU3HP#n~Vm%o!gPk!LS0C^%g7p=C}Ndz?=;wvwfKS7&NHK zCuz7UG%neCPSQLyLN)Fr&C3PpntW2$ntYO-l_sAQN~<|Qk2!)?-|;U(tLvfUdY`A@ zM)=+h&@cVe@&8EXPS()O&y;03dB}oNI~V$yD{%Oce-Rw!LCHLV!_TtQD?%k|KD3xG zp#93f2($%IvcTs)NR;b8k}#))!n^_6-5_B8&c6uEg;284hZ!bO(==8Nl@VP=BrYT5 z%?fLpW)~HNd#Gl$hZ#Vuh-n%>b`Wk?4O~gpX`%XL5m0WCpz5Fei%|7OD7g{y9a#}q zhFTFfL5rINw7>ZmfwmY*7W>dLS`pL#X)9uS*6Z=~&}n)z4B%!yxY`E)B7<83B}>u< z_fJ?6GqT2dMkv;|0A{xctS$aUu)Y;aZbew9TM<`@-G7Ob||?W!6a4$ zOm>v~F5I4&nJGt?l<1kE5`70C0IpVGQ$GJ9$SsAEr9N_D_QcgXE$Z77SBKgYcLMx7 zMc;+|i}ZaLl-%X_9kPxs}jvrGRPkF9P#ED7lZo46Z1% zLt)+z?d}&aOZXRo`2dtWpoKXn6y}4_?m+>wlz$PJ4?)R82xe%|Ky3(t^kY`3Pu@vH z<1gJHzwHB_eYk_nvr5$_*Jhm1gW3)J1Lmgg)_oPAv`V1ch<_1uABK{LeRSOxtD$tY zXwjH|krr#9WR2HCx_s9{=~~gEDgPoZ9)XfaWD62aHD42DM~{5xYh0el&E};3@TuoG zuGRqt>jZWO^DlzkdMH`%V8~q3ZDoX!nGG*_MA1m>Z#FqYu-?;z=ldQnWaXe~}hX zLCI5I3n^`zpmdXH(Vl;i7Mr1Dv)=*}b+INP9XI*}O`eAKPYc*b@Gk=U87O(ihwZj_ z7D}HLEso+}q{VYk@|@p-Vxx9(Q)l>M%@&0p5D2`Vhn}7nSajrH1dA7-~~Ow0IdxUiMl@LD~kT+eC}*{EM`B1xjA=TVN90oMDG481gE#e^tQl!M_OX z*P!Gz73{1m{hNbl$)eBg0C&6S^LYM6`g|QqUibQxGunk~^pNg`QTf-gZx@5N4lyHoVphkubi--eR6y*}l*c0uVb z(V`#!A}!v5l6Sloa$N61>ARxE0RBZ<{0B<@BU_Lq>{g8|&?W5FY}C(t(9?SYi<9^l z!Qy==d0%2Nz@5e)KV~MXf!cU;|6VYNA{~|3ug_2KY3p&E2ag9>_1csP2u2xp1%J^1$g0d!( z<6DC|Eq0}!rsgw1`ZF=0Q}`Dd&~7N%Ee7PK4yz3@t~4)_bvwRT#t&9vGkxHn1Axy3 z@H6-q0saM)d_jQ2ZPNhoJA%N4X896Yekq`i=3fNrS5Wem#{)1GWQwM*;fJq9qcQx8 zH2MZgz9FcLOG9O8&;e0?ybt_a0Pw8SOep{6Kl}ajJ1V7SJl2G z_f7*&kdy6GwJ&?D_GHUgVdTIMB4D+usNaw^#@D%Zb6e#e5~<9+D)+|RnIf&0vezV)*xS_8a>%Qd#H61M&_ij8xWLQ1|Y-%OjQb z9;)|bz0Hxz`rGQiU;m>>WrLF&oZVmy{CiJ>bqzMazk3^64f7(E4aYZ})^J9ovQeEz zEgH3sR32D--~k8LhkyTQ9BrJ4R5m%FNy{c};NPkyFEsggq_XMlO;q=x z?@B!_^}B2=U8;1=(sfWyDm}OK0@+xmP?<_)s-kRBrh6HjZ<)JgelPQvY%J?mws6^E zC|8z^DZ3Np-`?51b+XaBpm#;@DkuZJJ9~GNjpZtp^Dox`Wyf-Z$_~)Y4vQ?W!YGLOZB+wM^N6Z z{Y7ZnwHUWn(?RdTr|A{C#uzmhvr&a!%OG+-LaBLSBJK9h}sBLYJMQ4d@h7!!zbYx-H!J5BG&#^zI+&upZjb9NE|BBul?Kg@7I5zY#iKXaPPtWWaE$? zLq-f4EgOf04O==4?MG}L@yQ7I->6SV-5&M5Y#cjcZ0J}V@099O8chjA`Nfp`Qy$31 zpgcikg36)%IA}^x2+HF@p9dkHf<1zZ2IIJb`v#8eq%I+b< zLq?(89P&vB`U!b5)qbj@Y@FJ3YLBVCQEr9B+7hyHo&CDp>++$Tyl&CDr6|v@yS)zMyS~o)*6Z8K#%RyzQqg5m z_KY4Ijd6?K9ep|)aT5K*2EM^sHf{*puwuh%*|_!5HoI;1vTvk8~T@+>4 z-6MCy-X7OIMfPAE_Z-@DdCwKuxYvGf?!7qAeHHfA-`5D`lzof$Et8G=JMAB~f23@T zb&D+?i}8!?6FVUmc{29z11<*)vhl#E12Yd`{0^KwaO(h$=V0-JwGP%rIpN^^gNTcR zpB}t>@CVr#$Kv#H?kK0kEslfTxQlUj;_k`DLj@02J>(-B505!K`|v!J35Ty8z9}1z ztUGexNStgu+WzR^qeD@~96f&Ylx#fK=vbFy-BBhSyLJri;&a7&$5%i(CVqB2ULSux z{&qa(=Xn0(m5*0LIpX;AzWLGofKZ=LE!eLUh6> z35bV;FB5)Bcq|)FcRD@nG{)=n+|%n%ZV}pD0^QRe_;~JLl-Vz!2Di#a?$=G z&gWv&i#;y(mW|BH%Z*tXKjXKbu~^3Kn8YNAopX(6%ptjMm0Y*;Z262Em^(GG^K5PB z+{;d*|JNHyrk}x-{y*7!VMh0F%)EGY_Efz3#fuzX|DqRdH5S{xXkx2u@?sB701bH( zsEx`qmMv)>?3_pc>j`)yKH~h>7LnXZ0tNg z!vDBTtw3NjRM>^df z=xawC@4DAZ@1t!#;u+##Upwk7lKEzYQ8yp?40FJ5GWz}*W%SKwAVVFrbJ7T_zPlp# zw6niJAMnw8F75C?ZmIBYOBYr@Bh8BW%w)I&f0Gz#n9*j#d`2?l!T+0>X`B&f$$X|V z>u}pC?|1fK~_M>aP z!mL$hm^Jg6%QPqS53~3DbI~ByCbP_*`3z>NlcFaG@ua2Nr`yT*UlfKDSli4rk>-<` z=}zoT!m@p4n@#f>&6FqihGE(vGfu4eCn%b~9>K%<4fy!03I z#YhZ{na|L`yt**)KsHvm&a19t?ml906z-GKg;+Z_Ubs+77czGvF+eJAL<98dDmGF0 zk-8t5`;r(W3%;b1npUyN!lf*`l(}1pf%*rxQgN+jEJ(Q4Kf9K>dx^n%jeF5x(T}0h zlhJq^fd1Fh7ZPl8un^&BuXi+)vn4;{HHL+XL3^`7GYuR)D<%f*4Wg6=Ea~6#XmuF; zJX*0qUtQS3!iDd>x$l|#pBOlkZ@pn@dN&8ZT0pK>j7=A=mpa!ocRw+BZ|HU}QXtxE zo~J?j;S5}~*w{Ip#Q(`ZoKc(26#kfce>C?=F@XQVCusmpsqy)$oSV%SuK4d<(cB%y zApT2tq(L-&(wlt6NDFpuHdlD%zxPUW&lCgsA3T!=()8{_vUfV{p({$Bm(3Rr`rjPX z+)2e?{zoUJ!L&>A$QpLZj*7R*l9zZXf-Mxj`rm!k++W3jz72nc4T)}!l2`o2!b#tb zlbSoK7}U4qs5GcnNq6$e{_4+`3V(fj{%Y>CVqo8*&no?PJzFmP_U-zux$la>eapV9 z^xunYh4A0E@4x0gECx6Yd|2tn_t`4p$7$oo=DsWjIgNao2HAA;#uHhjaOSjgW^;!Y z1D%Er{X9wQ?X-B31s_AyXHmkh)7G!eeOnB68v8a4w(0ZV=PvHU)(IC+dlxr%b1~rW zz|B=%JzBW>yK!}McNc^Hj@(_<y6a_pV!o|G#_xH;(}^0q-FORF{Gn5en}o6wJdxOu~B#hm=dh4iOLUFCNTe zLQKSaj0u&cB7p4@q49o0!#q61WW49_pvkbMpIl(2lK%d8pq)cx(nn*p*d7rm?>|t? zgGEe8ItUh;5Zs2p_z1Zb+b7~AeZ+}*tcXcTC$aJm3rwsCob(eo=D{N-CLINjV!^T9 z%npjUNndee9y?-k(pl`#C-ayR6P1o*iYChR0Q~bGo@l`0MQEk(&@vA%F&q|t=E`m;2rM4V-eI5UqmF=-hj))et( zYt2rJc*{8PW*&25;xbUoDdLWIWQii~GFIG~$DWwH3>JGdd5Skq{Mk7Xf*CIa&BIVk zU=y2(K(o1 z7SWlpqtiS>#bjpi2vv#}+z-EqsH4j=b zshK2bX;S5+2sQnoFqJ=0%X_jfMHFYAC^kj1FMV}ilb?MpCO30Uu4#gwF*`B2G#Huf zpXuF2`cvXbe}K&Df9#rw;>puPn|fM}B^!1_#Bt_~WAj)RlblIo znI`$wcS4^3*=0|5O9Xc24Q%t^789L`gZm!>{I&@2%pKt7K`tgclLxuQK+nbQh(OQ$ zfo>k`V#2dPuv-lHrR=T<_^c7|rl3#yXvFpayDug_>rA|9^66s}G4WX>`alb^-HKRE^9r$3!*nEu>%b@ouCfUK7S%+r8yfGn5>$N|j% zbYcMeS>%DNnFq{sfpCH>nhR3qgU2EtWZisVo)d&4WZ|5UGA}$4c_C}(1@qh>oFR+n zhLri?smKo^KbYqT;SeH6Bz^ku>iZ49i98|l1my|yTp^r7FLDMshI!to&;Am5L*xzfykVX@gmZ}8@k;I>=P>=~vle@LK4U)Y znMffbg(y>qc^VN8BGO2TG@_D9>ToVniAW`CspMrkk%g038X&=6eQK)-w-Wh8TNg`*?8et ztPl4RjwKxHZ8_Gf&Q+M_6wW1_>uoxh$-(F`ec@c<4TZOU(_&@ku*WX>Pjuo*xZEOt ziTw4p^Ot!J6AmVF*jvwGfZF+Q2 zIGjjuX`bN7iId)Cd;WdXro4#Ab0W{BRc=$-HRU?tbRyTilU$cF-xU-2PUJgfUP{Zl zDd!2t6FKjlpFWBwj{1p>V>qb~sD+UpitD?kyZqIHDy-c^BMtWhfj`Tp7OeD?@V!H~%zbHZL#o zqR5Np?(sghUoO;Rc}3xn!Xe*>6Ds?B>6G1hW#N>^Lt)ZIHqvS_vMIc z{hDrbgky@^ocDU0qjb*XKZS$eo0IJ1mPwDi_T|+@_7vGu=_v2JZpxs-IYkCdR~eK7 zBV`t?$&+%b_(?O7N#A$oRQ7Mmq{2Z(CQWylRFO^b7qRGTSlxLoaZM^RsWOwLm%1sV z3MUmAHC<*@^{kq}>xir>vTAzCqH4!MnKk*LxmvuQa8}{0YEF`#n7PCH3WpUAo1UE0 z(y_dB+9KRvIIVD6OOBG>xar1AIIXzxO1C#&%Ak4mNz?iw-->*zbdmI2e{r#3)0Q_B z&MTZZJv*z~v6(I!g!77vh75DjU~=SacKF3E{4~BolYgtQu}H@v9h*EQBbBC$H(e3#L-P5D_kvdGUFH$P+UQoOl=9Q`~^kL4{ynigp~qoro$@tRV#aAuLJGjysZ z$F_NSN&P%a_vfudmKIrBne{Sa-IS??LyJtE;WIS_pk%s~F2LJ}BrTG3Moh|T$81W} z!l^}~&J>B7oZO0%_4AABKD@2S*CJo5<-Ux{OgURPw#eC;CTCMHnq6eK7fD+r?TnhB zEgiQhaSP`bi91szZgP0@i|*fe2a&%;{&Mp#rrcUe>2^J3j;qW5IXZjpZ z0c&;<-%BKUk>oQ&5`U9(FeQ57^diw`i9}C9@#;l=ACd1xzJHTknOWMHa=vhUk@K@m z&ZpqTMSk+%VywmciKH)*er8GNZ+af4#4ns*B>pUw_+Lr>_4ojh{6+GA)1;Z1+L;yr z5ddNV$Z`t+1+w`&KaqTpSO>&9keTv(YR<*97Kk7aYeAM=3zX}@3jVQJ55#(qnjD(h z+M3n`5eQ;U$g*n!1@((h3aay=Vo?x_LS{?!sXZTB80y>MFEsMpe7Fb*5fG_$pv>6* zMNqI>e542p5fqux$^Xqcy$p==e6$D*5g7l*i8AYJUIs@rA1i`G1V?6d^nZI^F9XDv zj~4+V0_5L1Qf7YL%ODBn6Gf1SAj!07ul-XbVGFW_hkO&qL zEScTm|Lgg^446P3A_7JP%zt&Ltnm7mLF3CqMbL<#$qG*YHqOyJaKc64h`@OpPL)+` zya*mV!ZKY1j|iTu;`ndtJYNJ58_s8n01^T8wj3)f*?Ad6wfJliL?Vc?lJmdKbA1^| zzI?6-BoRn&)48&mtrx+>{rP+mOd^=FS^&K5^L-Ie_-epH5l|wa-nN5fMSCxU>O5a8 zf=UEcRt$o)IOmsv70s85z!HI#7EYE`ZN3aHU%p%fmk6$`8VG51-Y)}e7GEI(Oaxe3 zIa*e>`!dJ|@KqwnM380WU`Weze;H`LJW>Rj2(+|xwybXZWv~VEC=qNT*s^*+r1kl~ z3^-rDP6V6?xU_aSVZS3Y54va(bRy_PP`n!-Fb}+qBJkeLpbO^~<4ita9(z!&9{mGe7A!yoL!9ftE*rE9wUNKIE6?I@5cvdHGIZo5ro14-;V=|ai>4) z z?Fyp*^fC7@-#RVK_lST@ACn@2BWurt>4H@Rq_|)e7p&>P2a+ya9R?>|xK`l%#5$R^ z^Rrl=)6e{y)=CkS=^#&vz(_xV@m}YG-sr)4iT8RmdRi^zmPu>pTpladPT?Z&)ip($ zeC3wngxVt}>txn15*0m|qajdgQ&9(V@+=E{d>#=Z)e{vVGFMa!m`6o=5MKGuD$r8aN z=I3=jV7kZ_!7MJa#T#B3+y~4)f%;r5&La80F8Pc7>EB083$zI644#}tu%-WCdlz%` z>N{dr#X2qA;$66%=p*g@%>3Q4FGXOd{eTOn7GwObe86-eE&^Lzh>Lg1GLa9My=(Th zShU50@~##X(a*c_HPgZ^f;$r>Z4o^0CV0~OIGewlHj-Zx>$h-=^zO3a_)_nqrZrpy zxLCu*&37jB0rPdd0KXyD@zm!?v95?Q%LqPTTFXU{XTm(55gbq)W7;Q5#g7R_@>^m_ zPy109o-M}seffZCSr>sWmUVH9o{4?n)m!!3VqF(&&ih((M8EIG_e^WM2=+{z*F`YB zn_x=sp$CSYLlhkk#s+FtIA$(^Muj4^v=U>a6{$*c^@x@;t?kJ89S zv2G|wu+eNR%2{j-Ta0oOY9~>C#>Pw5Oe1MfYEgcGvM9>xDC?qZh0=&}5XuoK!%@yb z8HI8a$~ZQ`%ARSga9H`IybJ%g;1+Mc8b+k5Nu! zbL_UG+{5PDJ!P6~Hf&zD3Mi|x`PoLJ3}p+l?ME5M7G`_KG#W>?NaKUD4qL35jB++x zqB(-{1Y2rv$29hOw#@!Rl=azi`voZ1un7ChD8FDU=T!Glvh}sYd)rNEzJ(Oc0$>m9d=!Xawj|D`jBb# z5<9BLaq8={c>N)E+)$or3{}`kLo1ZM*(pN^$|dZy;SS0t?2KDMmgv@*opp;~8n;Mx z-VI~n_K;n0#~8YoW*6PzYwm;CC69AV<8hOH>Vy%pcXMN2b5~*aaxY=`bAQi%$Wx1H@-$};@;bAJ`8fM2-y`<(2R|}Re#Rc<-^?Bt zn8*HCFo-=VG@fY+&0$XqH(|dP>B)X8I)VLOY&Fvq+r|DYKAHVhVhQ`ZWDI*&3jSW| zI_IU~x2214tJ1$QO&JGnUFJHsDZ7x{mW5xJ{gvC5%gr?9%JOXG5r^g7xTbtPl&!ga z1zV=6;KAie@RLd;aR_n|4)i93Lc5y>>#7gxU+^srdx_T6M_i<(# zpZwgz=M2hg+_Odm_o~^Fd)7qE)Lg)G)Wp2hyvcLbvgdhfxiU?yLOgG6#9i&W{DXQv zJbyjpgnBW&K)qWif8hl`EX*_?R^Wwwop}-8u1w?Gix>4>j&d6>=KC$mr@XjdF{bhJ z;U)YAqnyA?`dvbKg_rVoU>biYE4a=ge z$7?jI%WF0ogmNjb*|;FD)i@Ys46oHh!!%8D@Y;bLnI^D5uhR@Uvsogq+uVj}n!EFQ zEgCaTi_ZMR7U;J{B=>D`0p+*cuO;TZWm)duvJ1+dJfP)zl)HKTmWYFvKkx>vFlVi* z@P@7Wpd82>wL(0!is6l0A%T+{g17Aid!2sa?K;CRIuGLQJ6}cl12=ZToOY?pJ9HU}aun~_wII`U zt;{=h?SXO>@7#49%Gtb2*F==pdDm|6(QZX}w{DoLZi9LEZpb;^4)Y$}kR!TFyl3~) zDC_WE-Tz>k9!|V>k7_6z^FBQgw>@U@zCHbzre|B;uQ$%W_ai=_56-`jHy_vs=ig@t zAJhlu-)9#e+~+5z>1)M5?(2gxkPqpLSnNB85AF9mAJ+dOAKL#frWv5+!w1wyY2+gY zJYt%GHhknjv>zDAM-4>#fz$cu!58?LA=&xpAx)WPNGm>eXmvhrSQS2Y7|w6lC_a98 zM?PUhdp>?d7}Jbc&L@ow;*&>B;gd$iFwLlAe9GueJZQ{DK4r`mrWtde2anCgG-J!~ zkg@Rbv4i>4vAa>m^3bt3-*FlqHm(W}ALqlv$Is`}#{a~_CwTE`6Grpt6Atnj6Wj3V z6DRW-ldAEVlVkXd$zSqmQ*8LGDWQDkl$|_$3Sx1}1wJPTJ{RP|=LU7>^MdyA`N0l+ zL2w7YFnBv(6k@{{hqPvzkoJ5@$ZV8r_|gy@TgZ2O+0;6G`P7w6Gc}4wOvQXp{h6-_ zoxn7qL40K>{37%-zA6lBR9Gv%I&3G(eLOPke@qi@$Jc}-M~0W@Ys29$;l25~aEwRz zavmLyaR`s&8>Trj%`_d~IIRQ9etgrk2$Ye0%QW;o?LOZ+9rHNdn{S(r{-)31G1KEv z9^>1mBNxuF<2z;q@|`o5@_jSzqW*yIpIL-yW>({|GqDEE4B`i7e#SqURfxyU8o@NP z#_~h64x&8G56{9{HkA5(sxg+?wx$!73@$>Vn`Gt9P_{DjX_@#M=`Q`bHU!7lr ze=&a?zc&9OzrMhk-(1jy-&(MSf4ksUetTg9{@ucr{LaE_{QE`T{O+OStNL7}`NmZA*NY$3%8fe)P z$!8hz>$0z<8q3Q_HJ1lTwU%F%YDbii>O@3Ibywz<>aFS_`9^M*{3793YnbG}rX-dgIqLz24f>?(ENrX4>fO+SHan-kTf87DB`Cq9;Dog6C7KGj2-b85OY@6-)x z;hCb+qC}&#_-qAf>G{8<_bVvAgzMYk3jhG)Z@Hwm* zYltluK9~(-4X~xu2qA6t&REIYsguw~D6>>BgOmK}S{IrGJqwRLHh&3&vK!aS@! zSzh;X%!j#KF9I76=4CwzYyz04z8ly?u$=nFV3WXd=)VA)43=Ae3~UNmF86S-Ah5jd zL-8*dERV-Run@2hJSKuo198qA?!HVRV z2{r?)aL&VEGr@}G+z2)ctZ1&AV6(wW?1?mb}h!OG-b z1-1aJbRHY9g<#&fAA&6cE1PFB*kZ8qdHR7Z0V|icB-m20ih13^mVs5smkVq;Smk`# zz#_mZeUKe&1z6R5zk#g;tCGJI*ebB<`8~i^gH_9)02T>WBmYjYHDEpkV!)!nY86-t zwic{r!PQ{v!0Hs72DToob|D&rXs~*PXbd)h)h*l)Y$KR&;Z|Uqz&;zlass z7BIgeL10_K>K7RRwhb(xXc@2=u!cpwz_x=mD7qYM2Uz2xG@m=c8Wr;g+XWU_%o}Vs zSd(Jkg6#ooR_rX;Ua+Rc2Y~GZYf-!n*nY6)#aDvGg0(6h26h0fWeFP7gJ5k+(3pM# z*181EQ5;y?5;RALz&pgAFPh0rnZ# zKyMw`=U^X~{S)j8*kJD}U{}G0dgllG0&IwPH?S|khI=;#`wDDWxdvcggN-a#0qh&F z5#@$}T>~3k&IooLY*cv~s~ccr%hOoh1RGPq8|)U?_zF3}z6Bdsp)J^Lu!$Awfqe%y zp(4e{9k9t2C_cUin^dtA*j=!oiuJ+nfla9v40azZq}o8RAHagE%V0l(g;sk4_5f^Z z^&?;p!NRL=0{aOptokotKZ8xLejV%)*fgJ7V2{CO`V<5EAJ_~Z8v7?;vwdjne*v52 zGaKwF*j%5{V84RRsSykI8`%6BQDDD=&8yuR><_SowHtu_3AUhi7}#H6i)#-7`x|Ug z?XSR|fi10loaIHj^kATY_%`O%0mMd<%_ZMum@Y?n+PU@t@GUj<^Z|h)HFh?F*unm5zz?{K0`-Ow)z&80k0doP{>URyy6>N(?{Mkbf7UK_p z_Ar2L^PdUk2DZb0B$zwcc7Nnk4-c?i{>Y~uo?trzii3H9?Fle|a zV7b8d27CsV8!R^95Lh0t{ejdjFWA9AYL^e}Kp;_g5>{4eARtfB4=Q&`N!7g_m16Bp>(=HKURlz>*G8L>E*k@fXf>j5* z+T{S457?D%$W0zKz`pE;+~iRc?2G;b!D@kh-Tx!7+F)M|?gv%}?AqW~V0FR188RBI z9@vc`-M~HsyFQHi^#!{%jQaHhyEy{uhlfAd?Gac%JOaSJ9rZm}eXu*DE`l`x`)(}F zTSKtBV`<(Rfqg$E5UerS{V7$!ntn5L6DV8Q8<1Twu+?9t4Ge zwE+7$Xb@OSu%Ci(9pTXm>~RpTBRpDzJqo6=Zv*xun8yAiu>S>50BZ~OG`KfdJFs7Z zFM+iO`z`n&m=WyPkb+ukYRf>l|!6l@h(^>yRHR)bYr_dQr7SdDdOz}A5ItZxez1y*Z) z4Y0LfHKWUdtplqQZ2(&jRy%qESTtC@=&oQJ!0JYy1=|Sb8@(ND6WE6vtid*e`A2^b zwgt>@!)matVD&cygKYx~*k%tF1J-cs1F-F24YpMS+X2>iTOP2TV2!rXdF%oU+(zfI z8?4DT8s|M=&9>1v?*(fb(+X@KSc{mdVEe(E$6N%91#1$ZW0y_p~-0>Y)JXoh431G*; zI_{hbb^@%+&c0wL!8-4xxjY5dZ70oT0$A5wG|s2NdhDWcJ_FW$S68q^uwJ|Tz|Mm8 z-1QaMIj}yv4uPEq>%F@u*afhDyPd!;g7w`^=Wz*az-~H^Pr>@{p_sW0HfRsU%x7Q& z_gn${9PHyg2f(g?4c<#WbQNsqUh<(Yz=rH=1okD^@O@>$z5*MzZyDIvU?cZU0Q&}P z#Qu?B*T6>aZx41IY*Z}8#|^Ntu@oOS!N$Z+2D=3|KDGzgw_xKA7{G3WO^p2=>^rat z2Pi)7fK5I?@$o&_qyse0cfo=V&^X@%n{u!&*nO~&gN4C<01H022<%6&(1T;Z9)L|f z_ygEOu<(QD!F~b@i*pD28EpC|e}X*%n-)js_84qt9G%<$z-GkV1A78CJMJvlFJQ9{ z`G7qIn|ml9*sox74$lMo4Q&455n#WA%{zP(><_SohmV8(3AW%!9N1rAi;qNt{SCJ0 z=uog{U`vm-VZ}Td*pj2Cn1d$=TYhvim;|=$Sa&chuocGwz^uU{j-d}v8?aT!(1)ij z*vj|{V0K`U@t$DWz*fiO_&haWQSmrFPkXR6@yG|BGT6F!!0o!_FIG8KgmJ`VBo_esD6UgnJ z2C!`>o`Si7?Ktrzm^;|^lk>qmz;>M+3FZm5^JD^;7ucSYTflOF?LOrQmJ@8>$)CY; zf$crD87wzg?5WvcdBFB3aIm~!2T$Dw%LjHK0qc?H2VijtSdTpOgME_F4Xgmz;RJuM zf?$Ud4ucf}JDLy$Rv7F^!eg)^VDSl`gB1lkb{c-~Sq$vNY52Woaj@g3H-eP_J9T;{ zSV^#xX9B=Vft@~6609^>!WqP>XBn`>Gl*5svS4S};YvSb4Au zXC8o606U+EHQBQw*ri0Q$)1(KE+%dRs|!eto=Cn?4eYbCEy1dT zT|HX`%m?hsS>!9v8em_ZMZWT^3HHTV_f2Y=aEZ1eZg*>M=tU71G{;?Iha4#?emqu0>Hk#Pynnx*qsX+um)h? zU6=&c5bW-S?qH3;zQ2Hc<=GhQ{srVK&n95^E|MPvg8g`b{Gch=4;OobH3NHiu@P8v zum_A?JZ>9i8_t;4L-PSX(2~3i_{7+cS>Zo?a$K}n@x9JX_Iet(p(fF1%FLE==rDTU zi{(jfh0j3!P(ypU0=8(syrx zqf-_-`onTZf4t}@m*tLnC_742@5mv$#g4G+`5ZZvvfNQGWk+W%bX3@KM} zbk#yfl`MBu=|x9PEO#_q+0hpkI;wBEqxzGN4TC^OZ zw49v0-`Mh2idv;2)k%X5{MZ>P@k9gCLdD=mMQI?F#w7gttxnQa+yOwqJwvhQ(C@t4ao#jdvEw55qu9Z5=z7{PI;G{1)LC9{aWtZpme-`t@?MLUH!3Z!OP%Et7Dr>V((;znS-xP= z@>Zqgt*Nto-J<0frRD9ZvTVq1(ee(Z<>dRJlxsKLd%toelXS&s@U&=om(p_b4bU4~ z&TY~19;M~vJDxYToZq75eM-y8w>WQXxrjx}u}aIy_cU*8xuiwQ2bGqSZ(`oqvbROc zaZ1a{cP(#hxspZ8hn1F7cU@<#3CZKUZ45pE}EnELy&*uq>5Lo#j;)Eq|%B z?43HxYb{#-T4}jl>MX}tw0uozxqRv@@3(0AhSG9{)LFKCH*rg8xnk-ppR{<)x0RMF zrOtAqMay@TmMf>u@>v|KfHmTy|L{G-xxwbWU@Z_)BYrDdPg zS$<;C^3O`kHBx8!Z;O^6D=nw)9@fpyqU9$_%c;AEb<nSG|Dm+pFm;yOTD1I^(sHBJS?*}j@-wC7#;LR1-I8T5uC&}Fb(U9H@(nL5 zrDbF4EL(i9z{^HyxkIWfyX!5EhMm&#^we4Qv}jqQv^*mwT4qmw!bG^=f;YS$fu^K$qsmZ4)q$#2)swt)^fqzAwvoG= zEI)d|9wool!7gPx2U(?EUX^wQRN9qQX;&d-JIAk6wsX9p((a*3yT>Z+o~3N(WRYO`rICZE6-`~=KZg?Xy5JSwc9w$KZEg`V3hp~AdXSY;K~RE2d^>9?Os zyRj;4x(ZvaVsF0+JEMH9CZBVH^BL3mVk;>|oj+A!-#A};(eB#wc8^rppU!{1X!log zJDsG$>{OV83UgLrhLrv4yi`~omDd(lX;)IET?LhPRaM&6Qfc?03Tv#wTB@*4DdSAn zO%Z3vqq@E-?FOo}8>(!F*N#?U6IG5SSfyRKg4ybp>LPSYU&K5e+XfX|+ZC@vKG*G5 zIo?>6c5y20j;XXesnRY{rQHRUc2`u|eWlXwhDy8JD(&v6w0oe^?y*X{rzzXHtVr3; zB~qo`0hM-#RN5U^X_ugE$75YCrOYEPU#hU1D(tQb`$>g8RbhXnWUkgK%wC1*RakB% z)8un4lro-OE2O*@ajmMt0#sNV6?;Y%)PI-L#4^&=TNTppdm3F06 z+Ig$AtEkehsnYPI)Yvd$ES5?X62ZkCc$I6i6 z{sQ*=m9GVBq-3zyRK;E^m3D1a+I3WE*HxumFO_!vRN4(zw!<+GS27&)XqDGaP-!bF*736=qan zT~t^v6*fHOI_stqLvE{8&TXyAF{`XG?(Ql3b*VLu%&8UL zIk}bnPWJoRA7+1?{VCqpaMgNh^JoidOK82dm9&Csq;6^ zkDUM1Njf{7gU(rJ(0S?d=nCsf>MH1}>T2me)HT+%)OFHz)AiL2)D6{*)=ks}>%wuj zwn4XDw_6vhi_;y`ozx}jF6gf4zS7;$-PYaHJIb zbh+#Dlgm?=zg(?d?OpY*xm^pnR&cHA8sOT-)#%#Ab)@S!T$u*DhPlpgo#(p9b-&(9 zZ>M+EJL|plx%D6D3+apLOXzu-E7?K-JIN95Ie;X7meLoxf$KMxb<=y z?l#(OwcA>^jqdL5Io*x!UETY-5BAEV-!{KWEXeb zNY$-W#p77qaVJ%;qDs$DamNGl2~J-x;f|kShxT*Yfe*B}_6oHd>BzVq?|^g1FxtY? zZQNlxnz-P(P3|xQO&kVN6%UBwyWdouO;vna${prVb%(U^KcX#sHGn%Mb33t-KfKv(DfhVH4lYw^N3m@F6DD9|8JMcggcdAG`@C6O- zRGoG-rX6@5iOW$i?-W3L@vRE()PVN3p}lyfh&z2m)%H}ygFxJ=CvD-u9qu%ow(y`0 zcN#}cCQ=no$8e`9sLE^Ua6^dUxfbp;i<-=(Djr7RPK&6zl&W}wggdRKEj;nTouX;S zCaU5w4eqpqs=KL*XDhh8j*j#cRq;RscRG)~*$+BY=1!MLomkpvOBd7@;B;eX1#3mA(N(_$xaCrlnnnF8f(~d>7gM3mukL<0YDn54S z+Fi7TkIA_`7qhzlAl&arQ6`#^_?KP_2 zq$)mI<=R_R{SH<7Jd|tiQ1u>6(TA8^-bD89Yk%O{huWXG_7QFULY|0^3%T|wZQ=<_4)tfe^GjE?9FDcso^RcBYn0PdU@BF{%hax6NR z>D+YqW(k*%qUzv?9S%8(O$Kvtf@3=1YjfPellGRR9r$_#cPKifB!2CK? z!c05VB?I-S-BxPXm|oVLs(Y#0f~u{ldYGzhsM?OI_$~l33Nm$@sy~rDCixr8F&l=-6^}l1 zM-5f&Nn{cSBn8Lpup+Ods*b9zs5-jQmIrO+psifAl^3V#SdQA2CsvVOwu-7%s9KGh z)S#_ev{je3KBTRNm;lG7BrQl<(|oKZQ*Ehg#6dcCBI!-lek8-Fx|o`5A=yKH?8TNG zN!5KM`$=L+Zjs!_kkAvW-0@pX6n%S-JAO}{|3N$Oz$tfpLObwnJMQ=^?f97b$cMf3 z;5K(GLaaDd@w7E}EJM{EbSwj@T~`u+R2`ntNaDeF?r1|>cu<|&U$L`dJg4m+jOQZB zO_IkhH{jRSfBn3zck`$u7g=wn@Z55@hVkE^$N|2N!DMeD6qzttyOX5vZ4vaO| z^knQ0l4m4;l6(r`51Fb#Qj??>No|rkBy~yZk$gyv zeM$UC{7C{x>XS4eX-MK?JDu@HbhwR4nvevNG$m<9rkc}M3zC*3tw>svv?2Kj!fKGz zqH0@`cJ#9Lv}J_YpR=9Ecn7L>BQ z$#Rkik`*K?Nmfzg)g+N5Ye=F<){?9vSx*uTv7JWqyMaz-Be6|nU^8uPA=yf@jUt!~u@NM{ zL%1D@ttN=E5MmujhLap7nL*NpqzB0nl96QU0d0+;t^Tw%mSi$jd(qYa+UibQ-AIOz z^d#9sG8%h%Hfpkqww92bu}x&`7aHeZNe6l zB!fr>lYC4vgk&hmFls!UWCY1bl2Ih1Nydo7f=NP1 zrjmq`gpq`kOryrrX=?__Op;k7^GRlt%psXeGLK{d$wHDvB#TLwkSrxr%Se_}HG*UX z$x4z{B&%s}ByFuBi6U7`vW{duNi;RtKwBG0Hj!*5*+M(El5C@D49Rw??x3xmG$gxd zYd6UrlD#DRXvcn%Sds%I2T495i6c2ga+n$)AvsENj3l1qILQf;lO(68aRSL{k~1WU zBxgy^k(?*FK#ebwTq60Dcg;iK^MLW&7Cne-ODKV^y<#&)9d^ z!OoI=Me>;Bdy=~(KahNem$54(|D)mM#lG9YZLy}1Hh}e0OZ%HnZ{6un@XP`AG$IKkX-?9La+FC`jhGZSd29nJr+emhh>?YYy5(kkg($+B&nm6mS zB$r68kbF&Yo#YnDcO-WqQWe^IK=LyQO_cQ?B!5F}tVn2PY#d3nBrXuCI&B$9JVX)w&cpTJ|yJD5{;TIouw@uvn?HgZIUU*+= zX!4}pB>PAXki?N3A&DnBNphOxJc&d?0U>=xa+QQ8RJulTljJtZ_arp65=Dh%Lqee; z{g31+$)6<8AXa45ikeu_BwNuWTj?NDHrk?@w#q@0m!u#`5t5Q5-Xs-BDw9-$*iN#Y z%vgP_J+^p{o7vgg*>O92J1cJIXy?T3oUvtl&GtI8{n7RTv;Bgu@9&aqplkkZv~|?> i7_;4HyPw(qZTpPdNq7aDM{C)95`TzS9>#FYjQt;we#Hd< literal 553572 zcmcG13w&H#RrfhF$vk(GPTHnToA%zc>Ff59%p_^jq_@43G;Px+=}ppS+H^WeCh4?E zCYhNu?Y+IdC4cfe4+v>pn!V(s~>LC(?bri9L!Ro3F0W+TH z>w51G=2O|B|p+F!}lh|d3s&=JE6C2Iu zz{UdyqTp{*_@fGctHMuga`QJS{85Gfq{2^Zw(+S>cZ={QDN4>`XlA z+WAn?KPvg$&L4Q0E09f?jzGA|lmMZQ$&O0C6bMI{PYsbx1l;^W;g2f(4a^VMH$zX5 z@+TVu{ng>E3O`Zh@|zU?sKS3z;U}uy{4EN9RN?PuKHG`mWOZ2hkis8T_-%@wMA+5e z!ThTI)DDv0I0)c|yDdJoPa;D3xqXhS`I#^CKf`>sC+gaBO3^ba`K;$G^JTjwVy-LE*DUWxnN6c_2xT%6!YChPM&0q~}ati=ksI&nfxrIho(0 z*fYu=l{`>*zgn*ALBqCZmB3}b1cdEbiEnvU$+tbL%+H=x@@>y5^Row)e8sb770(*B zJSziBdQk2F#j|D=&lg96{G>YrL{2FDWrg3T@RL!;0Yy$L{AGoIPT?on(@T3s z6#lZpA5-{A*V9KXEBs}JKcVoG($jPM+*J6>3V(|EG&3wGrKguOL&%y*7$@$`5V2+e z#-Z!!BlZj+amaj$Lwg30IAl*R`SuJT^DBI123S^RfQU5%us!a~5OHPzSpa)_S%5tQ z$O06;H3RU#U{5deTQh)ch*8Ox4H2t@}h%z%QTWfAvFJ)#}R%V8XGBYe&Yi^lenHiR? zHMiv3GlOhTcgBc3W7#hqle@N#STh677|T2}$QdJI&kQoZI|D@QnL+AzXN-tFGsyb# z3?S`MW`<>DW(ZkJ9SIa=Egn(U;>*ffJYucIWjinPj3M<;DgBakXN<_K!e3VScNBio zof#sF3V&JQ7ZrZeof#tcEIzf*GV`T_cuvjl&J2-93V+$IBfPJR3H84}|1Q4xN^c0yd_1F`G)Xx)u77^MACX9W>eCI+*}eCpq*Ju%4q?iL`bOblkxofx9Z#9*e}2_ULW3}#W` zD-(m6awmYOH8DhQ!Tx&bxYN-v?6DlW2_RZzoX~hTQ_`W!@gBXW z;Hu~@^`p-z{FLj^qmL9lMR%zmwPG8x+?2DTuZo5O%~5lDS9D|Z;p%iUT^*=di3EZJ zXG}9Rn9V)|{?jUd(B%)S{PQk!gFdIJ0xR6f>V zHoqn$?cx06E`Nv0zvS`{Gruuc4gFV$pPt%B_N)1?x%r2g&-J}de6H`L#orNvo=M4X zK1lYf{EW+gLDiFW_0)uw_MCS4J5+wo_;GWGh`{uTGmG3^T=EG#%$o&)R`k<_3$?6-+eBf&2Fi>8BLDfX==ZBt>Gf{HB?H`3RQeAmtd167OFjp-xXQ?Y2x zKu5AR5ILXPR2DZnr-O~zO(QotS6&!RB$w-=RpC-#v@hMaGk9rv%i$ZHg$uRM&(+>+ ze~_=gvt^;NXK8TYsa@IZlZj|eb!T!c91MrYVb`vzNGO>-a;@vmS(xLrR*Y}oJ7e>1a`G!+xTQ_#EoIlsGW#L+T;P5eV<;eE#+u;-C zGp*a6UK#8ZUBi>zd#24;bMy7?hU%J2dxCv^L*d@`Y_@q{$i%Z*^+f6XwxPKr6J4{D zW93cRbmvl<+vnMhiN@&NY(uandhmAbwyxR4fyM)OvwQmk8>^Gcm(18)t(aT9+O;&; zaA;Sy`5@J&BYC;HI(&WmvD0R-KXYOx+}GcCE!?`1VxCp|L(6@KCsMcasYCY&b=u zuF+)TTHjE0)t+cJn+!B2o30kFrrJ-Qdw8_w#!LBz7Bg2`-G>rITqk@?m6#;$qvYqa3@>!!hmrd2`ZOaOP=+i&GU$L|a3 zm-9__zie#|(s&Mb*WPSfJQ!^pti!mSuN}Aw+^=qqHa=)gSKXPcow-ss(T?#l+&#K8 zP|M>5di!piDQxXU{U^KaerruvQ~g7Q?Y*~hs88~iRiEZ(0-I&KCv$`69$r2Y3RHdI zWi&8r`pu1r=Ef`l8ohKrSG%)19<6J%3|!fo?r$#)K8l~I+17LG`mWJ*62O-o=~cM5 zE*PrbP-6k%dC|OUTX*hcsO8M?WLqh5pt*6%YM9YmX3gB#LpX@GT>qF@9om8NTJ7?7 zG-Ru~mrmY%xUX)aZSnlM<5w28_Cz-)7Ls-a51P?J=F#reLQ_xf3KM8p*tLAh z?zpEIU<8zNhqe^1c0s--<;bVQ3xki^uhcbl-5TB7a{o{h%D>>2pAL2`+#NZ!dgw;m zy)4!Dw5zwS5y0Nj$>k}03(!l~j}D_w%AvhZAHJDfIC=C`b6{$N4Zw@R!`U+nJ9=*oUKVE- zWw|x&Rz34=>FC1XNXz}9Yj6+^?~wY>LX!@|y<+5Y;WNMEpjui|LZ zi<7;#`lp6g=iT)Ey7ZyO<5So6wuB-7l%4)4ly~HZ8hd8v`pEtUyZjenKMf!%fA8V# za6pL#+kQBp2m!gFCewMV|J1luZ z!v-23_wDj8#M82TIG_uY?ZuPlNd5^|e$tWO3r9D1{@lY*s~k_|EgPWc121zGEqgrK zHVlZZ9rv!K9ySc$c$$FU=>XrXaXjO|<&L?-7|`dBR-J9lv`tOUk^#G11KbKU(2R95 z#03l6gJOUOo7!&;%(h%z*xGp~j}^&fZtnhZW1i(2?x` z_&$4PTG`rM-IY6unX6_Zxzexd8F%&UCOs3!bAzFltqam)LmwKV$+ia#T16eYcRq{; zof#iF1i<%{GUpziUc8ZnBVzlXbn}m{k^gAj&F<3qNAbBMPr%MIuAOFtJoWi2wE)DK zy-imauC|wQCpH0q?YW$t|AL(#Gj5pjmsUrbQVW^d8<+{Yr{H)bP{>c=#H!!k@|@*(>=_&mpqBc3 zcCo2@`r3)6uEnigOa1i^8%COr-^z!Mv*Rte_HzCF_U_(Nb2E8sAvCk4RywA~%YXjd zru!r0*k82sVi2lK?Rwi(foFblY_>i5HZ$xx zwwvsjZrSyDUSu7|bTxUuzrJN3IgS}Oo$E`E3+cIr<6F?b(7(f80ifSi{WDjFX@a|A z+lPKo?Hd`|*GB!Cann8a#}4f;?7#{mF>LEcdn%6k=FIBiHLSoA&I$zOtLaTxsdr+< z+k9=wb@e<7~ovqXM{ z%I~(*pBKvteoJRUO<~%J#GM6=7k|0FP4{WR8GljRKU2BW54))RQ?`D!{H;Bu^Pv`+ z5JP9}bhNK|v%QQR$7u4LJAc$AfP-so`El@ZU6Lgoty{H&mA$V z?@ZPo3b$b=dZ4j!@ZhedP_g;g=yGjUI#Bb%)^xhFfVX2B&jzw)_(d_=yuCYjeyU{( zk&)2-%`a3<_4Rkxnc>mIhG;n4|J1eH*=J(W%_|+t@j%t#hNdvmdyt-7zGa4@4Z+j# z@pv#E5B4=r?F!W%O^hb$L&5l#_-J-AHFYgCly07C3B_WKiE~Z8fttj@>UhJR=HP+m zOVP~-2T!J+Ul|-W1?y=Weq!gwsy*r8rHw}q?m1{y$-nVIGj?F_-AjX)+D3v?@#etg zJtOgR&tHBjahUWpJauvRxUI*^XXyz%7#s-f+6}w**S^rbe5>yRFAKle1c&hqwlI5} zM-!L(2dW#JFW2qpnk`f(6LQ5;8((hT-o9}D(e`R=&hPhQsXsqzMhYP;(XZ}m4sLF| zQajOhD%i+>)78o5)`_0wlaNbKwwJR~E|SaiU=KT)EamrNiG6if;EBXT?D5-{`_Hv( z#UA=rI1oz)Cb|pfAN6iMj6GL4lua)*bDQLxW3VQh&dQF*+?5U=eP(#hO_R_)V zfx-Q0_c>iX*i3udxiKJi!i;03wmQF~cPigdwXr!!d)40kt=oHNg9j3{;<}&P+j6yL z&`eybZ#s(^@VR`$p}9q@$W9&=39Qh{Seb3vaI<}O5-Tvo7@C7=-ZIe2?eMnlTh~rt zFX-DUV5M^FdLTyfw`c|`&oJ)t|H!Nidp zUH9@b_7JL$UpX{*zzoe~QZrW;8hh_#dI&+sL$k3_AgFzIxuK=&;KZ>2tq^$yg%#b- zw>m|~9 ze$~b->4^=U#TN7qwXe9|xk4*0GoM=XArSOKEJuCfVhqg3( zpJAWG&%FziZL^b?6WL_Y8u85sS?|^3rIVNm5cDFwrw=#wPT_eA>*W=gET>w=Le91} z!fuL%?bg&jSD+Q;4YSts+>{73%KCKWhHs*Ow{-`$ zd!L(whoxQ_0ywn2+sfZQl@HMhH4%8i%@5DracjIsnEls!=T4?t<`#Cg)5=)pljYbe zal0J%xj8tPpjc^XuJ7UA)(Pwc8aC}m=pe8!O0l0FjPs4OwYR)YW&|;Gp8$t{;5!mgt3;Umw2;CeuW8Qpn$717k z`phtPY*^V_cy*ppuPRwDtSkcwu17hKcG$h0@V9$k>$T3p0%B)FJG*kh!9f}88$2hr zVCMq=(0;ZS_As6-e|NRBK>dVqHeDJ!bY=KP+Z}2L*{v@Yo#eWZd^3J#=Q_CiI1t*)NCYuhdK&2Og_7rg&-TsH4-ivWb?M>qnAs*V9(Rg6|x8LheVaE$Uh595U{zrz+ z9G+;O8*XUAJO;agZ`8XyUXOZj>zF+=#^XY^&(+?-+}`7eEj#UVZ*qioKCDmLF>>)f zl_&kr)t=|so-o-1f6@tDVI`9GK4bjLdFjy3?(+D~@)pMT!P|?IowvzOsn;53tXJ-o zBYS9`@%mBBKZkbV>?cvPx#sHVP0Z}UK}=B65mKn2ca?(Bi*N`s1VFJY3MI&if*f## zV}^sqfRh~)Up+k0_FU$28HX4>I69+XaPl@PbpOcC?%U_j0r>r$R8cw61P?IKc(0_#O8LA6fis z(!tGSLYSy0Q#dpM5U=-44Wtfj$%e==Xb%f8K)efy9JUs<6FnD^BgYr1-w`>}o#7LMJw7E&!+vZo{-Ph;zMXVP+X zi9K=wQNn^S!SZm_I}?Fs=Fer$H6cdcUh3al-qJiEfICA!unUn5o1UH)be=fn$7K`39mb_gVQZXNA=0G zycLrtN5}Twy)r!6frSt`I&LR%GkM!?Ckp*w0dqCiw|jr28U!BZvFSN-Ht6Y0QO%sFVSL8y=E>DK8uyA5|YoUXMljj+JZoAU%(!n3I>bZ9w z?I<1hvV{X{VgLM9ZCAC&Fs_#tbY*nY?wN6q&0Z~y^{}5E`OD?5*6SUPAG_Prv=!s5 zIk>~_Ct-3uw(s9CXl~{Sws#TZh0Y{qu44g;PYVz{@TpczvHa|El{bb?p(T=m&2-WX&$o1E&GSy0NXKq zVpBKfvHLk*c*prrQ!eN+FG)FG_y=O?sV172NdEZL_?Q^=K0D=5emSlszN~Vb`eMST z4UF2(Vp5&~&K=2k+uz|ofdxO~gz5o*f6T_Q{fv1|&NJ#lMV@H{NA-O8lkCEcUYtE{ zzf!yH82mvj+c(jDZ?gX3(Zfyc%lUIvReMaiK&EGF!%T;<4=)ejFdJmMU&DsZyKr{q zN5Zo;KhnY+eu4Xi7ksAReoHQ%uRo53OLz|J2;eHUIM~+$KV{YppEz`zaZ`2n!epad zFk+)YIFcKU5-k{U)<<|7nrxpMoW+8X`)d~E-5CsBV_b2F7#kw)@5vKQSBIP01C38h zzhB6V9GasA??LDAd;451=9A3Cp?w#&buAS_94e=c!F*%)O779~bFJHY=B}N9-KL=S z;EnWAai-ANc`MscK7;w@c5qU*`_y1PjxcT{SI+F>Gp6w#_+t|bz7g5Zx8{()l@@@? zGyFzW;t(6Cd}xsCL7_s-6E;5O#s~|`9b69?s35Ffg2exxg(a-w0;`7Nb~NJ?7tl+Xu+N2aj<>=k}N;Z_FD54=H)D>Tl19M zD2Ju|A$MV4$Mv%2KiVKzcG3JbNArN?H|%H3W61*&rvf--eKhY)zaZxoso#Fac(d9K z_`=!t$c=Wp-3U*oDWHV$An{bFod*tk@REt#NR&_BY@$F``Fu|c>K)~J6YhBfh>c5> z+neOJwl7W6Mg{YX)h}`bE+{Y+dcf_%>naL-@y2B2;XMvXZU-)VX=8%Gz;P)z55GVg zw`u8jc%B(NQNAMQ=Rxi_KC*MmeS-0Tv*P1(SYOGFJ9Kk$ro&pN4eT;wF0NM8S zJnWKZp|qYzM`V46cC^z5pZ!Ui%gxnqq7Cgb+Qr7R+|cG4#F@j4XXlv$Xf%F?CJ_L{ zI|F?5CTPRO8~v?xHjeq=T1Rv6m`6Wt=$9Ek8_y1tJk}@B=lE%i1I15cLxueV*VoG5 zhw&}z*U0^J4goi8ylI^Bc(KM8=^eK8ZbjgrFR-zh=Z(E}+bEE+n>UIfYkXOHob}Nj zcO11^<3p}<0x`>e^&JH>!FcbvgO`%#hVfDl`q{20uRHplqrea6v(`hk!@NGR+Jp0* zuFQ9Y^Nn&oiJK&?*V3otd?wdTS&S2BTx_NFk|@(gRlzM@+97{p&Ck|;i1cJxkG=2U zKoR542{>){KHL7E);VDW>ZJb{6TMT{b`1e%mOtTje1pmQdEPx8#CnhI20l{31KiGN z_br(JEgVR{VdLPkwN6;h%5k%Of16p&<95dhXCAQDllDBofenm{jjr8TkGbuoteX+g zb=J*`>FTUCkH+_1m`px5eJNqq*F?kdGl%kZ(~XJ5<{i~z!+n>+FAk;6>1_z{C({Ra z9$PqnE;ee-w++KPdZtRJ0|-QREZyy)byZKPe|FQRX74jX{?0lc;o@LZ0t98O*I8)0`d$b5)i4~cX5mN~$axi50L7HH(P{Kf;y3im(Rs_g;et5KX zn{>3d@$yqS8^TLaaQL*Kmys1j9sxAkP;KD|6g7YhY|wy_kr}%jj?7r;a6D38>cfP^ z5m=PZ4p&CvC_r)=Kggzu6!{Ks#zf&+SSJgm&;!}A_JX>!e@r40Or`SohLoOrCioawTX&rHC~GRf#~-m(B~6?z!QSLs&rh z+J^%^_eQpLl+TY*z{>ls$o!5Ifo7k+xjV>L?agOL;Baz*cMjb4v-ogaQQtct6j8L^ zepKEep~xc~h_C&4Lcfc*X0ag)wEOIl6FVY|{i)-GJJ~;nu6Hfx8k)8&PFfN5$+mL- z#3q~^V8X&%tMpFC_|dBUI6=YN##pHD=vm4=+RgzTn&6_Qk+Q#6FmQiqK0lo=_ZJqH z^7FY1OXYlFvDD&y?4Qq;N@1f0A17E}E^O49#zu{>X>7J7m$K7$vvawYzCvNXC2Z82 zMx8GyY;3|;4rIDU?$Y358FE{YMUtkm$tT}(IX8_Q+f1X1DAQ>1XP7M(7D#_CyLhoM zl*d;cvh!hM2UO`&OyfzN0@$GlKWQ2pNLb}6?Pb^6$#Nw>Q@$NGcAG}6muDJ#?qu&} zTj#TjbNJ%Ky~5pG>u|1oyD)P$yErqSE42(23U^nQ!p2_cUq5Tu*l!vk&X1;4zCzJb zyf;06_x4N?4Rz2oqRu1w&zo%q-@!npBc>59-jfd%n&@K}r4lkFr6%hU*6Ba0(bAG# zEG)Jp!$t?bgi)gJTeLn~%+2IxThhg1VKrSU6{rJ`nubtQ!$vngrJ-bjA&c3C{Nh}H z0bl00Uv62*P8SO;u%d0q?lI?^9h|duL=`}1<%+Y}Y5J^2AYVWiI&yt0)Y$a7jZ*{9 zUOa0W=AdcBB%K+$a3+O>i-W@hW2mwGn;A}DMFyrhL-0PCQfqzB%dHRwG97}6{VPU15otn?J4ld5*?&oI4bIT~Z>+y2B zR@30^C9D1A-p&(%XQaKBEwhW#8i$*wio#4Um+eMgj|L|%abqON4FP1*!{Yt2x5YBK z#Wh>nu{lnnGud)h=H5V0Qj7fV3@MPh=VxYDXEJ&3Bc;0HlvqdNGH*FM=Vs4gAQh$l zdit785ily|vNIXUSD`1Z0$QA0WEk4eewHY(#klB|>^#b{P$G-QKqNCHTD?`-m|c93 zaj|N(mQ$LAv4poYsoiY^`52Z3l*;IG*(o!{ESw{dc5i-0ns0ZFYy)X1jRW^-24>4A z6pjYZF63rb<#R1PFBTSZ#kriDfwBYb)Wk9aJ=-k|bD<$Wlgq(3PM2MwmBkW1)FYpH zI6Wt6Sru+b(kF4mS*=F2dWFDD_)-Vae|cy)1KO{RAS}^>**Ei`u(CLpgPVxT)?}9v zleE<*{BSQjpGW)B;Nj+^s;h&?%cU~nQj0xKq(@>*MF`MLHc*F87qXbnrs2OcGx-vE z1$3n9ZD5|?`}kS#K48_A%7tQ%#}qov$KgDz+3I)X5cyIDm7814&5%IEvU&;qV>N>u zKDF{eqK%=(8Hd`d&$C`EX^4PTouccQ)%4y+#^EfC7R(ZAEnjunM<-{3oEER zn=S?9v!%ds4y+H4w$a@5)bPrHvlH#%5SEFh=dcu$xmFf1zB8*unh@biOatx=qm|hX zv!+1Y)ndkKW;y(=A&qrQ|H8~tx!7inWVLfPNI~vFh*OuuY+ce5+YdC;qQmV+w_)HY z9eb8?iXw_KjA+4G48oZVYQfgY8dIITE|f=*Y)I)j>~{2sW1%6P zDv6V$M@w-bfp{_OY@G^E~~Eb+=+I&6seUW63Z+DmtV@PN(R?B;mskX>LkzhAyiM+ z!>z1jDbCRR%bkw7z@3hPDD^QT2R@|=nxFHMl+Z4_R}HXdEc zRh4~?am$thCoI6)Z2?jZBwDT!OHjeXDO)UNA3)Wm$6ZtM7DF!2Q@$0Zf0axtPPLen zZ9tONz;cO#$raV;UzmaOZabBmb{>-Qp^l(0(U6zhVAN);w1m7el`u_m?6W|j9wAOg z!E)5l0$utk>EoaY1)>q<8ik)QM#()}1<_>hbO2|tdc#iPJ%g*oa<0&-@m{t^W{MDRFUrc9)2Zl34gChfIYjsE0 z0Z3f+FpdwooAL`&cd$V0{qJ!IZIO#u)n6$TF z>9c(R%|+BTW-+b~cEIa951Enl#lg!+2Wvd@?AS$Wz|-kVLl@!6CGOJw{R89UvTfiO z%ySw(TDKxETvG+FUBiPl75O?fJOpDZ@^xVVsx}Ucr2B>jV5No&8#P>5so}y-4HuSb zxUf~jg|!+k?Df=PI6Z!zW=ypX#Phc&@%-&cJb!x<&)=TJ^S3AQ{Ow6R*jIb1zkfK> zmmWEv=^MN_j_H9JlCER=+Qos)mBCXKlwyvw-{P=>f&P9wOI!+Z*8pbn>9i`rI(VFL znXF^v5*q>|SjX7FnZXMqwn^jZQ)3P-hchdZc38&OoElDN`YxTeokEPC$1{T%w8DNM z)KeKU?|25o8m{ehdi){=@5O`(Wf9mu4pkzm^f>se{D zT9rl4%EO?MoKSOEEvG6P&s<5vrj2&CfwbcKoQ%Zf7PIxqcC|dux zS_r%gma4OI5DV3#eziCkMut#ZTV%X{ z!sesr})nJLEys)G!6yYUm(P*xskEN7uy=2lS7s<^T>~@JlBs`a>23rjUz^5c~n$d6ZY$&agC@}1P(u%WX;@23Jgm*+v(zS& z;EFR>E{vUm*N}4d(2)7qJ;;0)FI-Fyk>f_skqYP8ZiU*h0WA71V#HfZr6`$gjiI9iz|y1>}ee@7gwh71@`QGe|CO;9DDJw`3so;rV0g|0Ti=KrtxaE>~&7= z)a?M&BEX_@Jc1V=EalcuRL!?UZ3BCS`Ag;pV&*TJAFKW>6fY< zgg<2dO1)v2{|D!&v-!mtVg8!=KGOEteKWcuA%=$Q- zyu0(_Gi&n?&_#2(GWWM>Jfn7z)%KMt)i(7ZMwowO{&CFwL-S8CU*;A|_#}UNdOBAs zR``Tz1knPfaYj|d6;*2fU(x)R=3i0k{54hxXv^D$nf~nb z?Hq>6E`P^VAROZ(%reNnZSC^O-T7J`qFY^-*WR%CDc$IWXBLD2M8t}@<;+6F{0Fbi z$Y7ZRs&e$hi^pUDmX3WO{duo6k}YXamD2F{YWv{P$_=VAH)evkJgK1ILI&Sy{5P*S z(k*dNmEtt5Syj!*fcJDVgAIAa{7K8Qa;$wvn4=eWeF*uKrMluZ2>JnQa*stvn`+nNDBS!DZzyTC236ZK_TTrfk=%2 zI@nW!+xIDL-=}k(C1NzMiIM z%OoN-0=}MZcYB&hjexJG+ufcfQX_!wbSDhJ9lK_=5!&6JCsLyT-RrJdpdjN@;3A=2 zi-dL`66o1(6fF|keMq2ZTR@A1b{`Vx*%r_uq1}fBdbS0$NND#Vfu3yvEfP9>NT6p+ zfXK>b?(iXjo^1gw5;}ZHpl4e^i-ZnzuiEqUYzt_6z60G^Yfs>qxN#s83B2f2;`Tme z*VN0``yFoY6R8nE7fTO4U07Ja`%6IFD}80Uc<9jLp~Hs5W)>EeV)jerj)oi0v@ z)Cl-+(&^%aNR0qGUIkXCiwPn%0zOQ1x|kqRBYDXFp!M}0j_&sGUUY6N^eebnt~A~gcOo<8dKG?5wsUr!%(dzwg% zfUl>Ix;;&#M!?t8N8O$#QX}B&>7#B>6R8pK_4HAR|jRWP(@^=1;+p#%}Xf!ZVUA7^yza!N0J(SfD+!fbzhXnuMrS~3hwslalv;? z3%+AM@X@nf5iR(R0b%vZYr}MJDc;kG1busV%4aLmg7O%USE1-Ot`DWwRw6r;&sL-b z>oGvhBjBQtk~D1xPHX5l;#EMNRZA^Jr+X7 zQ43n97Rd6-fZ@o1*2w@wUKubP8PGZz;KeHgh9d)7Cj-cMWx#M`K z41nX60mG32t&;(EyfR=oGJ0So0TPc4F>D#4HRU9M2Cs|)6-v~}psT$y3J#Kb93=fX zAckX`9tTN34v68%=y8zraU@K49c!+6+beP3Nnb+$@r0x zRFFZmPR5Unq=F2hH8K?N^z;}p>{cOKC*wy3#X}t#Ju)Z)>Mch>1|{ms@gsvmp-wq^ zWKi(aTaJPZO4OC(M+OB%opSWZplGPK90doIs4K^h1B#G3<>+xhflzNb3JxeyR}Q+m z3YT@4Bf+=$r4>X_$W$Xufl*J1#IUU-S|{U21O-bS89gE>JnAh+K?Ei0%JCzDLZnVP zdPGnV)LV{%2ujqI<3|L=NS$)@h@hybw;Tl#l&CAmj|hsCI_2mQL9tP9ISL{uQCAMS zx(?@Fo@1}z2mFc@a8N*1qljXqp2CS?8%(rL#t#k(r8+Wta8U5nTaE$_O4OC(2L}aI zopSWxkRerd;X({YMh^}OlRAfLSZ#mIZ>oY7%BwTgj}?lWI>qX-($N($e!yRp0v8IZ z>WcEig<`2rQF^#gXw=*K3Nk2BQw~K(Ju<|w%OP4P<3|QXOdT0LGAKssEk{8HCF;uY zBZK0mPC0sHP^8pbj)DwI)RlwY_R1(wph&7t#t#JwpgOkcp+M17Z#fDMC{b6A9|sgs zb;{A>fC8u9augg;qNW^*oqA-5VV6U+PR5S|il;g;YRKv7et96b(>wMC4N z`HG@IsVzpdrYMSiddwq+Bcq1`#W{D{F)Ktxb4C2>jz3u81g@)Q{dyI+kOG}8ez;Jm z)Uibm7Yc%U?N{JJiMn$9aG?OGQ;r@k6zBAoqrim{b>#pN5&p$-elb$D>erxvhoYi7 z4Sw)YT-32t4;~7C+An=A$X5`n@EU{Hb_GuQXlaU~pz^nwv&CF4Go4~Z-{4gwb6Ms{ zy0QwXHXpzw!;#SfEY${ls0K@hBclaRs?7%;$#7(};7PUlz#|!sj21kpHXnE-!;#T~ zC)MTyk7PJ9TJWUWfCz6JxNwn)y0-SgCDrD_MbbJMV8L6C3yxG9XpM|N;+aw+o|4wd z_;El{PP+~C5ziC_H9fWw!;#VBz#s8UDG^Ue>umGmK#6#!h}OyYaiByzQ$*`z{5YVP zrc)n14k(1_Z36`d`uJul*&Q*y>=#p@pbv4TD2f@DXCrv`smd3?kg1NXdR$OQbBlfW zi1U@%Tv2`^fj;vQsrnV4MM^L@&j(P zKk{otcE>zDGAY37tr8fHj2@Z(h--=%j*K3e6kzq1qac$Kb+z?-D2lQ=<$%`70BkWn zT3*PkEJdpDQv+|g$l&PEBhw#uO(}6#No&fbFslTg_hSk8egKYvt3K~DLJ7*Ih}J0t zv@{Cw6Ca$JSAEH^PywPoVw<7>t*0fFh^AM)!{ z@TU(XrzmbLUkT3M&*Mu}^a-X&HQL*2mx4JG(Afo8$+a};$=ZrYxe^>tQHa^;Y&{Su zy6lxvfJlkD!u>#`5VKPbXpIa-lwE_1R?U4cN~Dw+w4`;4&|0pdRSOQj*CbL(U|P~T zMZlq_<`j&!YoQNJrzj5XcAr%?eq`#^qs-7Gpex%CMG8Lb`G3Rrs$2E!>Fw9a6l$Emno_D#PY1#bGNc8Y@7t{w{o`1+C89tDCVptFY{NaK?5 zKV`MWZNDA`mip*-N=CmOJ(fNANR!7NB_b{bH1<#cJZ|eL=4T?+MZXf{up60j>y!Y1 zj*=--vg%i&KvW+yPf>`x#nWmjMf>qd(R8P1Jw7RrE+Z7|52@|G&0lE+os?ZyvWm{^D*ebfQvDjg9tEBH z_My)*la~=rq9NgOuX|nW!rpz;vpximwe!MXEpOSEGPee`1iL0|HkKk7E3| z-)oJ6UCOVqhRz9GHTX0<`USuE_oz|M6;k?hg_QqXK{9NV>dzHYbd2CS1L=)F>MvPA zC}r1G0O)hOvdGTh5B+)+i0V%tQgpze=wWB@XMQ~jLiHySDLQ~q^sqDdE59BEq9mZJ z7trSpnWE(k{?@NZ!KnV|BIUdnE}a3EbnFa1;43~g%0Wmern{7Ok zfX*BM)H81Au)~qjqnFM(yfO+>DN$DrK)B9V4kpO>WQctmTx`~-=#e!E|*0#y>%Sr6cO zEm2UZKR;=w^AlA@GAtNKy0V;hIx2B~yCH8BkQVRtYjQy-MRb~gGpT85L4JHAQ!d_L zjD+w50xtM9EBNm+uAR=QCb`LDzbLjkO@<^!9ug}F(yZ*?f z-GAgF8MfW}m(1J!FPTe*BcpX+?fxSd$#7)!7@-pu`F&Qqt{n0UJ?pEcauU-{w5Hko z@1D0SCoz)N$@rn8oW!*2Ph#5X;KegQ5PgTkyzaMH0hIpz^LGFFjAS?srUw+Azjy$p zyno)VKb&c&!x^uP0vi4M=k0W=;*n8~Tx6oAHR<5QE2Fp@{i#Yjos)QF6vv`JL}{l( z6#nIVDpQoF%b}uQ3$oZPN!fMQ!kc(YcBi;@{Tu1+{__#ZaLUoU8vpr7yK+7vX`O9; zSEIa<-cEE?F}E~t8c*yW^nR53fcFt!h@HZJS$yn^1Q1L;v|s(6Z}0lzBJ_&TE8|8a z^iA~Q`t5uP=S@Rc=(OhMQ}P7MJ$ULxD44#9+@Bu8@Nm8;CcmRiA4Q(4Rd(!9VB8P;Z1%Y>SGyN2l7w=8cJ}`$G zhU~A6#~dc|{v0cR5ByN^UJ+Mw1xw2`646%UU0ucP!VD{kB?SSmt#Al+JoM}7Z8=Ng7?0Jbt3djp$||GP&}b{Zy{T{i(AIz?GL8Wvo2Je zo|Sf10H=y{!HZCw0j)|;)DieHxmpMe#;5L7gB`WVMKy+vyd|R^ zZ@%FsvQlvxw{t9Ks5BscA(x+9oh}#W@k{P`341v~*~~J&dMJO{N{o4Q^(IZN(17>X zXu$vPbrhkGg+5L#{`=@xr=_@9SnF_<)|KNYH$9Cn=1k+~`DmXR8r=Y~%fxW|Qy!WK zreL^H3f`cQ+0VLNxE?+no^xM(4^7#{R1>6LR3R)hH!~~&Yzoc z8icEjKEAX@A8XXESER2IMCflqpQH{%kO%|Q?Sc#)3XmIhdI}u$%cr@17-Hd z^cK?Mios%)<*55_`URbG5&EalXQ`5(Ljy0|m7^TmY=l4Zn=X5YTSR#ojEM0=Y% zWk-e^h4}JereQ^$pwWa@-4Q>^b9 zVYV~XC(I6}P6@M<^Wp3rwK*ruqh=S?1|aTc>bx+IF*PjA9;Pk`vzMt+VIF5{T$oQY zbxD{fn7Sg&lU&j@VLrpubz!ENniOUqQyF36@@OhIE6h_&O$&2?shluRGc_m7Gpso; z%(G106}YZb+PoleWrm~*!aUE^vM`63DhYF#sTE<4FtsYo3tayP!hDvg=Y=`S)Cpsyv)=qg?WXkZx-fNroL5}*O>ZtVNNjhDq&t{>NUc= z!PIMoImw#8TbMVQ_dUYQF!jB{yv5WTg_&jQ`-M5h)SHDl&D0MFbB3w62{Xsk+l4vH z)H{Va$J7rC^EOjID$G1nKQ7EWOub8(cbR&(Fz4B-pBClFbhn*SC~so zyYs)A z?M!_^nBT$FmxTE$roJr9S2Oh$VZMf`{}ASPvgZF3=4&MtF@^bEOa+Dc-Aq*r^L0#x zh50>9MTPl#rsBfd2E!u&y|b_?_EOzjcoJDA!h%y%+%K$t(o)InkXFjI$x`6EoV z3iC&0e?*eP{4wUW3-iah+)iQs1oOIt`7Wl83G*kJ>J{d@nR;57KgHBZVg58zX<_~h zQ~kpHS*8Yr`EyL25$1cC8WiTwGj$%H&!!1Oexcl*$>c3ad_xk?80%&ly1<#_tf>m8TYJFq9A#`!nV`a0eyq1Yl8(lN?7~1IesmI7hmr^}eHd~vv z>(R*e%bkHtCnYjn!xqto`VvOXq$DeGh6m$E)4ekto? z;+L{MCVnZa)vh}C#doOL7p!rkKB>bw1zqVn9nPYgqtwWnV)#c#8ArR#A2yx~7P8X*XRjc1j!V_Y{USp;FJYv-agUAExlUWDauMH4*WJFKk8`eL zs~np0@;c6{TQFJ{$gDh69-|TbV_pY1OEHal*--0rI?uP9?SKYMmMZ0@K+dZhH&$M* zirw!zuv;1By@IT6ovxI=fvy{T$$}{--9pG2b>qh>m@edd$#R`L3tv*5ySQDa1(h2P zU$SW2PR17>a?W+oOF6yJS*NclvyHHIhNE?RBA2btNAs9>zSDQJozDh?zJ2Um-iqk_ zyS#7Cok8_o40S51*UV&rL5>hToS}(ra*JHEJ$zHxn%2A6Thp+Yn&q6UBe{##EXu{D z)=4-QO|lwo4_bNFpOutrDOr`)FZyq*eEh8IEI!ry87X+M&f?Rbb)ChhKkGV+Pk+{R z7N7pC>nuL~S=ZS-saaog^HiC6oy}8a=5;ntm6@&f)jBT)3vBZ`o2N?6>ujDXGq1CG zs?5C3=1I*=`+;>fPyVcriC@zCnE0ivkBMK(`k45otdEIb%KDi2rL60;YsIam>=$-w z)*`rqlrB5v3_6!aca-wIpPWbM((F9zyEHo&O__3n(D{AJL~friQssQQb)GMuvVzr3 z0hHUic9m7?<|diRy^WPK>fCO{C9RxQ*Lv>Vt(-@2tn5#nThd%E%a-Xn-+SjQ=hMx^&Q-LWMc3oX4Yg~xgnM!A+BSLb zv*mm#<*fv~FC;&f)w-Bnz#XxzBl1sw0qpzbK}wv>PUm`s(Q6#XDQV>I@kWs%-nX(i zGf&A+8z(3kzut-97T4T;=#p=R+HXH-ULkScZIG`$;WZU{JEdBRyM${YtV)W=mm+@`1OH#~YJpwo zN;yB@I=pf}c@|~h+Re>Q8A^&&`R~!lS0ev`S3SHJ(2nJ&Z=?AjjgMDs^<9E$Cz67w z8I2mz0A9vn1BZ-WeDa(P%Ul zLn>aV*vQK8irwwjCA3s_4p-(zYp5cc6sfo_8m*0P2t8x)|L{_j-5At&;pirGEiSpe zlAkHx7SV>#S7Oo4(JeTVXt~ct#xyz1CJkxlEYJw$-47Uq2XYqRFe6G|oR4CkCSyJzIew?eI)w_zF z#;7pTy#PAe7EQ*Yt_&yZvtT)W)QFJ%Akro_-WqAKe9a8Ft=t~lr|{ye?18~p>JbqCqoJ5Ao}3 zG*!DWPRiE^qBn(+X6lwO`k0y$Mn6+C!Z^j$EIMDA+GU-DamF}{i9MPZ#voI7g>jCl z1!0_LsvwLZrj~^<%v4DjBTTJeCQ}qs^U!ZhToCHIMi>{2XQ|`|!Wd)fd0~vR#utQf zk*ODjafzv~TVpi4vy1CMQ2sI9$k*j{K_izbC$QMLY+NDBzEK$0n0lo!CfLSr7RGh9 z=UatwgL&UBj7jFbN*Fhp_Znejn0oCxZQ+!7i}Sut7*kBWUKrC%y+If=Onsj)a!kER z7_&^hMHq8Ty;T^unfgI*N6SKlkvHy8rQRuwyKL+a3uB&nKPrp`7X5KyEOP3*gi&DL zyM?jD`F>g$%S`>OFp8Y-J;Eq)zV`~F%+&jZvBIgpAdGv=`z2wlGW9`W+~?F^7RCdn zenlA1G4-p$c%G?W7sf-D_)THFz`PF&;}KK8Ba9b0^&`S~8S_3WjIU$rq_E4hrn5XLugyZn_fzL}~2D~xYp>XX9wR;K<= z7~jScpAyEmvzE^Y<2#u5kHUBr=liTMUd_DE3*$9R{fjWZlc_HXHU$NAhBZFka78Kp5Z4RFyE^z*I;WZ)7SWjPGMACXDZwT4F*NZ(?4p zFy74624TE~Q|pEC159la##@+A546WNMo*-p*8$Fy6t`PGP*0sV9Z;Lrm=w z#t$>qB8(qlYOgSUl&Sr~_%WuQ62^}+bx0UL!PF69yo;$eVf-XhDPg>usSaWM6jMiq z@zYFo3*%>)>Ji4zGId-SKPP)8c0w5MVcs*s_<5%Kgz;W(gHytIA5*7=@qVVx3gdrq zzH`F(1*V3C@r#@~B8*>R>RDlYfT=NIe2`1KD2)Hj)Ma7(GE-ND@gdGPA&g&P>V`1> z4^uaV@vBVT62`AFH6@H+XKF?mzrob3Fn*J%+rs!QrtS#i!%WQ!5#-Fm5ZxP0yG4I=i@#jo^hcG_D)T@Q@7o6`qh4Gh6eU~u)ic?=F zjK5~;^}_hSocab~{0&pzCyY-r^(JBbE$4fSF#e8tZxzPhGxdYQ_!Lv`5XPsO`XOO_ zhN&MB#y>FiW5W1HZnd8f#y_#XpA^PtIrXQ6@j2%Gj4(dWyq^=sKQs07!uS`a-Y1MN zF!jGoZMK!aVrme`gB5 z_&+!mzWA%m!-cM)fyL?W``8mC>@}O*KKEy_F^>H%wyBO04xd)*vEPfvJ`(#Vwga}9 zv&t+LR*KWP{Nik(bzG7|`Ndo~_VI{;PcMd!=NI$k6ZA6zWj|+ad9d}oEMk8c`=eOw z_hWxd8|a0l`TTUgJpVw%{xsT*opTI71$vAk2T^)B_6f|}ws`~hSyexNHIbjsU09-h zZ3%V!OT6>%)Nzemvc`WMjr~>Z|Dp(@d*yN%t&c8^%rAbBGe#o)S=WZUoQ1lZt<{fRho$x*XD;}{}uz%zp};}zC#%Q z$z$oO!ZaimH-u?26%b}Xj=6Z1FoR5mgjvN@M3~h~#e^ARN(eJ7$923`m=We}5N4G1 z)eAEweNlXqFyl-$2vczCR$ce-8Z%wnFg(-r(XGevVh1fm?by@@Yy&tYm}t7iw}u$B)E}RJ;|v?(VrR z-YynaO*>wuBMYPwV^Ow*5{J{<3f8eMVhJ2u!QIbpf@)2Oynq|KH>C!_Hb@n=k958`_j zIc5>T7+fr0EDYso<3Epv+7<7ML82cPT@vwu=71620~P8jZyG z+4vxae;nb2nA;8_J|w+td>9eY7DSs@N-cBvW+IGtql9uOx&3HI8!9;VAhmbX@eA>1 zV`$+~z@V~^5jR-#7wNPeU9*cJNL`FSal7A;KODb8I9B?^4x_}c#o|}v6ZqzjV+1aE z<)6*x%lGID-30>70pM8w!2?C9^pXgzw(vI+4!Vs%cgZ4n+B&#+uP~jZ$>w6Vh~VtN z{pp;Xd&2Py0*1E99HkG(vmC;eGX>fS1JPA!O&{^;_)IK570(Itz2^I9)FF<)!Og}U z5{?7#Ar3jppXU2B^lJTTMJ^G)8=sGvpN=CGN5SR(>`Dng*QH+px_O zE558ojNos>@seqbA-e1glK(N1*+a)Z6JLqN%WFh9eM{0fX%z~Zn{?U(FhIFst- zmFzs^@Jl49;Uu5dl5XTBls={CVK#ytst#v@Wm|G>-dKoCp z%YeA@tqTcX*KJv7KCpkn<=M`TTp6Y3vWu!adm{b@a%XRZRed7<{n2;CjGgf}`Ae-Z zl)k>>u^fSAFQd!PKsec(1D~>~^XQ;28u>VA5FZCE;^Ux6d>okT*62axP<5&!A<&(KtWfY5aw4)4L2Fi>8$ zL}q+#i{y&M-y44))`9W&`x}V9H59y0&K&<%bWAFVfE_mpc0H49>@Qd7U}t-P0acg0eoG2G91T7YcowouFJHh zO$3SKzY~xDcKmk{cjT)59=uuX9}zU=`h9%;8laI-O`s9~0)gvQmb?(61}AYY2M30_xKN|QrM$Z6e8mP8vk-U{*Q4Sgs%w!%q}!E?zE&36=w*46;XN# zGl8!QtS87YgF^$%;KbI;3Ye`4EHc3cmJlHc4H2#jiAcRs9si^d0ohO@4wk4lLevyq z7fRps@^LW6Q7N3Jyj)gF)FZwthmzRz|8pU2;rpRzfcF$zFg?L%t}JGY4`?^NI449S zIo46Jt-@jq*Q)z1G+)u5ib;yTLAJ#in>4YfI^9-#l3T4AO|+}t2ve&yajW6nTkNej zBAQmCp1ae6-Rd`T8y&2$3B5|j03r0JvX$Xnd^UBvHYM36950LZdLv3U9cG)3g4I=T z#42r~+f8JcnMK`s0AxKxP)Z0!>#>r0S=jU6(;;j1l8#jS=j^bQY42ts@^r4r>Kn>$O zfl+&*LOpteIt8$xKb<1>Sq9z3ik!&eGYzAIge=IR7k%kS@NtlbBmf!uQ?bmV%S(I` zj2)W1Awl2!^QO}^Bt8!EP*z4;<(Q^xLwpk41~fEC5Vi89SJa#?=%B1zbNY6kPokpc zbejfAaLws9b{Fw^T(gv+Z&=|2J+#gic6Z|yBy@BM!{0$4v1AvoL`7L(WAY|O5;i7p zW(vXf?_@+H*#2EiJ)%VzGXD@iVo&m%3vanpa|-Z+-TU-EUKL7pBK8>pSe6AgKwp-- z5P=~7YuU7K!a@R#EB7P#yGA2%-_j^Yo6?xs=D0N3n6wnbcisvsWopm06D>W~AHZO% zSC8xzOUqhGmN0{dZVvK60X@EdO;_Yw(xAe2-^&p_mYW+`q_qNI@V$8H!|5eoszk&a zqv8z$2N-YTmc#+Z>lqPm5$0F9o!^Shs9k{khE`bMIG7jY1Op-7fq6x|UA)utWi~Gg zyiXE6ZZ)`v%@2tmj=`EAL2qIx$lk1*WsFf#rl1{1zoQ4{p5i>d-m39H4-YBn!j*t$D= zUhLbkP~$zaYBfO|Rm(}grg}}ySgrp&=Z;j^Q!(kuJA|B$qopHV2IPYQI@ia+pj$gE zWbJ*)i&yHx5TyjDq(9K()l4_*Py({~5-`Y+KuZJqgC}1)yX?w^09|K864sxJ7N9TI zQF?`wr^{$40d=516^%fb&@?(tCp*`gqG-m)`7(FH9-OC3*Hg0(C(X>msmPj=Rz1)% zR4UrR2cQ{yO@T9N*4B!1Yo^dDYvg9Fbek5m(p^}-)~l=?8$j1y`Lc2k(mhtBs-ivV z#u-YeXivI9h7!0v={hK{V!9WG(kn1QH@#2-7fcB8333n89ZJ3g?m@a23GxI4*R9%v zF}FBM%ZbOC%{lyKypMYmM;^Y?j^aHHPJ0&bUobURk*f>uIdIyginMOLHNk0DE7FeP zoe566?oaD(!}|}McC#W4Nc(J4Z*dw91H6sZjjiQ}Ic-UuI%Lr$Id%Ll7hJ07H6CST+h;h-y$bD}crAz1 z9;icNwtycuWU_QpEbI2oYysBPZ{ggJMBpho;nm;;mbWtR>qVfId3b^42bhQ8etcU2y`>`TIi$Ak<>>-fc8lI1R_A& zAbtYjYgddex1ahKW}Yn<+fL=CskQ!%XO8d3+n!wZoAD|V^Zp-m=K&{0%|8C@?(E#& zZSHn=uEL?Bq9R4<2v)ivpaP-@NIiO!CRh+q5wM|PuOOfTB2rF@ASwtd_5zA1*ee$7 z_5UPKHn-W$4!PO)exLvAd*n$b&okdiGRaKlNp>N7g@t(st*W?v#9apWRC2C{;sk%8 z*+&7YVrm0c3=A%#xy#rLZei~7G}_`E*f+2r<4U?LNU3;S>^5N>T$VWLKX?VJ!AliS zzgC}BoB`^J^#xE#NoRlqNhv9L*sr>%dD!o>B&EQ4D$0kA_^?oey#qpefPb#>Bk)#m zzeV8Zz(Wrk;x_6(6`Q~d;K_$+@X&b%roBY{tx(!U{cTZtl{E+G*swW(;Ad~V7z4r2 zUVJeIf}g$HVoYf!36x*~ibwR}tjE?~3#1<*JA(x6in+Sn5BLmE?!Jhf`=LX^FvF9( zhtKfj9)P5e9YRxQc-XJdB$XymL#e^0l_4xOcn(d2v4?)t;4$0F{LQ4Ur#_aVw<%0zKr509za8?!oei9BesVMT6V>|urMo&DKEmg@}d9{b4U!h^1{ef07-=oadccz2EYYfaaZ2X^Qu#S2i|J) zYEXYCl#ZnSE-2Nc{_{{ehP6~+#Fh$zpUtpf3tSp26){K;UXJ3B%fV(J-qIwkkrf}1-k17Vz_SDRMo=zfOixdC2$K# z20%3STW3JXe!eU@1JqeEVe<;YHb~eOaN0SfkP)7Vgl9uiM~4(?2-#aN5T-T*_Dahz zu(`0GFH5F@B21!b*r0-@8`5-#Q}=L4F{9~A*_YKRbdNBEnb)$CD&utk<{N4)kjl*FOy$f z{HN8_`@SJOEk1!(uT4EAR20(|%`3I#H-cxzRj3V~xA*d~Chtb-AA)o@Gdegm(t(h4 zN$i0H?6VP(1*rwws1fxKM_YF=TW~dKE49_J@rxP3R>uZ`dKaQ*qQ2!{j3cpg} zXYY?_6@+9ZsM-7QvX)T)SX6>3Fh6^@L?unBU}>}8En`HfwbBS4-=9PjnAaMQR-Xi` z?8TH@WkNCv)&lztGGtXh;A7=grp?FZi}F6p&igbEzWrvy{7;XuY`P-J z_X|JO<$am=RSx9*n)+`>ly52NkCy@8+Xtfb11!uz=_mH_?h#n9fHnCGE4z5Fzyv>= zW5ALG!OtcZFb0C3%`adK1V8(oZH%Qh?8Z%~|5nZu@l*dDC|T5hH%c~Bk2i59_}N=O zH^u}%d-=y02uTgKaZ~EQ56whisR3^dkr+7Pqm&O$`25-;h2VsbQZcL;L#Z0L-lJ3s zd-QUrfyfb1DTz*XiQYj_>^;Wvwa4J*cwFQt@C%C6gvs0sp}rFwO645gkm2LUPaJi! z(1;upIW~vXiqwLui94PoEK}8MRZMAP4M&cToB;lmk=o$1kC#{n{P^)A>Va=Rrkw-} zvv>_hU_SIgEFS3H7NG=v(_lOby?hx;r%?YAJlYZ#Yhl`H)c+`^odL_XFzqbrUyf<; z`EWR%tu3t9LW1_Jw&J%j6Z~w_1?vt3Kbw2O7;9W?!Ubcjaj{tzjIqYWCR;EDLUNrq zqyCi$aRKb*o^=$=jYlqog<^=)3l^y$Y;Rbkf>K{tJcbguBsQyVV<$Mkn zJ{Uy?fM;c7AS^V*>xEH!3`#>_{TZHq7!4f^eb11<6J;!(bQG*f!=qzhO&V&9gEeV* zbUduiKxra->ttWlBa^BBNxZ}<)V~%b7>S=k=}PL~h|)AxR`FYp34S)|geAxBBsTZN zV@&X~2`7w!;AgW;7y}`>jGI&cW<13WaN*olFme<1Z^bm219%>#TbZGFB{RX#rYF%X zt7JAOi7^n8PXev(1vGOHc$8zgz^9{Dcq_ul`63==BPW}@L_@57uorHOY1#*jO%t&| zVf0Kor)IEg0v?H3+-V>JFZ;>);Y}`x##W{tg!!T?@!*rG2jM=Og4eV*^&pJ(Q}N(a zsR!Y{yc`e0ccWw=7|*Z3gPT(hvgf_(%FxsOvf&d4#kZNrioiG=i63t_s%&%_?5YrX z4m^u-_P*=bG4PQuvJF1a2~TpK!p5M;3)zwFksUBNb}yUAI?1^AbHWmZ3fC~m10RSZ zFGgO1#R-v@VVO#b*OHn!D*_&5!LrfgCQfBOREIMTwqJ|9o)dXB@`jJJuDGGaHO1FH z;3AlKEFCHQ=mspxiM*8)c{cKPG%G;!-l3b~5v2I_2a}ac`~$!UkNz-pB_IsTSD>4VwJ`-C1d`L+U0h-{4OS zO7()pk0SfoRUDuZA6~*Ia0#C}q6F4VE+)lVFA+ z0y7Lpu%x>&31%1~FvD;pOInIazd_RP4mpZlLorry{IXk*g^dy}fVEIr5%|7kUC^~- z5BBXiO(tOfC-QH0@bKA0twU3J9));6Po?5IBqPZYa&e-X85 z;^#;b5slj$sFP)C2ua%P zK{E)xKfjmTwZf*3lC_~2`9;AM@DPeTIST+X z2>8A-4I!qa=7Hy~?-Ak(8l8*~R{_K{htx%gcw^8^T(O4}esZ{69;VhU|C9<4Fse>R zh}o$S;F|CgLfk;3vk>AYfVkNq^*98Znc~&Vgrv)44?z6n&;odv`t-oRz5)b{-dFRX zJ$hHF8F-lf1tIRG(L1oh?+1tn98w=4;!R&OLDsAZ&C1QnW4G*L*l++(vJ`*@v7hQw z7pGy5L!*yIA7lBKQUC9F-W5L5v0}JTpJVwOv+OEGrxhRd`N8DZ3h1p?!s@i>6L9yj zxil<45R%Ie%8fM>czT(P-0M>Fz$@852)Uj{*I?mngu>b6kdv@*;`hF0zOGqw8X&0s zEQ5)63`|Idf!4-g;Av?lHWzq0NzQW$4Fu5KOEkJ2o69bMdBuUtW!)K@tHaApZIG-N zEpl>x6jjc&I73)FgJp zCM=Otn{iUR#Lb;tFCp6*V zuODpmHIUu1u%2!J{F)(ibAY9UgL$PUgQV66YtadAalTl|_uZL^OW$yd^p25f#u#r*8{ft+~zfRLOuZbh^L_8BBO3%t#I&TrmW zPU=CpdBb=rey0Kqo(hE8N=?8r`tfChhEFK#$A4tKA9ws>zkbY$CHa)86fIyT@eiaZ zNXg%#Aq_;4qL>EqQL07*B`B4$LVycIG7$WyzzHx0f}c$|^B5D7P+Byifg{ii%nJG0 zq%>v)p|qlRPo9@xZ!59dImC*ATaFDI&4G88(#|!%;nc;B94>rc?!!#o7VhUUG zjUO;ND+X(p^T07Qp1|IPAZNpHtU;_1Na2&<2PI=L7@i6n6cT?7sual5y!!C>sMskv zq-l&@dPnf{PPqH{EK0@Qhm$I?Q)8zwyQhc9>97G+e3X*2VXixRWyQ{dApo+#GLpkA zUlAKH=vJ1*TF2UiW36Is;pe&d%tH+3v8t!Or%dQJX-p4zq05S$4IS~ou~T}Cg&D!J zp=|TRB6aPPNI#XvI>pY(iFJ&14v{lqA@Za#aW(i(tyyma8z{!kjh)9d&WE1}Lz?a( z(ket?zO6OJFAR}3aK?C1@%O+{V}~|sQ#Kqt@?#gn2QNAJs|VS;QmjvQtaq$0yy3t` zjUe?4k+unMTwI|Y3{YTcVB#BB#Q|<*aCU4^42r!LyKpQk?dx^t;S(mZ*Qwah98iEK z+Y?+ei-iwhXzZA*7`W$H!=OKI151HU)ISBrgb55ZisY)h4HNX zI>JB$olC-}4wl-sY{-B~qgbiLHpDi{W*}h`kmfJzXw}J-Wp&ipJhVuD5{eZHF{u%DqD5;t=T_ zB7H)nZ-`t%!*|#V7(93?#XgMM3v_EO@%#rI(kS*ZJn^OUXdM&wF^zo+!*pt=mym_u zH=k$6K8t;k6ZQCi$^n+1Llm?($>5he&@KzAJn;E6%^b#^2HK z-41CI`#3}f((pt4fa8nUj^BZ28b}>P!wWIhhSV&FG-IiQX?PK)!m>^WCJvjkR9J)i zFs6bVk^^o?r?Au^G`yHYJB5&1=ewH`~5hBA*swE?ZUs(0D93n z@YJGuz%{D4W1SIbX(XtPa!7k-X**gP3rXV~(t&vpCA`56?w7PgKf%}pG&T`5C&9i& z?DoILMOo=PoT;dMIVfM@kd93Gx)7N~X#`7pIvkkckWTz2k*`n8b*@o`2bps%TDcA~ z&vM8)vDZ>AzQUQynS+_GLT(w6+If&)`&&I2%@%TF#H5A)3>-u=eEJtTc{X~;ggFdL^*=OJgI zL%KMN;I(H6tPUD5XjrY$JqL071@T_1q8EoJBxkX+1hzYNmWIepl(xsRd<+PeIixFV zwKvniS$HY%g-~rMjVt_1omE&?PlD!Zhnx!yg`STMWgR4yJLEjpP?Gc$DrN)f!%Uh3 zGim2D{R>fl3nV?`kZv0NX7T%lI?tj0^N@L)L%K8l-l)F=l6E?zherRD3jLQ+A12Qn zm^{0H>GwnZ*CFW*hxBB(Ogk)zx0A1=NrMVY@1iC6y5{V0$c4<(Ahfg>lHilrMX4lB z5=!zT)ZY)84>+V3(;tfZpF$GslW?&{KcOVQME$QI^VbgP&Gbj2{&$dc&>?*^`UxfZ zBkKPInGZRnFVi23`oBWbZw|SHl?3{WI)B1X&~q0B=kE}?1)isMgJ!KP2R?fhrw+m} zX!4OO-xWoVFY^+&5z{(juRsDXy76=Sx5uDQ33~>d3-V@A7)9=88N?< z1_t2KBSHkaguxi8NdrSsI)(;Dpj3+nMx%6mh}=d4<1lg}4NO3(E)7gZsXh&$+gJX{ zG=NT8`7m2E1CKVQfooA}8Y1&);ChU}q|#iJTGGHxD4j+F^H4g22Iix577d`QQhsY1 zKv$*wwlsj=M)~bSWC0DJZ%uwj8d!`<=g`0-D0N}mzjO+b+i74Krkqa$kD~;SzpGHX zfCko}bRi9tqXZ9p&^vCxNFN$_8YNgLW3h=wn%^I*cmNGNgNFu%$elE>4I@KnU>>nL4H18<=;fd=-V1jGKlAp*V_ZTXx-J`C#c+Vwz) zzzU4}v2v%u_T6|8R-W9#9;p2JGnqqK>kxS;L>AG&UbJ;R4Sa+Wyc0egB8zF@V~pQK z1D~OEONcC?fiE!vmHrJ%P{apOx+6rE(g1E8m4A1LJQ5<0!VA3|#?;m8vaiX%pWmzb z4@B(z2OZLn-K#h9do_O%Bt7hq{^4Ikrehm z4jIgB!5*>qU?sjvEu7%Zf1T1h>0PX1--MiRRpteVe?!lI$023;?@|j_LFezGbS2t* zAMEXQ$dLSZL*#L4Q7pTUC|!rgVaY{4EV&rUj<2Lv1dqd}8(Z=CmvH8YKbpmFqPOUm9)u8kjR5%h8j&jIYc9JzAvNlBEO}(7v z68->R0G|<)xN8LIhvOYvMgb3{7y9Q4It1#E11fQPQp#+~PD()%1v`sGm zjW?*uK6&GC5?>cw(6pc#G^m2+fOv{SE@jV`Y0g+N;uoBXN~eL+=?)nWO_*%pjkVyc z5ZM$WPgAQQUT0fs;e%&Eduri>XTjOY4$*Da7V&mmVrF2Y}?{RQ)B?va@Pb~t#4L#|@^ zYhwPpA?Y56Ok?@CBQC7!+k_H~DLYYG2wQ`r^f0yB<3%qCk(VfW36meCR!2-;My<{$ ztq75qsfCY01y6*?E^6TePr>RCd4*c|%u@h&$g3d&E$21<<+@-4%{`Vs%oji}TL8W6 z)xc}v(@eoL)WWBk0+^tBJw)CJkvBu+Eo$NOOabgV{Wdm{7enNo5P|z}H*6}7kgvj~ z;wXXUo)CF2MBaxz#WCp}*i#&(-666UHo`|Ukl|I7J_r%`|Gp6UFho8Ik^LcZAVfZf z9mY}XGuT5Mr7x&85KG{z5cwoTJ`Iu2Lge!h`2w~mM_WIz@fe=RzCevb)G9-bU#K+# zrQbs2OKOeA$e$td6}9k*tKgpy`I=hz&{ar61m<;)mZJ^t8xmHJ$9o4_7~sQAp%t+U zgASPv_iAok-Utf88LKecAv4%;^KHs)&xbCy5WT`wE}F^%J!s1_nW@HT%7LVOhg`!< zeMc>P9xQ|_{f=LFVYLuBNOPO<`>n7BWIn;y^Wk7Ohup#r;_`&T3ux|zc<@3v2y2|?v4gl^ zps+X1y%-Png@czkvF2I9BX>NZ$Iu#CwgCiU=pB=mt z500j}gYY2CiWI`E$O3lo9y~aK<_^Jwli=WFhuqFC^#^L*kH;^kxx?|aSHkhD9C8Oc z{v)*>!s9b&?kGGC{aGROXLmB=597h>Y3^7&I0p{Sb;w=p;8Hwz6U`luXNC@~5IVHG zndP6T^%x#sK&=%h-9fD)>@6nAEeeglop1_&(vCvk;OE3 z3aTvywMQIsFH`#^@is_UdJT)cD{h13e26Ms0eX)+cX|K%|7>dE-Y6Z9Qo{j>I)#7x~-T(=b7LouyB12nA(u$ z3SjYg#T9JAD|i~NV6#K+XIJn$?C*~V&r)j>meKPe@+Zx`m-otrFF@uU4tao0S5>Ut zZIiG-yu$uV*ipO;D!Ux=AnPa=<308oB)#sChge4eZm7wIa3Oewp|{b{JD~NhLl!ba zkE5aYAnARFEMkV>P5vL6y9zl!f&=><@-Uxhm;GbHith^RpP=M8B-&W8g@Rb90i$cI^^-xIms3kbCN~JVrJ;hi=aDS$ucJ`0Buk)7gbanbDs#g>p0{I zUGB!R0*mTn?vo(*$qrei%Uv-~RMZG_gKKROxYjkK56FHY+hjfC8z9I}}ixCafu z09FJ8*cP3Eg!09y{Gy4Fdy+$*(Ue%imX}3SkasHNzT6>O+3A+!>8^sLX%2Z-ce;dD zKLZWSgxuFSi)@lm>Gz?{`yuxO4%rDdqZgsgg^;w! zAunn!p=m;Avjhz+h1`!gfZ-qPhxXoxtu zUj&o;MKHPlwk~%ySOH3@hGXk{xj?din3s(hs2aqeI?fmflB8KSRV`oz9E0kJM3#XZj+fWPVn2Xzmd^yzm z6*HVoeL4IUy0{ay{=&4*)cO}C@I5+NKKQvi2FpfPd>(%!E{6BBVt7CMj6E5JX)u5m zd#1FlQ!3h4@kOW#qhm3Qj-Nxt1VgCTH&w6VwlD67di_CffJ44uw`RS=aWeD8FVc;$LjlFi;l5K=}={h+q+_%n12n@RLE5M5khGe{CE&b zvvJ$eT5O%(1X?_o&+!-E03J2$Ye4*mVMIuaZ^Gj@hkOnVR>O1LN`p8DTs)r!kHxgx z;kS#hx!g&EwK45(8mx=bz3@9lc=Uew?IHwu5Pqi!rG=?gn9wUcjQ8YXxYi{O`4*}^ zX5$U$07Ghha6;WrvYL;NrO#MT0?_4>sh>x265K2_$eC1 z>B!;@G>9L0i#O3=C)C(XgI!R1h6Zu+t@v3Q#F@9^=V|a_Ji46*`=Ydi266JL_(d8V zifJ#?;3$+{p}|X00zRDFDSm?nagwC?EgGDTN8h2rYf#!vgE;q5{2mSBL`U&n8k~nm z_tD_(D18J!afbzcfCh2?q4*OT#1DDJpV1(G$SeMW25}Cc_$wM*iMrp=;2M;^qd|PF zFaDkeH)Gn5G`JO|L-6Byc#dCaa66{`20x>RX@Aflevd2uiw0lAqyNz0n<)K9gS)v@ z;-kS2PzuoC0hEF?h@DqS77gMzvXX2X`~icQ`L@q#QliQ4EySki#n$KlaN)UJb46KbD~ zQZs5dLg^H0V-qiFN$pcG?KEniiqaX>J_Dt*sND*s*3`yn=#sY7?ucpasf{zwC1+Fn zTukdk?H(v~ruKy>b)_~o`;zmh-4D~cQ5&0mNe^n{Tx>~CY7fJs7g2j8N*7am3`%{d zjkiL{B{b&n8Ke^E!AqbA{}E=gY`i;4z)LzG55fyh3B2I^#12kH8zZQFB}$`ctccHs zm5hOGV;ypcWxE;=j;FC>@gTgll)zid&-w3yhx4eiF+(Q~qa{<|Yj5aK$yE3ixKPOz z;LXk)@8Ormh76yQ2xL5d3V{9E^eBXZzP+3trG z97seQB!~lxh=T-ipb>G9APzht4idzHNW?*cI53GgNDv1q5$Aktff&Y_6llmcvl4O6 z$98BIan8p!nG$i%$M#w$@$5IUiddig6|d8nU&Z zBF_2PLQoOsd~D6Th;u%+B2&aUA6xe>;+&5ySQl~5#}-3F5N+m;`aj$0UeLJ|;n2 z@-YeGl8;FcmwZfuxa4CJ#3dh-ATIfs6lf&J4=zDm@-YeGl8;FcmwZfuxa4CJ#3dh- zATIfs1aZm7B#28sCP7^CF)7eUjvritxa4CJ#3dh-ATIfs1aZm7B#28sCP7^CF$v<5 zk4X@hd`yD4?K76N?>ac|CCX}Yp@DqG1m+I4Lcq>XXY50AVuB9}H5-c}5 z3Z>bUHb7}Er5#YZk?^*9h8nh=`KpgpmYzV<59Yg z(pyk^fYSLWJw$VTxqenwi)d~ZO5l8xgVIu(TMP3&N^?&_X&KGE0*|hsxi_M;lIGrr zM_19@M^IW#bDu3teK7o`tqv@c2@(x^D^ej2?T(_n6WE=r%$=shTXPNNT_^d*fxh0@nF zDq6(1H2NZ@9i-8BQ2K#J-$Usq8r_f5&oufeO25+Rmni*Cqu*j%`;$h0!&>;8Mt?`? zUmE>`OQnQH|3t}8qko}f(U_0xmfAFyjcFkoqbTLjSPUijSdm|AaM^^i@x$Sx(B);F z2aFy#ct9;5X`Z+eAAeK)&U7npKF!@iqfSjp{ypVi`Dt zJyYuBl*UT)BX(&4Y&$iI7e)d)EiEbqzsp}ri{Ud#clbH40TU;Uhdm#xHe&}vklTIY zfI%a>3>epg{Wct1Y(IQVS=ULU2bPT&DNbkjW%yA&2S8~<4v`o|{`1)OBV`j|J7ieY z)N#z1vhn=a-^(DH(|zou@q^0X7bd}KHQ057eV*w#e8O-r(rV0@u@m{P%|pg0tn8gQ zVdD4!p;%tVMh^m65%-_>@31ABJ3){bIEzcS6U5p{p5T& zf48J~cag7$2rm#}PjaEuy9o4pCB-jJveR4W^+`(aE7C7XO7E8x@1GDK0OuQ+6d#ln zADk2~ONtLkh_mY+niL#@gm=Z zgnUeYVnUqhO%nMgC#17{mnFrghr;dyWX_itq*z-YD|jl%#*NNWVqonqWRhT-QbsZW7_s z;`n9}ZV~Cvh;XY2pG~s=TvGh`r1&u5xykCmqoZsgs+J3Rl)aK z!g<*J@w!NVL*#o?gl~!Tw?+7l2;UXQcZ+b32;URo`y$*c!ViSrJ`w*=gdd4;zc_wC zgddCaPek~sNdHWPpNsGdar{dW|4M{ki|`u}ek;Q7M0haa`dK}HFYNpvj{hj)KZ*Du z5&kT~Uqtw8!u|Xk*!evv{)fo-XTteddH*HS{}$mtNyq;c>HlE}-|hH#<@1TqpO7E; zeSw5H*!NjU@nBNiPKswGa6>=k3nd-T7U#{ua`A;lNJVG>io z@D;|#MPCt|x0sLDt$igvHpaI0Rri(hu!iplHlFy7#PQsBln85z@MzyL{P?jVUQ2|> ziST$4o*=^7BL9gZUPr|1ig-N{))(PPB0O1y4Mf;bgpGuLV-YqHVN-FunXftLJ4J*o zMA%YGemf%uy>XSTZyo>2-}FTtq9wRu)PR72s>wsu%ie&iSQf|b{1h5 z5q1^fx#Bv`6XE$H>?XqQBJ3f~cY%oa6yb#;yhwz-M0l|XdyBA-2>S{j5%w41 z01*xp;UEzX7GaqPhlp^f2#1MqxClpxaHI%FiSv#Y;TVxVR)ph3c&P}-i*SO-KT*Ud ziEy$AFB9Pu5l$81lK|o`tq#4ymGEZ6cB-$x(YJ$;&UNdQ z`(El&?*$U9w`#TT^*Z^!H|v)B-YxfiQ108`rEZ;i<-SiZthWjj0;Q~T3=`i!#L4n) z$?|cHFUCMgQnqWCdYWqI(eGaK%a*Xc_-N9vPyzOM)=Jrd-g4_=I- z0QIdo)WOW5zL!uxxIuwer)fzY@&YwSDXE_&)GuyO;9Y78<*B4psNW>i?`}|km_z;L zp`?6ADJAu{g!;z~3OsEMOUh5YK-Er!^84aYe!mM8`;qu6g*s7zvLsZ{4azo#@_DMh zIto;lgbKMqWgA0zt3DMfM?!_&plB6C)m2I=S3>2vL4h}jnjzpqN5=Dk&A}I0<#U8x(B0VhZJ{q)t{!sP!iBmKzkT zPB4V>R#LAhCDmF&wQ+-LYYgSBq*SPO5~{r$R0m@yZzc7vQc`D2sE%$>os6Npm6Qr~ zj)dy$1_cYasO5m8ZzZKdoiCxfxj}WWVyOK}N%fFW7q~(7tYWAG z3e<%X>LNF&UdB-V-d-MERH!}@s;?W=CFW55Glv==p$57^4KjxE*3dszs&BA_DszJx zVhrW?^fLOX0yR`Z4ReDUZVctEq&`!iMo6fUZcwAD80vEcYP5tJ;|4X>7|QSI4fzWN zYMg|+)D3F9Ih3bYtS=R)2@-0e8`PvKhWbi@nk=C%bAy`le+o5KLS60#1xv6^pAtM( z-`7e>T`8fia)X*?4mI6NkN&*^HA6zpbc2G0>82&+>Amj<1?pM}b)6g3EOV&YUP?-Z znj@j+xf+z zp*-DvzbR06N~pWspzbz@@>EhP)IAdFUN@-wsu=1|rKIkcP!G64J!lT)srvp=pdOM? z3*Dd=nL~N1J{9U=3ANY_YDpDC{il@FQVI2l8`PubP+qFf?^B>2lTgdtpq5uLlwW~b zA)y|3gMwXL42Ky{ou}Vcpq`LWtK6WTG>7t3eR&GhY6-Q*4Qj19l$X2DuR^VpP~~n= zPnkn`DyfK4QtKtu1~;gURSXqXpf*XUr`@1nL!v5e=tTMb{@x2qURbya#tLcQw-wY!R;RIi{t66!rSsQ0TF zO7#lbE1^DcgW6ZcP^tsYhZ5=|H>mwp45j+I9FR~SyFq($pv--T{hoUC#tPJT66&BE)b~|fQcV=7A0*U|Zcsl} zF;r6p>X3x`*$oP|pEP~Q^HhD!6sTV%)NgK3zneq->E$V*xdQcK}6`PbGDV z0`;$i`p*R_K+K^$l~jvFsDLjH74W-31P@rNG%5j5&Eu{_nsX$@oP(>1|*bS=09IATe zP^A*8h8xro=1@m@fqGD>zM2y1Xg4U>_SdxfYI%Sn*C|lacR1kYI~*|g9S(Scnx#NV z-{F9p?=brzb<>ja@)443Q=p{paKO!XnEkA}DO5u*)wf-NY9!lKW4AWd#2CukQo1)4 zsHPICnHyAdb0{yJCsCnJkx(t%pjw(k9r99rZz&~ps)Rbt4eE4rC{HD&LY*O@&UAx1 z%NQ!q+Dl1&sFYM23DwpOs+~Dh2QN^cC{WTPHsIzF%YH1~v`gsh1xoP>3UrYr)zz(} z&NYVed+~K4idRtJJPCEa8&tO{hEg1G0^KE44>za_su=1UrA_seP#3yEU1Sc`-%FeN zPJ!wrp)PiV>RrW92Nfvk5gTyxhz(S+M=VkNrvm+CN%eOtsR317Qi@klV4#E=nu!Ot8U4l{mFUBb@_)EEgh z)(vW06+}!bP*=<9o9u8 zQ_^=h;O09VF!vqyR#GaI^c@bk`3?uneTM@JJq*Y`qLkDk+0Y+$Yv_xswxRnJ=hMIv z3ANM>>Jf7&Pb(o+s7EE#V{TB(%%QwYaQFgB^(~iBE8L(SH;3|a_a#BClu%E&L9H@} z@>EimQc_P!sMT&zYs{fMm6Qs#Rzj_FgDN+N@>EhmrKFycQ0v{GHkdCLP_Gz6d2#{pLVaD)2T7|PREEMKt#^@)V~)D7yh|5K>XC6wF7wSg~WmFoLR zmee7)lKR=aq&z)&9-~10BB6eDgZj-J%G0BZ3iZ2$`oj(CPje_wkHadIbkhyEx#sbqnY-zF z+jm%5i)G2pkS({(kgclT3|U!=Wy#HuEw{~(t*YJ(xsFnOx$!Q+%5&)wtcZD+K)gKU zDQmH;sDz5SK{-_nrL4uW@+DM(8&qKxLn&*qEa}i}xj8gj<_^u??mlHLmQ_ubRCTwK zDy`y@QnvWDYDlOf+@OxEVyFhn-FK9Ps_6!Gv^kWQ)gV4))tYsTggVv@s+KvFr!GNR zwPqbBp^kThI>8*uQ%NbS)~wnR>O?oFI_6NGN=jL^X4REY_1vK98$($qdFjzlRT}!q z5~_h4R6}DZZ}U@a6e#JBZn^oRTju`g-sY!NDCv)Gx%s18mGDRR@_REr6-xS}TWi$P40W*r)k#8~;|A5)9Lm!d2NglNG2z5^As;RGBf9HPlN za&wZm%$?-D%?{5~prn($<>n-BnLEi_o*wdUQ=n$ZyKko3-FJ=g-Dh3rrJtIwK+Td+ z*SkT@u41SK3Y6S7+H%`A+A`lZ+FSMAu0Y9cqb;{>qb>7oqrE}hp+Matt8boL_1$V- zeV!WnoeI=#5^BC1)PgF8x=Vq&T|(XA26bl@L*1=F-6f&!c7wX7ilOdNpzf7W_qjpc zU&T=ODo_tds0ZDk9;#xf`xK~!5^9kf)WcN_b-x0&SVApvgIZd}P|CJE)*}+?Q8%c^ zsu)VyY{pt9p_aQrtuTi2dz#!=p&pk|E8U=;FoyCr%siyDsZ|o{NjIp~RSdOIfm$P> z*1AEhGlue3eJWJBgnG&iYP~U(x9VG@l+*?Zwb2b~lR4DWUYU-G>l=^LEmxOx74eC{M zsMj-xl3v!9o0qj^?qzLx@v`=-B_+MAEjKS~%iPP_df!V)wN={GURiw~xK-aiVSm$*bV9vV<=Ca`$iJ&p@PIqNp(srsh}?o74*A61q0?# zK`&696)0OmWw}9x%%O6;Ky^`|!V-$QLFHC4)OiY2o`j0HK}D+=>U;$%CZQZRsQfC1 z>ZU*yNT@aIW)OQ;ezsA}d=rCu8P1qzgOJ`K7#p9amHPlGkRK=o9hj+P~L zj9W<^YhF^vd4al6fjVA7o!|yl+Z@VM=cz)SD52`OLDe;fs_&(w6j!C-NfPR0H>d{Y zP>sAm4N$7Dv4m>k2G!IYs(I#6(t9!J=Dip+_g)PC51^#?V$jWdF=+0+_`iUX-itvu z@5P|G_hQh?Zn}Q8P08JKgKoR&2F-WV4SLy4*FR8c=x57@-qEe0cdFusu0ow7p*p)k zbuouJ*UQ~ENGU1llNWUJ$qSnM>xzQ&-U3sVnHksmni1 zfs#&LK{uzapt)05@G37QHC%z3Cadpix9Xd2UVWaRMkr7-B-BhdsB6rjyf}6FM=DU# zsVnH_)D<*$>hkvJGDd-tPF+Dar>>y6Q&;dNFKue90(G;jzFXX?Z=QMe-R1>qoB}mp zLM?EEy4@V=PA^cGDp1l@Dd^^^6f}2L3f|`hYPP>T~x4o3qbfx;H~AA54}LmRG>bRQ2X7W4wyrI;sxp&1xk8w1l>G1g619^!7sf)DJzVEa!b0P+m>`e z^DXIu-+6($PARE_viiPvtG*x1tM4Z-P_q=MLlWv|H>h9Cp?>oMb-e=hyM+3~4eC#G zsK32HDGS7c|468R-Jt$6hO&KLpyni&lB@(Kd8&q|3s2X0XPgyf+A0eTRbb~s|9LiHeSE1zZA=_@h zhisew9&*r=|C9=KtSqToZY6b`c}bn%rTUbGtafb)b)p+o9djrz+p+qU<(YPU33ZYi z)XBzB-X>yIs0I?Mp&L{qbExKCs!xTI9vrrt2Zvn=4-QXr2`W@GSyIj2O6nBzlJfKo zS%qpLp<22@ooWu{=?z(hI!!{I?gn*+Ih3bw$STyC66!2Bs8+^Mb{j7ZU0ED$%l)Tp zxBaK=O6))7sh?7zI>?eb+pVNJ8kdx(O&t6xl-z&HcH4i-uEhRRUiLIgg6bkms;gT` zooifD_W54gl(M$n?k1tSyFv9Zhw}6|tU_HNp?bPOU1$#F>3K?plD;mso3D#)?(1Ur z@lt)tf_uBKEU8P}N~)i6NqKupP@$x+i|yv?Vpqb~#nV%Q3MIFCvfZ|Nvdy=83VM1< zP@#s%>Kp1-eZ$PF&(l+a3N>6pjc|h+X%6M-DM5uAC80*UL5(qo^7NFTLP@V6+s!M; zHunm$J$VHwJ4@KoE68^93bM_;g1kW~J4@J?$?BWpR((^AtIyNMwSHx13Hx#hb%h($ zmBvusN=k*gN>KTy#2HS8jLcN9 z&U*Vcy?u%q(0*w($n3Xo1|#$B1;R*6;)nl$s!rYY_T73bMVLcU+V<_h=HqNZA4C|} zJ$jS(XJGOHy~%|cm|SE(EH1pFe(FN~Jf^o<6RS{Od6vDHT{)Cjmc1mgeilRhEaBy_ zEX^67Fg?R^d4?5yja#H zLPOKA*YPuKus4!GX?4hQJ_*8q(5mYkbwo95z5Sg29Ce7rGRdOPwx9Mj=S81wZ-$$M z-CNoA7C4(F8uliBO}PR4X*la<_}jwI{JgzQRQY*ek{J!vsavo9di!O!6Nlu9L-ux2 zBO&_*c5%#ty@QN^>tS~%oNzmw>;?GS!B4o$enp&cBFTdP2+3n7tY%dURd-(O{*{|8}@zdtnud~xKi}o8Jv5U#G-vo&jXrBERNH&M6X4|g<&};Db zI{du>e{aIyTO9CxeFOSX50=`1_OkMbH=w=T84CTx2mBcRocm3IvE}r;&1)A|;t^KEg za>e-IE4KeiAy{kwvjUJ(2eBjq`(OJ%q1*=AFY7F$b=GG2cEC{hR929Q3?@)o%Kjz3 zaLTBN2BV^B__FM*ERDfzG)TcriZU_n-)X#NS~={oz*C$$BZ z(&|V%Um*bT@j0tV+*za@YtUK6StX+NRhTYaXa8et8v4rk&59;{N9t-ftGZF``i{~n z*DzDAsZ~DGO!?@nqeQJ$+!kxIjy6+1R(s}S&6I0tm5(!1K2EEAf|>I1TICbXluytq z*ELhFtu5{PX38gO&wR3(avklN8=5KC)t zwVnRuW{u=Lt@4#-%I9m9rgG_RO=)lzVEGXPYTs zs8yb8rhJiB`9?G4URvdw&6F?JD$g@h?yXh6%}lwER(XM$a$jvDxx-BP678AqGE?rS zRldhexxZHVJ~QP3TIC1Klm}{+A2L%Oq*Y#IraV}yyx2^+Osl-qOnHb_`B5|Fp<3l- zX3E2~$}7y2hijErnkkRaDz7qA9;sDcZKga*drPi0Qy#5VE;myiqs3ltraV@wywOZ~ zoL2d1Gv!OQ%3I8o$7_|hnki4vmiBXI$`iF`-e#seNvr&Vnet?<@=i15%e2ZbnJG`v zD(^B=o~l)T)lB(vZB@N)rhJ9=%x{`0U#V4o+f4Z?t@68O%G0#Ud(4!t))v_NX3EpG z${(01&(LCjXr??e{H5b zTdVx7nerU1@*Dc`D9{?|CuCsq0=>x-GcegxZ?bL%CNI?2czt7&sWpC)p7La4lc_b{ zOHbL**kmf@#d^xd#wJrKd+SX$&A?&vo(vB}iCrz|u?jy<>>$*yzMx~xvd zCfSk;b?h0cH`zG@lf(2TyJlc=xZdP>8JHZQH`y%%lOy#edt_j8l-^{|3`~yJo4hCk zlVkLE&&B2@Q=W;&>P_~^z~nf+$xAXYd8yuH{|roy*P9%efyoJalY=ubIZAw<*ktOX!PR<`S7u;xy58ip3{1|@o1C73$(eeSGcz!GjlL(k z*4Sj~BkQ$#%2~!HQ)~P>y~)`bn4G0IIX457*XvE*n1RXJdXqP2U~-P$({bs$%donP2i{9jY8JL`>H~ByYCU4c7 zd?*8xx9LqT%E07&y~)KHm|UPYxikZlx9d$lnt{nX^d^^OVDe7A$rTxxyi0F#WdP>FVz~mx*jX!5>GPMystf$;&Y%;aR7wb*Fkb%i1dXqadFu7E3@}&$+ zKB70dD+7~{>P^0yfyu}8jp%h_lc|kpnV#}ZW0R?kXu00x+ZmW#p*Q(%1|}cZo7|Iu z$(8!*dEeM%YV|y!H~B#ZCRgb%{6k}tsWtwj-sJuaOs>{n_{YX3Q_FIVp7K*;lc^WJ zRzIopxv|OAFDdKvlwTT~OroBTQhlTYbQew%^G^?H*BGcdV9Up+qA=#Mor& zJ^q~Dq(1|b&+AQE8JOIrH)&^Ja=YGSCrED9U~-qNF!`F^WQ`0=zOFZUWCkYR z&^Ps(#wJty%Qy8VkIBH~TY8hVGBEkJ-sJHan0!ZXvUUb0-_@I}lYz z@}vw*zNa_YAOn-{Yu|f9jf_pEzW40aQ#LU+nR@qppufkP8JkQUDE8?oPcb%`O8KGQ zWXlXpexx^fS_UTf>rI}Kfyo1UlV@dM@?*Wp))|=mL~pWf1|~n%n{1zf$H zv+l4u^lN$OkFF4`4*k12JFq%C6e!Q8tFvPT*-pLk?2_xtvuoU3o?WwE-HqAJ30a+e zT6y+a<=O4GW}jW2eNO%I>~ptfpI>qMJlrXqHQut31(6xvN%rvYGO^*_VmFBw>5# z?8^<5apUdmDR2*U(;B(5az?sqFJqd45g_QHRi199e1TSZrkQfj?D%h&Bo^Q-17%Tw z*8+Yot&!Q4Gjg%E7Ur58VO7~%TNXDO7y;!zT7sJmloJ|LUoF8r10z6iiI%|pcV2jN z?x!`fzAR z9LW%^ku*PVqa$I>e5lsQ!{#K+$S|#uCFVw0?F`Resu?REH829oBenO+GBf2-+B2^( zQy#6o_LT<83HQhtE&eJ4BXI3wwFIjTloRfaaoRFiYhVNjF4ZcRn<knesxda>Asr+f3skt#Yy1nIG0FS2I&ytW_>G zQ(mG~KEh0SsaE+YGv!CL%14_iKdMze)=c>^t@3eZ%FDFMCzvTO*D9ZAro2L{T-Qwb zajkNFGv$?9<&({npU^5dG*e!sRc>si{G?X7shRR>t#WfS~L95))OnHY^d4QSnPOb7FGvybx%4KHCFKLyBnkm1m zRUU4pyi2P*(oFdkt@3CyGFgt31a{`2%fL-C(A?PkZK@%#=UWD&JzJ{E=4qRx{=O+H0O~rhGuFe7l+Q$6D+= z&6GdUD&K9U{HYfEUNhy-w95CJDSxh2e$Y($3+**8G*kXkd*+ADl)us{FELa8TC4ns znesPU<;TpFztt))H&gyjtNgf`@=n<@XQ`)HP9{>eIL3sl+fS|jG4thtdtw3lJ{ z$@))ivo-l-o$!SCmzKcr)Aiq4Wy4R`|7h_m`RV#!ErHpm>zvo@1?V?v5fWwY(1DHU zgWZMsVCTGDHw#>_H|4zBD?ev<%vT;g;i;Uxt8+dp&-sc+zbVi8zHUg( zTi+$GnzK&&oQLX0Z8dY_^qId`b2dny6U-)ES&Q_Ulgu_tpYsp^1UF}&-z!izsIr`u zUg`U~Nmtn3C9i6=P5PXv1=l%!=A2n_H-pi_MsTbEPefE?h z>*tbJwc00r&O^y9xGa6%q)Qu^K4)r)jZB|8$?UN7IS+N;n)6F}&L8DDf5XBESoTm@ z(euk?55DriYO(C0Cfwb2U`@_Hu!O+R?(_Jvhrq@VU-lq&`i2NBbRhp}dzJqx&fzl0 z<|8`gu+L1{r&SJ^Df@LjYB*@1T=5*^3uujGnHvFT5TB(rl3h6?L9LN+<&4-`Be|6` zlBFB#!x3{M;Hu*bX-Q({Mp(gSYuiV@fe}#7(LE@J6K);1W*ycl7n_}#YO$-CDd%dH zOU;z?w8}@ADMz%*N0}){wFP#xnQ~04e5{$Wqs2bXOgUexe1d^;w3U4*Tsy@Px;$LR zNST}-uB%b5Z=g(^EPqx&D3`$!H|XO@%{sk0KUZgMmTw1%)Y(KD^{Vq!xWT$CU)|>) zA$Hy8pLr5GdW#g38jv?oS_=Q>lEB}jIE(n(W`!H2bM&Ywh7KnyC+#V-@s#!0DI2fL z%Ko37GM4s~Q9R|z?37K`Wkvrtr;Jt$Hw`xvi;I@SNYl$Q8*NZ#3)=#_izt!E!T=`Y43I|mqo8g3n#9nJltCYd07Hv z`|t$IF83N0f)h zJVLU&)-Ml_e+C|YwuUE0k4k*zCbOfh)1zMo_NJBsx=t_sgJ<}%ikgeT89Jpu!#Qw< zD@xVuqtj;3Nqq1F!|9(V!_&asvwU;H{Y9tKmRSEmFBE`o$Cniz zNZ_CT?Xton{_~9_!FXc)z<(r5P4s7FpAVT!Sto|0_iWzw&VX1kvBR^&bKq97NR9AZ zat54X4he;CfV7}*0y#Q-Bc#E*ukZQrCH4*MJ_3o|KKR2o+5Ye)(fF-%lWVKI#jI7% z@z^ToL`_@eoXWMzIZ@MAImc_OoMYZ9=a{$3Tg_S}oc#ZfRyjv$mAA=OIbXKQ1+rD% zZr&>Ih|l1Vrf`G#paq9vR0`mzqz)Z~+`<`f^+*`nISd`*wNDHWcUH#Qt%tLASNLu* z*d(#tR~}CJhA=PmaMm8KjJ10YXKit1>h%63 zYbh;cNoA}(csOfID|4j_ldPq*kVh)Rwlv9F%9TD^8MeogtfjC$RvEUHN!C)>mQ{vr zRg$$7w&j&!Ta#ohg>6M;tgSnowZ|)CZPVebt*nf-XAWoW37CoTmsW>9_dD3ZguQWX zfG4um;^8du*=Sod{hVsJ9F*28kBZw|hFbMeaa*)Y+GnmDHXR+GyeHeDL(}FAr!1G; z#;?5yFDm|QL^h;*Hri}IEJ~saJfE?Ws0LpT>cIJ}&Dn)89bPe8nLH*Xo~c@Ri+EG0 z7Ji1k&WK%1AUO&N>;-14w#=W?l==35k}_ z^iA&V#KyTJntleKzm2@B8jg``(^^(*3BDGV_(CwB=3+z z;g{Ik11lnaugKSM_8#$)e8b)Cz3%Y5cxP4%?~!%)USi$tfiu0w>+XGdzl?#JV|QlD zij9?^;`YIAN_9#%hQCPMnJE0#hVZvOvNe2gWB51d)xv+VdQO=pO+;xai=btAFDruh zt;;TaFGu-X+l>A@Y%|(v+>CY}rWsM6(u{VRH=~`#%?ONErWx%_(~SN}-;Dl=HzVrz z-i+|Pq8ZUZyctm|p&3DP6cV7@r9rc1M03(KBbt}K86~0|z8TR-WybKAdGV%yJ#a+ zru50XDsCp<@_2{jTTWwmGeMd%H40r591`<8w1)Sa3C|n9nU0V*(~*fc(-Ckp9m#K| zqYQ7RTIp`4+UakmL==OY=|pYwucvSRuN6MZ!)SW9AoT;)htb|?&q7O*U&KGGC_u6( zUVtF!KZnrsWq&b%g!qd^{W^73&h+07=>=|QuTQ&)KBPYFp4f+UgFd7???ZaJ6gYgJ zN_iFiD0*7jq6jA!cyTnEcp)D}yQbGwz6gHg(wb8X{G-Yh_=Sfn@Q++Zx6}epJbQh5 zku30Di3NTU6nHOQ;1|0TID95dDe(Q3Dey=%(RY;WH!kq~l`8Q4l`HVxhb!>?(f(;0 zMrwg4p1nTpBMZE5VuAO80`JQU{1REktB*FMKB^Ns0gAW zf+%7@KtK>wOduG66;@mWs2DM#B4$jOMa7(W)jcykRdc5MoaOi4_r7<(f3HKI?&|8Q z>gww1>grExCE=gej^LxS5&Y9ysc_tTW$X+|@G+R+X8^&+2!fxfA$XVy|Ex9y52*0Z zawhm^wIcXuwIldh*$DnwtyDPfy)t&TB=}fN@Uwy7V+Fy-NrGpn@F&Am_>S5ToT~60 zIn#Sbt?0c2(K`nfeoi)e@2Hgu$Gum^&Xx2&57YZxp!a!#-s3%bTh|&%5!Um2RSZJC zVi&>RWVGU$4KzC+@IZ-1Q}FK3Y$$dhs=F@$f2v)y*BN%Mmx0<6aEN3!sb53<*`@!CZjL)e2l1*?X&d;Db<&zLDmvj1Hoaoox`l z#RB|mtHy_qcr^rjC(H(B+^8SBmdEjc1L1ei(19<-)@hcUCAB>* zH5;M%$YR&IGlIot;~8-s%!t`yM$GYMgt^R!3iQrZ%!uYXwLoSW@ z8EBp>Mde(TsLb7SQ9(T!EOxyVm3dfHt_M+>Cq!kw6qT2Zgb2v2 zx&MT0;SfFB!XBuu$+ZsPr_$!o&E4w8fo?P_hNIjJ z@LI}%SF;6@sl`&J7OP}x!Jf+$>Ir1(1}Reuu}s|nGPO|1)S}ppu6s2O>W2po%&&^w z0#v%yk5Pnw-41TD%D%06uyO3p)-u+(m^_D;j0Ay9}PV=_ZFPsK>=-Gakvi_2g_iv zINT450}l$A?{LQpx`YELI9q){QYqn5X@Ntf)k-Q=0La=Sl~UHCPPBWN zw6(IQDv1*#($-3|BKc&rz+GBl)B|bb0l0mn)D7cd@b#pWdG?7Xg%+1O2#Pnr^l$~! z7tTUbCImG0aF~F$5|nQTmP4GCpV5hH+z~ zFjtnlO3`5 zH^$ztj&09WGp<(uI46#@O&M3_I6>O#qBuh)$YDkwqkpZ{dL>cej`j~x>&^x>sGHgn zj(j3H@~PlRe^Be6362~JYJG>xk@aB{oSL|+kK_ppc%h?2(owDzgt+ebU5u$f0ZG_ z0pmRPi#p~Z2pYdc6ueFlH{+IL^&6MDCs+O4JEqm~JQx6+Ytt*UDYL6)*DvmwwyKU7 z@bmD@tEOgGfsYe?($5(k5UihK_Y*Ia*sXN2n~)8=ZuU^6s_?KYCO(pG_BqNHVV8Q% zZfFn_e6+JbpMR1on5{X*a zrPz3L=@~6|SB#HfIrMYF(X67l)EYw|_ z_`z70K`s^0orml>nH<>O^3ze0=}=6jjzFeEMNfxi8Q2`nX-ww8a0Y-j@lJSPokVw? z_k3UuY;Ry)FtVdWPkrKjUF(%@Oym8XjVVv; zAWhkMb_WC(m=2KreGB^>ZY@6r8(~Mo^DZH5iFXN_m7K}Ww>v87 zD-Lj>!Liutp0BytLXR}(YXb5hazv!wAXJ!dAC!d(IP-;@hlU&w#Ro|$P-jMbu-_ky zsK0QzWDt1)B665BBR)ie4={C@4_-3$hOEQEsW(EII$YJ?2_gM0%qm0+(fC698=>lN zWJrID?1Qtg3nv2!?QfLAdlNs|>5n@_)d}i1;pbtzdtD+8Q^g? zl2GwDT?PJ(T);0u*oT52Be@g6{w$w668j9{#@SCo!Jn-HKQ0&WH)q5C92NNUasiLC zt%PDfUPXKGS$Ro*ByloWyEGg26II|RdYI zyvd$dEHVNz8A9GT%S+U!Oqpgb)7kov#4SDr{=m5w=Cxd=JAv$j*Oz6wU~!(&%}s6> zzf2jM7r#6liRE?^yxeZ3gh{u)%WQS;bg^G%t8;O~5p$HAAg(uC_&_b=9^+x-QOIyN+&s%1C(nRR z=STaG`k{v2**=OrG&&R(=Zo+|9#XQ~xR++R^Ui}vK0NpbX(bL#8iFq;0^xpL;BUFP z+-zkoGY6T=ts--oHPT!z<`B<~_oz`2cXH$1ZPbrn4TmX~InF4G^N7ZLbEwfI&LbKj zH=Z@t+cm!OSZ%j7SsDAFWDOXs-A#X5NaNXWoijXWne}%$w`88Bgk! zInTV6InTTmxzD_L+L@=&7Atj0afr59sSlAxF6))rnJ2VG0fQ?vr>~DMUwjY$u5Y%$2$usyJ^Fh-t3cVnr>rMI@*#tc2CbYAUtHGNa&s zLu+tdQ|LuS^N=DOR+RCBM(3;mowEXT&PvcZD?sP0v>r8AMAGI;p>vJ_wZL`G4WM5l z2G=^z+Ny}{M@eb+h`Y>Tc~8_KA>>|3-{$6 zp(7ZsoMiDkDI%KFP_iUHU{6rO%ye@&N$-UF$n5K}8om^)Ubg2>57ZMlDwj*A$6eUz zu^gNpcL}G*3Qr8I6C5$HxHI1q1NgiflV_F29~Zxm*5n8G;b#UU7mT?|WT$4?2 zuJI;}*~FPJ#=LjI-=2 zpX}Ki=Wbwzn6ikI}!N(mnjQokj3?M1q%@;I!ILiGM zPdL+z_`_e&sCh>vyQ#{c{Zm-bH``@uLFXy%r}+(uwX)gXSKBathue_$rd|-KhTd!+ zq6SZFkj-`@WliqNh(FCYC{E~T{MoS3Q3%JTH@;r9E0iF`>hnAY=_JaBJP1b!CY9C~bXFY?rf>{tnrYE|N%Jg0 z6sL}bnwl^gvXg&FgEP0)^33t(W#$#&y?e%d%X}v~D$*{}Gjb&S_sYnk$P&3P|I#Sh zZC)Y5wN3ELIS*FwcPaS29H%7D_2h{-?cGK{qd@+I8_-hE{l`PRg%i*`%_t)6aNEpZ z0-g3YN-`Q_0O7w9Ai|*tn{l|-{DLtKEBwlE@WM_7;>C^R>`3nDQsaH#m9hpp0jj#i@1SCpXJ%eA}LzPdIrTi}QrK{Qp?| z9c(^9T3smMF(B`HX09{4%Y&Omg&nhub20p+=0JAyF)h~r{5tys5+DEDN5@bbH8VRpzl0gVt#x)X%E%U0a z3AZFV>QznH9Nn_Ms>_~1VWx~3>cM81{;vu$^ZTGcKTnd)=JC%RNrtivN&Z|)@>hE< z$xgpsD9+bXlE1-{{544OH$sxXm6EJY5*`0hCCR^pNwRp2$Hb`QAjQ8*xmykaeVlHi zA>0Ew;6sTETA%pH)BiA7WNk1i%~?UZP7_)hDzJw%Z0JYlM7>0qR5d8C=04I_{m+I z6VW}F3#VUKE)p?ME)sDh7f@_N0W8jmM2>QiR>?($S}rguImks_Pc8};I~)AG?R-&m z-C^*F-*7YEum`F2gldEThHfS0@df@qo%Z&oZZAtW_zB`Ue$(DxgC^c;y{WnQLAMHT z>QVrKZpC}`M1!36>RUBwn=<$6iAK5Y)whbEt#Hph9nx02wVF(P_oLXWZ`DcxChu15 zo(+0X?A5pGE&!0d`qrHH>Thb!j5GJ@i6*)2)!(wyS{nL$^+dDW_UdohEwm6-d-b<; z?rK7_w?s5kxP(X_O~_vTt(^J(mX_~SLe%HyR9fHA?U2cu@s>{Eh`n?tv#&V-_R`mz zcR)VoQP%E=9ZADpdSK+_$Z2#hJpk>Ty+D`$pZC&lz+U=Ivz@us+HAgQ{cOIKcapg^ z?^^SXymjVVVtM6z=>bMjqPf^hA7(U6v=DpgG)c4+d+9Wk?alYn*56RJ zkJw9t;~>!r%1R;wpscmCm(JVnE!TP56U~G}k4f}%q!h|B)K@<#rTzC@N}YZ^^)*0B>Csq9 z2Y{3wEu?f{j#4^UC8fjFQi@T@K}wI8s5}j-%Yw;uy<<76qL@F>r0ArQ^MTI%Azc2z z9#RV?fM8VdP9xbg?-Gs8Qi@QgO zlgM7^L(QYkC6MAWaY_Nsw{Cu@n^)6B4{>p-<-B;FI870nijBPOx|3SI(NKh9Z`Zx- zK}_21S&ZhyXgbR68Us~iWSkPLug>R5Q^jt#OO(=;;r9AaGeA)pQpFnMj48%6X_Oww zQ`Ah;gliX&RIz?Co`hHxJ~U1?w?pK$^TGn8YXevXi8H_)ix^{#`iU`Mj={V%U-v{E zzQTR_37N9ndee!kHW!5n;76J>L?M7@XA0H09E9p4&9ykOi%|&GM>>xZ5vq@LHVb!L z$eRXj%b~Fp2+IvlI!5pUh>#)t^&BMV*Sn$C`kZ3~~5a6Mx7(>85e0aTKH=8f7>+uPV7h z>8Ig+p3eb7_>YD8!&L|zAtx>sgzpH1pX#U(V~s~p_)@5lX?wm}I=y>F&}DM9oQ_w^ z%V4#fE>_FSJ=Ph|xOp<>g|Y4vyN6PH3)anmL|0GZsrre=*ODHlOFaEQtk3esUC0$Y znf~85=$z%xdG>>g(?AfX8-+M^260;Kh?CjfQylQ6(85dhT%4TVJ#o58iqp+loNfYf zx><5A6?DP99q;YA%I|iC0rq!rj8&j^$Am0}~s7CwY$* z4;&WZ)t#*Mr>{>u5xO~cn4Z{l?8cIxt4n^bF8K>{^h@298Yh*Q1vKwx91W}JIAf|Y zU9P6*^9$`q=eC-bye2NRx6a{0dx^gdf>t~ZHoq|O0{jnF>obwY{8ESr-%}n98k47D zj~JamM0hH8%a{&(&u5`5-#8ogp3gxU-$risv?zQj#AdT(rgkcRmCfDv65`}5Do)0} z!Myk?iwy9{fbOQ9@y(lMIP9j<5b+T=Tp(?-J6Q9%c=MVo{F!-RD45B z#W!Q_Th4{&fZ-E^*kO3~E3u8AzKJO*C)YcfEP>k?(FvFzJ4G6x@bmk`yI^4427lzL z%udZk6Jcb?OeZ_>W5$X10Fbz|L+0}bq4QZ>8WHt8XV>;{XIJoY$@iLTp(qSST$ccC z@-ZtyS02Pgh_EXw-)l||c(_OGB23cnHG%r{H0gU?aKWWjiO=_{PJ9hyyPSfbs9c}u zmgIZQ0T?2Nxak4J_?DF*VnkUq{Qk%H+6U_q)-ws#DdVnO(M}n+tE-Z&6yS1&vMN2} z`##%c@uz)yPYq!Hva*i=!BfWb>Z(D^A5vB=*(K-D_rW=o*vTilH-}igg>G@ZT~cwxRV3J@yO2ZN+`Ba1MH`Q z)Qkq>l@zAuEW7N6>YCg@kYwIP$+rg9UK?D{O~GJz(AZ==3;%uB_{P`?Uh)0S?q*Mj z2kLAMu#WKz3bV0MvfF5?F(@L1;FK2c^6fU3=3q*|b2;3JT{}m(=)}2u17=S#zdpln z%-}ySJ}LA^aO1+OJM#KYo)HZLfiK}GE5I8*9w^@(Q3vR1m^&fI#`lpg%^&ho=8t)Y zn7hO(eK9O~O~D%aRjkrUu!epUtMs#A4gD^xp*3I){UNNOoly2Cloc9pL)l+YR$%so zvcI9M*xVP&{(-V03q1ab-B1>_4l|l0;i(1Kp}?~0SyK5ICAJz}rCA(>=d7Rr%;Kc! zt;Ni#Ig+E`{u0z9nQL(}Kex3wS(w{e z{Hx|H32C@rDQ0WH^ioVI_mE)@KVbTQXbK5?-HE{Z1tj)YA*K8b38XYx1X2}&Gxhq( zVvs7!04YtDKv}*4QkslHS)Kt>nv6l2kkVuv%J>;lS5NxPt}5wEc+wZ`05nS&M$xn3 z(r4!&eSc(?K4D!ZOIuYZ%kTpEhsNu~&5UFn_^V4>T%r_Px}yG}+W~MN*gAk+kHYSw zgq`67`y=Q1^9Pku=vgF}f!^!8cXr@E@UMo|$tKmw7S+jpFj9YNc0K$8Sh6+zRptcf z4CUx3<^I&%-=Qnz^XW7_v)}`@8mwptG#^ zm)!usp?aPkbLxX94sS1#2dY&X#`15CgNTm_91!kCB-uVU<@dKH#|Bb#(($N3f1aU zdG_pfD$nj7E!icfJ(^`|&2ShO<`UFaA5;sTVs#I-)sMqQC0(IfV55=b86;ujLI)OO zF`j%N*@MN<<}=RXp_@<3(kW8D){uc&8Xky&^~4;r>|=7!RDc2taLnI9Ib#%aG1-f5 zN34kE&IoKrxGP*fOO%iCie$M%2R(JM8`Bo*H!t{BDFnAml|v_6B^X6-bKc~9j%6CIVmE&t2>Z=$0L))YKh@U+}t zooU2!HV&;_Iqa|SR;hO_VvSHcxZx1Gi_&hfaQg=T#r_JxFsD}Mfu-A z+0jrI&EE=T1EH*>;Atp32Fl_EtDx*yC<8}n(X*aSUG%KVrXJ+k)TyOLDQ}cKG2Ese z9BNYw`-3dQR)I#n!ZIw(#-Uz*|KxBykqfl>jA%{Gyu?-kprgxqLt%DM&UNBk1DNWR zbKIE&A^cpwB~Rc>fTJEybUyLoZWU_u1zFsoCSNwg-PXdepe!iVq){T45CB%^b0U@y z)KtG-E>Pf#c9cI#*JdsGU}MSG)yY%9s|3HFhUs0X@o+_F(3+jJ!w0y6-lGZUi|oCW zt@z z{ME^!%M#7DLih*hM2>1Mvx|w$Juc__sz>fNq8jZ+hZfc73gSMnO0u{Qu%4Lc;Dir( zt(aX;DF-2{Jf^vDOlN;gvsFz_!jc}-B=#2j1b49t;H`dpM>OJ0@H7VPP5pQpWkO85 zg~c$Vnnj&1)g@wns8&u4vsjaW$ai7Dbm8w^B9Dycxp5|*l)ZTEJU18RogqX7lbUE7v=vX%%@v4c=vLhG zF-*`PrH`gz0>+wLVSgj(15_WlA%(sk%sMmKZso!<1@D6DC9PPwCV= zJ|4F0lrcxC6@~RRoNOHMye+jGE1QaeQoOt^0i7ep^=jRM_GmW zldOXLN3G(54pvFQq3}1&iWZhyC54Asv7$v*wCD+|r08p_xVX}a7awOON}5~Al2*gBIb(4QAc$wfZKCfB0>txKlzS#>l=7tUdP*cQ8v=F*X{%<(o7EA2X( z+g!=nw5Bdk2Fzlz->YL^pj-^XH*t0B+z(KJN;w7~K+S-zmS&>ZBmv%GwsM7L#gG4v z$x@+Rg#o;Z@^$TUC54i+p`qAJQT#G}IT)3?8V3bMx~}dy6|&0Kwg02UlCH89nxI0u z%2sGSSaOxEsGZ?HH#ftbf+t~4-$I--w}u68SPgXfAb9Ww@@jiJOn~-ppa6`M)zgo`jo8 zh|c0S0XBOQ&JQ)Difl|N0^DE8JzXGN@NhGJ2G?pL(w87_iL*}$so69GrWYClIeu?O z?@wFJGHR005Q$}TT5k;u$3mKoC{{VX(i%V1E?`t0e}MRmm!f0WeQQn+I6cN^{j&#i~{a z!yH)kV0480{`!5cFkL8wDY=fEXtmaODZslUn8~M#Vj!(GSN+_91Rr9yTBCtnC`o;x ziqzGrfvhJ3X`{*Oox?!dXk2KjfwZaJKps{N!$2xEk7%d{Qdzr!JgOSV z<76Oh|2vMf-2;xat=&L2c#BiPW3V`t8b+ksBgrR*@$*J;%#wVnD)}7jORAGERwuVS zlzfvfS*`Y}Nq%4$)ya>+3VMqFYlru*+xWk}a{raA$N%-6_pj6VzaT5V({3IA7o@@$ z|4Qt||MiFX*N`gP7~&yNW#_}Ed(+b{%(MYx%QwcBLt`5vm#gg*s9&k_TH2+s8sKG8 zyvX2!^lpRN=}@~y!iCh<0l0gM=Wq~QCvCY0*A4QtS?G>K!flWNcc0+0?(AkgxUOH{ zZWQX*mvEb9z-^TQR~VZfT-Rl9w+MCFOSr8v;I;cGKnz&)7R2yTj{ zDBQy`;I^gsu7L&l24k7A0!-L1jX#XP;T}&n^BD6u`0rx#9`k!T)%2D1GoGvUV#zXo5b;{F-5$oF z$@a=?JYN6G3KS1*h?xgVTZzI;>f+jPY+Kff6mBXTTnsy>%07HydLLn=U@P*i(a`)3 zviJUMbTofAjZ20FxrSCzM6uHdYh+2q-JDMnG9FD2rRYpzKH}i&^WStT&V; zty`h&C@4!r;DL0z50s^>U!klol-Ycd@{A_<3csIj_mfNXm9F)7jAQ);c0gddi=9ru zKHXpUc8$u4*dHyvG6Ab`jNLzQ@e2yz*vTFsP3ZSv@#XjKN4m<$$;D<5)U0>MIeLgZ zTVes$*DH5GPU49oqr#}?sTiXr&-7DIqhcV$hU*t0XClFm|@0EHBs5R`%w1d1c16s!T7aw%v>rC_8+3QqB)fMe%$=cIg z*0z(ZZKq=G>2liL?@qgm#I&==5X<;L&8{g26aPS6Vr13qJP`3kUduEtvPTE`GFtFO zrV}+3v-4~XU(WUTf_?gc^^O12v(p)*$HMa@3&-a^JI~+q*@;Qa>@;o$i9aN?6({gk@4tSSE>)Tr4NCLRc=*2+OoEVF9e?{!a^w zGe}QZE|bDCJ$GTbe9wgilbG38NMV_Qh2;t$?+iiWD^8olWhE?1*JKlxkh5jEubCSrEP(aw|7l@y2I&dQ^-@^oxM_y*MZDdQ|L&dUx zvqodw<_W>Svg|vUdz`&pa`ukgm;F2CXnux7`rL?tNNIaH{H+jvBpwId$ixiH5= zBU|L6Wpl87un)%I#mh-^vP*Nlyfz>%l;K7;{k17c~SRsLHgA%w_NZ>;fLxluB zoJnq}h93J@CD6g#lfcKN1a8P(0yoOhY<4$O5Xi|T(23_0!D&u-t++cxU}%l6&^ffQpCij-M@_bQSRT=Fb4N`W62C41m*kxj%0N(LM{VZfJ82i{E29vQ zB9C6AOShxY7U&c!<7lNLngf4JYW{6M6PyFjh&k}Qlp2NIwmDNYEfiC<6Ceq)m#o+@I6m8XJHaETc(<`ajH2ewxNJqJ8w!7p9vF_3+zEK zDMe5w}}D2EeCv~ zdp3Kjcbp-#5P6Hp>ZFNTp%?Z#Y3^t6l(3XMoe+6Wl@sAq6?xu~I3h&!T zlGP`>tZqwL-C4Jr$mBKZ-e_xo?DD#;{R!sv$H3=L1g}5!cy0XZcK?)yd0liV_aJa8 zlqFp>;ioh%zl-jk9*LCdq6x0eoPAwgC&6tQ?eXg4=J+8x-g6m&Ot}7;D*OakD*?lJ4QTH$rzmgM?KWXo! zV}@eehR5uI?caEoB;+f0Vu(6Yw&TaNt!|pdIocc3J-w6NM-z|}?Nc4?52iZo24n(?z{=f6vzMiNjy~Gw3NTOlXd={O zu){uvk||>KK@z#uo14Z~)!h6|lBtbLrr)xXsc$wi!G@IX#` z_SHNUMza?5)x=CjFAX7NUqr~?Bq4uO5%PCQNFLw~@@8J2m5}|k78?jEB}+fe8bQg@ zPxFKeB}+fuV#TlJy8+uI_eeiYP-FZ~Xyo2q4>VFIGNdb9Kl@_kYCvyg^s~=Y*5pj( z6BZ^3k17t~a6g~J=Jg(j;Y+cM{UJI0l*{2cSvlNa6B);o6$CikUt@ex4)@ns94t1FE|Vt#gFEm#t{DYNBo^5`8!9&-#;aP z&vE&CXjc9Xs2zU?(Dl}^ z9N1o<#%|XbWe}-^jM3c&TvF#frA*HlHAlDy2-}H4LK3uEBXmg%_*SBrI6X&bM1iW0 z5!(GAVC06ProwYElQ(<-%Mog3T*^-@78!RLcT3N*2*$Zv<5{-&Sp^Bk86h~xJ%M){ z1*tsYP+n-%PvyG~S6EcpOIlT@qIRPKY-^6xT=VBQ z>gCP-jCSfQAW@P$W~3&|Ds@qf)ZXC)RpzA zai!a*S-NbeTwIY}No1ZkxDS~Gwhps2SsSQMn`P^m0)10!dX_G>DgasQ9&spc8oi9c z#xSYmTJea(VHza|uMTzqC5QD~#F{1b+%V8{NulSC06k|rdd_U%aSpx|oJ&d09psuZ zR#whkr%C?CXH9@7*J3kpJkM(RU*}H-(~=~$R3t5p z-RN2)L%@T?9VeCI7Fv9+b9P5=E#53HYooKfjKIm8MemL|Qt9;Wnj`$R1XwH5ivCjN zA^qKwwZB`k^;aj}*BPJHAB>VhnC#ue_@b44Tl2dDsk*J@Re@q2v+F(l!zXnAN>Qa= zrK}Ql?wq)l$R==U&K~QfSuK=~`7B>_U7zKnzQw(i@Vbppk(+^9AlmI~r(epSAnVZm9U9~hr6{|#@I{0h2Zw^857bF28( zAc`85L74onj>WXwINvBhzu*?Za=#S{dR{6-Q2NBk z9%eA}d&79Wtd)b;L#bA+)~DLS-+}PgzExGxFV#iAuPgcra%84Dw0gv7KdjY5sgBjD z&goQ_hf_Tx#>VK$>x#a0ey&dSTA%8dPW2bRtWO=Yu6U&P%OLT~asDqSiC^p@Q9jr& zKgDY?H1AaL+c5vP)8udYcNisk@be18u1cK<$PKMdjS|&Q@~e+-Rh2s3F04+C>DT6o z)LDy7yUoVbSup!kq_fkMpnb{8E(o{VJB=zw_Hr&hPNP4F4UjF^S(#V=4Z7 zDJyk0ZgYWy@Lb~nNBk|+G51>11u*w*pBl4r#d8(`;^R3hi03;#p0jI*=XInD z!1G-n&$$Rse>VEVmh_yBAI3nFaW+mL$LaZ=kLNsq_&WcqNqqtgO?^?F`m#Fp)yC8} z66_q2b=YIm&QNSZX75~)ncQpUQ*AC$8Gz0AJ~j&=RB9Xlt4aN&z~*|9Fxdl}iOsLo zDfv{0F^|k`ABTBC4f2PN!y@P(;%gE+Yf`%vILx=>NV{dhVFAtsg}AZ+rxpROlo~z` zi=qD?_+L#a?72g^a)X`B9fyTD;Vi;oA>k3=kniJg6L?ql=YKV&#R?o2;VZ_eEOfY$ z^yTy7#(+GOmiRb8E|esw-(-iHQn>IQN{7X@k%uJ;9F_!eNcuQHCXD1E{I8}ot-#@? zEI6oE&zlvZadS{K%6xQg2eE)mMTuQCrF9kP+>%8!I65#OFLe`I&X*F6=JRMNK@{YK z`3UiYzE1qFrW7vuhw|uFd}TbFoZP11(QQEyvp;cO#8qPa!snsD? z*b1Vlfj+H$`mD|v9#Qfu?Usm5{)krE%}_ZAZ5w|?RWO>d{I8~TKgEddCIoSnn_gh< z3G!%vpGRwRVe-8}DLKFgP)z{1bJ%-3FO;VD5dr{B5AqvObZyE=O6%6cq2GutNC9|X%EHl9>h6I zLKH6_V%WBBtwKqxC0qb>4)>}3B%rc_|J9TpsX%Alf2ky@30fe~y?ttL3Yi{=(jhA6 zAwqM2c9ak8nOZ|zFH(qmf(X$1_|TrKHMEC=xb^j+y`Ye*Fl}E$u=3@qhHMppk^LO_ ze1HBTOc|~pt7=LIDj4|)&Nbx8RV}prqrqu&j6b}WYfY@jg2X!3hxTeHvGV0oEob24 zWTyF)dOWaIC>`WeY72-vnCkZ8n$n>PN^J<+D%65f8;R}(v2r|j!iDrktts^cVLLz@ z?o(=Ot)V?hNCwc3_o2Zq4xA?SS$*!zC>_D-BWFef*1&USfKyj`BMPgzE20!~JV;l> z#^{$1N53(_A^KfS>1YGo=6{NugOY2TutMT*xI?E6Swqq37}gMV+K4sMbUIGe>G+UN zpAK}&eMA|%}I3;@7q0)Phw=}lct-)+j#B@x8-Qn384Z12DkXH!+v?inq&VUmyVISv~EXQ;4 zDYW)rB^La`5lr5utf`>I0@f7Kq8V%E&|)CJ?&;s!1Z+>yKunk3;1m3FpWw||bJ58n z)*N-xg0)Z*{Po%r{B_OUDoXIzYen$aYen$aHJ4glg8zs8N6=z1`wyZ;OV%=+;IC&P z_!6JsUy>=pO-LxG5A3~LBC-O=(34WIBD7MCA8 zEHA9dGr=Y=;3lBBGR_-H;Vv=l<#p6#W696eCBIjf{3X}553mQ@UFKUc7%kWqZ?aa1 zHmw>RRtI+jHi+I$jh__^0mNt5DfBrOnU5CcmR5nY8&N zKpS~t)rLWc1`y~^<_B3tJ619X)Ntb>_|5rN)un$sm*=rw{X%;uCtdoFKh1w|CSlP( zRcX%Wg2&SN!y+=&sIp^Q)|R7@E@W*HpY~<@3N&KxZ;ZWPoi1^L^x+!+;Yd%U;i2ne zxX^hKl9e6zWBUnc3AP`Cwm;h+K}&3MpcRBd%SX@-U1%W*^qHF z6FHY3>Ga0pBdd%1q|>gemLKu%qLe8NQl=eiCwi~L+M(Xtv-S>y_)%XTIqLgX6PXDS zmNF4H=H$1w`bAprG8509ttb0I>>z<^U3L&cwFB#*LiM|BsB%`*z)R!1tf+#na|Q|j z@s6C*F&M5BpWUiDT@Qz{0>tB$=0V9$Kbl*J85WF#*}-DG_1VE_yoazuRO8*5ZM+bp z6ddo)T8-D+vj)dY48xt80mr5V23&wU}xU?nkyio zcuICr(Fn47eX2_J>mE$m}Ufxx!VZvzrxf~cUo|4^JcQN3WtUDTT z57t99;GeP$IAluxl;eO2TYu7wSH$NMwh~=U$D1)D_x5MxuUTf~;SAIZ*cGkV;fOpv zSx*&te$hRjq*y(F(L9|SSUsh!A7Zr}!Hy8PwqZvgTzfINj_3vTP>K3A8?GT!^w+Go z;^otZB==3KlP#)=dWAj5k?cr;Yg=|C!nHT+?c-`UvWu|Z`c-%HXA)&O*u1_qcN9BH z^tB&53iZ{8!7YJsy8M=nE+KRJx7yLgR?wv{>nm_Qfb~VV_GA5gT!Ah&rps@&p$ne+ z{aJs}S3A}p^)-ME@cW|j@_RPAgiQV4Ye$#53c4K4juyBc#EwR|4rBv;T!AiiF!LA_Aw*op^0BcC30eNBHCcRhM9Gq&FOKx}#h+p-p3F`b*d4`AM%bOgPVumd zJdQ_=fKFqld4M2P7pXnd67fU|uRU1LFwahBrwfq% z+35)6Xf|32@(|?)HwunHxlw}7_g2E)Z2uWf!2Tlh`C5B$m+v&1Qt$k~IrNKx;VRMCv+x?gX{fDe=gi zTBzAiEk~E8)2GQ`JG{`2#5Q*?cL38>L_boy#soolIp@ z{Z0g%r?F|G-gq_*)w_&cChOtLkYND`vP_af<1%HaHt?I%#c%UvqblD0m&c$ z{hzPwpQI6mnj@7psZJ@;tsyWiCiy~9oXfO`l3)y&7H$v-gb$ZQ2>>V3FnzJR!wL)L zG-H8Sg7jn;o$_@haRMzon!$_*qNLK7_~S|D0w56tFvSOu0&N5{JU)fbace8qPsS7s20T+CuYWFwThxSRA8-O_fhllaE2nIiiH^A|+V< zbbR7Ej4oXA*4Ms^*5={N;Y*%?jRP}GTeFnX0orzZE!_0w6brR+MyFF!tP0`yMlY4t zbrOdXrmrA^=*$Zg*XTQnW&2d%5IsG^pBL#`Ln{qHi?~;%;5e_Oyw=dt0chzfecUR< zQgJ1_QYgu**p*00&SWz^CCT?9@tJb8WjKP?-HV81=$VtDT!h7|=nqh*iiWUwUd65w zNL<6NLP%WAu9oW+7=H1Y@JB?U-0rCq9G)?qEOO=IlsX(6Q8}IVFUOWJL2BM%Iz7v$ z*FfRO8#vv^AixT`pUq|qQ1jVr1ZobOgQ*7f=CZk>-VJOns&_rR-ldj~K{d#yJ))hgBT7ur_qu$6zJyTLx7PBJOh1@tbnXOLr!x#V9lwf`J z5=>&D0J7TrjveR8>jJhwP-+QVfGBkXy8%-^F`6P#&)!EZtszL)(?mc}r0Z#ve#Sgt zA}CSIHb3sEq>00}Ttgnsy#gVR>6?8KXy(9@!8lI1ZTfZ+ZQ_U9rkA@>C+YNEK9Ckj zj&Aq0vEYnm(Qj-Ysw95;ZY0HmH(|+Jq3FMOIGYlsFLbgzd=_aAfnqI!$jV!s}yP*csm z$&Kk-!j=e#53(f);!W%(0nst*W8YAq2Pp}XxwB}hN#DY2lNeF6sXbH+8%S_7yICN- zj@^t9zJ=Z5kRW8Q&{UT)J|qIxY??BzR-!>CbW=_GA(8+LhIX0~rpt?^Y^fO9Lu@G; z+O6zX$z8He0-E!cAn9%ps;XbVF71?f0@%19G-M}0dl7Ab! zO^o?rb{iV=?d{8u z*I;p6052du30D|lA6)a0J09BZlF>pO}Xrr52L zm@RSCU~D%kPXOoLh*r*0FcaOEsPABR2(G@y?m%3)Cn%e=oKk!GD-N9FFOMK}^B& za#>TuY6PSPtOh}PggrtqZIXd$JIoqyjv}*D7rAe?7fCw3DEJNOvZg+J4sm8iuAmMQ z#tj1HUAYGtJTwCo=u557tV6(KRpwv1FX`k!Lw5)8ePi=ravMQj7=Uu->qp4iQRZI5 zhYJHGT|iqF@V}a}eP9I%xk+Unf+ZsK;$&)&&I{O)biW~;*VZRBINZRLdXzmXB%n2W z6iL8i>@i1{ob6^jPm2AanDd@T0kI2K*|FN0Dx-P&smm(-pDSxdp&U5sq6q%yCc;GQXjmAchOz% z0VA!f9rOT#9O5eNqIuhuI=Q=KaZ*xCu&dfhNv(=5+El7l5b`f~lU2p3vf@$@mO(SC zi|fx8mr%+&VmnI2#|OMZUBv_qw}_~*+f~fR@S2cnH!CV#vZ2yVO_Ts8x`eUZ>@Mqu z`QJ_RwkoA|H{F)z(sWsOe_r%<<^}ge#rr$f9S`6~A@Tet5MH$B?XKIjK^_4R#Kh-9 z5EF3S$`2gqt~o%Vd-v{|y}KX`7xj?6dw0z<0DSKbm=S!`7aB75?%g$FBPyrMdiWZY zPk2X*KF%H&TC^v79BI)F45AvmyE8)DZe$xpyDtO`5 z?4g?*ld@0${@JE~56z<$RM+;^9Y?I*Dv1}9K*3;~1!L2ZFQcvCG*S&-YJj0$5K#yb3 zAfV5(XXV7f_k7Ks_8_I$(qpHd+MRH^%*l!ho9%Ta19Gu0jtH`1h_8kR<^rHs&FeR3H&*)xnR$lK%;R&Bh5I z1^}RF9VdTq768YPd|LqEY<~!+TpTX?r&Y>v~p|G5Ek5v{F0=m7QZ=!r}5{6HC3%BK4lj|Dc#voP$zB0ER8 zNlr&QSS0BRujyb>?7|Pyez5K_7V^Y~Iau>7Q4pQc%Ed&W!xh(+DxzGIK@=b(S2MF! zE#_skz+@bvIr9|QM8T;JqCkm1BxNjA4uTFBsxDAgr8FF(JIaKGyKDi$JY}p?0)~(j zKpR{kH>${Tvz{y|<7pl6z&2}0%H%m&!)1(G2q06-lvOD`25H`{;4wF4OJR(}P#LNT zTB2+js>$2TVJAy5t%qtXd^)_Lnk^5=Q*{|pCqp&T7sR)ZhH3!!4vMe(F{mJ1^&M@2 z?=lMa0woqfxD%8$Ib7I>yvSY@i@{y&MYI^a#9s0j1Jbwdp=fk0HVE4l0n=ZxC=Uyy z`6^rCFNvdJBJm{TWp{I73kt??%~3WL=HVh9Q8hyVc7HtB;kx(-{M?v1Tysk068dHK zvLN)m>}5pgSJ*4zgdVODN*Cg*>{S8re)cMY_!@gn1yLOt2m!xk5BTIBBgicx8eA)6 z3)>?4TFthgzFudqi@v-K5Ht(b)W>HD9~hV}TjTeBRz~k{us1~S53)B5+7jIOt`t)lB{wiR{#7JJL-TKJM-)DZ3SsgQNHyr)#ta;h}%tEonbNv3Vk~`2C&0iFmio-SX=l;Cwf79!wobM_N`>Q^rq+ z!`$*C?Gn%xo<+J76T-Uzw~cKRwAjeDAzHl6-bO+Yj5d3Ry(8K@$=*S2-evFNHYnN* z`sJ8r5Ob8y(h?CUW#~}*d-p+0%aUBup0g^>U6W=J_ev zdG0?(P;wDLGnY`$Q-g;eBYg>S0uSC}?+JoG$KFE(f1kaN1h%^D1?i+Y4Qp!e@I$yx zVgJD+9?M?913W$Z05|(6O(6qRDE`qwKD_7?d}=NL&IkhVA``&DahU)BBY_=N{8&7a zF&g(GH7CaC)E74iUxB~ZDD1!SZSF}K3sHcZU3HL;dK*zJ^Lj{-5@_J?w{LkmyP%iaa?ps9w; zv6@SG5G@tzbsVen{KD2WK7m}58>@Mpn!g5K_I{xHIm+>oN8tc>^Z8n4W8MnWE};*0OsTZ;Jl!%{*e#hdIG>*)O1PO z&GE#S3*NMkU1(qy&961I^Mlm+#D{hRfu>lGCIsD!pZbGaBmjV{^W|hPma~bPD{NHE zCTe5NDa-~M(FOIegVye(tj)I!$ujt>1AjOXg*j0p$@H9LV$Pakq9!>`kQVA|sT^m% z;Vb(BkMaWHbPwOcQP>w~Vk+G&)CcSXVJv;cK0wCOhwMYgSc3b$#NJH~G_eF`koiK5Ylf2fLXF@H zCruiZ6X|dLIeSaSpk~gb@?_0R z%dxt_Njh1RA~y*4BsB&AH$INytA6V?2v=BG82qpax2E)ll{!)K3BnLAXf{t|n*5-Y0eFVUFXRDE5N^Cinm zbO-XpxVl6$nW%9EgkG*3Qmn4rcZ-i@k{*~dZ}eq$daY50VF;_aKG6L6YI zbBbo7L52%{K`+JwRDwBvyr744%)ep>wcYIm{Ku~#TXRsTHi`tAqEpl20wRnO`KQPb zF4df)(e1>gnr;$5@xekLuY+9k<2s3U*qG2TvJD!6M6J-^FV!8@h{2=EQ?lFPzxxZt zoz6l*MdVZVsSuGr*{4WEK4YILL}Y4q5lM{xx2RQ}7#&WfsT$rn!D3QG%3c8W5fPb| zvxrR7bc01i^zm;E+7S@}GJNpUY9k_3vx~@IzKARj6OkQkhY*o}*bXEjpR>;uA~IbU zi{@&PsUg&oCXiB%pc=A0=#i=6begU);sQb<<~R|O%X1cz%X1JCo^W2JN6?_qIIto? zHT+@2xILh#8Bz|H*G5dH>)e5kD|)vtCM&|kd5Os1p<%&3i+T#;2w z$}L|^?smjP29rZ7K>id^c_AFvc&`%Ur+~_%ZsJJ_)bXL*4{Eit z<5%n}A)|HKS4c*`W?y?U$}jDg`#IvS!uLA7g9LP(#dllMAiJ9QSo}k*tI6S3b-H{n z2Q7YxwF>OoiTtmoypiG%>l%ER0pV8_?(ss7(ThuGL+T;64fx z5pu2Oo;R51Kw4E;)3us}LexCJRu{+a^&#XKMo(fLuGPiN1UpfO8Hj;Ed}Vnv%m@@W zRo+q?*)pp@ZMBnwb-?#_WmN}@uv6bz_HoKqbT>82K2}-NVHX^$!E%)3r_%gz8^}t- zkgn6HH3x!sI2`a9%+>@WfX@KBgNA~O84WdAN2XyxpRGCLqTIetbJzi+2wbF|tvL#y z^qsAZV}O+kCiKvZ$2ZK`IY+Y1wzEXCg+?UIv2_v%w1@b&^42Qh-d8K!bFv8p*I);# zV0Xv@Ta$E*%$zwI3JS4=c)jvNRan4XA@7M6SNyS9%}E(+G_wX*oo!#LtV#Fsv+N6% zHMs=xNR${noaU?Xx#F6y+7kkc*f;DOv50kJ-=Ib8TlTH9hy~u#GUw*(wgnRWsYJJA z7g}mkP|Xdi@B*RSo2uWj?*wu^*mnrIoouH-F6P`OFYo1C_$~L6xWb|K8sN!5@4oBz z?0W&_DE2*q@&o&UK!MvIflJc!@mdL(@n}02u^-uw0_4%`M+9;g+eJVQ$^f|ln*bbS z*()B%pV&_Vf7 z$uCYP>w6&oVt)ycS!99m}v-5llhkfmW1C-C@~4ZsV=~2E# zfbWzP1puKyK%dRO2=qcIDJ0M#M4G1@D4*}s_91?7;9i%1ALhKsCJbm7LE|C;_y+z( z02f0^F#-&xJhUi*(h|{P5&t4BqEHeQEiw`U+^Uus02+gaF#&Wj{~|!+P!dOI)Rf=i zj&G?yJ|4Bl!#a89g7SK3fC*@w5Rh-{Gm@dE5 z$KnwXxOTowltS%N0ckn^B9PKhl16>kl;52p6L(;Z6_Q5)X0rb#y}MP11d(#ZxKr#K zy+FJT>GFGgR3CFDo7^RWjp#gfSH22Xa&MoQE?@05iN3t9WFwGuZdLg@zbByeL)GOq zvctRZJtPm|kS;cmE;jmIJn3K+=;KM~Bc1oCkNhUUmdj!p5V1@UcoY931TKe?a)(vU zHT_h%#Pc4!x`b>no%2xi;I(XdFNvD-cboSHUQRD}L;d(}^BJJqQvO#{{yeslQr}3h z_u;JQ-sPzf!o7?LNA~brTq`SG?nP-q#Dn`%b_kqpDmd>+IPd%9R=trOMxfQ?$kQj# zYI0Euw&QN73MUY}ksW`K|J9U#tRT=DtR6K4`dl?I$TK6%&~!OEw|*JsE8kL)q-hUo z;(Vjkl4ayIcwwO|fa&lZwkp@=25Bvx=AL1PF1Kcz=Ie<}!Zi0znLz}0I}@rF&>jv# z`(6q{)XCBf^Iu$vbE5{dDyW8dVF0%ud}xqFvV2!7eqt5tYZq|^bgHjfs$)EW-Z}E( z9P+)0)#X1+COm@Y4L5Ddf6WbG`FG&}9}5CZ-jXB0-@^euj)S2+m8kM%Z6Lu={^Z#} z6gfA0aTrlH3Kks-{j{fzUHpC)5g|X9*>Igq|fc5fDoF2*rUqo%vr) zol*rt&tc=&Lnw1)sRMbNeCwf3UAK>y*F}?#Cd!G+FB5t9$MACCnsgoJk0Awf;7k5j zQ>TGq46k7G1jYbMvY&HB8bEcLq9LHi*UeY43Co+uAf$^&)kdm|V}5h+S4s-t=C2VG zf%pDkSo1cD=39cz6NiO0-(S)E4RWUgdQYwjYkrWT`L@9N3$hZ{oO^*h+Yyib?SKZV zPMj3hyen>we86=M_nb&56W#~-J$tVEZHU&*WJhld6Pa8e21jJC2y0GqU7+S41tw^9 za$Q*SV{z|zL_{*OqAy1=6INkLQwh&uYIu|VeoE(ttq?@U!8;) zlg!?3Q}wnivo~vhJOUv*=ZC?(8^iPoU>$&w6)LbFuMk|bTUlySJYL(1Y{UaXmOF8}4 z1K9OMzfJfT>31(E*~{k0_ky(P=dm-2RrAqNCr{RJ8Zxi(CM)4%b8`$M1yA z0ZvH=wbg0h$SFD0R6i%d*+CL)K<&Y5^58>Ys5wYk)6dob)fz!H*VP~;xG7Mosi0y9 z{za(B#j_cqBKPbzhtlSv#UcEQwBX{|!fPSL_dihjAJO7a{zY1}gp!t`g_B>P0yZ!5 z@YgybOHxrW`S%78dkgSg`4<7d50vbKz^6~9;MKh291-AK0SNd6-O`5R0)IEaY4~0(*I+6tx8m z+6wHB;9ms0eW7Gu2Rk<@?_3G3S72s~qc!b?e(OjPsO5RgHl!*Z`2+xXK$wun zjxex}V$kRDFEVJZNDuV}U0e={Q|6(FfMZ16F6P3#>CV=q!Lu;$H+<7bxk1fF;jLS6t`|obxIWK!iB0 z3hp29S8xCQo(kz7DE6j5Uk190E_pOTSJGuSDCrjH@^Zh+W&(e4v8Q4NEEV1aD!Mnjn|Exip48Ik#@X|9#qx380q$}q7G;QHhk}p5q#jxh_FEXqiP}0L2 zme6W5qQBG7t5NlyYzzRYF~4u!_m{t*J&LjFad^@5UK4zz*d z5wMCI-4PuLzZ@xAE#Y6J71!Loy;fA?4$(ZwMm6pbZNQ_X(2!82a};23l)&z0{zb6s z10{WY3UQ^A=DVe$$I|)3BHmNR6-z-@Zu3vhumB9zT%V?f@=$HwDR{h@+$^*G2g9-a z-a#KCw}z&S%a!YWY??3a^i63wA_%Af>FI?>G|aA4LZl-ariq%5UYao?|7CbOBJ$$A zHB6JgJ7Yldwdo2tXYlRK;rfVCB{PTTJoMq3%qVi`aOev6M=OA56ghROxssjqRF=2p z@+d`oxF+v&26YO20md+n&xJZ6f^mG#)Hyy!>ezxhNs3&ps6%Dvc+E>#8PqA1)ZtSb zqQ`<0;RIb8P|z9>;Z<=*$b;pQSx#z0WCZaff$nz~|e<0QtHf(!sFl~bToiCT7a+SUj+O>C>iL1 zmpj~Jp!68gVm<#NEslkfWBnEcA?-ztOG#BtkUz$K5cD=kU{b@s2qwot$#EVgV4NfM zaFURc@F;8Qj5!IPgb7i2%_ZAl06$oC{V4w;UGts75U*=VwxLi8_X1#R{W$+3ErvnK zFuw&x_GAq$ki+BT3{1DVm<|Wn!$rRv`4{Q;cqlpE@7HZ{0+gO0T0F_WNQ)6rGQw|x zF+C+WOi#f_CxWItRR^C`IN_LeswQxqC*jD*ss%07E+-P04>i@PDx?#FZ@x|xRNz5< zClV@*gp!dy6|Az`cREOLG5Z( zPGO!WM`)ju0gICbhR^XYg5fDpa*B_k+u~FxJyo=Lfq#(}r$NbSehWON(=>Tykkp=* zf$2GJzo!H2(?!28@-NcwXeb%&_v^Me14_>jEnendq{SF08RNIWn4T`u@rNq|gz4$Q z`Ni`N37Vb>u+J3zzRJHyzh^B;>Oc(9VVyXA5Xs_!ogT7D~p3 zKsy76WbosRx;9msdIZ$$r2NCuiVHx18F}h3teBUUCHAa`%MZOLW z04oCW*%Qg=xq#ET0^hCti{Q(B1LtMK_e|Z@VuJ6Px$*u?d|V{vQ!kfW;{l)X0@rQ) zi{N@bl$`J3igx3Tq#iFFy-ZL{sN%K1%g)Z@h?Iv?su8w zQyt{0zJzuT4tkK#E`$~r3TPkjF9Pi%D7nao=9qFn@jDE{YZAqN{~|D_ zLdjGFQ&{XjA#Df_Fu0%*-1?lKExU$9>HJVRo(A1c6DWPbzX(c~LCIx4N?hdZMs|HH z8xu4ZQf!*+dji(BQZTr)aJuOGEB;0Lz8p#}_xtwgAYo3#>z9Oi1+=?D!2E`P5tuWe zWCnqm=SYy34>}!_(4B;MXh$ek#w!8Bl>+*A{EI-J2_-WL^oYYQ33E~?##ceRs|3vN z`4@qCHI!WKz~ovtgbEji3feW$?ivB}NB%`%UJE7H`Y`!1Xi$@1tl_HAxMb^jvF6DU zs&OyYykU^3$uG`XlV5D-q{%N1rPVB;$1FjspZFJ{)pbyEozGKnBmCk9=$C!r_P3oYgfXn*l90_}Pzx!&hK zNEDv~=%$o4B^1MX&~Bc9`49ghFy}+bd>>|*L`~ILIaEfbX0am3>lM~i%`Pek_W;dm z4>N#R5mPmO>>%838n}{EQ$w}y0-)RiPSv^w{~}bq0ZMMbd`DKqv`{NzA+%U1pjrHj zKwAVQi+pHVt%%G1Z7brkoY&*aLZ|7CFn}Ay;PUtv8QfwhS)4Jrf5VEHo-@|dL$O{0 zm@N@l7w|8F^-WN66T&*vinu%!=FQOVW&yK^e-W6sK*=o#Cb1%5vZFM3;r7H8IolIg zgi7>MKwzmru7rOPpVE$Z77GeYf&+W`J;qVE|0B7NTuCAa&1hpePm zhU&dLpdGl5VTLC77lC;vl-x;Rs_luHq10Li5S9t(Hvb~fmqW>N0zGI?TosD(UC{0> z0kf2U5tu8WWQ7A$VNYBgDsn5K-AVzojDHcBcSFhD1ZHqWxh53mJ<#qR0kaPOA~5fT zl6$o5EU_~Ai>-*Ap-|usGS4qn zmt321LJ#UT^ADI?x?A@L0Hp^6x-Iw@L3b6Dtn$%yTdaoC)uKg9{zY1>fs!>|3+eK$ zg3>C{VjuoRT096P56TuKnre-&G}{WLTSbcj{EM`B3rgPd zTVR^qsELw9vT!551R=+@4d8AQeGcSbq|djZfMkp;ShEy+dwd;mRtAg~z1zX%o|Ldk~`3-G51Iol4U z+eM3E{EM{s2uePZEdqF}!;^z}Yf_Js)9fXh?VMCp9|KMw3w)2~Uj*MzpyU&YuQ$R^ zq4ZPHVg&ypEk1*i&twZa!jy59QvC#mm@=+ZR;9{#iG8lJCX(YNL7f)A+)q=p1CZV! z1~ig?kpX=UC7+7{xp|T5LX0cTi)7u5FP8CxmH12__!j`+3jzEz{zZU)2_;_=;BebC z0Q{C9a3N)1LCdcM)U)^(f%-L+eC_c7Oa+;u=^Oar8_{Si|00dPg_3UxD(7XOvNY&` zsOaMZ{|*3rCxD;NzX# zRu~cZK}3&M7Y`V+#`q@ka^%Cv$I(%F2j%t3J1RP=JW^g(UIG8Tu>9)sS^l zZl~xd)}9@~dc%L0uzT49(NXp8s`pU6n&_zdJ?amtKO{P;!N>;ZHaI^zs^PST*Ed`c z9o49?QQby+!GDiybb6yP@ZUQdt!?yBbX4OejSpzt9{&4c>Hs@Y4;K4|t)bX4;*nqSoXlIW-w16qt~aY}U5|7q_$0HQd$I6kv9&jmaV zIGVk8>>axTme^ZtC)U_|?}`Za-o=6i?AR6Tih^QEG%>~=Yhu(G6a9?({U3OGE_+cD zl{ViO|15_$%2^LRTV3iQKZGWcQLIN}}JAXG`8Fc?;$9Qt3m$-RrIV_xnechP^m?wu9bSohN`TpLsch~d8?MKS^?$Is*|ctl?~MjRI5;}l5D7M zUEQU+JIepnkZa)lYo4ijz2?ud!8@mSNpJM)-OYQr_b8O%-iN)9p?vO>-Ur9&Q^}{k zPa~AGd_sKIpuFgF-v{I4TgbPfZxxiIeP{T>KYXKoFZo`P4Yl&uDqpK2%Ko+dYfY35 zbq3Z6s1qa`8bmZW)c}6c;O7QU8$6Q@jocd*XjE7>G(O(=V&k7=Lz7HR3O6Y#8(LIr z(YQr3lyh3FZV@UQ+OBB3we1es&`xfbu^s%QUF&u|+x0DD^ z@atf|;6cGdWy7*T%O)?ICL5MVE(c2R?J6vb;Tbm z{*nzVJFXnMa)fLMu?TSuf!~G93|SKrhVppG14y|_Nxc4 z9wr;sG+)zWO>dNE*W6xnPd2R0yteq-QYdGvUA-3b8rmgvL@44SbVq1RXsm1qvkY?! z%ZRdESiLaBao7)G55gYFhRu~XH`&}AW#7#Ko8d>B&u+fG8TPhVY;oO!@fs*&j$b>D{Ct9)a6aLRvf7E}Ct9Ms zdE(iL7qTI`bab6)j>cy#7vLV(r zwook2D|T}1^4JiR`(nS1#r(!TI&F0t^Lu*W=}D)N4^OW>9eMhIY&g^WOph~|w=+R! zg3qjw4QE@P?R~Z%%3WtqojoHP&e@;KaSro)uG+cg=WssfmYv&vZkKFe79JkVqU}(A z>owcUxDAt-1hH|b@|xMkZ(GD~+qi%In(LV>HL-DTW#iDzM&taiD{-b@!xVnD{Xf`y zYex5P%)EVdhD5yj?Tc(b{GzvQHD=qsZDOr#@^%kR01bH@sEUo(EM43@*f@;%*Awt| z{^Gmg*O?nEe-eu}vLB4fhS$vI(;78;qTKa0Ys)-7%aj#QE#$cIO304tf)qh&f`$>Lx>JZdWtl%Mbpf=1xq{xjZvB%1^J z@aWxPWs}S3nfhL`I;ani;$2ogIgO&}NG7`j``~DD7v`1RM$>deljXsEa8%h$Rw+4- zs_Dok+XMXY=w4w}lI!T2j&QO*$PbV5T~;kQkFx1VC;J2a;ArDr_ZrE4v`t4mMI7u0 zN1d%?-YH?!O-DY(9Pr1CzHdqyebX68Q3vf1H^Qp#uEj&2;8c%?bU(?7jJ1 z)StCTEwg7jgQ@DI=m|nRX{q+13w56Z@F3Y@OO>({x5t<;i_y zn6^!g6KguTsrCdvCT!cK*4Z_k;Z%K+9~H)PSo_pG(ZzLY4)_B77Bxjm9 zS<@#+Yq8F0VSY{LIL(~!`;UgR#q683GS#Njou*Fu!m=1%+{yy49o zc#~Ltc^K4Neb?vv1kSSvP4xKKhDGIb*{Kq_uT1N8nXHb(f7x*wVPk{Bd2zNC_xma}of zrOdmOsauJG`UkgCajizoU%1vkyOybYiNX4Sd(mLgkD=0&(Rdqx{&S`;Bv@r+0m9Kf z>}W=3i+{#zI13bm_Hlz|95{MbObpsbL@5ne+`l)`YTN%!w4y>^UD(Jb3E%s8-!t_; zF>prT`pDAwZVrC6fLyNtn<88@y)87h0PGI`0rfN)E&hj{!4eHK{S5S8-K+}3w9PZ zOL*nK_exXG6a)DmJd*~}`0hizciQfzD@vY?%@Gdz-yGD`NyT9PM<=Dhw2AY`DmL+s zinqz)mw0I@n8(wnX^tr}bM?-xY)ViG5e;zvo!6@ZV4GzotGc2KY1h zu+oozVatUde;z+J^<^>0pUIbLkc~HQ{1jU$ocZ%Pv#CRif&PpR{U%8}+i0b@HzjJb zRl=`7uV0(`wixWs?AtWh#?OD>xOhdjM!5LrcX3lU7X$tU++5YwLxrn<8CN%TcQNQ+ z$lX<4ew}dnFX!^6ZZ8J@3%b3E>wB>c!u7wb>zlg282m5n{xtY;A5gx%ciklX|I7Qo zX$*)7NP-wpT?)2{P)M3kFbxMW2}u+V373YgA|8@19!z6GOhgjKgvwG;i)|O7k+h*< z8XjUYk~lnQGOXz*7no<<-~Vo4W4kKuqp>P%rwEj!4;0g25fhROf`ujox8ZL;LT<)( zi#SOhabg-PVp5Vxto*|QvsVO8@(CQ%;1Ls(jDkn8;PB@xQp8R2iW_6>#Qg@Bl^5GD zCMfw$ka3de_rAmgC9?!alSBWEPaNY1A`XfmN}fSv8c1T2l4&5(B$<4jl^0@%MLZ?n zcruMCF;U4lrj&8DiX9bkmAvE1G`7TKCG*&#$ufR};mt3H)L~H~#FBrAnTDB|uoMtx zG+|b8k!Aelb^K0`WhQn)L|e*;Hq(d`la@jvjwa3I#*F#07!hwNC*DkBPE1@1iaABx zSzEGGBJNUF+?mFnn7kAgdy4qu26kG+U&@O=(-;&Jm;z&vCQ$JPi!VDXLNR5AqG>pa zNlc;Ps1lOx*|#DjQ*KC_hNYOu6daZ`k;eB(-~IKH0qnep&XgUUrV%P8GlfT}dX)BM z--{?s`B7>bsbWG?L8PiiE4N@5MYN`lXf=&kF{!B}Vrf#}|4V6a|Mu=B5xA)*a7}|( zOl&F&UYb}rE>ewuK~Ci_7xOOcvWVu?70t$oj{A0+CMUZpCOGv?uyK;<+ihZkQ(4-k z$)$hBcOvQU&By(fI*UKpbrH>}Gn!2!T1;{(jcA(W_@_ag=~tH|D|S=FbLx#})0h?$ zor+_cCi?w%OWypgZg+M|1bFHWaMK_clby=zOEX(#ZF!5{Z=igg5gASOR;O}^0q=%W@f`DrNo)5Oz1 zOZxQX?PoA-HhU;iK-xhmS?W;5`1jg)# zF~VU)j`?7YA%{}D>r#hnM5+;~=3`O~wK1j};WQ%Md{nw2r?H|NB<4vP|LdkK7mxqJ zYH@t88eS?9Fchv6*SiGWgpvB^mDAthuewE9&y=|*kox;Lc)1O5=vAO zB1co)dDZ0^L<$lqD6uJs+8fi5a3GO}5}k&~fsDUkt+*+35D7^nq<@o;Op}suB9WB- zRZ@y`ytoWh<=wkQZ|>K;xTDBTA~*fp++>=cgd>Uk^zZW%#txs<8^1rr8*{v~jUS2= zZ)E?cA^k6Lof=+CH*~e>jY+LHcM%RH7P?R2)Nh?ivg2;Tsf1H~3a26)Zyk$u;~v7X zgkyaw$9mtn^72f=xrB3lO6M{<7=7bIIG4B&{Ny(+7B;rKZQ}nTBp!0gBJ!8WU!OXE zndUIzU?PWo@*GAEX89(M#Xqmf)A4K~m5EgLIZ~N1oyEQV#mn*>!qJ4IeJ)3P&)IN+ zmPxT&J&I& za^4rqdCI&ugclNdPvpJNndj7O8*`s!t!eNEOCI_ed&@sGo z+I-wsIIVEn4>?S7*>Ak@5>6{_yprvWmoix1f6}zJ$hRWjDqSQwZ@;}*uxiQc3g;Eh zo1C3h?bwVL4Z?ZFMMH|YXfQf*Ivf0A7k(OFq4B>}SWl#5k&ca?l9EbeN)`?*QgVt* z$rNZBWkPwjpmIGPB6cDJdhH9;-1s3nv!YIYnmYIA>O7=r_N7n~OIRxmo09 z(|niG>c;#m99iV&l$)P1cM0CyK#qQsrbqB5B29}lozhaX@_3D@S~#;v)hRkvlVe-G zyQF@TrF-&bB1?-bt;~8Uaod=wg+q%>o#Hb!1)yZSl+MLlh$JnNbV^LhYR7C$)WWGn zqD~cwnw;E%lJ%R5>TbNH$k!rYtL46w%8WT%IJU^ysU~MrFq&Lsw-!lTB<+-%pv@h( zF>wp$7KuAmC2n$f(~IusysgOJB7d99gDIICbGUGDk;7A64p-*!T)dsg<06lz`ah+`l)lfH)`g>sw4Q3yIt8i8`{f-) zQWr@*r6=wW9ltTL3uhOJJyj=m3Ix;l)4TD`BEO6L{-OMs8ulA=yl{At<5PW(r+_uN zi2p_;d6DE(LlXa(b1)`);q)TWr-?*QLGk`YeK(QsMZW)-T$x(h7<0aGe3A3hOwOm^ z#YKMn-(sx7dx)ejl74DQ=pTC?#>6k2UnKrCmH6LF{xx_nk^DvS|JbCNn%Wr`01*IU z0Z4NT00pw?J3lLVAF&RIbs#n6_r#owaV-!*Al8C3xfUqbgJ9lItOsH}NK6h*ZEcNf zf(Qh$CZyRlfr9$&Ck0-7fLIj7qLA7WePYjt7KYk3_zR6Z3m+r`LIgx&9Vj)ne;X96 z3LheZLIg!>bn<_5PVWNa3?C)}Lj=aZaiY}vns>nw%14Oc5W$gJ9sS>)*Si4m=A%S_ zhyeMwj+B~T_bx~#@G&AtM3AIrXa6tf_AXF*@o^$hM46;*mxT} zc!Xt&2p$nUX~pqB)p@=RAU23k69FUw=u`ki7XU z5lAACKBaS|HCu0kiTm<7BA7%lrL_R~)aUy)pzzgzc_N@hKz(WlON;j21=Sh8Km?Tt zs-?=l?F? zy!jdta3bJ7x5Ekhe#|uJLPgMtpc6syWqiOi@YadI`!a(roLh`D`G9HgZ4kjHoI)gq zFY5#E0&oT2Bm(fu4!&@9G2ZX5g1Pt>5ro1iL}Ex9AE4FnHIqdU3I|LY2NdH@f7Z!% zH~keGmWyu{i=psC5fe%01I7hW1fuv`gK7G24JLIGvY9zaTl(o_-?UIrtSPJ z*5~9i|Hida1Z6VFlOiyZPhceJT+kamSTFHjkH(o+OSyU6+Bu8w6>Fz(ktB6ZktW}} zrFi!SkT;JM!6|}M1ZVQ{fp-C#i|-c!n!F}Q1dEst@&V&rs0dJTdG+4ql}HfDBSDxv zk9SZkqRC@UL~Mw8Q2KyzAr(O?7E-a0CQ~0UeU7glKP=W$k;j#JTx=&FpD?beB2bfQ zJ{7@|e1at@=fZSd&Bc$3byc`UQo5t)`@{ZcTw6u3inUeTuO@RJuzd6Vvo~Ks8^WW+ z68qs4Czb&*MoH}h#${FnY%))=N$n`2|KyRZ-oKSSA=cUCF)boI#5{b+2aId22wJh$ zid)(g;sc6X+nGE@EV&}pe<;<9{mI8iXxXj(<|hMBiQr8>6C;8|%*Ds}fbqIn1aAtt zX8M>(6>ZW+@SA);;IvqL)5e^O*iK#ZY+Qgv0E-1!ym6HReZcfXqk8PmK5AUUMSzPn zT-juh*P7_*e%1ID#n1bHgV<0-)b#W8;VM5*{O!Ik``SkgcL zs0+^)W1LhzU|iNkpo?W)+@hyqA9(*({gznQ#hQ~;YmVsm%lMvgZ5P3wiu1Y%rY{pr z$$gv^x9&&y9kIj<&q(fGD~|8K`>1i57XdGpd2tJ$27JK6M&oRwmE!(nNPT`!tn>dp zhl+JbjA2Uf0pnUPf<6u8`IO+0;ut@F;xt|Fefck9z5o0}F5Fs-adPniTJz)oK44z{ zKm@;V%;e&j;yAvHFB&iUMevJ@e(?rh8uNj;=XTz{+4oSa|6eBOinUFQb8_+l<28Ut z0BJ1$CnqNq$C7kO5pM!Ei2u;<1*__jkukZabcr#Rp6Qu}Oe93~W zyqU)8Yc|OW_N-R1$yN`U##&-itjnRS%cfe-L%E7gv%Y}x5}R(5i)n0%vl%uWP=3Q^ z+JvIq$!6KyMfrfuPFIL&(v@d((sf7Khs{m51?7G=FWn=QPuYA;Nv6?MV+%C>Q4V1X zHM>zpu|=9^C||P0>3x_ceN(n1eE`bIY-#$7DDSXf*_CPJOl+Cl8f7=OTwaWFIa?v0 zMtOs+wDn*b+rliwwiU`=Y?W;U%4oLQ_65_}F}B98Jj$=wTDz$z7qd{iQz*}|Fnh){ z_Ks|weHE0A*?RkFC|9rz_815ImuzE(!c3E)INOw=AIdRobB1t~JK2^DzcY>2iiK+% zqBO9r+8HS4vTfSyC?B%z4q2JTAs5@>U_jZA?R40P@*vyg@Hf-wGO*pcS}0qyJ-RTI zyVzdcJ(Rz(2*-R(<5+=3Iu1e^#P&IUhw=v7@8rldPOj{LQzMj}*g>b|D7UdgPB>1d zm+XjhUv|{_4%0aQ%A)idrqO3)$Mt1V)?v|l_?mtVi_!nUPP#a;Q!e$H#-#~6?Sipz zSFa{n6*d>q0 zDF0+XW%Oj4j9%|mM_CwRINe=$wT^juSN z7s?o(zBK%#^ffM*v1ghx*|=>P_*a=;+^)T~zX>9|{E#7t!$?ok=@Qh7GdR5^lYseBCei#%%;#9fs?dG_j$c#ay# z5jEQLoHgd4T+4IS_z~q@o~Pzvp4S_B$=ieH^R9=|kLUNEi!ziK@V<=l5ijVIlWBa4 z@j^cSD1&%mpM5A#a!=nZOyirA7x8V5vJ)@r8_A2+((>Z9I`a~>_ApJY{k&u?j9KmU zyj1O~D4X!owWp(8$;;HfiSjWoTPHiy)XBrk)xmk!>CMa6*@kjAuTbX?rm1Vgz3Srp z>iY1CbqAsh59<^Oo?W(An0 zSrJ~RS#OjhdEI84P;TR2HM@cGDX-T&2h%h!#p^fkfN~IT(0mojUA$rQ+bEy&MlJF% zO^cGeaf`CN@z-T}ldt>nre6<2xtup`xq~-r-HJDFZ9qARH*LLxH*S56H*fopH#XQX zjlq_;Fd)Yo>hiDKg)&XM2;R~U_Wa86R(>l`Zs)DrXJVT6MR=R`Ls3rTZQJigxsMw< zbY+?jBY3+G@aYcwxL=1uD9`iu9UYjaV@}?oV_TFxc*l-gQ10NJIwFocS@F)DileN_ zyL5tIb_(F%bgslSog4D5okydb#k+Mri1It$y~|{#=@QI)bi?^~E5&LYrdMM=sMj=< zEBN5vrI@C-FCWqy?R(GRLwlor@3VYZKW9F?enzUF}=alRw#@}Q9;`J|C!`J_=7`Q*_h_@vQoQSRqc#&AA$%o3DQ zeCpWIeA>9DeCqfteDZi-K7ITtK5hInKFJ?3?C;EH`orh^oAFuxVSKj#OFm~peLi=> zNn|_RGrpNI8 zGi0Wj;l>ZlzV;`HKHA zX9~YK=On)}H!Ht7w=KUucPYOy_ji7CUQPbKtEcCnpQZgD%Q{NkHT zv-qy$wFEJ^q<~a$$tbDPl5eHTOY=xomJXAuE`1_ZTh>dezI?k>bL9)kI|Tj^@|ENh zG8|=)JtrVPs3QvEfE z)ipFW*WrIs6?NUb*cNX<7Hq}H3(OKmnimfCIkTk;EEEw$fTU+S zW2wuI71B358%kYwNm936^`)M>hfBS7M@zl;tdaWcZ7ucPyG803QC;dEaX=an=_Cz| z>?;k5JSGj^=PnK1UsD>kKUx}oz*QP?pu05c;2~-BA+0p#&;x1g;g!<3!+%NRkIa_* zk7}g}M>|LXM-NF8kLjhrV_l`7WBa5@Q95aI6xNxjAZbbz<~!=3H0}6dY5Ixn(u@9P(%h4SrFo~;OY>vLOAF7|krtl~m6n{dmX@BIAqAiN4c8r+7}Fi%*LXN9!oFfY zOwVVsMyxJsj=V1$$m*b`oYQqE3bYr!h!Y7Z6) zRwY+murRR7xf_G61FM$1BG`Jcs(EM(Hh|U0Lu0TJta{!oV4J|a^J>60gVoF%1GWXs zH}7_^a4?^IrNOp>)y|gqfb9lr zkpC9g9~3f{1w=Bup!0o zg53ZcTp~BvO|W4lv|vAj4J}Dybqj1nNgAu$V8ct^0=ok?s^n>~yI>M_7~VRughT1z@}EDv40LWqauy{ z|G=hKtOoW1Y*xiWV1I+ntkeVSCD@!w&A?uP&93SM_8M$nl~*jA8v~nLwE|1$#=#a; z%?&1j&96EY%mQpt)xltvU<<2N0aR&I7+tGqE*ZuVdy-lM=WfUWWV222aK+WQ!o16Zi{ zW-uMtS|7}jnR+w5Bf%mZwzZvn83VBx;Vr*4_Rw)-NVx@892=6eM!3)oKI<6v3AcGRi}mJMuo zt-N5_!FJV}2$lnEZ>_#yIl=bS2?EOn7Fnl1SZ=V02FRUmdBFBJK<;$Q3%0MpGq8MM z2OHb~%MW&-QDLwGV22yIf)xZi)c7Z`LSRQ59|J24cBDyBFi)_kCLUl#z>c+O238d8 zM2jk5#lViY2n8z+7Sm!DSP8J`wmZN|f}Lu+46GE`$#%%6Zl%Faw?jU4D+3nWt`AsQ zu(R!2f|Ub1(++X&Rvzrzc8GJg3Sj5_T7r3jo%gE&RuSwwzYAcMz`pl809G07LVK*g zZdJf8w#WMGRu$}r4tlU^V3#^Du#Sl*xeB{Z;ily8A0>b80`M|Ibcn|9*iFa))eel|6*Xxz#jT%1ZxiVoBv?2 z7GRJ4{lLBkd*qKb%B>~X6Mw8xZmqz6pO6`>HQ3V$>A>26{V{>YzAe~a6KL!WV1G_P z?sRJh_Iv_zr<)(xvjF5txAtH!0+1)&I)MEzpetBMu$KXi!8(Ec9k36qGuZ2ZwP0Pq zUQLvlt=l(pAm;%OSpm1MtRiO%d-MeZVwkxaLr@8@ z!C>}5W5I@iIRteA8w#ckItexm%rR&)*l;l2&LfQUZU^Bt;uJ{XV7FdB5m%wI&Xhf)xz$0GkKqx$-sGe6YeH zVPFfuiiS)DTL@Mp%ppq#)54Cs}ULwwh^p)SVpi-VBTR2Y%^HRu!dk; zzfrW$lggpY=3RXMpJFsnFwKg{g+YVNDa|N&+V0AVJf$apVx49?SF0ijQ(|PO$ zYp|KlV-HyUEi}%1!5VF*agG3MxMdYsBv_LzxK4N52i7<|E7*RpX5p4#2f&(!)A1by zYY|SzcL=O`cr@5yu$JK)!H$4^9sW1iQLxtG*T9Z}wb~|uMS->5dK>IGSetDnz)pa* z+vW-u4QAMO0W1cr{kA<|C&B!-(_Eec>$r{PG8U}Eb{gl?V4b(qIG+LQv^^Z`EZ8^O z=YpLB>#`$1*tcNacG!b`2iA4RV6gLGJ$AGLy8zaG2c5_FV7+$GdHev@b0@{jMX)|Q zDQ12I>%A*C*d?%jyV8UG1lD&K`Osys0lUbDu7LI5y%_8&*r45`!LES~+k*j=!Z5gowpfsKjq z0lN=2I)dWk7qD>=6d%8Wjg6#negNhlN#pz**!akqU=P6pA_sy!0-F$d8|*PyU}QAd z?_d-6l>>VMHfdjGus^_p_ICn%3N~ebEwDeqChwc4s4^|v3=Hzg&5@69M5v%Sc!A_k-th$#1J9!d0$GtSz>66Gg?q$GYPc;WC z3wHKY1+a2pXHH@LbuSP0?J2Ck?iIk!oq7f41$O?_4X}z}-^G$|R08`xmVBc!*oD{- zuqt2|V<&=D1^Xcu`O3W-*rizHEBESPKb}Uua<2h)ITrcKy(ZXCr>BB>gIzt{7t9Cj z%IO1OzF^l+hk(@ryLJY-#Jx7y%`?a)?sdR!oLK=@7wp!V31DA={d~3`SUs>iXPbf5 z2fKat3|IrOduO+SH3YkR4*ANx5!f&1kgwbugWW$ze$WK$!8!7SreMFG+XdDP?BTh^ zV9mjPW9(Ze>mch%jA`98IW#$$pyrbS0hG)>KQ)KS^dp!Ygz z^0uR*jDqmi?8M<1>B2ai-ky-Z;nQ4c!p4mIIWQ<5T-bTOM!La-h<3d@lcJ%YkMs zPf}WrPu?GGd9zu|Q862((?7hS-xP_ z@*Jh*n~Af0)vV=tO3Obd&hlNemKP{3-%6b2$7U@rQd+*9D9esZ%vxTeu*@?h&hj3! zmV=d+b0*I65wn(;D=p_rlx3%qPNmFb{*_A0l@n*Vyjjbul$NU`&T=iYme(jPS4)&- zXA86A9ICWDA#s-N%vxTjv>cE)%j?XJ#s;P3ki=QuYu556rR6n=vm9-9G`1)$Z%mx! z@61}>s7v>boO^U;>`nzbCMv>bnn^U;|K=O3ODA z7v0UxTE@GT@4d&y6L(#wZ)eu>X{F`FUDxT&-?g1pT29<`o!mg* z=arW4ByI-Bn6>=9((>KJSq?I5`J&SDy~J6bX4dj0rRDpHv%J`><;x1oQqja&US-zu zRi))(iL)GL*79|w<>HC6yxpwjn@Y6mvzG5E zEtgK5<+Elj|Dv>9CUKU{-*-PyS}vP7%a_a^^FyWOa*4Bi+pOisO3URFXZfL7%TJV+ zy%J~nxmn9km6j_e%Cd`O*79FU%asymSvG6=xzcjt?v7oY%)XcVLTNd1cgHUE&EB=W zR9dc`xY1~C*79qm?=-X2+?U6~!TXIh4DNTHc?+_$Vg!b+;J@+z#c3iDIxx0g!0Q7UYj z3JX@T7ooypm9N!gcZhK~WgI`$;$qa{yb8POaP4ioYj4^;QDH9~UcGJiD!!f0LWQMM zVRkA^r@~wk_N&XN!m_EnHlIp6PnCA1RoYchX;)RHowo|Bufm$CFu#Oxrt74LGvrZS z50!R(RN4(xw!>?OtFW;u#}c5@ZnA<|>lW*Rb&KD|JRRFQ6suR}i9?NmA52$gmR zRN5U?X?H@UU93vGb1LmFsx3$n(R(_ z6UMVs*@V|3P8C&HT@}_!#a=rV)=7m8cN$^Lo2bQI?>LQ7&IgX!U!`53O1mj4?PjR7 zo2SxlkxIMBgfZ=GozRDz(!$Q)^xH>;4N_qvRqXkzut_RxhKjw# zDr~KiX|g+SOc*oH`&8I*6<<50;zMVZ^MO9TS7~=irQLOvK5nbL_P)w%RjzULQbK!r z8x>}&!W>kXUU@8Y1c)ioyv8TUgbJUuW}uwAEwgBD3w0OtF)V_(r${f9mZ;= zl3`rtDqf3gF#W>!ufg=eDz96qcpa|Q^lO#vz&0ou`rM-O+FdH`B2?NPRB3lqrCqeL z9ggL+3j0olCAfaWYp*EV;XH1r^m|XG-2;_&zpJ$SQ>ESC3ER1_gza3cRN84&+S#kL z)2Xy`QQo)7k6b)lG8(TdP>Z|2bMbU3@|G2ezt(Umq0+8V!rbiAQib`cu+A#1hYA~( zaGiBgi6NKOD(4oaa?G0&_TidQg=JGR9^tBTU+&sPxsIV-?}Y23>p)ZH;mrQPJ6$dC zF4hxUjjf$+4%kM6TsKx1pqq@lwRO6!x}CZR z-2vTE-3eW+?wszT?y~NN?zZk1-9z0Ux@V5d977x<9S=H2ImS4C=lGN3O~?C=j~$;m zzH+j1lAZKUS)KAam36AOB zJ7;&!?VR7auyZlzQqJX^D>~P7uIt>$xrK9E=Z?xzQhVx?Qway!z z_ctl_xGwky~?mY@f@msD`fwa9b-FrAN%U{5KAlzDUGvC773gq#bxZg3HIT zgTBhd!2yTsinqbJ_HVlkT>A=4`vS}bA3<>K|EPwKIk@&Os^Q}wF3+`|&E?hd z0&Z^)qk242#qDiil)ga1?PVCS_oN+oB8uC)(hhv#gWDIR9aU)u9%$nBWoZY#puz1c z(T)bR1J5IIc@50l*P*@mRt2~JiuSgoy?CaG+qa_4wzP={fw+BFs@0;}5USxp8*V?E znvA1OJRQUBCty=vONSds49~T2`_e!ACqB6SI@+<3Hu0DS zx8F{iyJ#~An{p@}X$)=Rfe3DY4tq1~w=K`@&qFeB5_^)yBtaw#NLEASFdR^ZP`oxn z7|8~b%_Lhfml<}D>?VnTXzO8z9*;(FZCz~2>(H;ZIeOE!rX6^)foo}mv~i;mMw^}J zW&NnhP-=n)3AlD3v2nzLh~W_cF0Ut36KKaQ+Od#!;1hhVolEvs(k4E3=h~fA!^h-Y zyOnkvpiO+X&9x_}hR>q8_9z|N2C_#3p*>GK@KG|?UZBluw24n?x%N73{)|ofXq9Vk z(dJ#+#OI-0dyh7Mg(>Du2JJATh!?DBkrK1INF4c=nE;_!4aDddb>K@AqPaBhmPb(bS~4m>BueQ zqu8{~fE~73h~fJc+*S+6w8huvxNSz-TZDGt>k-^GAML=`+_-HavN9j@Yg-O8ZCjHJ zcvCxk*@4?OpqDkJO?*Rv+cu-kuW1usE8w;*X|oM&;=2Icwk?@jMyC9T(TLl&rzV|f z6OZ0=+s?E}6R*Sb^4zu?ZT6&1Jmk)8d(q}RN+W0J^p}&VJGA*b$y10O1G7tyVRFKw z&)iO?O5_=>CI|o>iSJ0*tZR)XU=SDRTs%55HR;uN|soIsIcBP4xrI)Rw%?h+x zk(yMYS~aTGq?!-a>R|%x8k005`I_bZddy?+7*^6W_Z7!lFn@M(4AA3-f zSJCEPk_eJWl3OGXFeLQED!03hiK1`sal8A}`Agb?2Tr-&U$g_?w&QmHqa6dNkDS;` z4{me20>lc_CZ4wDcExG)8#p4s{Z<5@_u zl4P^V!gvm<vcZ>Y%aD{MDMwPCqymW-Nkx)MWUn$w6_TnX)kvz7)F7!z z;!TZxNPJ0Zk<=!sLsFOID-tK`DU8>nW3ErqfTSTwBa+5sstMJak~AY}PSS$pYm$}_ zR*9qvZMGt5O)qOhwYHG-r>$o*ZlKL}B%Mh7NZONhAn8cbnWPKJHzZw2x{-7zdp$^c z(q=D`-XwiU`jYgcz5S^+fMg)aAdkfocIH6G;L|f@sGilF1}fNT!lZBbiPzgJdQ(o<%a7WDdz(l6fTaNfwYSq{fR# z7LzO?SxOR2vW#Ro$qH(`k|cy=70GInH6&|ELP^3P){|*|*U`zWC$@nMY^2&IlFcMr zNWw|Bl58X4F}Ks^4w9V^R+VbIs8*e%8g05z?J2!t1<7-g2WHlo^Sk`pu_fHgBz zBKNW?~Dewux$ssTNMPRV2$uR+20s2_xA; z5=iallT0M>221x_x`z-=BgVYa{Q{8-FgBMOx1cj>N-~KW2a)*G<~Wj8H0)oKw5G-_ zNg9*PAZbD}lVm!{9K6=X$;O%4xY)R|bahF-BB@7GKV1Wst|8SLku)Z0Lei9^8SQOO zwH8$SnrbacT9LFSX+zSM#6Z%H+WC>RC+R?}V>$unIBjmF+BO=J z?Nr-AvXf*N$!^-Qhh#5F1W6>xK9c<;2S^T5<3l8eNsf>lB{@bCMRJ_v1T~H(i6J>j za*8CDSg#nKsjtWS~tgYSvGz|A5GO87rUeE@QW` zgT<2kMDm2>F3CNTUr8?DW$Xu%KWOtl$uA@qiCrRjK%2X%_L$@>$vKiJlFKAlNN$o` zr^dHPVrcUY$tjZGiJc+&ndDoNMGwGfguBw-{QNH&vfCD}njXJipUvL7O4q}maZD3WNB zQzU0dz9spA(^k#bVag2b9cLqel# zNn>THBXK5iCGjB143Y9sEgMOkfw;~5v{{IxC`k#D(j?_bydY9s6Ph>6Y9uvDd`aq% z&vi5-cS#1SG@q?(?@jU+Qk z4wAei1xSjJ(8*ZQELg?OLKUjfELc(WSk)q_OG0CBMPqOEHA!m{8gZ-65UD!Vx|8%F zp~1GI!L}MrGLD3X*oubOYC6drh~!N*8eOYkk`NLaPOFV1TS;gTts+SdkQ{|baYq$R zo2N<6k$g|`6UlWF8b2#?bgM@sPa#s=dHjzyUqh@dNo+{clVl*FaJF_KaVMd`;WX@0 zecH@Ul8Yoi3Avs%`H(dYqBYI0HO-bajlDIEq|}IN83mDAkhCFbPtu9x8xooisTYL*NwvNt14ssw z(DXjN|2N$DM#W3u^wxU3)k9Md#tzN zGTz48#)jLZx3S)M