diff --git a/exu_div_new_4bit_fullshortq.fir b/exu_div_new_4bit_fullshortq.fir index 56a5ece2..682b91ed 100644 --- a/exu_div_new_4bit_fullshortq.fir +++ b/exu_div_new_4bit_fullshortq.fir @@ -9,70 +9,70 @@ circuit exu_div_new_4bit_fullshortq : cls_zeros <= UInt<5>("h00") wire cls_ones : UInt<5> cls_ones <= UInt<5>("h00") - node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 958:54] - node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 958:54] - node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 958:54] - node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 958:54] - node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 958:54] - node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 958:54] - node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 958:54] - node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 958:54] - node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 958:54] - node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 958:54] - node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 958:54] - node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 958:54] - node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 958:54] - node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 958:54] - node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 958:54] - node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 958:54] - node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 958:54] - node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 958:54] - node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 958:54] - node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 958:54] - node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 958:54] - node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 958:54] - node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 958:54] - node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 958:54] - node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 958:54] - node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 958:54] - node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 958:54] - node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 958:54] - node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 958:54] - node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 958:54] - node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 958:54] - node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 958:54] - node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 957:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 957:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 957:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 957:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 957:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 957:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 957:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 957:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 957:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 957:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 957:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 957:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 957:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 957:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 957:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 957:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 957:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 957:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 957:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 957:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 957:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 957:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 957:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 957:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 957:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 957:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 957:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 957:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 957:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 957:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 957:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 957:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -138,167 +138,167 @@ circuit exu_div_new_4bit_fullshortq : node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] wire _T_127 : UInt<5> @[Mux.scala 27:72] _T_127 <= _T_126 @[Mux.scala 27:72] - cls_zeros <= _T_127 @[exu_div_ctl.scala 958:13] - node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 960:18] - node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 960:25] - when _T_129 : @[exu_div_ctl.scala 960:44] - cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 960:55] - skip @[exu_div_ctl.scala 960:44] - else : @[exu_div_ctl.scala 961:15] - node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 961:66] + cls_zeros <= _T_127 @[exu_div_ctl.scala 957:13] + node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 959:18] + node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 959:25] + when _T_129 : @[exu_div_ctl.scala 959:44] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 959:55] + skip @[exu_div_ctl.scala 959:44] + else : @[exu_div_ctl.scala 960:15] + node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 960:66] node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] - node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 961:76] - node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 961:66] + node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 960:76] + node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 960:66] node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 961:76] - node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 961:66] + node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 960:76] + node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 960:66] node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 961:76] - node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 961:66] + node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 960:76] + node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 960:66] node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 961:76] - node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 961:66] + node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 960:76] + node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 960:66] node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 961:76] - node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 961:66] + node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 960:76] + node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 960:66] node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 961:76] - node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 961:66] + node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 960:76] + node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 960:66] node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 961:76] - node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 961:66] + node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 960:76] + node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 960:66] node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 961:76] - node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 961:66] + node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 960:76] + node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 960:66] node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 961:76] - node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 961:66] + node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 960:76] + node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 960:66] node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 961:76] - node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 961:66] + node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 960:76] + node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 960:66] node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 961:76] - node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 961:66] + node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 960:76] + node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 960:66] node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 961:76] - node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 961:66] + node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 960:76] + node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 960:66] node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 961:76] - node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 961:66] + node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 960:76] + node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 960:66] node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 961:76] - node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 961:66] + node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 960:76] + node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 960:66] node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 961:76] - node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 961:66] + node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 960:76] + node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 960:66] node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 961:76] - node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 961:66] + node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 960:76] + node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 960:66] node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 961:76] - node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 961:66] + node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 960:76] + node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 960:66] node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 961:76] - node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 961:66] + node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 960:76] + node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 960:66] node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 961:76] - node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 961:66] + node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 960:76] + node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 960:66] node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 961:76] - node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 961:66] + node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 960:76] + node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 960:66] node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 961:76] - node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 961:66] + node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 960:76] + node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 960:66] node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 961:76] - node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 961:66] + node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 960:76] + node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 960:66] node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 961:76] - node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 961:66] + node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 960:76] + node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 960:66] node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 961:76] - node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 961:66] + node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 960:76] + node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 960:66] node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 961:76] - node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 961:66] + node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 960:76] + node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 960:66] node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 961:76] - node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 961:66] + node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 960:76] + node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 960:66] node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 961:76] - node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 961:66] + node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 960:76] + node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 960:66] node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 961:76] - node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 961:66] + node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 960:76] + node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 960:66] node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 961:76] - node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 961:66] + node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 960:76] + node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 960:66] node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 961:76] - node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 961:66] + node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 960:76] + node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 960:66] node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 961:76] - node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 961:102] + node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 960:76] + node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 960:102] node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -362,11 +362,11 @@ circuit exu_div_new_4bit_fullshortq : node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72] wire _T_345 : UInt<5> @[Mux.scala 27:72] _T_345 <= _T_344 @[Mux.scala 27:72] - cls_ones <= _T_345 @[exu_div_ctl.scala 961:25] - skip @[exu_div_ctl.scala 961:15] - node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 962:27] - node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 962:16] - io.cls <= _T_347 @[exu_div_ctl.scala 962:10] + cls_ones <= _T_345 @[exu_div_ctl.scala 960:25] + skip @[exu_div_ctl.scala 960:15] + node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 961:27] + node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 961:16] + io.cls <= _T_347 @[exu_div_ctl.scala 961:10] module exu_div_cls_1 : input clock : Clock @@ -377,70 +377,70 @@ circuit exu_div_new_4bit_fullshortq : cls_zeros <= UInt<5>("h00") wire cls_ones : UInt<5> cls_ones <= UInt<5>("h00") - node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 958:54] - node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 958:54] - node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 958:54] - node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 958:54] - node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 958:54] - node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 958:54] - node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 958:54] - node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 958:54] - node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 958:54] - node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 958:54] - node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 958:54] - node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 958:54] - node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 958:54] - node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 958:54] - node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 958:54] - node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 958:54] - node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 958:54] - node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 958:54] - node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 958:54] - node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 958:54] - node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 958:54] - node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 958:54] - node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 958:54] - node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 958:54] - node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 958:54] - node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 958:54] - node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 958:54] - node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 958:54] - node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 958:54] - node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 958:54] - node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 958:54] - node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] - node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 958:54] - node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 958:63] + node _T = bits(io.operand, 31, 31) @[exu_div_ctl.scala 957:54] + node _T_1 = eq(_T, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_2 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 957:54] + node _T_3 = eq(_T_2, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_4 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 957:54] + node _T_5 = eq(_T_4, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_6 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 957:54] + node _T_7 = eq(_T_6, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_8 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 957:54] + node _T_9 = eq(_T_8, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_10 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 957:54] + node _T_11 = eq(_T_10, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_12 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 957:54] + node _T_13 = eq(_T_12, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_14 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 957:54] + node _T_15 = eq(_T_14, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_16 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 957:54] + node _T_17 = eq(_T_16, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_18 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 957:54] + node _T_19 = eq(_T_18, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_20 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 957:54] + node _T_21 = eq(_T_20, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_22 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 957:54] + node _T_23 = eq(_T_22, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_24 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 957:54] + node _T_25 = eq(_T_24, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_26 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 957:54] + node _T_27 = eq(_T_26, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_28 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 957:54] + node _T_29 = eq(_T_28, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_30 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 957:54] + node _T_31 = eq(_T_30, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_32 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 957:54] + node _T_33 = eq(_T_32, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_34 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 957:54] + node _T_35 = eq(_T_34, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_36 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 957:54] + node _T_37 = eq(_T_36, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_38 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 957:54] + node _T_39 = eq(_T_38, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_40 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 957:54] + node _T_41 = eq(_T_40, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_42 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 957:54] + node _T_43 = eq(_T_42, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_44 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 957:54] + node _T_45 = eq(_T_44, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_46 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 957:54] + node _T_47 = eq(_T_46, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_48 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 957:54] + node _T_49 = eq(_T_48, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_50 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 957:54] + node _T_51 = eq(_T_50, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_52 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 957:54] + node _T_53 = eq(_T_52, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_54 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 957:54] + node _T_55 = eq(_T_54, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_56 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 957:54] + node _T_57 = eq(_T_56, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_58 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 957:54] + node _T_59 = eq(_T_58, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_60 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 957:54] + node _T_61 = eq(_T_60, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] + node _T_62 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 957:54] + node _T_63 = eq(_T_62, UInt<1>("h01")) @[exu_div_ctl.scala 957:63] node _T_64 = mux(_T_1, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_65 = mux(_T_3, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_66 = mux(_T_5, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -506,167 +506,167 @@ circuit exu_div_new_4bit_fullshortq : node _T_126 = or(_T_125, _T_95) @[Mux.scala 27:72] wire _T_127 : UInt<5> @[Mux.scala 27:72] _T_127 <= _T_126 @[Mux.scala 27:72] - cls_zeros <= _T_127 @[exu_div_ctl.scala 958:13] - node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 960:18] - node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 960:25] - when _T_129 : @[exu_div_ctl.scala 960:44] - cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 960:55] - skip @[exu_div_ctl.scala 960:44] - else : @[exu_div_ctl.scala 961:15] - node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 961:66] + cls_zeros <= _T_127 @[exu_div_ctl.scala 957:13] + node _T_128 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 959:18] + node _T_129 = eq(_T_128, UInt<32>("h0ffffffff")) @[exu_div_ctl.scala 959:25] + when _T_129 : @[exu_div_ctl.scala 959:44] + cls_ones <= UInt<5>("h01f") @[exu_div_ctl.scala 959:55] + skip @[exu_div_ctl.scala 959:44] + else : @[exu_div_ctl.scala 960:15] + node _T_130 = bits(io.operand, 31, 30) @[exu_div_ctl.scala 960:66] node _T_131 = cat(UInt<1>("h01"), UInt<1>("h00")) @[Cat.scala 29:58] - node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 961:76] - node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 961:66] + node _T_132 = eq(_T_130, _T_131) @[exu_div_ctl.scala 960:76] + node _T_133 = bits(_T_132, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_134 = bits(io.operand, 31, 29) @[exu_div_ctl.scala 960:66] node _T_135 = mux(UInt<1>("h01"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] node _T_136 = cat(_T_135, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 961:76] - node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 961:66] + node _T_137 = eq(_T_134, _T_136) @[exu_div_ctl.scala 960:76] + node _T_138 = bits(_T_137, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_139 = bits(io.operand, 31, 28) @[exu_div_ctl.scala 960:66] node _T_140 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] node _T_141 = cat(_T_140, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 961:76] - node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 961:66] + node _T_142 = eq(_T_139, _T_141) @[exu_div_ctl.scala 960:76] + node _T_143 = bits(_T_142, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_144 = bits(io.operand, 31, 27) @[exu_div_ctl.scala 960:66] node _T_145 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_146 = cat(_T_145, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 961:76] - node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 961:66] + node _T_147 = eq(_T_144, _T_146) @[exu_div_ctl.scala 960:76] + node _T_148 = bits(_T_147, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_149 = bits(io.operand, 31, 26) @[exu_div_ctl.scala 960:66] node _T_150 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] node _T_151 = cat(_T_150, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 961:76] - node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 961:66] + node _T_152 = eq(_T_149, _T_151) @[exu_div_ctl.scala 960:76] + node _T_153 = bits(_T_152, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_154 = bits(io.operand, 31, 25) @[exu_div_ctl.scala 960:66] node _T_155 = mux(UInt<1>("h01"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] node _T_156 = cat(_T_155, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 961:76] - node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 961:66] + node _T_157 = eq(_T_154, _T_156) @[exu_div_ctl.scala 960:76] + node _T_158 = bits(_T_157, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_159 = bits(io.operand, 31, 24) @[exu_div_ctl.scala 960:66] node _T_160 = mux(UInt<1>("h01"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] node _T_161 = cat(_T_160, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 961:76] - node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 961:66] + node _T_162 = eq(_T_159, _T_161) @[exu_div_ctl.scala 960:76] + node _T_163 = bits(_T_162, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_164 = bits(io.operand, 31, 23) @[exu_div_ctl.scala 960:66] node _T_165 = mux(UInt<1>("h01"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] node _T_166 = cat(_T_165, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 961:76] - node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 961:66] + node _T_167 = eq(_T_164, _T_166) @[exu_div_ctl.scala 960:76] + node _T_168 = bits(_T_167, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_169 = bits(io.operand, 31, 22) @[exu_div_ctl.scala 960:66] node _T_170 = mux(UInt<1>("h01"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] node _T_171 = cat(_T_170, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 961:76] - node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 961:66] + node _T_172 = eq(_T_169, _T_171) @[exu_div_ctl.scala 960:76] + node _T_173 = bits(_T_172, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_174 = bits(io.operand, 31, 21) @[exu_div_ctl.scala 960:66] node _T_175 = mux(UInt<1>("h01"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] node _T_176 = cat(_T_175, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 961:76] - node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 961:66] + node _T_177 = eq(_T_174, _T_176) @[exu_div_ctl.scala 960:76] + node _T_178 = bits(_T_177, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_179 = bits(io.operand, 31, 20) @[exu_div_ctl.scala 960:66] node _T_180 = mux(UInt<1>("h01"), UInt<11>("h07ff"), UInt<11>("h00")) @[Bitwise.scala 72:12] node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 961:76] - node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 961:66] + node _T_182 = eq(_T_179, _T_181) @[exu_div_ctl.scala 960:76] + node _T_183 = bits(_T_182, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_184 = bits(io.operand, 31, 19) @[exu_div_ctl.scala 960:66] node _T_185 = mux(UInt<1>("h01"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] node _T_186 = cat(_T_185, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 961:76] - node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 961:66] + node _T_187 = eq(_T_184, _T_186) @[exu_div_ctl.scala 960:76] + node _T_188 = bits(_T_187, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_189 = bits(io.operand, 31, 18) @[exu_div_ctl.scala 960:66] node _T_190 = mux(UInt<1>("h01"), UInt<13>("h01fff"), UInt<13>("h00")) @[Bitwise.scala 72:12] node _T_191 = cat(_T_190, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 961:76] - node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 961:66] + node _T_192 = eq(_T_189, _T_191) @[exu_div_ctl.scala 960:76] + node _T_193 = bits(_T_192, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_194 = bits(io.operand, 31, 17) @[exu_div_ctl.scala 960:66] node _T_195 = mux(UInt<1>("h01"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] node _T_196 = cat(_T_195, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 961:76] - node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 961:66] + node _T_197 = eq(_T_194, _T_196) @[exu_div_ctl.scala 960:76] + node _T_198 = bits(_T_197, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_199 = bits(io.operand, 31, 16) @[exu_div_ctl.scala 960:66] node _T_200 = mux(UInt<1>("h01"), UInt<15>("h07fff"), UInt<15>("h00")) @[Bitwise.scala 72:12] node _T_201 = cat(_T_200, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 961:76] - node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 961:66] + node _T_202 = eq(_T_199, _T_201) @[exu_div_ctl.scala 960:76] + node _T_203 = bits(_T_202, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_204 = bits(io.operand, 31, 15) @[exu_div_ctl.scala 960:66] node _T_205 = mux(UInt<1>("h01"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] node _T_206 = cat(_T_205, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 961:76] - node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 961:66] + node _T_207 = eq(_T_204, _T_206) @[exu_div_ctl.scala 960:76] + node _T_208 = bits(_T_207, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_209 = bits(io.operand, 31, 14) @[exu_div_ctl.scala 960:66] node _T_210 = mux(UInt<1>("h01"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] node _T_211 = cat(_T_210, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 961:76] - node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 961:66] + node _T_212 = eq(_T_209, _T_211) @[exu_div_ctl.scala 960:76] + node _T_213 = bits(_T_212, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_214 = bits(io.operand, 31, 13) @[exu_div_ctl.scala 960:66] node _T_215 = mux(UInt<1>("h01"), UInt<18>("h03ffff"), UInt<18>("h00")) @[Bitwise.scala 72:12] node _T_216 = cat(_T_215, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 961:76] - node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 961:66] + node _T_217 = eq(_T_214, _T_216) @[exu_div_ctl.scala 960:76] + node _T_218 = bits(_T_217, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_219 = bits(io.operand, 31, 12) @[exu_div_ctl.scala 960:66] node _T_220 = mux(UInt<1>("h01"), UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] node _T_221 = cat(_T_220, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 961:76] - node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 961:66] + node _T_222 = eq(_T_219, _T_221) @[exu_div_ctl.scala 960:76] + node _T_223 = bits(_T_222, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_224 = bits(io.operand, 31, 11) @[exu_div_ctl.scala 960:66] node _T_225 = mux(UInt<1>("h01"), UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] node _T_226 = cat(_T_225, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 961:76] - node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 961:66] + node _T_227 = eq(_T_224, _T_226) @[exu_div_ctl.scala 960:76] + node _T_228 = bits(_T_227, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_229 = bits(io.operand, 31, 10) @[exu_div_ctl.scala 960:66] node _T_230 = mux(UInt<1>("h01"), UInt<21>("h01fffff"), UInt<21>("h00")) @[Bitwise.scala 72:12] node _T_231 = cat(_T_230, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 961:76] - node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 961:66] + node _T_232 = eq(_T_229, _T_231) @[exu_div_ctl.scala 960:76] + node _T_233 = bits(_T_232, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_234 = bits(io.operand, 31, 9) @[exu_div_ctl.scala 960:66] node _T_235 = mux(UInt<1>("h01"), UInt<22>("h03fffff"), UInt<22>("h00")) @[Bitwise.scala 72:12] node _T_236 = cat(_T_235, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 961:76] - node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 961:66] + node _T_237 = eq(_T_234, _T_236) @[exu_div_ctl.scala 960:76] + node _T_238 = bits(_T_237, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_239 = bits(io.operand, 31, 8) @[exu_div_ctl.scala 960:66] node _T_240 = mux(UInt<1>("h01"), UInt<23>("h07fffff"), UInt<23>("h00")) @[Bitwise.scala 72:12] node _T_241 = cat(_T_240, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 961:76] - node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 961:66] + node _T_242 = eq(_T_239, _T_241) @[exu_div_ctl.scala 960:76] + node _T_243 = bits(_T_242, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_244 = bits(io.operand, 31, 7) @[exu_div_ctl.scala 960:66] node _T_245 = mux(UInt<1>("h01"), UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] node _T_246 = cat(_T_245, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 961:76] - node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 961:66] + node _T_247 = eq(_T_244, _T_246) @[exu_div_ctl.scala 960:76] + node _T_248 = bits(_T_247, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_249 = bits(io.operand, 31, 6) @[exu_div_ctl.scala 960:66] node _T_250 = mux(UInt<1>("h01"), UInt<25>("h01ffffff"), UInt<25>("h00")) @[Bitwise.scala 72:12] node _T_251 = cat(_T_250, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 961:76] - node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 961:66] + node _T_252 = eq(_T_249, _T_251) @[exu_div_ctl.scala 960:76] + node _T_253 = bits(_T_252, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_254 = bits(io.operand, 31, 5) @[exu_div_ctl.scala 960:66] node _T_255 = mux(UInt<1>("h01"), UInt<26>("h03ffffff"), UInt<26>("h00")) @[Bitwise.scala 72:12] node _T_256 = cat(_T_255, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 961:76] - node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 961:66] + node _T_257 = eq(_T_254, _T_256) @[exu_div_ctl.scala 960:76] + node _T_258 = bits(_T_257, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_259 = bits(io.operand, 31, 4) @[exu_div_ctl.scala 960:66] node _T_260 = mux(UInt<1>("h01"), UInt<27>("h07ffffff"), UInt<27>("h00")) @[Bitwise.scala 72:12] node _T_261 = cat(_T_260, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 961:76] - node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 961:66] + node _T_262 = eq(_T_259, _T_261) @[exu_div_ctl.scala 960:76] + node _T_263 = bits(_T_262, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_264 = bits(io.operand, 31, 3) @[exu_div_ctl.scala 960:66] node _T_265 = mux(UInt<1>("h01"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] node _T_266 = cat(_T_265, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 961:76] - node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 961:66] + node _T_267 = eq(_T_264, _T_266) @[exu_div_ctl.scala 960:76] + node _T_268 = bits(_T_267, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_269 = bits(io.operand, 31, 2) @[exu_div_ctl.scala 960:66] node _T_270 = mux(UInt<1>("h01"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] node _T_271 = cat(_T_270, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 961:76] - node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 961:66] + node _T_272 = eq(_T_269, _T_271) @[exu_div_ctl.scala 960:76] + node _T_273 = bits(_T_272, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_274 = bits(io.operand, 31, 1) @[exu_div_ctl.scala 960:66] node _T_275 = mux(UInt<1>("h01"), UInt<30>("h03fffffff"), UInt<30>("h00")) @[Bitwise.scala 72:12] node _T_276 = cat(_T_275, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 961:76] - node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 961:102] - node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 961:66] + node _T_277 = eq(_T_274, _T_276) @[exu_div_ctl.scala 960:76] + node _T_278 = bits(_T_277, 0, 0) @[exu_div_ctl.scala 960:102] + node _T_279 = bits(io.operand, 31, 0) @[exu_div_ctl.scala 960:66] node _T_280 = mux(UInt<1>("h01"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 961:76] - node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 961:102] + node _T_282 = eq(_T_279, _T_281) @[exu_div_ctl.scala 960:76] + node _T_283 = bits(_T_282, 0, 0) @[exu_div_ctl.scala 960:102] node _T_284 = mux(_T_133, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_285 = mux(_T_138, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_286 = mux(_T_143, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -730,11 +730,11 @@ circuit exu_div_new_4bit_fullshortq : node _T_344 = or(_T_343, _T_314) @[Mux.scala 27:72] wire _T_345 : UInt<5> @[Mux.scala 27:72] _T_345 <= _T_344 @[Mux.scala 27:72] - cls_ones <= _T_345 @[exu_div_ctl.scala 961:25] - skip @[exu_div_ctl.scala 961:15] - node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 962:27] - node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 962:16] - io.cls <= _T_347 @[exu_div_ctl.scala 962:10] + cls_ones <= _T_345 @[exu_div_ctl.scala 960:25] + skip @[exu_div_ctl.scala 960:15] + node _T_346 = bits(io.operand, 32, 32) @[exu_div_ctl.scala 961:27] + node _T_347 = mux(_T_346, cls_ones, cls_zeros) @[exu_div_ctl.scala 961:16] + io.cls <= _T_347 @[exu_div_ctl.scala 961:10] extmodule gated_latch : output Q : Clock @@ -1214,189 +1214,189 @@ circuit exu_div_new_4bit_fullshortq : node _T_131 = and(running_state, _T_130) @[exu_div_ctl.scala 798:55] node _T_132 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 798:87] node r_adder_sel_15 = and(_T_131, _T_132) @[exu_div_ctl.scala 798:85] - node _T_133 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 800:28] - node _T_134 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 800:39] + node _T_133 = bits(r_ff, 30, 0) @[exu_div_ctl.scala 799:28] + node _T_134 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 799:39] node _T_135 = cat(_T_133, _T_134) @[Cat.scala 29:58] - node _T_136 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 800:54] - node _T_137 = add(_T_135, _T_136) @[exu_div_ctl.scala 800:48] - node adder1_out = tail(_T_137, 1) @[exu_div_ctl.scala 800:48] - node _T_138 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 801:28] - node _T_139 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 801:39] + node _T_136 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 799:54] + node _T_137 = add(_T_135, _T_136) @[exu_div_ctl.scala 799:48] + node adder1_out = tail(_T_137, 1) @[exu_div_ctl.scala 799:48] + node _T_138 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 800:28] + node _T_139 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 800:39] node _T_140 = cat(_T_138, _T_139) @[Cat.scala 29:58] - node _T_141 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 801:58] + node _T_141 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 800:58] node _T_142 = cat(_T_141, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_143 = add(_T_140, _T_142) @[exu_div_ctl.scala 801:48] - node adder2_out = tail(_T_143, 1) @[exu_div_ctl.scala 801:48] - node _T_144 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 802:28] - node _T_145 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 802:39] + node _T_143 = add(_T_140, _T_142) @[exu_div_ctl.scala 800:48] + node adder2_out = tail(_T_143, 1) @[exu_div_ctl.scala 800:48] + node _T_144 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 801:28] + node _T_145 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 801:39] node _T_146 = cat(_T_144, _T_145) @[Cat.scala 29:58] - node _T_147 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 802:58] + node _T_147 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 801:58] node _T_148 = cat(_T_147, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_149 = add(_T_146, _T_148) @[exu_div_ctl.scala 802:48] - node _T_150 = tail(_T_149, 1) @[exu_div_ctl.scala 802:48] - node _T_151 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 802:76] - node _T_152 = add(_T_150, _T_151) @[exu_div_ctl.scala 802:70] - node adder3_out = tail(_T_152, 1) @[exu_div_ctl.scala 802:70] - node _T_153 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 803:28] - node _T_154 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 803:37] - node _T_155 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 803:48] + node _T_149 = add(_T_146, _T_148) @[exu_div_ctl.scala 801:48] + node _T_150 = tail(_T_149, 1) @[exu_div_ctl.scala 801:48] + node _T_151 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 801:76] + node _T_152 = add(_T_150, _T_151) @[exu_div_ctl.scala 801:70] + node adder3_out = tail(_T_152, 1) @[exu_div_ctl.scala 801:70] + node _T_153 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 802:29] + node _T_154 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 802:38] + node _T_155 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 802:49] node _T_156 = cat(_T_153, _T_154) @[Cat.scala 29:58] node _T_157 = cat(_T_156, _T_155) @[Cat.scala 29:58] - node _T_158 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 803:67] + node _T_158 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 802:68] node _T_159 = cat(_T_158, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_160 = add(_T_157, _T_159) @[exu_div_ctl.scala 803:57] - node adder4_out = tail(_T_160, 1) @[exu_div_ctl.scala 803:57] - node _T_161 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 804:28] - node _T_162 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 804:37] - node _T_163 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 804:48] + node _T_160 = add(_T_157, _T_159) @[exu_div_ctl.scala 802:58] + node adder4_out = tail(_T_160, 1) @[exu_div_ctl.scala 802:58] + node _T_161 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 803:29] + node _T_162 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 803:38] + node _T_163 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 803:49] node _T_164 = cat(_T_161, _T_162) @[Cat.scala 29:58] node _T_165 = cat(_T_164, _T_163) @[Cat.scala 29:58] - node _T_166 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 804:67] + node _T_166 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 803:68] node _T_167 = cat(_T_166, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_168 = add(_T_165, _T_167) @[exu_div_ctl.scala 804:57] - node _T_169 = tail(_T_168, 1) @[exu_div_ctl.scala 804:57] - node _T_170 = add(_T_169, b_ff) @[exu_div_ctl.scala 804:84] - node adder5_out = tail(_T_170, 1) @[exu_div_ctl.scala 804:84] - node _T_171 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 805:28] - node _T_172 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 805:37] - node _T_173 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 805:48] + node _T_168 = add(_T_165, _T_167) @[exu_div_ctl.scala 803:58] + node _T_169 = tail(_T_168, 1) @[exu_div_ctl.scala 803:58] + node _T_170 = add(_T_169, b_ff) @[exu_div_ctl.scala 803:85] + node adder5_out = tail(_T_170, 1) @[exu_div_ctl.scala 803:85] + node _T_171 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 804:29] + node _T_172 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 804:38] + node _T_173 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 804:49] node _T_174 = cat(_T_171, _T_172) @[Cat.scala 29:58] node _T_175 = cat(_T_174, _T_173) @[Cat.scala 29:58] - node _T_176 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 805:67] + node _T_176 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 804:68] node _T_177 = cat(_T_176, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_178 = add(_T_175, _T_177) @[exu_div_ctl.scala 805:57] - node _T_179 = tail(_T_178, 1) @[exu_div_ctl.scala 805:57] - node _T_180 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 805:94] + node _T_178 = add(_T_175, _T_177) @[exu_div_ctl.scala 804:58] + node _T_179 = tail(_T_178, 1) @[exu_div_ctl.scala 804:58] + node _T_180 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 804:95] node _T_181 = cat(_T_180, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_182 = add(_T_179, _T_181) @[exu_div_ctl.scala 805:84] - node adder6_out = tail(_T_182, 1) @[exu_div_ctl.scala 805:84] - node _T_183 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 806:28] - node _T_184 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 806:37] - node _T_185 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 806:48] + node _T_182 = add(_T_179, _T_181) @[exu_div_ctl.scala 804:85] + node adder6_out = tail(_T_182, 1) @[exu_div_ctl.scala 804:85] + node _T_183 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 805:29] + node _T_184 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 805:38] + node _T_185 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 805:49] node _T_186 = cat(_T_183, _T_184) @[Cat.scala 29:58] node _T_187 = cat(_T_186, _T_185) @[Cat.scala 29:58] - node _T_188 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 806:67] + node _T_188 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 805:68] node _T_189 = cat(_T_188, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_190 = add(_T_187, _T_189) @[exu_div_ctl.scala 806:57] - node _T_191 = tail(_T_190, 1) @[exu_div_ctl.scala 806:57] - node _T_192 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 806:94] + node _T_190 = add(_T_187, _T_189) @[exu_div_ctl.scala 805:58] + node _T_191 = tail(_T_190, 1) @[exu_div_ctl.scala 805:58] + node _T_192 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 805:95] node _T_193 = cat(_T_192, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_194 = add(_T_191, _T_193) @[exu_div_ctl.scala 806:84] - node _T_195 = tail(_T_194, 1) @[exu_div_ctl.scala 806:84] - node _T_196 = add(_T_195, b_ff) @[exu_div_ctl.scala 806:106] - node adder7_out = tail(_T_196, 1) @[exu_div_ctl.scala 806:106] - node _T_197 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 807:29] - node _T_198 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 807:38] - node _T_199 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 807:49] + node _T_194 = add(_T_191, _T_193) @[exu_div_ctl.scala 805:85] + node _T_195 = tail(_T_194, 1) @[exu_div_ctl.scala 805:85] + node _T_196 = add(_T_195, b_ff) @[exu_div_ctl.scala 805:107] + node adder7_out = tail(_T_196, 1) @[exu_div_ctl.scala 805:107] + node _T_197 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 806:29] + node _T_198 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 806:38] + node _T_199 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 806:49] node _T_200 = cat(_T_197, _T_198) @[Cat.scala 29:58] node _T_201 = cat(_T_200, _T_199) @[Cat.scala 29:58] - node _T_202 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 807:68] + node _T_202 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 806:68] node _T_203 = cat(_T_202, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_204 = add(_T_201, _T_203) @[exu_div_ctl.scala 807:58] - node adder8_out = tail(_T_204, 1) @[exu_div_ctl.scala 807:58] - node _T_205 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 808:29] - node _T_206 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 808:38] - node _T_207 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 808:49] + node _T_204 = add(_T_201, _T_203) @[exu_div_ctl.scala 806:58] + node adder8_out = tail(_T_204, 1) @[exu_div_ctl.scala 806:58] + node _T_205 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 807:29] + node _T_206 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 807:38] + node _T_207 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 807:49] node _T_208 = cat(_T_205, _T_206) @[Cat.scala 29:58] node _T_209 = cat(_T_208, _T_207) @[Cat.scala 29:58] - node _T_210 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 808:68] + node _T_210 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 807:68] node _T_211 = cat(_T_210, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_212 = add(_T_209, _T_211) @[exu_div_ctl.scala 808:58] - node _T_213 = tail(_T_212, 1) @[exu_div_ctl.scala 808:58] - node _T_214 = add(_T_213, b_ff) @[exu_div_ctl.scala 808:85] - node adder9_out = tail(_T_214, 1) @[exu_div_ctl.scala 808:85] - node _T_215 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 809:29] - node _T_216 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 809:38] - node _T_217 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 809:49] + node _T_212 = add(_T_209, _T_211) @[exu_div_ctl.scala 807:58] + node _T_213 = tail(_T_212, 1) @[exu_div_ctl.scala 807:58] + node _T_214 = add(_T_213, b_ff) @[exu_div_ctl.scala 807:85] + node adder9_out = tail(_T_214, 1) @[exu_div_ctl.scala 807:85] + node _T_215 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 808:29] + node _T_216 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 808:38] + node _T_217 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 808:49] node _T_218 = cat(_T_215, _T_216) @[Cat.scala 29:58] node _T_219 = cat(_T_218, _T_217) @[Cat.scala 29:58] - node _T_220 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 809:68] + node _T_220 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 808:68] node _T_221 = cat(_T_220, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_222 = add(_T_219, _T_221) @[exu_div_ctl.scala 809:58] - node _T_223 = tail(_T_222, 1) @[exu_div_ctl.scala 809:58] - node _T_224 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 809:95] + node _T_222 = add(_T_219, _T_221) @[exu_div_ctl.scala 808:58] + node _T_223 = tail(_T_222, 1) @[exu_div_ctl.scala 808:58] + node _T_224 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 808:95] node _T_225 = cat(_T_224, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_226 = add(_T_223, _T_225) @[exu_div_ctl.scala 809:85] - node adder10_out = tail(_T_226, 1) @[exu_div_ctl.scala 809:85] - node _T_227 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 810:29] - node _T_228 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 810:38] - node _T_229 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 810:49] + node _T_226 = add(_T_223, _T_225) @[exu_div_ctl.scala 808:85] + node adder10_out = tail(_T_226, 1) @[exu_div_ctl.scala 808:85] + node _T_227 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 809:29] + node _T_228 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 809:38] + node _T_229 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 809:49] node _T_230 = cat(_T_227, _T_228) @[Cat.scala 29:58] node _T_231 = cat(_T_230, _T_229) @[Cat.scala 29:58] - node _T_232 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 810:68] + node _T_232 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 809:68] node _T_233 = cat(_T_232, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_234 = add(_T_231, _T_233) @[exu_div_ctl.scala 810:58] - node _T_235 = tail(_T_234, 1) @[exu_div_ctl.scala 810:58] - node _T_236 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 810:95] + node _T_234 = add(_T_231, _T_233) @[exu_div_ctl.scala 809:58] + node _T_235 = tail(_T_234, 1) @[exu_div_ctl.scala 809:58] + node _T_236 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 809:95] node _T_237 = cat(_T_236, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_238 = add(_T_235, _T_237) @[exu_div_ctl.scala 810:85] - node _T_239 = tail(_T_238, 1) @[exu_div_ctl.scala 810:85] - node _T_240 = add(_T_239, b_ff) @[exu_div_ctl.scala 810:107] - node adder11_out = tail(_T_240, 1) @[exu_div_ctl.scala 810:107] - node _T_241 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 811:29] - node _T_242 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 811:38] - node _T_243 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 811:49] + node _T_238 = add(_T_235, _T_237) @[exu_div_ctl.scala 809:85] + node _T_239 = tail(_T_238, 1) @[exu_div_ctl.scala 809:85] + node _T_240 = add(_T_239, b_ff) @[exu_div_ctl.scala 809:107] + node adder11_out = tail(_T_240, 1) @[exu_div_ctl.scala 809:107] + node _T_241 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 810:29] + node _T_242 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 810:38] + node _T_243 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 810:49] node _T_244 = cat(_T_241, _T_242) @[Cat.scala 29:58] node _T_245 = cat(_T_244, _T_243) @[Cat.scala 29:58] - node _T_246 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 811:68] + node _T_246 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 810:68] node _T_247 = cat(_T_246, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_248 = add(_T_245, _T_247) @[exu_div_ctl.scala 811:58] - node _T_249 = tail(_T_248, 1) @[exu_div_ctl.scala 811:58] - node _T_250 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 811:95] + node _T_248 = add(_T_245, _T_247) @[exu_div_ctl.scala 810:58] + node _T_249 = tail(_T_248, 1) @[exu_div_ctl.scala 810:58] + node _T_250 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 810:95] node _T_251 = cat(_T_250, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_252 = add(_T_249, _T_251) @[exu_div_ctl.scala 811:85] - node adder12_out = tail(_T_252, 1) @[exu_div_ctl.scala 811:85] - node _T_253 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 812:29] - node _T_254 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 812:38] - node _T_255 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 812:49] + node _T_252 = add(_T_249, _T_251) @[exu_div_ctl.scala 810:85] + node adder12_out = tail(_T_252, 1) @[exu_div_ctl.scala 810:85] + node _T_253 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 811:29] + node _T_254 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 811:38] + node _T_255 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 811:49] node _T_256 = cat(_T_253, _T_254) @[Cat.scala 29:58] node _T_257 = cat(_T_256, _T_255) @[Cat.scala 29:58] - node _T_258 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 812:68] + node _T_258 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 811:68] node _T_259 = cat(_T_258, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_260 = add(_T_257, _T_259) @[exu_div_ctl.scala 812:58] - node _T_261 = tail(_T_260, 1) @[exu_div_ctl.scala 812:58] - node _T_262 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 812:95] + node _T_260 = add(_T_257, _T_259) @[exu_div_ctl.scala 811:58] + node _T_261 = tail(_T_260, 1) @[exu_div_ctl.scala 811:58] + node _T_262 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 811:95] node _T_263 = cat(_T_262, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_264 = add(_T_261, _T_263) @[exu_div_ctl.scala 812:85] - node _T_265 = tail(_T_264, 1) @[exu_div_ctl.scala 812:85] - node _T_266 = add(_T_265, b_ff) @[exu_div_ctl.scala 812:112] - node adder13_out = tail(_T_266, 1) @[exu_div_ctl.scala 812:112] - node _T_267 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 813:29] - node _T_268 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 813:38] - node _T_269 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 813:49] + node _T_264 = add(_T_261, _T_263) @[exu_div_ctl.scala 811:85] + node _T_265 = tail(_T_264, 1) @[exu_div_ctl.scala 811:85] + node _T_266 = add(_T_265, b_ff) @[exu_div_ctl.scala 811:112] + node adder13_out = tail(_T_266, 1) @[exu_div_ctl.scala 811:112] + node _T_267 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 812:29] + node _T_268 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 812:38] + node _T_269 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 812:49] node _T_270 = cat(_T_267, _T_268) @[Cat.scala 29:58] node _T_271 = cat(_T_270, _T_269) @[Cat.scala 29:58] - node _T_272 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 813:68] + node _T_272 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 812:68] node _T_273 = cat(_T_272, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_274 = add(_T_271, _T_273) @[exu_div_ctl.scala 813:58] - node _T_275 = tail(_T_274, 1) @[exu_div_ctl.scala 813:58] - node _T_276 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 813:95] + node _T_274 = add(_T_271, _T_273) @[exu_div_ctl.scala 812:58] + node _T_275 = tail(_T_274, 1) @[exu_div_ctl.scala 812:58] + node _T_276 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 812:95] node _T_277 = cat(_T_276, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_278 = add(_T_275, _T_277) @[exu_div_ctl.scala 813:85] - node _T_279 = tail(_T_278, 1) @[exu_div_ctl.scala 813:85] - node _T_280 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 813:122] + node _T_278 = add(_T_275, _T_277) @[exu_div_ctl.scala 812:85] + node _T_279 = tail(_T_278, 1) @[exu_div_ctl.scala 812:85] + node _T_280 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 812:122] node _T_281 = cat(_T_280, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_282 = add(_T_279, _T_281) @[exu_div_ctl.scala 813:112] - node adder14_out = tail(_T_282, 1) @[exu_div_ctl.scala 813:112] - node _T_283 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 814:29] - node _T_284 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 814:38] - node _T_285 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 814:49] + node _T_282 = add(_T_279, _T_281) @[exu_div_ctl.scala 812:112] + node adder14_out = tail(_T_282, 1) @[exu_div_ctl.scala 812:112] + node _T_283 = bits(r_ff, 32, 32) @[exu_div_ctl.scala 813:29] + node _T_284 = bits(r_ff, 32, 0) @[exu_div_ctl.scala 813:38] + node _T_285 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 813:49] node _T_286 = cat(_T_283, _T_284) @[Cat.scala 29:58] node _T_287 = cat(_T_286, _T_285) @[Cat.scala 29:58] - node _T_288 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 814:68] + node _T_288 = bits(b_ff, 34, 0) @[exu_div_ctl.scala 813:68] node _T_289 = cat(_T_288, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_290 = add(_T_287, _T_289) @[exu_div_ctl.scala 814:58] - node _T_291 = tail(_T_290, 1) @[exu_div_ctl.scala 814:58] - node _T_292 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 814:95] + node _T_290 = add(_T_287, _T_289) @[exu_div_ctl.scala 813:58] + node _T_291 = tail(_T_290, 1) @[exu_div_ctl.scala 813:58] + node _T_292 = bits(b_ff, 35, 0) @[exu_div_ctl.scala 813:95] node _T_293 = cat(_T_292, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_294 = add(_T_291, _T_293) @[exu_div_ctl.scala 814:85] - node _T_295 = tail(_T_294, 1) @[exu_div_ctl.scala 814:85] - node _T_296 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 814:122] + node _T_294 = add(_T_291, _T_293) @[exu_div_ctl.scala 813:85] + node _T_295 = tail(_T_294, 1) @[exu_div_ctl.scala 813:85] + node _T_296 = bits(b_ff, 36, 0) @[exu_div_ctl.scala 813:122] node _T_297 = cat(_T_296, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_298 = add(_T_295, _T_297) @[exu_div_ctl.scala 814:112] - node _T_299 = tail(_T_298, 1) @[exu_div_ctl.scala 814:112] - node _T_300 = add(_T_299, b_ff) @[exu_div_ctl.scala 814:134] - node adder15_out = tail(_T_300, 1) @[exu_div_ctl.scala 814:134] + node _T_298 = add(_T_295, _T_297) @[exu_div_ctl.scala 813:112] + node _T_299 = tail(_T_298, 1) @[exu_div_ctl.scala 813:112] + node _T_300 = add(_T_299, b_ff) @[exu_div_ctl.scala 813:134] + node adder15_out = tail(_T_300, 1) @[exu_div_ctl.scala 813:134] node _T_301 = bits(adder15_out, 37, 37) @[exu_div_ctl.scala 816:18] node _T_302 = eq(_T_301, UInt<1>("h00")) @[exu_div_ctl.scala 816:6] node _T_303 = xor(_T_302, dividend_sign_ff) @[exu_div_ctl.scala 816:23] @@ -1532,1287 +1532,1259 @@ circuit exu_div_new_4bit_fullshortq : node _T_433 = cat(_T_432, _T_431) @[Cat.scala 29:58] node _T_434 = cat(_T_433, _T_430) @[Cat.scala 29:58] node _T_435 = cat(_T_434, _T_427) @[Cat.scala 29:58] - quotient_raw <= _T_435 @[exu_div_ctl.scala 815:17] - node _T_436 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 833:19] - node _T_437 = eq(_T_436, UInt<1>("h01")) @[exu_div_ctl.scala 833:23] - node _T_438 = bits(quotient_raw, 15, 8) @[exu_div_ctl.scala 833:70] - node _T_439 = mux(UInt<1>("h00"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] - node _T_440 = cat(_T_439, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_441 = eq(_T_438, _T_440) @[exu_div_ctl.scala 833:76] - node _T_442 = bits(_T_441, 0, 0) @[exu_div_ctl.scala 833:105] - node _T_443 = bits(quotient_raw, 15, 9) @[exu_div_ctl.scala 833:70] - node _T_444 = mux(UInt<1>("h00"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_445 = cat(_T_444, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_446 = eq(_T_443, _T_445) @[exu_div_ctl.scala 833:76] - node _T_447 = bits(_T_446, 0, 0) @[exu_div_ctl.scala 833:105] - node _T_448 = bits(quotient_raw, 15, 10) @[exu_div_ctl.scala 833:70] - node _T_449 = mux(UInt<1>("h00"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_450 = cat(_T_449, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_451 = eq(_T_448, _T_450) @[exu_div_ctl.scala 833:76] - node _T_452 = bits(_T_451, 0, 0) @[exu_div_ctl.scala 833:105] - node _T_453 = bits(quotient_raw, 15, 11) @[exu_div_ctl.scala 833:70] - node _T_454 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_455 = cat(_T_454, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_456 = eq(_T_453, _T_455) @[exu_div_ctl.scala 833:76] - node _T_457 = bits(_T_456, 0, 0) @[exu_div_ctl.scala 833:105] - node _T_458 = bits(quotient_raw, 15, 12) @[exu_div_ctl.scala 833:70] - node _T_459 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_460 = cat(_T_459, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_461 = eq(_T_458, _T_460) @[exu_div_ctl.scala 833:76] - node _T_462 = bits(_T_461, 0, 0) @[exu_div_ctl.scala 833:105] - node _T_463 = bits(quotient_raw, 15, 13) @[exu_div_ctl.scala 833:70] - node _T_464 = mux(UInt<1>("h00"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_465 = cat(_T_464, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_466 = eq(_T_463, _T_465) @[exu_div_ctl.scala 833:76] - node _T_467 = bits(_T_466, 0, 0) @[exu_div_ctl.scala 833:105] - node _T_468 = bits(quotient_raw, 15, 14) @[exu_div_ctl.scala 833:70] - node _T_469 = cat(UInt<1>("h00"), UInt<1>("h01")) @[Cat.scala 29:58] - node _T_470 = eq(_T_468, _T_469) @[exu_div_ctl.scala 833:76] - node _T_471 = bits(_T_470, 0, 0) @[exu_div_ctl.scala 833:105] - node _T_472 = mux(_T_442, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_473 = mux(_T_447, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_474 = mux(_T_452, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_475 = mux(_T_457, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_476 = mux(_T_462, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_477 = mux(_T_467, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_478 = mux(_T_471, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_479 = or(_T_472, _T_473) @[Mux.scala 27:72] + quotient_raw <= _T_435 @[exu_div_ctl.scala 815:16] + node _T_436 = bits(quotient_raw, 15, 8) @[exu_div_ctl.scala 833:43] + node _T_437 = mux(UInt<1>("h00"), UInt<7>("h07f"), UInt<7>("h00")) @[Bitwise.scala 72:12] + node _T_438 = cat(_T_437, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_439 = eq(_T_436, _T_438) @[exu_div_ctl.scala 833:49] + node _T_440 = bits(_T_439, 0, 0) @[exu_div_ctl.scala 833:78] + node _T_441 = bits(quotient_raw, 15, 9) @[exu_div_ctl.scala 833:43] + node _T_442 = mux(UInt<1>("h00"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_443 = cat(_T_442, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_444 = eq(_T_441, _T_443) @[exu_div_ctl.scala 833:49] + node _T_445 = bits(_T_444, 0, 0) @[exu_div_ctl.scala 833:78] + node _T_446 = bits(quotient_raw, 15, 10) @[exu_div_ctl.scala 833:43] + node _T_447 = mux(UInt<1>("h00"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] + node _T_448 = cat(_T_447, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_449 = eq(_T_446, _T_448) @[exu_div_ctl.scala 833:49] + node _T_450 = bits(_T_449, 0, 0) @[exu_div_ctl.scala 833:78] + node _T_451 = bits(quotient_raw, 15, 11) @[exu_div_ctl.scala 833:43] + node _T_452 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_453 = cat(_T_452, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_454 = eq(_T_451, _T_453) @[exu_div_ctl.scala 833:49] + node _T_455 = bits(_T_454, 0, 0) @[exu_div_ctl.scala 833:78] + node _T_456 = bits(quotient_raw, 15, 12) @[exu_div_ctl.scala 833:43] + node _T_457 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_458 = cat(_T_457, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_459 = eq(_T_456, _T_458) @[exu_div_ctl.scala 833:49] + node _T_460 = bits(_T_459, 0, 0) @[exu_div_ctl.scala 833:78] + node _T_461 = bits(quotient_raw, 15, 13) @[exu_div_ctl.scala 833:43] + node _T_462 = mux(UInt<1>("h00"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_463 = cat(_T_462, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_464 = eq(_T_461, _T_463) @[exu_div_ctl.scala 833:49] + node _T_465 = bits(_T_464, 0, 0) @[exu_div_ctl.scala 833:78] + node _T_466 = bits(quotient_raw, 15, 14) @[exu_div_ctl.scala 833:43] + node _T_467 = cat(UInt<1>("h00"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_468 = eq(_T_466, _T_467) @[exu_div_ctl.scala 833:49] + node _T_469 = bits(_T_468, 0, 0) @[exu_div_ctl.scala 833:78] + node _T_470 = mux(_T_440, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_471 = mux(_T_445, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_472 = mux(_T_450, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_473 = mux(_T_455, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_474 = mux(_T_460, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_475 = mux(_T_465, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_476 = mux(_T_469, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_477 = or(_T_470, _T_471) @[Mux.scala 27:72] + node _T_478 = or(_T_477, _T_472) @[Mux.scala 27:72] + node _T_479 = or(_T_478, _T_473) @[Mux.scala 27:72] node _T_480 = or(_T_479, _T_474) @[Mux.scala 27:72] node _T_481 = or(_T_480, _T_475) @[Mux.scala 27:72] node _T_482 = or(_T_481, _T_476) @[Mux.scala 27:72] - node _T_483 = or(_T_482, _T_477) @[Mux.scala 27:72] - node _T_484 = or(_T_483, _T_478) @[Mux.scala 27:72] - wire _T_485 : UInt<1> @[Mux.scala 27:72] - _T_485 <= _T_484 @[Mux.scala 27:72] - node _T_486 = or(_T_437, _T_485) @[exu_div_ctl.scala 833:31] + wire _T_483 : UInt<1> @[Mux.scala 27:72] + _T_483 <= _T_482 @[Mux.scala 27:72] + node _T_484 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 833:109] + node _T_485 = eq(_T_484, UInt<1>("h01")) @[exu_div_ctl.scala 833:113] + node _T_486 = or(_T_483, _T_485) @[exu_div_ctl.scala 833:94] node _T_487 = bits(quotient_raw, 15, 4) @[exu_div_ctl.scala 835:19] - node _T_488 = dshr(UInt<1>("h01"), UInt<4>("h0c")) @[exu_div_ctl.scala 835:47] - node _T_489 = bits(_T_488, 0, 0) @[exu_div_ctl.scala 835:47] - node _T_490 = eq(_T_487, _T_489) @[exu_div_ctl.scala 835:26] - node _T_491 = bits(quotient_raw, 15, 5) @[exu_div_ctl.scala 835:70] - node _T_492 = dshr(UInt<1>("h01"), UInt<4>("h0b")) @[exu_div_ctl.scala 835:97] - node _T_493 = bits(_T_492, 0, 0) @[exu_div_ctl.scala 835:97] - node _T_494 = eq(_T_491, _T_493) @[exu_div_ctl.scala 835:77] - node _T_495 = or(_T_490, _T_494) @[exu_div_ctl.scala 835:54] - node _T_496 = bits(quotient_raw, 15, 6) @[exu_div_ctl.scala 835:121] - node _T_497 = dshr(UInt<1>("h01"), UInt<4>("h0a")) @[exu_div_ctl.scala 835:147] - node _T_498 = bits(_T_497, 0, 0) @[exu_div_ctl.scala 835:147] - node _T_499 = eq(_T_496, _T_498) @[exu_div_ctl.scala 835:128] - node _T_500 = or(_T_495, _T_499) @[exu_div_ctl.scala 835:105] - node _T_501 = bits(quotient_raw, 15, 7) @[exu_div_ctl.scala 836:21] - node _T_502 = dshr(UInt<1>("h01"), UInt<4>("h09")) @[exu_div_ctl.scala 836:46] - node _T_503 = bits(_T_502, 0, 0) @[exu_div_ctl.scala 836:46] - node _T_504 = eq(_T_501, _T_503) @[exu_div_ctl.scala 836:28] - node _T_505 = or(_T_500, _T_504) @[exu_div_ctl.scala 835:155] - node _T_506 = bits(quotient_raw, 15, 12) @[exu_div_ctl.scala 836:69] - node _T_507 = dshr(UInt<1>("h01"), UInt<3>("h04")) @[exu_div_ctl.scala 836:89] - node _T_508 = bits(_T_507, 0, 0) @[exu_div_ctl.scala 836:89] - node _T_509 = eq(_T_506, _T_508) @[exu_div_ctl.scala 836:76] - node _T_510 = or(_T_505, _T_509) @[exu_div_ctl.scala 836:53] - node _T_511 = bits(quotient_raw, 15, 13) @[exu_div_ctl.scala 836:112] - node _T_512 = dshr(UInt<1>("h01"), UInt<2>("h03")) @[exu_div_ctl.scala 836:131] - node _T_513 = bits(_T_512, 0, 0) @[exu_div_ctl.scala 836:131] - node _T_514 = eq(_T_511, _T_513) @[exu_div_ctl.scala 836:119] - node _T_515 = or(_T_510, _T_514) @[exu_div_ctl.scala 836:96] - node _T_516 = bits(quotient_raw, 15, 14) @[exu_div_ctl.scala 837:21] - node _T_517 = dshr(UInt<1>("h01"), UInt<2>("h02")) @[exu_div_ctl.scala 837:39] - node _T_518 = bits(_T_517, 0, 0) @[exu_div_ctl.scala 837:39] - node _T_519 = eq(_T_516, _T_518) @[exu_div_ctl.scala 837:28] - node _T_520 = or(_T_515, _T_519) @[exu_div_ctl.scala 836:138] - node _T_521 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 837:62] - node _T_522 = eq(_T_521, UInt<1>("h01")) @[exu_div_ctl.scala 837:69] - node _T_523 = or(_T_520, _T_522) @[exu_div_ctl.scala 837:46] - node _T_524 = bits(quotient_raw, 15, 2) @[exu_div_ctl.scala 839:19] - node _T_525 = dshr(UInt<1>("h01"), UInt<4>("h0e")) @[exu_div_ctl.scala 839:49] - node _T_526 = bits(_T_525, 0, 0) @[exu_div_ctl.scala 839:49] - node _T_527 = eq(_T_524, _T_526) @[exu_div_ctl.scala 839:26] - node _T_528 = bits(quotient_raw, 15, 3) @[exu_div_ctl.scala 839:72] - node _T_529 = dshr(UInt<1>("h01"), UInt<4>("h0d")) @[exu_div_ctl.scala 839:101] - node _T_530 = bits(_T_529, 0, 0) @[exu_div_ctl.scala 839:101] - node _T_531 = eq(_T_528, _T_530) @[exu_div_ctl.scala 839:79] - node _T_532 = or(_T_527, _T_531) @[exu_div_ctl.scala 839:56] - node _T_533 = bits(quotient_raw, 15, 6) @[exu_div_ctl.scala 839:125] - node _T_534 = dshr(UInt<1>("h01"), UInt<4>("h0a")) @[exu_div_ctl.scala 839:151] - node _T_535 = bits(_T_534, 0, 0) @[exu_div_ctl.scala 839:151] - node _T_536 = eq(_T_533, _T_535) @[exu_div_ctl.scala 839:132] - node _T_537 = or(_T_532, _T_536) @[exu_div_ctl.scala 839:109] - node _T_538 = bits(quotient_raw, 15, 7) @[exu_div_ctl.scala 840:23] - node _T_539 = dshr(UInt<1>("h01"), UInt<4>("h09")) @[exu_div_ctl.scala 840:49] - node _T_540 = bits(_T_539, 0, 0) @[exu_div_ctl.scala 840:49] - node _T_541 = eq(_T_538, _T_540) @[exu_div_ctl.scala 840:30] - node _T_542 = or(_T_537, _T_541) @[exu_div_ctl.scala 839:159] - node _T_543 = bits(quotient_raw, 15, 10) @[exu_div_ctl.scala 840:72] - node _T_544 = dshr(UInt<1>("h01"), UInt<3>("h06")) @[exu_div_ctl.scala 840:94] - node _T_545 = bits(_T_544, 0, 0) @[exu_div_ctl.scala 840:94] - node _T_546 = eq(_T_543, _T_545) @[exu_div_ctl.scala 840:79] - node _T_547 = or(_T_542, _T_546) @[exu_div_ctl.scala 840:56] - node _T_548 = bits(quotient_raw, 15, 11) @[exu_div_ctl.scala 840:117] - node _T_549 = dshr(UInt<1>("h01"), UInt<3>("h05")) @[exu_div_ctl.scala 840:138] - node _T_550 = bits(_T_549, 0, 0) @[exu_div_ctl.scala 840:138] - node _T_551 = eq(_T_548, _T_550) @[exu_div_ctl.scala 840:124] - node _T_552 = or(_T_547, _T_551) @[exu_div_ctl.scala 840:101] - node _T_553 = bits(quotient_raw, 15, 14) @[exu_div_ctl.scala 841:23] - node _T_554 = dshr(UInt<1>("h01"), UInt<2>("h02")) @[exu_div_ctl.scala 841:41] - node _T_555 = bits(_T_554, 0, 0) @[exu_div_ctl.scala 841:41] - node _T_556 = eq(_T_553, _T_555) @[exu_div_ctl.scala 841:30] - node _T_557 = or(_T_552, _T_556) @[exu_div_ctl.scala 840:145] - node _T_558 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 841:64] - node _T_559 = eq(_T_558, UInt<1>("h01")) @[exu_div_ctl.scala 841:71] - node _T_560 = or(_T_557, _T_559) @[exu_div_ctl.scala 841:48] - node _T_561 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 843:19] - node _T_562 = eq(_T_561, UInt<1>("h01")) @[exu_div_ctl.scala 843:23] - node _T_563 = bits(quotient_raw, 15, 1) @[exu_div_ctl.scala 843:75] - node _T_564 = mux(UInt<1>("h00"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_488 = eq(_T_487, UInt<12>("h01")) @[exu_div_ctl.scala 835:26] + node _T_489 = bits(quotient_raw, 15, 5) @[exu_div_ctl.scala 835:70] + node _T_490 = eq(_T_489, UInt<11>("h01")) @[exu_div_ctl.scala 835:77] + node _T_491 = or(_T_488, _T_490) @[exu_div_ctl.scala 835:54] + node _T_492 = bits(quotient_raw, 15, 6) @[exu_div_ctl.scala 835:121] + node _T_493 = eq(_T_492, UInt<10>("h01")) @[exu_div_ctl.scala 835:128] + node _T_494 = or(_T_491, _T_493) @[exu_div_ctl.scala 835:105] + node _T_495 = bits(quotient_raw, 15, 7) @[exu_div_ctl.scala 836:21] + node _T_496 = eq(_T_495, UInt<9>("h01")) @[exu_div_ctl.scala 836:28] + node _T_497 = or(_T_494, _T_496) @[exu_div_ctl.scala 835:155] + node _T_498 = bits(quotient_raw, 15, 12) @[exu_div_ctl.scala 836:69] + node _T_499 = eq(_T_498, UInt<4>("h01")) @[exu_div_ctl.scala 836:76] + node _T_500 = or(_T_497, _T_499) @[exu_div_ctl.scala 836:53] + node _T_501 = bits(quotient_raw, 15, 13) @[exu_div_ctl.scala 836:112] + node _T_502 = eq(_T_501, UInt<3>("h01")) @[exu_div_ctl.scala 836:119] + node _T_503 = or(_T_500, _T_502) @[exu_div_ctl.scala 836:96] + node _T_504 = bits(quotient_raw, 15, 14) @[exu_div_ctl.scala 837:21] + node _T_505 = eq(_T_504, UInt<2>("h01")) @[exu_div_ctl.scala 837:28] + node _T_506 = or(_T_503, _T_505) @[exu_div_ctl.scala 836:138] + node _T_507 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 837:62] + node _T_508 = eq(_T_507, UInt<1>("h01")) @[exu_div_ctl.scala 837:69] + node _T_509 = or(_T_506, _T_508) @[exu_div_ctl.scala 837:46] + node _T_510 = bits(quotient_raw, 15, 2) @[exu_div_ctl.scala 839:19] + node _T_511 = eq(_T_510, UInt<14>("h01")) @[exu_div_ctl.scala 839:26] + node _T_512 = bits(quotient_raw, 15, 3) @[exu_div_ctl.scala 839:72] + node _T_513 = eq(_T_512, UInt<13>("h01")) @[exu_div_ctl.scala 839:79] + node _T_514 = or(_T_511, _T_513) @[exu_div_ctl.scala 839:56] + node _T_515 = bits(quotient_raw, 15, 6) @[exu_div_ctl.scala 839:125] + node _T_516 = eq(_T_515, UInt<10>("h01")) @[exu_div_ctl.scala 839:132] + node _T_517 = or(_T_514, _T_516) @[exu_div_ctl.scala 839:109] + node _T_518 = bits(quotient_raw, 15, 7) @[exu_div_ctl.scala 840:23] + node _T_519 = eq(_T_518, UInt<9>("h01")) @[exu_div_ctl.scala 840:30] + node _T_520 = or(_T_517, _T_519) @[exu_div_ctl.scala 839:159] + node _T_521 = bits(quotient_raw, 15, 10) @[exu_div_ctl.scala 840:71] + node _T_522 = eq(_T_521, UInt<6>("h01")) @[exu_div_ctl.scala 840:78] + node _T_523 = or(_T_520, _T_522) @[exu_div_ctl.scala 840:55] + node _T_524 = bits(quotient_raw, 15, 11) @[exu_div_ctl.scala 840:116] + node _T_525 = eq(_T_524, UInt<5>("h01")) @[exu_div_ctl.scala 840:123] + node _T_526 = or(_T_523, _T_525) @[exu_div_ctl.scala 840:100] + node _T_527 = bits(quotient_raw, 15, 14) @[exu_div_ctl.scala 841:23] + node _T_528 = eq(_T_527, UInt<2>("h01")) @[exu_div_ctl.scala 841:30] + node _T_529 = or(_T_526, _T_528) @[exu_div_ctl.scala 840:144] + node _T_530 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 841:64] + node _T_531 = eq(_T_530, UInt<1>("h01")) @[exu_div_ctl.scala 841:71] + node _T_532 = or(_T_529, _T_531) @[exu_div_ctl.scala 841:48] + node _T_533 = bits(quotient_raw, 15, 1) @[exu_div_ctl.scala 843:48] + node _T_534 = mux(UInt<1>("h00"), UInt<14>("h03fff"), UInt<14>("h00")) @[Bitwise.scala 72:12] + node _T_535 = cat(_T_534, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_536 = eq(_T_533, _T_535) @[exu_div_ctl.scala 843:54] + node _T_537 = bits(_T_536, 0, 0) @[exu_div_ctl.scala 843:83] + node _T_538 = bits(quotient_raw, 15, 3) @[exu_div_ctl.scala 843:48] + node _T_539 = mux(UInt<1>("h00"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node _T_540 = cat(_T_539, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_541 = eq(_T_538, _T_540) @[exu_div_ctl.scala 843:54] + node _T_542 = bits(_T_541, 0, 0) @[exu_div_ctl.scala 843:83] + node _T_543 = bits(quotient_raw, 15, 5) @[exu_div_ctl.scala 843:48] + node _T_544 = mux(UInt<1>("h00"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] + node _T_545 = cat(_T_544, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_546 = eq(_T_543, _T_545) @[exu_div_ctl.scala 843:54] + node _T_547 = bits(_T_546, 0, 0) @[exu_div_ctl.scala 843:83] + node _T_548 = bits(quotient_raw, 15, 7) @[exu_div_ctl.scala 843:48] + node _T_549 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_550 = cat(_T_549, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_551 = eq(_T_548, _T_550) @[exu_div_ctl.scala 843:54] + node _T_552 = bits(_T_551, 0, 0) @[exu_div_ctl.scala 843:83] + node _T_553 = bits(quotient_raw, 15, 9) @[exu_div_ctl.scala 843:48] + node _T_554 = mux(UInt<1>("h00"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] + node _T_555 = cat(_T_554, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_556 = eq(_T_553, _T_555) @[exu_div_ctl.scala 843:54] + node _T_557 = bits(_T_556, 0, 0) @[exu_div_ctl.scala 843:83] + node _T_558 = bits(quotient_raw, 15, 11) @[exu_div_ctl.scala 843:48] + node _T_559 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_560 = cat(_T_559, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_561 = eq(_T_558, _T_560) @[exu_div_ctl.scala 843:54] + node _T_562 = bits(_T_561, 0, 0) @[exu_div_ctl.scala 843:83] + node _T_563 = bits(quotient_raw, 15, 13) @[exu_div_ctl.scala 843:48] + node _T_564 = mux(UInt<1>("h00"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] node _T_565 = cat(_T_564, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_566 = eq(_T_563, _T_565) @[exu_div_ctl.scala 843:81] - node _T_567 = bits(_T_566, 0, 0) @[exu_div_ctl.scala 843:110] - node _T_568 = bits(quotient_raw, 15, 3) @[exu_div_ctl.scala 843:75] - node _T_569 = mux(UInt<1>("h00"), UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] - node _T_570 = cat(_T_569, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_571 = eq(_T_568, _T_570) @[exu_div_ctl.scala 843:81] - node _T_572 = bits(_T_571, 0, 0) @[exu_div_ctl.scala 843:110] - node _T_573 = bits(quotient_raw, 15, 5) @[exu_div_ctl.scala 843:75] - node _T_574 = mux(UInt<1>("h00"), UInt<10>("h03ff"), UInt<10>("h00")) @[Bitwise.scala 72:12] - node _T_575 = cat(_T_574, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_576 = eq(_T_573, _T_575) @[exu_div_ctl.scala 843:81] - node _T_577 = bits(_T_576, 0, 0) @[exu_div_ctl.scala 843:110] - node _T_578 = bits(quotient_raw, 15, 7) @[exu_div_ctl.scala 843:75] - node _T_579 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_580 = cat(_T_579, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_581 = eq(_T_578, _T_580) @[exu_div_ctl.scala 843:81] - node _T_582 = bits(_T_581, 0, 0) @[exu_div_ctl.scala 843:110] - node _T_583 = bits(quotient_raw, 15, 9) @[exu_div_ctl.scala 843:75] - node _T_584 = mux(UInt<1>("h00"), UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_585 = cat(_T_584, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_586 = eq(_T_583, _T_585) @[exu_div_ctl.scala 843:81] - node _T_587 = bits(_T_586, 0, 0) @[exu_div_ctl.scala 843:110] - node _T_588 = bits(quotient_raw, 15, 11) @[exu_div_ctl.scala 843:75] - node _T_589 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_590 = cat(_T_589, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_591 = eq(_T_588, _T_590) @[exu_div_ctl.scala 843:81] - node _T_592 = bits(_T_591, 0, 0) @[exu_div_ctl.scala 843:110] - node _T_593 = bits(quotient_raw, 15, 13) @[exu_div_ctl.scala 843:75] - node _T_594 = mux(UInt<1>("h00"), UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_595 = cat(_T_594, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_596 = eq(_T_593, _T_595) @[exu_div_ctl.scala 843:81] - node _T_597 = bits(_T_596, 0, 0) @[exu_div_ctl.scala 843:110] - node _T_598 = mux(_T_567, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_599 = mux(_T_572, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_600 = mux(_T_577, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_601 = mux(_T_582, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_602 = mux(_T_587, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_603 = mux(_T_592, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_604 = mux(_T_597, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_605 = or(_T_598, _T_599) @[Mux.scala 27:72] - node _T_606 = or(_T_605, _T_600) @[Mux.scala 27:72] - node _T_607 = or(_T_606, _T_601) @[Mux.scala 27:72] - node _T_608 = or(_T_607, _T_602) @[Mux.scala 27:72] - node _T_609 = or(_T_608, _T_603) @[Mux.scala 27:72] - node _T_610 = or(_T_609, _T_604) @[Mux.scala 27:72] - wire _T_611 : UInt<1> @[Mux.scala 27:72] - _T_611 <= _T_610 @[Mux.scala 27:72] - node _T_612 = or(_T_562, _T_611) @[exu_div_ctl.scala 843:31] - node _T_613 = cat(_T_560, _T_612) @[Cat.scala 29:58] - node _T_614 = cat(_T_486, _T_523) @[Cat.scala 29:58] - node _T_615 = cat(_T_614, _T_613) @[Cat.scala 29:58] - quotient_new <= _T_615 @[exu_div_ctl.scala 832:16] - node _T_616 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 847:48] - node _T_617 = mux(twos_comp_q_sel, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_618 = mux(twos_comp_b_sel, _T_616, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_619 = or(_T_617, _T_618) @[Mux.scala 27:72] + node _T_566 = eq(_T_563, _T_565) @[exu_div_ctl.scala 843:54] + node _T_567 = bits(_T_566, 0, 0) @[exu_div_ctl.scala 843:83] + node _T_568 = mux(_T_537, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_569 = mux(_T_542, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_570 = mux(_T_547, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_571 = mux(_T_552, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_572 = mux(_T_557, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_573 = mux(_T_562, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_574 = mux(_T_567, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_575 = or(_T_568, _T_569) @[Mux.scala 27:72] + node _T_576 = or(_T_575, _T_570) @[Mux.scala 27:72] + node _T_577 = or(_T_576, _T_571) @[Mux.scala 27:72] + node _T_578 = or(_T_577, _T_572) @[Mux.scala 27:72] + node _T_579 = or(_T_578, _T_573) @[Mux.scala 27:72] + node _T_580 = or(_T_579, _T_574) @[Mux.scala 27:72] + wire _T_581 : UInt<1> @[Mux.scala 27:72] + _T_581 <= _T_580 @[Mux.scala 27:72] + node _T_582 = bits(quotient_raw, 15, 15) @[exu_div_ctl.scala 843:114] + node _T_583 = eq(_T_582, UInt<1>("h01")) @[exu_div_ctl.scala 843:118] + node _T_584 = or(_T_581, _T_583) @[exu_div_ctl.scala 843:99] + node _T_585 = cat(_T_532, _T_584) @[Cat.scala 29:58] + node _T_586 = cat(_T_486, _T_509) @[Cat.scala 29:58] + node _T_587 = cat(_T_586, _T_585) @[Cat.scala 29:58] + quotient_new <= _T_587 @[exu_div_ctl.scala 832:16] + node _T_588 = bits(b_ff, 31, 0) @[exu_div_ctl.scala 846:48] + node _T_589 = mux(twos_comp_q_sel, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_590 = mux(twos_comp_b_sel, _T_588, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_591 = or(_T_589, _T_590) @[Mux.scala 27:72] wire twos_comp_in : UInt<32> @[Mux.scala 27:72] - twos_comp_in <= _T_619 @[Mux.scala 27:72] - wire _T_620 : UInt<1>[31] @[lib.scala 426:20] - node _T_621 = bits(twos_comp_in, 0, 0) @[lib.scala 428:27] - node _T_622 = orr(_T_621) @[lib.scala 428:35] - node _T_623 = bits(twos_comp_in, 1, 1) @[lib.scala 428:44] - node _T_624 = not(_T_623) @[lib.scala 428:40] - node _T_625 = bits(twos_comp_in, 1, 1) @[lib.scala 428:51] - node _T_626 = mux(_T_622, _T_624, _T_625) @[lib.scala 428:23] - _T_620[0] <= _T_626 @[lib.scala 428:17] - node _T_627 = bits(twos_comp_in, 1, 0) @[lib.scala 428:27] - node _T_628 = orr(_T_627) @[lib.scala 428:35] - node _T_629 = bits(twos_comp_in, 2, 2) @[lib.scala 428:44] - node _T_630 = not(_T_629) @[lib.scala 428:40] - node _T_631 = bits(twos_comp_in, 2, 2) @[lib.scala 428:51] - node _T_632 = mux(_T_628, _T_630, _T_631) @[lib.scala 428:23] - _T_620[1] <= _T_632 @[lib.scala 428:17] - node _T_633 = bits(twos_comp_in, 2, 0) @[lib.scala 428:27] - node _T_634 = orr(_T_633) @[lib.scala 428:35] - node _T_635 = bits(twos_comp_in, 3, 3) @[lib.scala 428:44] - node _T_636 = not(_T_635) @[lib.scala 428:40] - node _T_637 = bits(twos_comp_in, 3, 3) @[lib.scala 428:51] - node _T_638 = mux(_T_634, _T_636, _T_637) @[lib.scala 428:23] - _T_620[2] <= _T_638 @[lib.scala 428:17] - node _T_639 = bits(twos_comp_in, 3, 0) @[lib.scala 428:27] - node _T_640 = orr(_T_639) @[lib.scala 428:35] - node _T_641 = bits(twos_comp_in, 4, 4) @[lib.scala 428:44] - node _T_642 = not(_T_641) @[lib.scala 428:40] - node _T_643 = bits(twos_comp_in, 4, 4) @[lib.scala 428:51] - node _T_644 = mux(_T_640, _T_642, _T_643) @[lib.scala 428:23] - _T_620[3] <= _T_644 @[lib.scala 428:17] - node _T_645 = bits(twos_comp_in, 4, 0) @[lib.scala 428:27] - node _T_646 = orr(_T_645) @[lib.scala 428:35] - node _T_647 = bits(twos_comp_in, 5, 5) @[lib.scala 428:44] - node _T_648 = not(_T_647) @[lib.scala 428:40] - node _T_649 = bits(twos_comp_in, 5, 5) @[lib.scala 428:51] - node _T_650 = mux(_T_646, _T_648, _T_649) @[lib.scala 428:23] - _T_620[4] <= _T_650 @[lib.scala 428:17] - node _T_651 = bits(twos_comp_in, 5, 0) @[lib.scala 428:27] - node _T_652 = orr(_T_651) @[lib.scala 428:35] - node _T_653 = bits(twos_comp_in, 6, 6) @[lib.scala 428:44] - node _T_654 = not(_T_653) @[lib.scala 428:40] - node _T_655 = bits(twos_comp_in, 6, 6) @[lib.scala 428:51] - node _T_656 = mux(_T_652, _T_654, _T_655) @[lib.scala 428:23] - _T_620[5] <= _T_656 @[lib.scala 428:17] - node _T_657 = bits(twos_comp_in, 6, 0) @[lib.scala 428:27] - node _T_658 = orr(_T_657) @[lib.scala 428:35] - node _T_659 = bits(twos_comp_in, 7, 7) @[lib.scala 428:44] - node _T_660 = not(_T_659) @[lib.scala 428:40] - node _T_661 = bits(twos_comp_in, 7, 7) @[lib.scala 428:51] - node _T_662 = mux(_T_658, _T_660, _T_661) @[lib.scala 428:23] - _T_620[6] <= _T_662 @[lib.scala 428:17] - node _T_663 = bits(twos_comp_in, 7, 0) @[lib.scala 428:27] - node _T_664 = orr(_T_663) @[lib.scala 428:35] - node _T_665 = bits(twos_comp_in, 8, 8) @[lib.scala 428:44] - node _T_666 = not(_T_665) @[lib.scala 428:40] - node _T_667 = bits(twos_comp_in, 8, 8) @[lib.scala 428:51] - node _T_668 = mux(_T_664, _T_666, _T_667) @[lib.scala 428:23] - _T_620[7] <= _T_668 @[lib.scala 428:17] - node _T_669 = bits(twos_comp_in, 8, 0) @[lib.scala 428:27] - node _T_670 = orr(_T_669) @[lib.scala 428:35] - node _T_671 = bits(twos_comp_in, 9, 9) @[lib.scala 428:44] - node _T_672 = not(_T_671) @[lib.scala 428:40] - node _T_673 = bits(twos_comp_in, 9, 9) @[lib.scala 428:51] - node _T_674 = mux(_T_670, _T_672, _T_673) @[lib.scala 428:23] - _T_620[8] <= _T_674 @[lib.scala 428:17] - node _T_675 = bits(twos_comp_in, 9, 0) @[lib.scala 428:27] - node _T_676 = orr(_T_675) @[lib.scala 428:35] - node _T_677 = bits(twos_comp_in, 10, 10) @[lib.scala 428:44] - node _T_678 = not(_T_677) @[lib.scala 428:40] - node _T_679 = bits(twos_comp_in, 10, 10) @[lib.scala 428:51] - node _T_680 = mux(_T_676, _T_678, _T_679) @[lib.scala 428:23] - _T_620[9] <= _T_680 @[lib.scala 428:17] - node _T_681 = bits(twos_comp_in, 10, 0) @[lib.scala 428:27] - node _T_682 = orr(_T_681) @[lib.scala 428:35] - node _T_683 = bits(twos_comp_in, 11, 11) @[lib.scala 428:44] - node _T_684 = not(_T_683) @[lib.scala 428:40] - node _T_685 = bits(twos_comp_in, 11, 11) @[lib.scala 428:51] - node _T_686 = mux(_T_682, _T_684, _T_685) @[lib.scala 428:23] - _T_620[10] <= _T_686 @[lib.scala 428:17] - node _T_687 = bits(twos_comp_in, 11, 0) @[lib.scala 428:27] - node _T_688 = orr(_T_687) @[lib.scala 428:35] - node _T_689 = bits(twos_comp_in, 12, 12) @[lib.scala 428:44] - node _T_690 = not(_T_689) @[lib.scala 428:40] - node _T_691 = bits(twos_comp_in, 12, 12) @[lib.scala 428:51] - node _T_692 = mux(_T_688, _T_690, _T_691) @[lib.scala 428:23] - _T_620[11] <= _T_692 @[lib.scala 428:17] - node _T_693 = bits(twos_comp_in, 12, 0) @[lib.scala 428:27] - node _T_694 = orr(_T_693) @[lib.scala 428:35] - node _T_695 = bits(twos_comp_in, 13, 13) @[lib.scala 428:44] - node _T_696 = not(_T_695) @[lib.scala 428:40] - node _T_697 = bits(twos_comp_in, 13, 13) @[lib.scala 428:51] - node _T_698 = mux(_T_694, _T_696, _T_697) @[lib.scala 428:23] - _T_620[12] <= _T_698 @[lib.scala 428:17] - node _T_699 = bits(twos_comp_in, 13, 0) @[lib.scala 428:27] - node _T_700 = orr(_T_699) @[lib.scala 428:35] - node _T_701 = bits(twos_comp_in, 14, 14) @[lib.scala 428:44] - node _T_702 = not(_T_701) @[lib.scala 428:40] - node _T_703 = bits(twos_comp_in, 14, 14) @[lib.scala 428:51] - node _T_704 = mux(_T_700, _T_702, _T_703) @[lib.scala 428:23] - _T_620[13] <= _T_704 @[lib.scala 428:17] - node _T_705 = bits(twos_comp_in, 14, 0) @[lib.scala 428:27] - node _T_706 = orr(_T_705) @[lib.scala 428:35] - node _T_707 = bits(twos_comp_in, 15, 15) @[lib.scala 428:44] - node _T_708 = not(_T_707) @[lib.scala 428:40] - node _T_709 = bits(twos_comp_in, 15, 15) @[lib.scala 428:51] - node _T_710 = mux(_T_706, _T_708, _T_709) @[lib.scala 428:23] - _T_620[14] <= _T_710 @[lib.scala 428:17] - node _T_711 = bits(twos_comp_in, 15, 0) @[lib.scala 428:27] - node _T_712 = orr(_T_711) @[lib.scala 428:35] - node _T_713 = bits(twos_comp_in, 16, 16) @[lib.scala 428:44] - node _T_714 = not(_T_713) @[lib.scala 428:40] - node _T_715 = bits(twos_comp_in, 16, 16) @[lib.scala 428:51] - node _T_716 = mux(_T_712, _T_714, _T_715) @[lib.scala 428:23] - _T_620[15] <= _T_716 @[lib.scala 428:17] - node _T_717 = bits(twos_comp_in, 16, 0) @[lib.scala 428:27] - node _T_718 = orr(_T_717) @[lib.scala 428:35] - node _T_719 = bits(twos_comp_in, 17, 17) @[lib.scala 428:44] - node _T_720 = not(_T_719) @[lib.scala 428:40] - node _T_721 = bits(twos_comp_in, 17, 17) @[lib.scala 428:51] - node _T_722 = mux(_T_718, _T_720, _T_721) @[lib.scala 428:23] - _T_620[16] <= _T_722 @[lib.scala 428:17] - node _T_723 = bits(twos_comp_in, 17, 0) @[lib.scala 428:27] - node _T_724 = orr(_T_723) @[lib.scala 428:35] - node _T_725 = bits(twos_comp_in, 18, 18) @[lib.scala 428:44] - node _T_726 = not(_T_725) @[lib.scala 428:40] - node _T_727 = bits(twos_comp_in, 18, 18) @[lib.scala 428:51] - node _T_728 = mux(_T_724, _T_726, _T_727) @[lib.scala 428:23] - _T_620[17] <= _T_728 @[lib.scala 428:17] - node _T_729 = bits(twos_comp_in, 18, 0) @[lib.scala 428:27] - node _T_730 = orr(_T_729) @[lib.scala 428:35] - node _T_731 = bits(twos_comp_in, 19, 19) @[lib.scala 428:44] - node _T_732 = not(_T_731) @[lib.scala 428:40] - node _T_733 = bits(twos_comp_in, 19, 19) @[lib.scala 428:51] - node _T_734 = mux(_T_730, _T_732, _T_733) @[lib.scala 428:23] - _T_620[18] <= _T_734 @[lib.scala 428:17] - node _T_735 = bits(twos_comp_in, 19, 0) @[lib.scala 428:27] - node _T_736 = orr(_T_735) @[lib.scala 428:35] - node _T_737 = bits(twos_comp_in, 20, 20) @[lib.scala 428:44] - node _T_738 = not(_T_737) @[lib.scala 428:40] - node _T_739 = bits(twos_comp_in, 20, 20) @[lib.scala 428:51] - node _T_740 = mux(_T_736, _T_738, _T_739) @[lib.scala 428:23] - _T_620[19] <= _T_740 @[lib.scala 428:17] - node _T_741 = bits(twos_comp_in, 20, 0) @[lib.scala 428:27] - node _T_742 = orr(_T_741) @[lib.scala 428:35] - node _T_743 = bits(twos_comp_in, 21, 21) @[lib.scala 428:44] - node _T_744 = not(_T_743) @[lib.scala 428:40] - node _T_745 = bits(twos_comp_in, 21, 21) @[lib.scala 428:51] - node _T_746 = mux(_T_742, _T_744, _T_745) @[lib.scala 428:23] - _T_620[20] <= _T_746 @[lib.scala 428:17] - node _T_747 = bits(twos_comp_in, 21, 0) @[lib.scala 428:27] - node _T_748 = orr(_T_747) @[lib.scala 428:35] - node _T_749 = bits(twos_comp_in, 22, 22) @[lib.scala 428:44] - node _T_750 = not(_T_749) @[lib.scala 428:40] - node _T_751 = bits(twos_comp_in, 22, 22) @[lib.scala 428:51] - node _T_752 = mux(_T_748, _T_750, _T_751) @[lib.scala 428:23] - _T_620[21] <= _T_752 @[lib.scala 428:17] - node _T_753 = bits(twos_comp_in, 22, 0) @[lib.scala 428:27] - node _T_754 = orr(_T_753) @[lib.scala 428:35] - node _T_755 = bits(twos_comp_in, 23, 23) @[lib.scala 428:44] - node _T_756 = not(_T_755) @[lib.scala 428:40] - node _T_757 = bits(twos_comp_in, 23, 23) @[lib.scala 428:51] - node _T_758 = mux(_T_754, _T_756, _T_757) @[lib.scala 428:23] - _T_620[22] <= _T_758 @[lib.scala 428:17] - node _T_759 = bits(twos_comp_in, 23, 0) @[lib.scala 428:27] - node _T_760 = orr(_T_759) @[lib.scala 428:35] - node _T_761 = bits(twos_comp_in, 24, 24) @[lib.scala 428:44] - node _T_762 = not(_T_761) @[lib.scala 428:40] - node _T_763 = bits(twos_comp_in, 24, 24) @[lib.scala 428:51] - node _T_764 = mux(_T_760, _T_762, _T_763) @[lib.scala 428:23] - _T_620[23] <= _T_764 @[lib.scala 428:17] - node _T_765 = bits(twos_comp_in, 24, 0) @[lib.scala 428:27] - node _T_766 = orr(_T_765) @[lib.scala 428:35] - node _T_767 = bits(twos_comp_in, 25, 25) @[lib.scala 428:44] - node _T_768 = not(_T_767) @[lib.scala 428:40] - node _T_769 = bits(twos_comp_in, 25, 25) @[lib.scala 428:51] - node _T_770 = mux(_T_766, _T_768, _T_769) @[lib.scala 428:23] - _T_620[24] <= _T_770 @[lib.scala 428:17] - node _T_771 = bits(twos_comp_in, 25, 0) @[lib.scala 428:27] - node _T_772 = orr(_T_771) @[lib.scala 428:35] - node _T_773 = bits(twos_comp_in, 26, 26) @[lib.scala 428:44] - node _T_774 = not(_T_773) @[lib.scala 428:40] - node _T_775 = bits(twos_comp_in, 26, 26) @[lib.scala 428:51] - node _T_776 = mux(_T_772, _T_774, _T_775) @[lib.scala 428:23] - _T_620[25] <= _T_776 @[lib.scala 428:17] - node _T_777 = bits(twos_comp_in, 26, 0) @[lib.scala 428:27] - node _T_778 = orr(_T_777) @[lib.scala 428:35] - node _T_779 = bits(twos_comp_in, 27, 27) @[lib.scala 428:44] - node _T_780 = not(_T_779) @[lib.scala 428:40] - node _T_781 = bits(twos_comp_in, 27, 27) @[lib.scala 428:51] - node _T_782 = mux(_T_778, _T_780, _T_781) @[lib.scala 428:23] - _T_620[26] <= _T_782 @[lib.scala 428:17] - node _T_783 = bits(twos_comp_in, 27, 0) @[lib.scala 428:27] - node _T_784 = orr(_T_783) @[lib.scala 428:35] - node _T_785 = bits(twos_comp_in, 28, 28) @[lib.scala 428:44] - node _T_786 = not(_T_785) @[lib.scala 428:40] - node _T_787 = bits(twos_comp_in, 28, 28) @[lib.scala 428:51] - node _T_788 = mux(_T_784, _T_786, _T_787) @[lib.scala 428:23] - _T_620[27] <= _T_788 @[lib.scala 428:17] - node _T_789 = bits(twos_comp_in, 28, 0) @[lib.scala 428:27] - node _T_790 = orr(_T_789) @[lib.scala 428:35] - node _T_791 = bits(twos_comp_in, 29, 29) @[lib.scala 428:44] - node _T_792 = not(_T_791) @[lib.scala 428:40] - node _T_793 = bits(twos_comp_in, 29, 29) @[lib.scala 428:51] - node _T_794 = mux(_T_790, _T_792, _T_793) @[lib.scala 428:23] - _T_620[28] <= _T_794 @[lib.scala 428:17] - node _T_795 = bits(twos_comp_in, 29, 0) @[lib.scala 428:27] - node _T_796 = orr(_T_795) @[lib.scala 428:35] - node _T_797 = bits(twos_comp_in, 30, 30) @[lib.scala 428:44] - node _T_798 = not(_T_797) @[lib.scala 428:40] - node _T_799 = bits(twos_comp_in, 30, 30) @[lib.scala 428:51] - node _T_800 = mux(_T_796, _T_798, _T_799) @[lib.scala 428:23] - _T_620[29] <= _T_800 @[lib.scala 428:17] - node _T_801 = bits(twos_comp_in, 30, 0) @[lib.scala 428:27] - node _T_802 = orr(_T_801) @[lib.scala 428:35] - node _T_803 = bits(twos_comp_in, 31, 31) @[lib.scala 428:44] - node _T_804 = not(_T_803) @[lib.scala 428:40] - node _T_805 = bits(twos_comp_in, 31, 31) @[lib.scala 428:51] - node _T_806 = mux(_T_802, _T_804, _T_805) @[lib.scala 428:23] - _T_620[30] <= _T_806 @[lib.scala 428:17] - node _T_807 = cat(_T_620[2], _T_620[1]) @[lib.scala 430:14] - node _T_808 = cat(_T_807, _T_620[0]) @[lib.scala 430:14] - node _T_809 = cat(_T_620[4], _T_620[3]) @[lib.scala 430:14] - node _T_810 = cat(_T_620[6], _T_620[5]) @[lib.scala 430:14] - node _T_811 = cat(_T_810, _T_809) @[lib.scala 430:14] - node _T_812 = cat(_T_811, _T_808) @[lib.scala 430:14] - node _T_813 = cat(_T_620[8], _T_620[7]) @[lib.scala 430:14] - node _T_814 = cat(_T_620[10], _T_620[9]) @[lib.scala 430:14] - node _T_815 = cat(_T_814, _T_813) @[lib.scala 430:14] - node _T_816 = cat(_T_620[12], _T_620[11]) @[lib.scala 430:14] - node _T_817 = cat(_T_620[14], _T_620[13]) @[lib.scala 430:14] - node _T_818 = cat(_T_817, _T_816) @[lib.scala 430:14] - node _T_819 = cat(_T_818, _T_815) @[lib.scala 430:14] - node _T_820 = cat(_T_819, _T_812) @[lib.scala 430:14] - node _T_821 = cat(_T_620[16], _T_620[15]) @[lib.scala 430:14] - node _T_822 = cat(_T_620[18], _T_620[17]) @[lib.scala 430:14] - node _T_823 = cat(_T_822, _T_821) @[lib.scala 430:14] - node _T_824 = cat(_T_620[20], _T_620[19]) @[lib.scala 430:14] - node _T_825 = cat(_T_620[22], _T_620[21]) @[lib.scala 430:14] - node _T_826 = cat(_T_825, _T_824) @[lib.scala 430:14] - node _T_827 = cat(_T_826, _T_823) @[lib.scala 430:14] - node _T_828 = cat(_T_620[24], _T_620[23]) @[lib.scala 430:14] - node _T_829 = cat(_T_620[26], _T_620[25]) @[lib.scala 430:14] - node _T_830 = cat(_T_829, _T_828) @[lib.scala 430:14] - node _T_831 = cat(_T_620[28], _T_620[27]) @[lib.scala 430:14] - node _T_832 = cat(_T_620[30], _T_620[29]) @[lib.scala 430:14] - node _T_833 = cat(_T_832, _T_831) @[lib.scala 430:14] - node _T_834 = cat(_T_833, _T_830) @[lib.scala 430:14] - node _T_835 = cat(_T_834, _T_827) @[lib.scala 430:14] - node _T_836 = cat(_T_835, _T_820) @[lib.scala 430:14] - node _T_837 = bits(twos_comp_in, 0, 0) @[lib.scala 430:24] - node twos_comp_out = cat(_T_836, _T_837) @[Cat.scala 29:58] - node _T_838 = eq(a_shift, UInt<1>("h00")) @[exu_div_ctl.scala 851:6] - node _T_839 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 851:17] - node _T_840 = and(_T_838, _T_839) @[exu_div_ctl.scala 851:15] - node _T_841 = bits(_T_840, 0, 0) @[exu_div_ctl.scala 851:36] - node _T_842 = bits(io.dividend_in, 31, 0) @[exu_div_ctl.scala 851:60] - node _T_843 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 852:53] - node _T_844 = cat(_T_843, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_845 = bits(ar_shifted, 31, 0) @[exu_div_ctl.scala 853:55] - node _T_846 = mux(_T_841, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_847 = mux(a_shift, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_848 = mux(shortq_enable_ff, _T_845, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_849 = or(_T_846, _T_847) @[Mux.scala 27:72] - node _T_850 = or(_T_849, _T_848) @[Mux.scala 27:72] + twos_comp_in <= _T_591 @[Mux.scala 27:72] + wire _T_592 : UInt<1>[31] @[lib.scala 426:20] + node _T_593 = bits(twos_comp_in, 0, 0) @[lib.scala 428:27] + node _T_594 = orr(_T_593) @[lib.scala 428:35] + node _T_595 = bits(twos_comp_in, 1, 1) @[lib.scala 428:44] + node _T_596 = not(_T_595) @[lib.scala 428:40] + node _T_597 = bits(twos_comp_in, 1, 1) @[lib.scala 428:51] + node _T_598 = mux(_T_594, _T_596, _T_597) @[lib.scala 428:23] + _T_592[0] <= _T_598 @[lib.scala 428:17] + node _T_599 = bits(twos_comp_in, 1, 0) @[lib.scala 428:27] + node _T_600 = orr(_T_599) @[lib.scala 428:35] + node _T_601 = bits(twos_comp_in, 2, 2) @[lib.scala 428:44] + node _T_602 = not(_T_601) @[lib.scala 428:40] + node _T_603 = bits(twos_comp_in, 2, 2) @[lib.scala 428:51] + node _T_604 = mux(_T_600, _T_602, _T_603) @[lib.scala 428:23] + _T_592[1] <= _T_604 @[lib.scala 428:17] + node _T_605 = bits(twos_comp_in, 2, 0) @[lib.scala 428:27] + node _T_606 = orr(_T_605) @[lib.scala 428:35] + node _T_607 = bits(twos_comp_in, 3, 3) @[lib.scala 428:44] + node _T_608 = not(_T_607) @[lib.scala 428:40] + node _T_609 = bits(twos_comp_in, 3, 3) @[lib.scala 428:51] + node _T_610 = mux(_T_606, _T_608, _T_609) @[lib.scala 428:23] + _T_592[2] <= _T_610 @[lib.scala 428:17] + node _T_611 = bits(twos_comp_in, 3, 0) @[lib.scala 428:27] + node _T_612 = orr(_T_611) @[lib.scala 428:35] + node _T_613 = bits(twos_comp_in, 4, 4) @[lib.scala 428:44] + node _T_614 = not(_T_613) @[lib.scala 428:40] + node _T_615 = bits(twos_comp_in, 4, 4) @[lib.scala 428:51] + node _T_616 = mux(_T_612, _T_614, _T_615) @[lib.scala 428:23] + _T_592[3] <= _T_616 @[lib.scala 428:17] + node _T_617 = bits(twos_comp_in, 4, 0) @[lib.scala 428:27] + node _T_618 = orr(_T_617) @[lib.scala 428:35] + node _T_619 = bits(twos_comp_in, 5, 5) @[lib.scala 428:44] + node _T_620 = not(_T_619) @[lib.scala 428:40] + node _T_621 = bits(twos_comp_in, 5, 5) @[lib.scala 428:51] + node _T_622 = mux(_T_618, _T_620, _T_621) @[lib.scala 428:23] + _T_592[4] <= _T_622 @[lib.scala 428:17] + node _T_623 = bits(twos_comp_in, 5, 0) @[lib.scala 428:27] + node _T_624 = orr(_T_623) @[lib.scala 428:35] + node _T_625 = bits(twos_comp_in, 6, 6) @[lib.scala 428:44] + node _T_626 = not(_T_625) @[lib.scala 428:40] + node _T_627 = bits(twos_comp_in, 6, 6) @[lib.scala 428:51] + node _T_628 = mux(_T_624, _T_626, _T_627) @[lib.scala 428:23] + _T_592[5] <= _T_628 @[lib.scala 428:17] + node _T_629 = bits(twos_comp_in, 6, 0) @[lib.scala 428:27] + node _T_630 = orr(_T_629) @[lib.scala 428:35] + node _T_631 = bits(twos_comp_in, 7, 7) @[lib.scala 428:44] + node _T_632 = not(_T_631) @[lib.scala 428:40] + node _T_633 = bits(twos_comp_in, 7, 7) @[lib.scala 428:51] + node _T_634 = mux(_T_630, _T_632, _T_633) @[lib.scala 428:23] + _T_592[6] <= _T_634 @[lib.scala 428:17] + node _T_635 = bits(twos_comp_in, 7, 0) @[lib.scala 428:27] + node _T_636 = orr(_T_635) @[lib.scala 428:35] + node _T_637 = bits(twos_comp_in, 8, 8) @[lib.scala 428:44] + node _T_638 = not(_T_637) @[lib.scala 428:40] + node _T_639 = bits(twos_comp_in, 8, 8) @[lib.scala 428:51] + node _T_640 = mux(_T_636, _T_638, _T_639) @[lib.scala 428:23] + _T_592[7] <= _T_640 @[lib.scala 428:17] + node _T_641 = bits(twos_comp_in, 8, 0) @[lib.scala 428:27] + node _T_642 = orr(_T_641) @[lib.scala 428:35] + node _T_643 = bits(twos_comp_in, 9, 9) @[lib.scala 428:44] + node _T_644 = not(_T_643) @[lib.scala 428:40] + node _T_645 = bits(twos_comp_in, 9, 9) @[lib.scala 428:51] + node _T_646 = mux(_T_642, _T_644, _T_645) @[lib.scala 428:23] + _T_592[8] <= _T_646 @[lib.scala 428:17] + node _T_647 = bits(twos_comp_in, 9, 0) @[lib.scala 428:27] + node _T_648 = orr(_T_647) @[lib.scala 428:35] + node _T_649 = bits(twos_comp_in, 10, 10) @[lib.scala 428:44] + node _T_650 = not(_T_649) @[lib.scala 428:40] + node _T_651 = bits(twos_comp_in, 10, 10) @[lib.scala 428:51] + node _T_652 = mux(_T_648, _T_650, _T_651) @[lib.scala 428:23] + _T_592[9] <= _T_652 @[lib.scala 428:17] + node _T_653 = bits(twos_comp_in, 10, 0) @[lib.scala 428:27] + node _T_654 = orr(_T_653) @[lib.scala 428:35] + node _T_655 = bits(twos_comp_in, 11, 11) @[lib.scala 428:44] + node _T_656 = not(_T_655) @[lib.scala 428:40] + node _T_657 = bits(twos_comp_in, 11, 11) @[lib.scala 428:51] + node _T_658 = mux(_T_654, _T_656, _T_657) @[lib.scala 428:23] + _T_592[10] <= _T_658 @[lib.scala 428:17] + node _T_659 = bits(twos_comp_in, 11, 0) @[lib.scala 428:27] + node _T_660 = orr(_T_659) @[lib.scala 428:35] + node _T_661 = bits(twos_comp_in, 12, 12) @[lib.scala 428:44] + node _T_662 = not(_T_661) @[lib.scala 428:40] + node _T_663 = bits(twos_comp_in, 12, 12) @[lib.scala 428:51] + node _T_664 = mux(_T_660, _T_662, _T_663) @[lib.scala 428:23] + _T_592[11] <= _T_664 @[lib.scala 428:17] + node _T_665 = bits(twos_comp_in, 12, 0) @[lib.scala 428:27] + node _T_666 = orr(_T_665) @[lib.scala 428:35] + node _T_667 = bits(twos_comp_in, 13, 13) @[lib.scala 428:44] + node _T_668 = not(_T_667) @[lib.scala 428:40] + node _T_669 = bits(twos_comp_in, 13, 13) @[lib.scala 428:51] + node _T_670 = mux(_T_666, _T_668, _T_669) @[lib.scala 428:23] + _T_592[12] <= _T_670 @[lib.scala 428:17] + node _T_671 = bits(twos_comp_in, 13, 0) @[lib.scala 428:27] + node _T_672 = orr(_T_671) @[lib.scala 428:35] + node _T_673 = bits(twos_comp_in, 14, 14) @[lib.scala 428:44] + node _T_674 = not(_T_673) @[lib.scala 428:40] + node _T_675 = bits(twos_comp_in, 14, 14) @[lib.scala 428:51] + node _T_676 = mux(_T_672, _T_674, _T_675) @[lib.scala 428:23] + _T_592[13] <= _T_676 @[lib.scala 428:17] + node _T_677 = bits(twos_comp_in, 14, 0) @[lib.scala 428:27] + node _T_678 = orr(_T_677) @[lib.scala 428:35] + node _T_679 = bits(twos_comp_in, 15, 15) @[lib.scala 428:44] + node _T_680 = not(_T_679) @[lib.scala 428:40] + node _T_681 = bits(twos_comp_in, 15, 15) @[lib.scala 428:51] + node _T_682 = mux(_T_678, _T_680, _T_681) @[lib.scala 428:23] + _T_592[14] <= _T_682 @[lib.scala 428:17] + node _T_683 = bits(twos_comp_in, 15, 0) @[lib.scala 428:27] + node _T_684 = orr(_T_683) @[lib.scala 428:35] + node _T_685 = bits(twos_comp_in, 16, 16) @[lib.scala 428:44] + node _T_686 = not(_T_685) @[lib.scala 428:40] + node _T_687 = bits(twos_comp_in, 16, 16) @[lib.scala 428:51] + node _T_688 = mux(_T_684, _T_686, _T_687) @[lib.scala 428:23] + _T_592[15] <= _T_688 @[lib.scala 428:17] + node _T_689 = bits(twos_comp_in, 16, 0) @[lib.scala 428:27] + node _T_690 = orr(_T_689) @[lib.scala 428:35] + node _T_691 = bits(twos_comp_in, 17, 17) @[lib.scala 428:44] + node _T_692 = not(_T_691) @[lib.scala 428:40] + node _T_693 = bits(twos_comp_in, 17, 17) @[lib.scala 428:51] + node _T_694 = mux(_T_690, _T_692, _T_693) @[lib.scala 428:23] + _T_592[16] <= _T_694 @[lib.scala 428:17] + node _T_695 = bits(twos_comp_in, 17, 0) @[lib.scala 428:27] + node _T_696 = orr(_T_695) @[lib.scala 428:35] + node _T_697 = bits(twos_comp_in, 18, 18) @[lib.scala 428:44] + node _T_698 = not(_T_697) @[lib.scala 428:40] + node _T_699 = bits(twos_comp_in, 18, 18) @[lib.scala 428:51] + node _T_700 = mux(_T_696, _T_698, _T_699) @[lib.scala 428:23] + _T_592[17] <= _T_700 @[lib.scala 428:17] + node _T_701 = bits(twos_comp_in, 18, 0) @[lib.scala 428:27] + node _T_702 = orr(_T_701) @[lib.scala 428:35] + node _T_703 = bits(twos_comp_in, 19, 19) @[lib.scala 428:44] + node _T_704 = not(_T_703) @[lib.scala 428:40] + node _T_705 = bits(twos_comp_in, 19, 19) @[lib.scala 428:51] + node _T_706 = mux(_T_702, _T_704, _T_705) @[lib.scala 428:23] + _T_592[18] <= _T_706 @[lib.scala 428:17] + node _T_707 = bits(twos_comp_in, 19, 0) @[lib.scala 428:27] + node _T_708 = orr(_T_707) @[lib.scala 428:35] + node _T_709 = bits(twos_comp_in, 20, 20) @[lib.scala 428:44] + node _T_710 = not(_T_709) @[lib.scala 428:40] + node _T_711 = bits(twos_comp_in, 20, 20) @[lib.scala 428:51] + node _T_712 = mux(_T_708, _T_710, _T_711) @[lib.scala 428:23] + _T_592[19] <= _T_712 @[lib.scala 428:17] + node _T_713 = bits(twos_comp_in, 20, 0) @[lib.scala 428:27] + node _T_714 = orr(_T_713) @[lib.scala 428:35] + node _T_715 = bits(twos_comp_in, 21, 21) @[lib.scala 428:44] + node _T_716 = not(_T_715) @[lib.scala 428:40] + node _T_717 = bits(twos_comp_in, 21, 21) @[lib.scala 428:51] + node _T_718 = mux(_T_714, _T_716, _T_717) @[lib.scala 428:23] + _T_592[20] <= _T_718 @[lib.scala 428:17] + node _T_719 = bits(twos_comp_in, 21, 0) @[lib.scala 428:27] + node _T_720 = orr(_T_719) @[lib.scala 428:35] + node _T_721 = bits(twos_comp_in, 22, 22) @[lib.scala 428:44] + node _T_722 = not(_T_721) @[lib.scala 428:40] + node _T_723 = bits(twos_comp_in, 22, 22) @[lib.scala 428:51] + node _T_724 = mux(_T_720, _T_722, _T_723) @[lib.scala 428:23] + _T_592[21] <= _T_724 @[lib.scala 428:17] + node _T_725 = bits(twos_comp_in, 22, 0) @[lib.scala 428:27] + node _T_726 = orr(_T_725) @[lib.scala 428:35] + node _T_727 = bits(twos_comp_in, 23, 23) @[lib.scala 428:44] + node _T_728 = not(_T_727) @[lib.scala 428:40] + node _T_729 = bits(twos_comp_in, 23, 23) @[lib.scala 428:51] + node _T_730 = mux(_T_726, _T_728, _T_729) @[lib.scala 428:23] + _T_592[22] <= _T_730 @[lib.scala 428:17] + node _T_731 = bits(twos_comp_in, 23, 0) @[lib.scala 428:27] + node _T_732 = orr(_T_731) @[lib.scala 428:35] + node _T_733 = bits(twos_comp_in, 24, 24) @[lib.scala 428:44] + node _T_734 = not(_T_733) @[lib.scala 428:40] + node _T_735 = bits(twos_comp_in, 24, 24) @[lib.scala 428:51] + node _T_736 = mux(_T_732, _T_734, _T_735) @[lib.scala 428:23] + _T_592[23] <= _T_736 @[lib.scala 428:17] + node _T_737 = bits(twos_comp_in, 24, 0) @[lib.scala 428:27] + node _T_738 = orr(_T_737) @[lib.scala 428:35] + node _T_739 = bits(twos_comp_in, 25, 25) @[lib.scala 428:44] + node _T_740 = not(_T_739) @[lib.scala 428:40] + node _T_741 = bits(twos_comp_in, 25, 25) @[lib.scala 428:51] + node _T_742 = mux(_T_738, _T_740, _T_741) @[lib.scala 428:23] + _T_592[24] <= _T_742 @[lib.scala 428:17] + node _T_743 = bits(twos_comp_in, 25, 0) @[lib.scala 428:27] + node _T_744 = orr(_T_743) @[lib.scala 428:35] + node _T_745 = bits(twos_comp_in, 26, 26) @[lib.scala 428:44] + node _T_746 = not(_T_745) @[lib.scala 428:40] + node _T_747 = bits(twos_comp_in, 26, 26) @[lib.scala 428:51] + node _T_748 = mux(_T_744, _T_746, _T_747) @[lib.scala 428:23] + _T_592[25] <= _T_748 @[lib.scala 428:17] + node _T_749 = bits(twos_comp_in, 26, 0) @[lib.scala 428:27] + node _T_750 = orr(_T_749) @[lib.scala 428:35] + node _T_751 = bits(twos_comp_in, 27, 27) @[lib.scala 428:44] + node _T_752 = not(_T_751) @[lib.scala 428:40] + node _T_753 = bits(twos_comp_in, 27, 27) @[lib.scala 428:51] + node _T_754 = mux(_T_750, _T_752, _T_753) @[lib.scala 428:23] + _T_592[26] <= _T_754 @[lib.scala 428:17] + node _T_755 = bits(twos_comp_in, 27, 0) @[lib.scala 428:27] + node _T_756 = orr(_T_755) @[lib.scala 428:35] + node _T_757 = bits(twos_comp_in, 28, 28) @[lib.scala 428:44] + node _T_758 = not(_T_757) @[lib.scala 428:40] + node _T_759 = bits(twos_comp_in, 28, 28) @[lib.scala 428:51] + node _T_760 = mux(_T_756, _T_758, _T_759) @[lib.scala 428:23] + _T_592[27] <= _T_760 @[lib.scala 428:17] + node _T_761 = bits(twos_comp_in, 28, 0) @[lib.scala 428:27] + node _T_762 = orr(_T_761) @[lib.scala 428:35] + node _T_763 = bits(twos_comp_in, 29, 29) @[lib.scala 428:44] + node _T_764 = not(_T_763) @[lib.scala 428:40] + node _T_765 = bits(twos_comp_in, 29, 29) @[lib.scala 428:51] + node _T_766 = mux(_T_762, _T_764, _T_765) @[lib.scala 428:23] + _T_592[28] <= _T_766 @[lib.scala 428:17] + node _T_767 = bits(twos_comp_in, 29, 0) @[lib.scala 428:27] + node _T_768 = orr(_T_767) @[lib.scala 428:35] + node _T_769 = bits(twos_comp_in, 30, 30) @[lib.scala 428:44] + node _T_770 = not(_T_769) @[lib.scala 428:40] + node _T_771 = bits(twos_comp_in, 30, 30) @[lib.scala 428:51] + node _T_772 = mux(_T_768, _T_770, _T_771) @[lib.scala 428:23] + _T_592[29] <= _T_772 @[lib.scala 428:17] + node _T_773 = bits(twos_comp_in, 30, 0) @[lib.scala 428:27] + node _T_774 = orr(_T_773) @[lib.scala 428:35] + node _T_775 = bits(twos_comp_in, 31, 31) @[lib.scala 428:44] + node _T_776 = not(_T_775) @[lib.scala 428:40] + node _T_777 = bits(twos_comp_in, 31, 31) @[lib.scala 428:51] + node _T_778 = mux(_T_774, _T_776, _T_777) @[lib.scala 428:23] + _T_592[30] <= _T_778 @[lib.scala 428:17] + node _T_779 = cat(_T_592[2], _T_592[1]) @[lib.scala 430:14] + node _T_780 = cat(_T_779, _T_592[0]) @[lib.scala 430:14] + node _T_781 = cat(_T_592[4], _T_592[3]) @[lib.scala 430:14] + node _T_782 = cat(_T_592[6], _T_592[5]) @[lib.scala 430:14] + node _T_783 = cat(_T_782, _T_781) @[lib.scala 430:14] + node _T_784 = cat(_T_783, _T_780) @[lib.scala 430:14] + node _T_785 = cat(_T_592[8], _T_592[7]) @[lib.scala 430:14] + node _T_786 = cat(_T_592[10], _T_592[9]) @[lib.scala 430:14] + node _T_787 = cat(_T_786, _T_785) @[lib.scala 430:14] + node _T_788 = cat(_T_592[12], _T_592[11]) @[lib.scala 430:14] + node _T_789 = cat(_T_592[14], _T_592[13]) @[lib.scala 430:14] + node _T_790 = cat(_T_789, _T_788) @[lib.scala 430:14] + node _T_791 = cat(_T_790, _T_787) @[lib.scala 430:14] + node _T_792 = cat(_T_791, _T_784) @[lib.scala 430:14] + node _T_793 = cat(_T_592[16], _T_592[15]) @[lib.scala 430:14] + node _T_794 = cat(_T_592[18], _T_592[17]) @[lib.scala 430:14] + node _T_795 = cat(_T_794, _T_793) @[lib.scala 430:14] + node _T_796 = cat(_T_592[20], _T_592[19]) @[lib.scala 430:14] + node _T_797 = cat(_T_592[22], _T_592[21]) @[lib.scala 430:14] + node _T_798 = cat(_T_797, _T_796) @[lib.scala 430:14] + node _T_799 = cat(_T_798, _T_795) @[lib.scala 430:14] + node _T_800 = cat(_T_592[24], _T_592[23]) @[lib.scala 430:14] + node _T_801 = cat(_T_592[26], _T_592[25]) @[lib.scala 430:14] + node _T_802 = cat(_T_801, _T_800) @[lib.scala 430:14] + node _T_803 = cat(_T_592[28], _T_592[27]) @[lib.scala 430:14] + node _T_804 = cat(_T_592[30], _T_592[29]) @[lib.scala 430:14] + node _T_805 = cat(_T_804, _T_803) @[lib.scala 430:14] + node _T_806 = cat(_T_805, _T_802) @[lib.scala 430:14] + node _T_807 = cat(_T_806, _T_799) @[lib.scala 430:14] + node _T_808 = cat(_T_807, _T_792) @[lib.scala 430:14] + node _T_809 = bits(twos_comp_in, 0, 0) @[lib.scala 430:24] + node twos_comp_out = cat(_T_808, _T_809) @[Cat.scala 29:58] + node _T_810 = eq(a_shift, UInt<1>("h00")) @[exu_div_ctl.scala 850:6] + node _T_811 = eq(shortq_enable_ff, UInt<1>("h00")) @[exu_div_ctl.scala 850:17] + node _T_812 = and(_T_810, _T_811) @[exu_div_ctl.scala 850:15] + node _T_813 = bits(_T_812, 0, 0) @[exu_div_ctl.scala 850:36] + node _T_814 = bits(io.dividend_in, 31, 0) @[exu_div_ctl.scala 850:60] + node _T_815 = bits(a_ff, 27, 0) @[exu_div_ctl.scala 851:53] + node _T_816 = cat(_T_815, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_817 = bits(ar_shifted, 31, 0) @[exu_div_ctl.scala 852:55] + node _T_818 = mux(_T_813, _T_814, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_819 = mux(a_shift, _T_816, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_820 = mux(shortq_enable_ff, _T_817, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_821 = or(_T_818, _T_819) @[Mux.scala 27:72] + node _T_822 = or(_T_821, _T_820) @[Mux.scala 27:72] wire a_in : UInt<32> @[Mux.scala 27:72] - a_in <= _T_850 @[Mux.scala 27:72] - node _T_851 = eq(b_twos_comp, UInt<1>("h00")) @[exu_div_ctl.scala 856:5] - node _T_852 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 856:78] - node _T_853 = and(io.signed_in, _T_852) @[exu_div_ctl.scala 856:63] - node _T_854 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 856:96] - node _T_855 = cat(_T_853, _T_854) @[Cat.scala 29:58] - node _T_856 = eq(divisor_sign_ff, UInt<1>("h00")) @[exu_div_ctl.scala 857:49] - node _T_857 = bits(twos_comp_out, 31, 0) @[exu_div_ctl.scala 857:79] - node _T_858 = cat(_T_856, _T_857) @[Cat.scala 29:58] - node _T_859 = mux(_T_851, _T_855, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_860 = mux(b_twos_comp, _T_858, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_861 = or(_T_859, _T_860) @[Mux.scala 27:72] + a_in <= _T_822 @[Mux.scala 27:72] + node _T_823 = eq(b_twos_comp, UInt<1>("h00")) @[exu_div_ctl.scala 855:5] + node _T_824 = bits(io.divisor_in, 31, 31) @[exu_div_ctl.scala 855:78] + node _T_825 = and(io.signed_in, _T_824) @[exu_div_ctl.scala 855:63] + node _T_826 = bits(io.divisor_in, 31, 0) @[exu_div_ctl.scala 855:96] + node _T_827 = cat(_T_825, _T_826) @[Cat.scala 29:58] + node _T_828 = eq(divisor_sign_ff, UInt<1>("h00")) @[exu_div_ctl.scala 856:49] + node _T_829 = bits(twos_comp_out, 31, 0) @[exu_div_ctl.scala 856:79] + node _T_830 = cat(_T_828, _T_829) @[Cat.scala 29:58] + node _T_831 = mux(_T_823, _T_827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_832 = mux(b_twos_comp, _T_830, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_833 = or(_T_831, _T_832) @[Mux.scala 27:72] wire b_in : UInt<33> @[Mux.scala 27:72] - b_in <= _T_861 @[Mux.scala 27:72] - node _T_862 = mux(UInt<1>("h01"), UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12] - node _T_863 = bits(r_ff, 28, 0) @[exu_div_ctl.scala 861:54] - node _T_864 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 861:65] - node _T_865 = cat(_T_863, _T_864) @[Cat.scala 29:58] - node _T_866 = bits(adder1_out, 32, 0) @[exu_div_ctl.scala 862:56] - node _T_867 = bits(adder2_out, 32, 0) @[exu_div_ctl.scala 863:56] - node _T_868 = bits(adder3_out, 32, 0) @[exu_div_ctl.scala 864:56] - node _T_869 = bits(adder4_out, 32, 0) @[exu_div_ctl.scala 865:56] - node _T_870 = bits(adder5_out, 32, 0) @[exu_div_ctl.scala 866:56] - node _T_871 = bits(adder6_out, 32, 0) @[exu_div_ctl.scala 867:56] - node _T_872 = bits(adder7_out, 32, 0) @[exu_div_ctl.scala 868:56] - node _T_873 = bits(adder8_out, 32, 0) @[exu_div_ctl.scala 869:56] - node _T_874 = bits(adder9_out, 32, 0) @[exu_div_ctl.scala 870:56] - node _T_875 = bits(adder10_out, 32, 0) @[exu_div_ctl.scala 871:57] - node _T_876 = bits(adder11_out, 32, 0) @[exu_div_ctl.scala 872:57] - node _T_877 = bits(adder12_out, 32, 0) @[exu_div_ctl.scala 873:57] - node _T_878 = bits(adder13_out, 32, 0) @[exu_div_ctl.scala 874:57] - node _T_879 = bits(adder14_out, 32, 0) @[exu_div_ctl.scala 875:57] - node _T_880 = bits(adder15_out, 32, 0) @[exu_div_ctl.scala 876:57] - node _T_881 = bits(ar_shifted, 64, 32) @[exu_div_ctl.scala 877:56] - node _T_882 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 878:58] - node _T_883 = cat(UInt<1>("h00"), _T_882) @[Cat.scala 29:58] - node _T_884 = mux(r_sign_sel, _T_862, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_885 = mux(r_adder_sel_0, _T_865, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_886 = mux(r_adder_sel_1, _T_866, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_887 = mux(r_adder_sel_2, _T_867, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_888 = mux(r_adder_sel_3, _T_868, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_889 = mux(r_adder_sel_4, _T_869, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_890 = mux(r_adder_sel_5, _T_870, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_891 = mux(r_adder_sel_6, _T_871, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_892 = mux(r_adder_sel_7, _T_872, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_893 = mux(r_adder_sel_8, _T_873, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_894 = mux(r_adder_sel_9, _T_874, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_895 = mux(r_adder_sel_10, _T_875, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_896 = mux(r_adder_sel_11, _T_876, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_897 = mux(r_adder_sel_12, _T_877, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_898 = mux(r_adder_sel_13, _T_878, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_899 = mux(r_adder_sel_14, _T_879, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_900 = mux(r_adder_sel_15, _T_880, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_901 = mux(shortq_enable_ff, _T_881, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_902 = mux(by_zero_case, _T_883, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_903 = or(_T_884, _T_885) @[Mux.scala 27:72] - node _T_904 = or(_T_903, _T_886) @[Mux.scala 27:72] - node _T_905 = or(_T_904, _T_887) @[Mux.scala 27:72] - node _T_906 = or(_T_905, _T_888) @[Mux.scala 27:72] - node _T_907 = or(_T_906, _T_889) @[Mux.scala 27:72] - node _T_908 = or(_T_907, _T_890) @[Mux.scala 27:72] - node _T_909 = or(_T_908, _T_891) @[Mux.scala 27:72] - node _T_910 = or(_T_909, _T_892) @[Mux.scala 27:72] - node _T_911 = or(_T_910, _T_893) @[Mux.scala 27:72] - node _T_912 = or(_T_911, _T_894) @[Mux.scala 27:72] - node _T_913 = or(_T_912, _T_895) @[Mux.scala 27:72] - node _T_914 = or(_T_913, _T_896) @[Mux.scala 27:72] - node _T_915 = or(_T_914, _T_897) @[Mux.scala 27:72] - node _T_916 = or(_T_915, _T_898) @[Mux.scala 27:72] - node _T_917 = or(_T_916, _T_899) @[Mux.scala 27:72] - node _T_918 = or(_T_917, _T_900) @[Mux.scala 27:72] - node _T_919 = or(_T_918, _T_901) @[Mux.scala 27:72] - node _T_920 = or(_T_919, _T_902) @[Mux.scala 27:72] + b_in <= _T_833 @[Mux.scala 27:72] + node _T_834 = mux(UInt<1>("h01"), UInt<33>("h01ffffffff"), UInt<33>("h00")) @[Bitwise.scala 72:12] + node _T_835 = bits(r_ff, 28, 0) @[exu_div_ctl.scala 860:54] + node _T_836 = bits(a_ff, 31, 28) @[exu_div_ctl.scala 860:65] + node _T_837 = cat(_T_835, _T_836) @[Cat.scala 29:58] + node _T_838 = bits(adder1_out, 32, 0) @[exu_div_ctl.scala 861:56] + node _T_839 = bits(adder2_out, 32, 0) @[exu_div_ctl.scala 862:56] + node _T_840 = bits(adder3_out, 32, 0) @[exu_div_ctl.scala 863:56] + node _T_841 = bits(adder4_out, 32, 0) @[exu_div_ctl.scala 864:56] + node _T_842 = bits(adder5_out, 32, 0) @[exu_div_ctl.scala 865:56] + node _T_843 = bits(adder6_out, 32, 0) @[exu_div_ctl.scala 866:56] + node _T_844 = bits(adder7_out, 32, 0) @[exu_div_ctl.scala 867:56] + node _T_845 = bits(adder8_out, 32, 0) @[exu_div_ctl.scala 868:56] + node _T_846 = bits(adder9_out, 32, 0) @[exu_div_ctl.scala 869:56] + node _T_847 = bits(adder10_out, 32, 0) @[exu_div_ctl.scala 870:57] + node _T_848 = bits(adder11_out, 32, 0) @[exu_div_ctl.scala 871:57] + node _T_849 = bits(adder12_out, 32, 0) @[exu_div_ctl.scala 872:57] + node _T_850 = bits(adder13_out, 32, 0) @[exu_div_ctl.scala 873:57] + node _T_851 = bits(adder14_out, 32, 0) @[exu_div_ctl.scala 874:57] + node _T_852 = bits(adder15_out, 32, 0) @[exu_div_ctl.scala 875:57] + node _T_853 = bits(ar_shifted, 64, 32) @[exu_div_ctl.scala 876:56] + node _T_854 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 877:58] + node _T_855 = cat(UInt<1>("h00"), _T_854) @[Cat.scala 29:58] + node _T_856 = mux(r_sign_sel, _T_834, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_857 = mux(r_adder_sel_0, _T_837, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_858 = mux(r_adder_sel_1, _T_838, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_859 = mux(r_adder_sel_2, _T_839, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_860 = mux(r_adder_sel_3, _T_840, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_861 = mux(r_adder_sel_4, _T_841, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_862 = mux(r_adder_sel_5, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_863 = mux(r_adder_sel_6, _T_843, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_864 = mux(r_adder_sel_7, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_865 = mux(r_adder_sel_8, _T_845, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_866 = mux(r_adder_sel_9, _T_846, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_867 = mux(r_adder_sel_10, _T_847, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_868 = mux(r_adder_sel_11, _T_848, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_869 = mux(r_adder_sel_12, _T_849, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_870 = mux(r_adder_sel_13, _T_850, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_871 = mux(r_adder_sel_14, _T_851, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_872 = mux(r_adder_sel_15, _T_852, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_873 = mux(shortq_enable_ff, _T_853, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_874 = mux(by_zero_case, _T_855, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_875 = or(_T_856, _T_857) @[Mux.scala 27:72] + node _T_876 = or(_T_875, _T_858) @[Mux.scala 27:72] + node _T_877 = or(_T_876, _T_859) @[Mux.scala 27:72] + node _T_878 = or(_T_877, _T_860) @[Mux.scala 27:72] + node _T_879 = or(_T_878, _T_861) @[Mux.scala 27:72] + node _T_880 = or(_T_879, _T_862) @[Mux.scala 27:72] + node _T_881 = or(_T_880, _T_863) @[Mux.scala 27:72] + node _T_882 = or(_T_881, _T_864) @[Mux.scala 27:72] + node _T_883 = or(_T_882, _T_865) @[Mux.scala 27:72] + node _T_884 = or(_T_883, _T_866) @[Mux.scala 27:72] + node _T_885 = or(_T_884, _T_867) @[Mux.scala 27:72] + node _T_886 = or(_T_885, _T_868) @[Mux.scala 27:72] + node _T_887 = or(_T_886, _T_869) @[Mux.scala 27:72] + node _T_888 = or(_T_887, _T_870) @[Mux.scala 27:72] + node _T_889 = or(_T_888, _T_871) @[Mux.scala 27:72] + node _T_890 = or(_T_889, _T_872) @[Mux.scala 27:72] + node _T_891 = or(_T_890, _T_873) @[Mux.scala 27:72] + node _T_892 = or(_T_891, _T_874) @[Mux.scala 27:72] wire r_in : UInt<33> @[Mux.scala 27:72] - r_in <= _T_920 @[Mux.scala 27:72] - node _T_921 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 881:5] - node _T_922 = bits(q_ff, 27, 0) @[exu_div_ctl.scala 881:55] - node _T_923 = cat(_T_922, quotient_new) @[Cat.scala 29:58] - node _T_924 = cat(UInt<28>("h00"), smallnum) @[Cat.scala 29:58] - node _T_925 = mux(UInt<1>("h01"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_926 = mux(_T_921, _T_923, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_927 = mux(smallnum_case, _T_924, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_928 = mux(by_zero_case, _T_925, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_929 = or(_T_926, _T_927) @[Mux.scala 27:72] - node _T_930 = or(_T_929, _T_928) @[Mux.scala 27:72] + r_in <= _T_892 @[Mux.scala 27:72] + node _T_893 = eq(valid_ff, UInt<1>("h00")) @[exu_div_ctl.scala 880:5] + node _T_894 = bits(q_ff, 27, 0) @[exu_div_ctl.scala 880:55] + node _T_895 = cat(_T_894, quotient_new) @[Cat.scala 29:58] + node _T_896 = cat(UInt<28>("h00"), smallnum) @[Cat.scala 29:58] + node _T_897 = mux(UInt<1>("h01"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_898 = mux(_T_893, _T_895, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_899 = mux(smallnum_case, _T_896, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_900 = mux(by_zero_case, _T_897, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_901 = or(_T_898, _T_899) @[Mux.scala 27:72] + node _T_902 = or(_T_901, _T_900) @[Mux.scala 27:72] wire q_in : UInt<32> @[Mux.scala 27:72] - q_in <= _T_930 @[Mux.scala 27:72] - node _T_931 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 885:31] - node _T_932 = and(finish_ff, _T_931) @[exu_div_ctl.scala 885:29] - io.valid_out <= _T_932 @[exu_div_ctl.scala 885:16] - node _T_933 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 887:6] - node _T_934 = eq(twos_comp_q_sel, UInt<1>("h00")) @[exu_div_ctl.scala 887:16] - node _T_935 = and(_T_933, _T_934) @[exu_div_ctl.scala 887:14] - node _T_936 = bits(_T_935, 0, 0) @[exu_div_ctl.scala 887:40] - node _T_937 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 888:48] - node _T_938 = mux(_T_936, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_939 = mux(rem_ff, _T_937, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_940 = mux(twos_comp_q_sel, twos_comp_out, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_941 = or(_T_938, _T_939) @[Mux.scala 27:72] - node _T_942 = or(_T_941, _T_940) @[Mux.scala 27:72] - wire _T_943 : UInt<32> @[Mux.scala 27:72] - _T_943 <= _T_942 @[Mux.scala 27:72] - io.data_out <= _T_943 @[exu_div_ctl.scala 886:15] - node _T_944 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_945 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_946 = eq(_T_945, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_947 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_948 = eq(_T_947, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_949 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_950 = eq(_T_949, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_951 = and(_T_946, _T_948) @[exu_div_ctl.scala 893:95] - node _T_952 = and(_T_951, _T_950) @[exu_div_ctl.scala 893:95] - node _T_953 = and(_T_944, _T_952) @[exu_div_ctl.scala 894:11] - node _T_954 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_955 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_956 = eq(_T_955, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_957 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_958 = eq(_T_957, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_959 = and(_T_956, _T_958) @[exu_div_ctl.scala 893:95] - node _T_960 = and(_T_954, _T_959) @[exu_div_ctl.scala 894:11] - node _T_961 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 899:38] - node _T_962 = eq(_T_961, UInt<1>("h00")) @[exu_div_ctl.scala 899:33] - node _T_963 = and(_T_960, _T_962) @[exu_div_ctl.scala 899:31] - node _T_964 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_965 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_966 = eq(_T_965, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_967 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_968 = eq(_T_967, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_969 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_970 = eq(_T_969, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_971 = and(_T_966, _T_968) @[exu_div_ctl.scala 893:95] - node _T_972 = and(_T_971, _T_970) @[exu_div_ctl.scala 893:95] - node _T_973 = and(_T_964, _T_972) @[exu_div_ctl.scala 894:11] - node _T_974 = or(_T_963, _T_973) @[exu_div_ctl.scala 899:42] - node _T_975 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_976 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_977 = and(_T_975, _T_976) @[exu_div_ctl.scala 892:95] - node _T_978 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_979 = eq(_T_978, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_980 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_981 = eq(_T_980, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_982 = and(_T_979, _T_981) @[exu_div_ctl.scala 893:95] - node _T_983 = and(_T_977, _T_982) @[exu_div_ctl.scala 894:11] - node _T_984 = or(_T_974, _T_983) @[exu_div_ctl.scala 899:75] - node _T_985 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_986 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_987 = eq(_T_986, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_988 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_989 = eq(_T_988, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_990 = and(_T_987, _T_989) @[exu_div_ctl.scala 893:95] - node _T_991 = and(_T_985, _T_990) @[exu_div_ctl.scala 894:11] - node _T_992 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 901:38] - node _T_993 = eq(_T_992, UInt<1>("h00")) @[exu_div_ctl.scala 901:33] - node _T_994 = and(_T_991, _T_993) @[exu_div_ctl.scala 901:31] - node _T_995 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_996 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_997 = eq(_T_996, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_998 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_999 = eq(_T_998, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1000 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1001 = eq(_T_1000, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1002 = and(_T_997, _T_999) @[exu_div_ctl.scala 893:95] - node _T_1003 = and(_T_1002, _T_1001) @[exu_div_ctl.scala 893:95] - node _T_1004 = and(_T_995, _T_1003) @[exu_div_ctl.scala 894:11] - node _T_1005 = or(_T_994, _T_1004) @[exu_div_ctl.scala 901:42] - node _T_1006 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1007 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1008 = eq(_T_1007, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1009 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1010 = eq(_T_1009, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1011 = and(_T_1008, _T_1010) @[exu_div_ctl.scala 893:95] - node _T_1012 = and(_T_1006, _T_1011) @[exu_div_ctl.scala 894:11] - node _T_1013 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 901:113] - node _T_1014 = eq(_T_1013, UInt<1>("h00")) @[exu_div_ctl.scala 901:108] - node _T_1015 = and(_T_1012, _T_1014) @[exu_div_ctl.scala 901:106] - node _T_1016 = or(_T_1005, _T_1015) @[exu_div_ctl.scala 901:78] - node _T_1017 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1018 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:75] - node _T_1019 = eq(_T_1018, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1020 = and(_T_1017, _T_1019) @[exu_div_ctl.scala 892:95] - node _T_1021 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1022 = eq(_T_1021, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1023 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1024 = eq(_T_1023, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1025 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:58] - node _T_1026 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 893:58] - node _T_1027 = and(_T_1022, _T_1024) @[exu_div_ctl.scala 893:95] - node _T_1028 = and(_T_1027, _T_1025) @[exu_div_ctl.scala 893:95] - node _T_1029 = and(_T_1028, _T_1026) @[exu_div_ctl.scala 893:95] - node _T_1030 = and(_T_1020, _T_1029) @[exu_div_ctl.scala 894:11] - node _T_1031 = or(_T_1016, _T_1030) @[exu_div_ctl.scala 901:117] - node _T_1032 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:75] - node _T_1033 = eq(_T_1032, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1034 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1035 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1036 = and(_T_1033, _T_1034) @[exu_div_ctl.scala 892:95] - node _T_1037 = and(_T_1036, _T_1035) @[exu_div_ctl.scala 892:95] - node _T_1038 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1039 = eq(_T_1038, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1040 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1041 = eq(_T_1040, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1042 = and(_T_1039, _T_1041) @[exu_div_ctl.scala 893:95] - node _T_1043 = and(_T_1037, _T_1042) @[exu_div_ctl.scala 894:11] - node _T_1044 = or(_T_1031, _T_1043) @[exu_div_ctl.scala 902:44] - node _T_1045 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1046 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1047 = and(_T_1045, _T_1046) @[exu_div_ctl.scala 892:95] - node _T_1048 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1049 = eq(_T_1048, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1050 = and(_T_1047, _T_1049) @[exu_div_ctl.scala 894:11] - node _T_1051 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 902:114] - node _T_1052 = eq(_T_1051, UInt<1>("h00")) @[exu_div_ctl.scala 902:109] - node _T_1053 = and(_T_1050, _T_1052) @[exu_div_ctl.scala 902:107] - node _T_1054 = or(_T_1044, _T_1053) @[exu_div_ctl.scala 902:80] - node _T_1055 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1056 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] + q_in <= _T_902 @[Mux.scala 27:72] + node _T_903 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 884:31] + node _T_904 = and(finish_ff, _T_903) @[exu_div_ctl.scala 884:29] + io.valid_out <= _T_904 @[exu_div_ctl.scala 884:16] + node _T_905 = eq(rem_ff, UInt<1>("h00")) @[exu_div_ctl.scala 886:6] + node _T_906 = eq(twos_comp_q_sel, UInt<1>("h00")) @[exu_div_ctl.scala 886:16] + node _T_907 = and(_T_905, _T_906) @[exu_div_ctl.scala 886:14] + node _T_908 = bits(_T_907, 0, 0) @[exu_div_ctl.scala 886:40] + node _T_909 = bits(r_ff, 31, 0) @[exu_div_ctl.scala 887:48] + node _T_910 = mux(_T_908, q_ff, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_911 = mux(rem_ff, _T_909, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_912 = mux(twos_comp_q_sel, twos_comp_out, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_913 = or(_T_910, _T_911) @[Mux.scala 27:72] + node _T_914 = or(_T_913, _T_912) @[Mux.scala 27:72] + wire _T_915 : UInt<32> @[Mux.scala 27:72] + _T_915 <= _T_914 @[Mux.scala 27:72] + io.data_out <= _T_915 @[exu_div_ctl.scala 885:15] + node _T_916 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_917 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_918 = eq(_T_917, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_919 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_920 = eq(_T_919, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_921 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_922 = eq(_T_921, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_923 = and(_T_918, _T_920) @[exu_div_ctl.scala 892:95] + node _T_924 = and(_T_923, _T_922) @[exu_div_ctl.scala 892:95] + node _T_925 = and(_T_916, _T_924) @[exu_div_ctl.scala 893:11] + node _T_926 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_927 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_928 = eq(_T_927, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_929 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_930 = eq(_T_929, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_931 = and(_T_928, _T_930) @[exu_div_ctl.scala 892:95] + node _T_932 = and(_T_926, _T_931) @[exu_div_ctl.scala 893:11] + node _T_933 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 898:38] + node _T_934 = eq(_T_933, UInt<1>("h00")) @[exu_div_ctl.scala 898:33] + node _T_935 = and(_T_932, _T_934) @[exu_div_ctl.scala 898:31] + node _T_936 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_937 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_938 = eq(_T_937, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_939 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_940 = eq(_T_939, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_941 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_942 = eq(_T_941, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_943 = and(_T_938, _T_940) @[exu_div_ctl.scala 892:95] + node _T_944 = and(_T_943, _T_942) @[exu_div_ctl.scala 892:95] + node _T_945 = and(_T_936, _T_944) @[exu_div_ctl.scala 893:11] + node _T_946 = or(_T_935, _T_945) @[exu_div_ctl.scala 898:42] + node _T_947 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_948 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_949 = and(_T_947, _T_948) @[exu_div_ctl.scala 891:95] + node _T_950 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_951 = eq(_T_950, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_952 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_953 = eq(_T_952, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_954 = and(_T_951, _T_953) @[exu_div_ctl.scala 892:95] + node _T_955 = and(_T_949, _T_954) @[exu_div_ctl.scala 893:11] + node _T_956 = or(_T_946, _T_955) @[exu_div_ctl.scala 898:75] + node _T_957 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_958 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_959 = eq(_T_958, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_960 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_961 = eq(_T_960, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_962 = and(_T_959, _T_961) @[exu_div_ctl.scala 892:95] + node _T_963 = and(_T_957, _T_962) @[exu_div_ctl.scala 893:11] + node _T_964 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 900:38] + node _T_965 = eq(_T_964, UInt<1>("h00")) @[exu_div_ctl.scala 900:33] + node _T_966 = and(_T_963, _T_965) @[exu_div_ctl.scala 900:31] + node _T_967 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_968 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_969 = eq(_T_968, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_970 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_971 = eq(_T_970, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_972 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_973 = eq(_T_972, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_974 = and(_T_969, _T_971) @[exu_div_ctl.scala 892:95] + node _T_975 = and(_T_974, _T_973) @[exu_div_ctl.scala 892:95] + node _T_976 = and(_T_967, _T_975) @[exu_div_ctl.scala 893:11] + node _T_977 = or(_T_966, _T_976) @[exu_div_ctl.scala 900:42] + node _T_978 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_979 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_981 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_983 = and(_T_980, _T_982) @[exu_div_ctl.scala 892:95] + node _T_984 = and(_T_978, _T_983) @[exu_div_ctl.scala 893:11] + node _T_985 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 900:113] + node _T_986 = eq(_T_985, UInt<1>("h00")) @[exu_div_ctl.scala 900:108] + node _T_987 = and(_T_984, _T_986) @[exu_div_ctl.scala 900:106] + node _T_988 = or(_T_977, _T_987) @[exu_div_ctl.scala 900:78] + node _T_989 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_990 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:75] + node _T_991 = eq(_T_990, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_992 = and(_T_989, _T_991) @[exu_div_ctl.scala 891:95] + node _T_993 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_994 = eq(_T_993, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_995 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_996 = eq(_T_995, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_997 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:58] + node _T_998 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 892:58] + node _T_999 = and(_T_994, _T_996) @[exu_div_ctl.scala 892:95] + node _T_1000 = and(_T_999, _T_997) @[exu_div_ctl.scala 892:95] + node _T_1001 = and(_T_1000, _T_998) @[exu_div_ctl.scala 892:95] + node _T_1002 = and(_T_992, _T_1001) @[exu_div_ctl.scala 893:11] + node _T_1003 = or(_T_988, _T_1002) @[exu_div_ctl.scala 900:117] + node _T_1004 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:75] + node _T_1005 = eq(_T_1004, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1006 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1007 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1008 = and(_T_1005, _T_1006) @[exu_div_ctl.scala 891:95] + node _T_1009 = and(_T_1008, _T_1007) @[exu_div_ctl.scala 891:95] + node _T_1010 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1011 = eq(_T_1010, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1012 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_1013 = eq(_T_1012, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1014 = and(_T_1011, _T_1013) @[exu_div_ctl.scala 892:95] + node _T_1015 = and(_T_1009, _T_1014) @[exu_div_ctl.scala 893:11] + node _T_1016 = or(_T_1003, _T_1015) @[exu_div_ctl.scala 901:44] + node _T_1017 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1018 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1019 = and(_T_1017, _T_1018) @[exu_div_ctl.scala 891:95] + node _T_1020 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1021 = eq(_T_1020, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1022 = and(_T_1019, _T_1021) @[exu_div_ctl.scala 893:11] + node _T_1023 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 901:114] + node _T_1024 = eq(_T_1023, UInt<1>("h00")) @[exu_div_ctl.scala 901:109] + node _T_1025 = and(_T_1022, _T_1024) @[exu_div_ctl.scala 901:107] + node _T_1026 = or(_T_1016, _T_1025) @[exu_div_ctl.scala 901:80] + node _T_1027 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1028 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1029 = and(_T_1027, _T_1028) @[exu_div_ctl.scala 891:95] + node _T_1030 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1032 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:58] + node _T_1033 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1034 = eq(_T_1033, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1035 = and(_T_1031, _T_1032) @[exu_div_ctl.scala 892:95] + node _T_1036 = and(_T_1035, _T_1034) @[exu_div_ctl.scala 892:95] + node _T_1037 = and(_T_1029, _T_1036) @[exu_div_ctl.scala 893:11] + node _T_1038 = or(_T_1026, _T_1037) @[exu_div_ctl.scala 901:119] + node _T_1039 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1040 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1041 = and(_T_1039, _T_1040) @[exu_div_ctl.scala 891:95] + node _T_1042 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1043 = eq(_T_1042, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1044 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1045 = eq(_T_1044, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1046 = and(_T_1043, _T_1045) @[exu_div_ctl.scala 892:95] + node _T_1047 = and(_T_1041, _T_1046) @[exu_div_ctl.scala 893:11] + node _T_1048 = or(_T_1038, _T_1047) @[exu_div_ctl.scala 902:44] + node _T_1049 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1050 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1051 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1052 = and(_T_1049, _T_1050) @[exu_div_ctl.scala 891:95] + node _T_1053 = and(_T_1052, _T_1051) @[exu_div_ctl.scala 891:95] + node _T_1054 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1055 = eq(_T_1054, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1056 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:58] node _T_1057 = and(_T_1055, _T_1056) @[exu_div_ctl.scala 892:95] - node _T_1058 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1059 = eq(_T_1058, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1060 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:58] - node _T_1061 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1062 = eq(_T_1061, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1063 = and(_T_1059, _T_1060) @[exu_div_ctl.scala 893:95] - node _T_1064 = and(_T_1063, _T_1062) @[exu_div_ctl.scala 893:95] - node _T_1065 = and(_T_1057, _T_1064) @[exu_div_ctl.scala 894:11] - node _T_1066 = or(_T_1054, _T_1065) @[exu_div_ctl.scala 902:119] - node _T_1067 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1068 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1069 = and(_T_1067, _T_1068) @[exu_div_ctl.scala 892:95] - node _T_1070 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1071 = eq(_T_1070, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1072 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1074 = and(_T_1071, _T_1073) @[exu_div_ctl.scala 893:95] - node _T_1075 = and(_T_1069, _T_1074) @[exu_div_ctl.scala 894:11] - node _T_1076 = or(_T_1066, _T_1075) @[exu_div_ctl.scala 903:44] - node _T_1077 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1078 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1079 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1080 = and(_T_1077, _T_1078) @[exu_div_ctl.scala 892:95] - node _T_1081 = and(_T_1080, _T_1079) @[exu_div_ctl.scala 892:95] - node _T_1082 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1083 = eq(_T_1082, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1084 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:58] - node _T_1085 = and(_T_1083, _T_1084) @[exu_div_ctl.scala 893:95] - node _T_1086 = and(_T_1081, _T_1085) @[exu_div_ctl.scala 894:11] - node _T_1087 = or(_T_1076, _T_1086) @[exu_div_ctl.scala 903:79] - node _T_1088 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1089 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1090 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 892:58] - node _T_1091 = and(_T_1088, _T_1089) @[exu_div_ctl.scala 892:95] - node _T_1092 = and(_T_1091, _T_1090) @[exu_div_ctl.scala 892:95] - node _T_1093 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1094 = eq(_T_1093, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1095 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1096 = eq(_T_1095, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1097 = and(_T_1094, _T_1096) @[exu_div_ctl.scala 893:95] - node _T_1098 = and(_T_1092, _T_1097) @[exu_div_ctl.scala 894:11] - node _T_1099 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1100 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:75] - node _T_1101 = eq(_T_1100, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1102 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 892:58] - node _T_1103 = and(_T_1099, _T_1101) @[exu_div_ctl.scala 892:95] - node _T_1104 = and(_T_1103, _T_1102) @[exu_div_ctl.scala 892:95] - node _T_1105 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1107 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:58] - node _T_1108 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 893:58] - node _T_1109 = and(_T_1106, _T_1107) @[exu_div_ctl.scala 893:95] - node _T_1110 = and(_T_1109, _T_1108) @[exu_div_ctl.scala 893:95] - node _T_1111 = and(_T_1104, _T_1110) @[exu_div_ctl.scala 894:11] - node _T_1112 = or(_T_1098, _T_1111) @[exu_div_ctl.scala 905:45] - node _T_1113 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1114 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1115 = eq(_T_1114, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1116 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1117 = eq(_T_1116, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1118 = and(_T_1115, _T_1117) @[exu_div_ctl.scala 893:95] - node _T_1119 = and(_T_1113, _T_1118) @[exu_div_ctl.scala 894:11] - node _T_1120 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 905:121] - node _T_1121 = eq(_T_1120, UInt<1>("h00")) @[exu_div_ctl.scala 905:116] - node _T_1122 = and(_T_1119, _T_1121) @[exu_div_ctl.scala 905:114] - node _T_1123 = or(_T_1112, _T_1122) @[exu_div_ctl.scala 905:86] - node _T_1124 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1125 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1126 = eq(_T_1125, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1127 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1129 = and(_T_1126, _T_1128) @[exu_div_ctl.scala 893:95] - node _T_1130 = and(_T_1124, _T_1129) @[exu_div_ctl.scala 894:11] - node _T_1131 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 906:40] - node _T_1132 = eq(_T_1131, UInt<1>("h00")) @[exu_div_ctl.scala 906:35] - node _T_1133 = and(_T_1130, _T_1132) @[exu_div_ctl.scala 906:33] - node _T_1134 = or(_T_1123, _T_1133) @[exu_div_ctl.scala 905:129] - node _T_1135 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 892:58] - node _T_1136 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1137 = eq(_T_1136, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1138 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1139 = eq(_T_1138, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1140 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1141 = eq(_T_1140, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1142 = and(_T_1137, _T_1139) @[exu_div_ctl.scala 893:95] - node _T_1143 = and(_T_1142, _T_1141) @[exu_div_ctl.scala 893:95] - node _T_1144 = and(_T_1135, _T_1143) @[exu_div_ctl.scala 894:11] - node _T_1145 = or(_T_1134, _T_1144) @[exu_div_ctl.scala 906:47] - node _T_1146 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:75] - node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1148 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1149 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:75] - node _T_1150 = eq(_T_1149, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1151 = and(_T_1147, _T_1148) @[exu_div_ctl.scala 892:95] - node _T_1152 = and(_T_1151, _T_1150) @[exu_div_ctl.scala 892:95] - node _T_1153 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1154 = eq(_T_1153, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1155 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1156 = eq(_T_1155, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1157 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:58] - node _T_1158 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 893:58] - node _T_1159 = and(_T_1154, _T_1156) @[exu_div_ctl.scala 893:95] - node _T_1160 = and(_T_1159, _T_1157) @[exu_div_ctl.scala 893:95] - node _T_1161 = and(_T_1160, _T_1158) @[exu_div_ctl.scala 893:95] - node _T_1162 = and(_T_1152, _T_1161) @[exu_div_ctl.scala 894:11] - node _T_1163 = or(_T_1145, _T_1162) @[exu_div_ctl.scala 906:88] - node _T_1164 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1058 = and(_T_1053, _T_1057) @[exu_div_ctl.scala 893:11] + node _T_1059 = or(_T_1048, _T_1058) @[exu_div_ctl.scala 902:79] + node _T_1060 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1061 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1062 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 891:58] + node _T_1063 = and(_T_1060, _T_1061) @[exu_div_ctl.scala 891:95] + node _T_1064 = and(_T_1063, _T_1062) @[exu_div_ctl.scala 891:95] + node _T_1065 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1066 = eq(_T_1065, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1067 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1068 = eq(_T_1067, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1069 = and(_T_1066, _T_1068) @[exu_div_ctl.scala 892:95] + node _T_1070 = and(_T_1064, _T_1069) @[exu_div_ctl.scala 893:11] + node _T_1071 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1072 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:75] + node _T_1073 = eq(_T_1072, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1074 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 891:58] + node _T_1075 = and(_T_1071, _T_1073) @[exu_div_ctl.scala 891:95] + node _T_1076 = and(_T_1075, _T_1074) @[exu_div_ctl.scala 891:95] + node _T_1077 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1078 = eq(_T_1077, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1079 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:58] + node _T_1080 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 892:58] + node _T_1081 = and(_T_1078, _T_1079) @[exu_div_ctl.scala 892:95] + node _T_1082 = and(_T_1081, _T_1080) @[exu_div_ctl.scala 892:95] + node _T_1083 = and(_T_1076, _T_1082) @[exu_div_ctl.scala 893:11] + node _T_1084 = or(_T_1070, _T_1083) @[exu_div_ctl.scala 904:45] + node _T_1085 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1086 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1087 = eq(_T_1086, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1088 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1089 = eq(_T_1088, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1090 = and(_T_1087, _T_1089) @[exu_div_ctl.scala 892:95] + node _T_1091 = and(_T_1085, _T_1090) @[exu_div_ctl.scala 893:11] + node _T_1092 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 904:121] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[exu_div_ctl.scala 904:116] + node _T_1094 = and(_T_1091, _T_1093) @[exu_div_ctl.scala 904:114] + node _T_1095 = or(_T_1084, _T_1094) @[exu_div_ctl.scala 904:86] + node _T_1096 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1097 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1098 = eq(_T_1097, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1099 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_1100 = eq(_T_1099, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1101 = and(_T_1098, _T_1100) @[exu_div_ctl.scala 892:95] + node _T_1102 = and(_T_1096, _T_1101) @[exu_div_ctl.scala 893:11] + node _T_1103 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 905:40] + node _T_1104 = eq(_T_1103, UInt<1>("h00")) @[exu_div_ctl.scala 905:35] + node _T_1105 = and(_T_1102, _T_1104) @[exu_div_ctl.scala 905:33] + node _T_1106 = or(_T_1095, _T_1105) @[exu_div_ctl.scala 904:129] + node _T_1107 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 891:58] + node _T_1108 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1109 = eq(_T_1108, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1110 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_1111 = eq(_T_1110, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1112 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1113 = eq(_T_1112, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1114 = and(_T_1109, _T_1111) @[exu_div_ctl.scala 892:95] + node _T_1115 = and(_T_1114, _T_1113) @[exu_div_ctl.scala 892:95] + node _T_1116 = and(_T_1107, _T_1115) @[exu_div_ctl.scala 893:11] + node _T_1117 = or(_T_1106, _T_1116) @[exu_div_ctl.scala 905:47] + node _T_1118 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:75] + node _T_1119 = eq(_T_1118, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1120 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1121 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:75] + node _T_1122 = eq(_T_1121, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1123 = and(_T_1119, _T_1120) @[exu_div_ctl.scala 891:95] + node _T_1124 = and(_T_1123, _T_1122) @[exu_div_ctl.scala 891:95] + node _T_1125 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1126 = eq(_T_1125, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1127 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1129 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:58] + node _T_1130 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 892:58] + node _T_1131 = and(_T_1126, _T_1128) @[exu_div_ctl.scala 892:95] + node _T_1132 = and(_T_1131, _T_1129) @[exu_div_ctl.scala 892:95] + node _T_1133 = and(_T_1132, _T_1130) @[exu_div_ctl.scala 892:95] + node _T_1134 = and(_T_1124, _T_1133) @[exu_div_ctl.scala 893:11] + node _T_1135 = or(_T_1117, _T_1134) @[exu_div_ctl.scala 905:88] + node _T_1136 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:75] + node _T_1137 = eq(_T_1136, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1138 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1139 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1140 = and(_T_1137, _T_1138) @[exu_div_ctl.scala 891:95] + node _T_1141 = and(_T_1140, _T_1139) @[exu_div_ctl.scala 891:95] + node _T_1142 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1143 = eq(_T_1142, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1144 = and(_T_1141, _T_1143) @[exu_div_ctl.scala 893:11] + node _T_1145 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 906:43] + node _T_1146 = eq(_T_1145, UInt<1>("h00")) @[exu_div_ctl.scala 906:38] + node _T_1147 = and(_T_1144, _T_1146) @[exu_div_ctl.scala 906:36] + node _T_1148 = or(_T_1135, _T_1147) @[exu_div_ctl.scala 905:131] + node _T_1149 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1150 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_1151 = eq(_T_1150, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1152 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1153 = eq(_T_1152, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1154 = and(_T_1151, _T_1153) @[exu_div_ctl.scala 892:95] + node _T_1155 = and(_T_1149, _T_1154) @[exu_div_ctl.scala 893:11] + node _T_1156 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 906:83] + node _T_1157 = eq(_T_1156, UInt<1>("h00")) @[exu_div_ctl.scala 906:78] + node _T_1158 = and(_T_1155, _T_1157) @[exu_div_ctl.scala 906:76] + node _T_1159 = or(_T_1148, _T_1158) @[exu_div_ctl.scala 906:47] + node _T_1160 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1161 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:75] + node _T_1162 = eq(_T_1161, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1163 = and(_T_1160, _T_1162) @[exu_div_ctl.scala 891:95] + node _T_1164 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] node _T_1165 = eq(_T_1164, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1166 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1167 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] + node _T_1166 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:58] + node _T_1167 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:58] node _T_1168 = and(_T_1165, _T_1166) @[exu_div_ctl.scala 892:95] node _T_1169 = and(_T_1168, _T_1167) @[exu_div_ctl.scala 892:95] - node _T_1170 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1171 = eq(_T_1170, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1172 = and(_T_1169, _T_1171) @[exu_div_ctl.scala 894:11] - node _T_1173 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 907:43] - node _T_1174 = eq(_T_1173, UInt<1>("h00")) @[exu_div_ctl.scala 907:38] - node _T_1175 = and(_T_1172, _T_1174) @[exu_div_ctl.scala 907:36] - node _T_1176 = or(_T_1163, _T_1175) @[exu_div_ctl.scala 906:131] - node _T_1177 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1178 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1179 = eq(_T_1178, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1180 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1181 = eq(_T_1180, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1182 = and(_T_1179, _T_1181) @[exu_div_ctl.scala 893:95] - node _T_1183 = and(_T_1177, _T_1182) @[exu_div_ctl.scala 894:11] - node _T_1184 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 907:83] - node _T_1185 = eq(_T_1184, UInt<1>("h00")) @[exu_div_ctl.scala 907:78] - node _T_1186 = and(_T_1183, _T_1185) @[exu_div_ctl.scala 907:76] - node _T_1187 = or(_T_1176, _T_1186) @[exu_div_ctl.scala 907:47] - node _T_1188 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1189 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:75] - node _T_1190 = eq(_T_1189, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1191 = and(_T_1188, _T_1190) @[exu_div_ctl.scala 892:95] - node _T_1192 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1193 = eq(_T_1192, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1194 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:58] - node _T_1195 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:58] - node _T_1196 = and(_T_1193, _T_1194) @[exu_div_ctl.scala 893:95] - node _T_1197 = and(_T_1196, _T_1195) @[exu_div_ctl.scala 893:95] - node _T_1198 = and(_T_1191, _T_1197) @[exu_div_ctl.scala 894:11] - node _T_1199 = or(_T_1187, _T_1198) @[exu_div_ctl.scala 907:88] - node _T_1200 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:75] - node _T_1201 = eq(_T_1200, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1202 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1203 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1204 = and(_T_1201, _T_1202) @[exu_div_ctl.scala 892:95] - node _T_1205 = and(_T_1204, _T_1203) @[exu_div_ctl.scala 892:95] - node _T_1206 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1207 = eq(_T_1206, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1208 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:58] - node _T_1209 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1210 = eq(_T_1209, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1211 = and(_T_1207, _T_1208) @[exu_div_ctl.scala 893:95] - node _T_1212 = and(_T_1211, _T_1210) @[exu_div_ctl.scala 893:95] - node _T_1213 = and(_T_1205, _T_1212) @[exu_div_ctl.scala 894:11] - node _T_1214 = or(_T_1199, _T_1213) @[exu_div_ctl.scala 907:131] - node _T_1215 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:75] - node _T_1216 = eq(_T_1215, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1217 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1218 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 892:58] - node _T_1219 = and(_T_1216, _T_1217) @[exu_div_ctl.scala 892:95] - node _T_1220 = and(_T_1219, _T_1218) @[exu_div_ctl.scala 892:95] - node _T_1221 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1222 = eq(_T_1221, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1223 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1224 = eq(_T_1223, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1225 = and(_T_1222, _T_1224) @[exu_div_ctl.scala 893:95] - node _T_1226 = and(_T_1220, _T_1225) @[exu_div_ctl.scala 894:11] - node _T_1227 = or(_T_1214, _T_1226) @[exu_div_ctl.scala 908:47] - node _T_1228 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1229 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:75] - node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1231 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1170 = and(_T_1163, _T_1169) @[exu_div_ctl.scala 893:11] + node _T_1171 = or(_T_1159, _T_1170) @[exu_div_ctl.scala 906:88] + node _T_1172 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:75] + node _T_1173 = eq(_T_1172, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1174 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1175 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1176 = and(_T_1173, _T_1174) @[exu_div_ctl.scala 891:95] + node _T_1177 = and(_T_1176, _T_1175) @[exu_div_ctl.scala 891:95] + node _T_1178 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1179 = eq(_T_1178, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1180 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:58] + node _T_1181 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1182 = eq(_T_1181, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1183 = and(_T_1179, _T_1180) @[exu_div_ctl.scala 892:95] + node _T_1184 = and(_T_1183, _T_1182) @[exu_div_ctl.scala 892:95] + node _T_1185 = and(_T_1177, _T_1184) @[exu_div_ctl.scala 893:11] + node _T_1186 = or(_T_1171, _T_1185) @[exu_div_ctl.scala 906:131] + node _T_1187 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:75] + node _T_1188 = eq(_T_1187, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1189 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1190 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 891:58] + node _T_1191 = and(_T_1188, _T_1189) @[exu_div_ctl.scala 891:95] + node _T_1192 = and(_T_1191, _T_1190) @[exu_div_ctl.scala 891:95] + node _T_1193 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1194 = eq(_T_1193, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1195 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1196 = eq(_T_1195, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1197 = and(_T_1194, _T_1196) @[exu_div_ctl.scala 892:95] + node _T_1198 = and(_T_1192, _T_1197) @[exu_div_ctl.scala 893:11] + node _T_1199 = or(_T_1186, _T_1198) @[exu_div_ctl.scala 907:47] + node _T_1200 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1201 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:75] + node _T_1202 = eq(_T_1201, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1203 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:75] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1205 = and(_T_1200, _T_1202) @[exu_div_ctl.scala 891:95] + node _T_1206 = and(_T_1205, _T_1204) @[exu_div_ctl.scala 891:95] + node _T_1207 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1208 = eq(_T_1207, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1209 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:58] + node _T_1210 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 892:58] + node _T_1211 = and(_T_1208, _T_1209) @[exu_div_ctl.scala 892:95] + node _T_1212 = and(_T_1211, _T_1210) @[exu_div_ctl.scala 892:95] + node _T_1213 = and(_T_1206, _T_1212) @[exu_div_ctl.scala 893:11] + node _T_1214 = or(_T_1199, _T_1213) @[exu_div_ctl.scala 907:88] + node _T_1215 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:75] + node _T_1216 = eq(_T_1215, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1217 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1218 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 891:58] + node _T_1219 = and(_T_1216, _T_1217) @[exu_div_ctl.scala 891:95] + node _T_1220 = and(_T_1219, _T_1218) @[exu_div_ctl.scala 891:95] + node _T_1221 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1222 = eq(_T_1221, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1223 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_1224 = eq(_T_1223, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1225 = and(_T_1222, _T_1224) @[exu_div_ctl.scala 892:95] + node _T_1226 = and(_T_1220, _T_1225) @[exu_div_ctl.scala 893:11] + node _T_1227 = or(_T_1214, _T_1226) @[exu_div_ctl.scala 907:131] + node _T_1228 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1229 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1230 = and(_T_1228, _T_1229) @[exu_div_ctl.scala 891:95] + node _T_1231 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] node _T_1232 = eq(_T_1231, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1233 = and(_T_1228, _T_1230) @[exu_div_ctl.scala 892:95] - node _T_1234 = and(_T_1233, _T_1232) @[exu_div_ctl.scala 892:95] - node _T_1235 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1236 = eq(_T_1235, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1237 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:58] - node _T_1238 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 893:58] - node _T_1239 = and(_T_1236, _T_1237) @[exu_div_ctl.scala 893:95] - node _T_1240 = and(_T_1239, _T_1238) @[exu_div_ctl.scala 893:95] - node _T_1241 = and(_T_1234, _T_1240) @[exu_div_ctl.scala 894:11] - node _T_1242 = or(_T_1227, _T_1241) @[exu_div_ctl.scala 908:88] - node _T_1243 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:75] - node _T_1244 = eq(_T_1243, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1245 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1246 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 892:58] - node _T_1247 = and(_T_1244, _T_1245) @[exu_div_ctl.scala 892:95] - node _T_1248 = and(_T_1247, _T_1246) @[exu_div_ctl.scala 892:95] - node _T_1249 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1250 = eq(_T_1249, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1251 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1252 = eq(_T_1251, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1253 = and(_T_1250, _T_1252) @[exu_div_ctl.scala 893:95] - node _T_1254 = and(_T_1248, _T_1253) @[exu_div_ctl.scala 894:11] - node _T_1255 = or(_T_1242, _T_1254) @[exu_div_ctl.scala 908:131] - node _T_1256 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1257 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1258 = and(_T_1256, _T_1257) @[exu_div_ctl.scala 892:95] - node _T_1259 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1260 = eq(_T_1259, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1261 = and(_T_1258, _T_1260) @[exu_div_ctl.scala 894:11] - node _T_1262 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 909:82] - node _T_1263 = eq(_T_1262, UInt<1>("h00")) @[exu_div_ctl.scala 909:77] - node _T_1264 = and(_T_1261, _T_1263) @[exu_div_ctl.scala 909:75] - node _T_1265 = or(_T_1255, _T_1264) @[exu_div_ctl.scala 909:47] - node _T_1266 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:75] - node _T_1267 = eq(_T_1266, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1268 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1269 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1270 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 892:58] - node _T_1271 = and(_T_1267, _T_1268) @[exu_div_ctl.scala 892:95] - node _T_1272 = and(_T_1271, _T_1269) @[exu_div_ctl.scala 892:95] - node _T_1273 = and(_T_1272, _T_1270) @[exu_div_ctl.scala 892:95] - node _T_1274 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1275 = eq(_T_1274, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1276 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:58] - node _T_1277 = and(_T_1275, _T_1276) @[exu_div_ctl.scala 893:95] - node _T_1278 = and(_T_1273, _T_1277) @[exu_div_ctl.scala 894:11] - node _T_1279 = or(_T_1265, _T_1278) @[exu_div_ctl.scala 909:88] - node _T_1280 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1281 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1282 = and(_T_1280, _T_1281) @[exu_div_ctl.scala 892:95] - node _T_1283 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:58] - node _T_1284 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1285 = eq(_T_1284, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1286 = and(_T_1283, _T_1285) @[exu_div_ctl.scala 893:95] - node _T_1287 = and(_T_1282, _T_1286) @[exu_div_ctl.scala 894:11] - node _T_1288 = or(_T_1279, _T_1287) @[exu_div_ctl.scala 909:131] - node _T_1289 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1290 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1291 = and(_T_1289, _T_1290) @[exu_div_ctl.scala 892:95] - node _T_1292 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:58] - node _T_1293 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1294 = eq(_T_1293, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1295 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1296 = eq(_T_1295, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1297 = and(_T_1292, _T_1294) @[exu_div_ctl.scala 893:95] - node _T_1298 = and(_T_1297, _T_1296) @[exu_div_ctl.scala 893:95] - node _T_1299 = and(_T_1291, _T_1298) @[exu_div_ctl.scala 894:11] - node _T_1300 = or(_T_1288, _T_1299) @[exu_div_ctl.scala 910:47] - node _T_1301 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1302 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 892:58] - node _T_1303 = and(_T_1301, _T_1302) @[exu_div_ctl.scala 892:95] - node _T_1304 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1306 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1307 = eq(_T_1306, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1308 = and(_T_1305, _T_1307) @[exu_div_ctl.scala 893:95] - node _T_1309 = and(_T_1303, _T_1308) @[exu_div_ctl.scala 894:11] - node _T_1310 = or(_T_1300, _T_1309) @[exu_div_ctl.scala 910:88] - node _T_1311 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1312 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:75] - node _T_1313 = eq(_T_1312, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1314 = and(_T_1311, _T_1313) @[exu_div_ctl.scala 892:95] - node _T_1315 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1316 = eq(_T_1315, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1317 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:58] - node _T_1318 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:58] - node _T_1319 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 893:58] - node _T_1320 = and(_T_1316, _T_1317) @[exu_div_ctl.scala 893:95] - node _T_1321 = and(_T_1320, _T_1318) @[exu_div_ctl.scala 893:95] - node _T_1322 = and(_T_1321, _T_1319) @[exu_div_ctl.scala 893:95] - node _T_1323 = and(_T_1314, _T_1322) @[exu_div_ctl.scala 894:11] - node _T_1324 = or(_T_1310, _T_1323) @[exu_div_ctl.scala 910:131] - node _T_1325 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1326 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1327 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1328 = and(_T_1325, _T_1326) @[exu_div_ctl.scala 892:95] - node _T_1329 = and(_T_1328, _T_1327) @[exu_div_ctl.scala 892:95] - node _T_1330 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:58] - node _T_1331 = and(_T_1329, _T_1330) @[exu_div_ctl.scala 894:11] - node _T_1332 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 911:84] - node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[exu_div_ctl.scala 911:79] - node _T_1334 = and(_T_1331, _T_1333) @[exu_div_ctl.scala 911:77] - node _T_1335 = or(_T_1324, _T_1334) @[exu_div_ctl.scala 911:47] - node _T_1336 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1337 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1338 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1339 = and(_T_1336, _T_1337) @[exu_div_ctl.scala 892:95] - node _T_1340 = and(_T_1339, _T_1338) @[exu_div_ctl.scala 892:95] - node _T_1341 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:58] - node _T_1342 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1343 = eq(_T_1342, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1344 = and(_T_1341, _T_1343) @[exu_div_ctl.scala 893:95] - node _T_1345 = and(_T_1340, _T_1344) @[exu_div_ctl.scala 894:11] - node _T_1346 = or(_T_1335, _T_1345) @[exu_div_ctl.scala 911:88] - node _T_1347 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1348 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1349 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 892:58] - node _T_1350 = and(_T_1347, _T_1348) @[exu_div_ctl.scala 892:95] - node _T_1351 = and(_T_1350, _T_1349) @[exu_div_ctl.scala 892:95] - node _T_1352 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:58] - node _T_1353 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:75] - node _T_1354 = eq(_T_1353, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1355 = and(_T_1352, _T_1354) @[exu_div_ctl.scala 893:95] - node _T_1356 = and(_T_1351, _T_1355) @[exu_div_ctl.scala 894:11] - node _T_1357 = or(_T_1346, _T_1356) @[exu_div_ctl.scala 911:131] - node _T_1358 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1359 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:75] - node _T_1360 = eq(_T_1359, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] - node _T_1361 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1362 = and(_T_1358, _T_1360) @[exu_div_ctl.scala 892:95] - node _T_1363 = and(_T_1362, _T_1361) @[exu_div_ctl.scala 892:95] - node _T_1364 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:75] - node _T_1365 = eq(_T_1364, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1366 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 893:58] - node _T_1367 = and(_T_1365, _T_1366) @[exu_div_ctl.scala 893:95] - node _T_1368 = and(_T_1363, _T_1367) @[exu_div_ctl.scala 894:11] - node _T_1369 = or(_T_1357, _T_1368) @[exu_div_ctl.scala 912:47] - node _T_1370 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1371 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1372 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 892:58] - node _T_1373 = and(_T_1370, _T_1371) @[exu_div_ctl.scala 892:95] - node _T_1374 = and(_T_1373, _T_1372) @[exu_div_ctl.scala 892:95] - node _T_1375 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1376 = eq(_T_1375, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1377 = and(_T_1374, _T_1376) @[exu_div_ctl.scala 894:11] - node _T_1378 = or(_T_1369, _T_1377) @[exu_div_ctl.scala 912:88] - node _T_1379 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1380 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 892:58] - node _T_1381 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1382 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 892:58] - node _T_1383 = and(_T_1379, _T_1380) @[exu_div_ctl.scala 892:95] - node _T_1384 = and(_T_1383, _T_1381) @[exu_div_ctl.scala 892:95] - node _T_1385 = and(_T_1384, _T_1382) @[exu_div_ctl.scala 892:95] - node _T_1386 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 893:58] - node _T_1387 = and(_T_1385, _T_1386) @[exu_div_ctl.scala 894:11] - node _T_1388 = or(_T_1378, _T_1387) @[exu_div_ctl.scala 912:131] - node _T_1389 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 892:58] - node _T_1390 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 892:58] - node _T_1391 = and(_T_1389, _T_1390) @[exu_div_ctl.scala 892:95] - node _T_1392 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 893:75] - node _T_1393 = eq(_T_1392, UInt<1>("h00")) @[exu_div_ctl.scala 893:70] - node _T_1394 = and(_T_1391, _T_1393) @[exu_div_ctl.scala 894:11] - node _T_1395 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 913:81] - node _T_1396 = eq(_T_1395, UInt<1>("h00")) @[exu_div_ctl.scala 913:76] - node _T_1397 = and(_T_1394, _T_1396) @[exu_div_ctl.scala 913:74] - node _T_1398 = or(_T_1388, _T_1397) @[exu_div_ctl.scala 913:47] - node _T_1399 = cat(_T_1087, _T_1398) @[Cat.scala 29:58] - node _T_1400 = cat(_T_953, _T_984) @[Cat.scala 29:58] - node _T_1401 = cat(_T_1400, _T_1399) @[Cat.scala 29:58] - smallnum <= _T_1401 @[exu_div_ctl.scala 896:12] - node _T_1402 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 916:50] - node shortq_dividend = cat(dividend_sign_ff, _T_1402) @[Cat.scala 29:58] - inst a_enc of exu_div_cls @[exu_div_ctl.scala 917:21] + node _T_1233 = and(_T_1230, _T_1232) @[exu_div_ctl.scala 893:11] + node _T_1234 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 908:82] + node _T_1235 = eq(_T_1234, UInt<1>("h00")) @[exu_div_ctl.scala 908:77] + node _T_1236 = and(_T_1233, _T_1235) @[exu_div_ctl.scala 908:75] + node _T_1237 = or(_T_1227, _T_1236) @[exu_div_ctl.scala 908:47] + node _T_1238 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:75] + node _T_1239 = eq(_T_1238, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1240 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1241 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1242 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 891:58] + node _T_1243 = and(_T_1239, _T_1240) @[exu_div_ctl.scala 891:95] + node _T_1244 = and(_T_1243, _T_1241) @[exu_div_ctl.scala 891:95] + node _T_1245 = and(_T_1244, _T_1242) @[exu_div_ctl.scala 891:95] + node _T_1246 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1247 = eq(_T_1246, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1248 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:58] + node _T_1249 = and(_T_1247, _T_1248) @[exu_div_ctl.scala 892:95] + node _T_1250 = and(_T_1245, _T_1249) @[exu_div_ctl.scala 893:11] + node _T_1251 = or(_T_1237, _T_1250) @[exu_div_ctl.scala 908:88] + node _T_1252 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1253 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1254 = and(_T_1252, _T_1253) @[exu_div_ctl.scala 891:95] + node _T_1255 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:58] + node _T_1256 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_1257 = eq(_T_1256, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1258 = and(_T_1255, _T_1257) @[exu_div_ctl.scala 892:95] + node _T_1259 = and(_T_1254, _T_1258) @[exu_div_ctl.scala 893:11] + node _T_1260 = or(_T_1251, _T_1259) @[exu_div_ctl.scala 908:131] + node _T_1261 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1262 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1263 = and(_T_1261, _T_1262) @[exu_div_ctl.scala 891:95] + node _T_1264 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:58] + node _T_1265 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_1266 = eq(_T_1265, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1267 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1268 = eq(_T_1267, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1269 = and(_T_1264, _T_1266) @[exu_div_ctl.scala 892:95] + node _T_1270 = and(_T_1269, _T_1268) @[exu_div_ctl.scala 892:95] + node _T_1271 = and(_T_1263, _T_1270) @[exu_div_ctl.scala 893:11] + node _T_1272 = or(_T_1260, _T_1271) @[exu_div_ctl.scala 909:47] + node _T_1273 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1274 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 891:58] + node _T_1275 = and(_T_1273, _T_1274) @[exu_div_ctl.scala 891:95] + node _T_1276 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_1277 = eq(_T_1276, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1278 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1279 = eq(_T_1278, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1280 = and(_T_1277, _T_1279) @[exu_div_ctl.scala 892:95] + node _T_1281 = and(_T_1275, _T_1280) @[exu_div_ctl.scala 893:11] + node _T_1282 = or(_T_1272, _T_1281) @[exu_div_ctl.scala 909:88] + node _T_1283 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1284 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:75] + node _T_1285 = eq(_T_1284, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1286 = and(_T_1283, _T_1285) @[exu_div_ctl.scala 891:95] + node _T_1287 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1288 = eq(_T_1287, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1289 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:58] + node _T_1290 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:58] + node _T_1291 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 892:58] + node _T_1292 = and(_T_1288, _T_1289) @[exu_div_ctl.scala 892:95] + node _T_1293 = and(_T_1292, _T_1290) @[exu_div_ctl.scala 892:95] + node _T_1294 = and(_T_1293, _T_1291) @[exu_div_ctl.scala 892:95] + node _T_1295 = and(_T_1286, _T_1294) @[exu_div_ctl.scala 893:11] + node _T_1296 = or(_T_1282, _T_1295) @[exu_div_ctl.scala 909:131] + node _T_1297 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1298 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1299 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1300 = and(_T_1297, _T_1298) @[exu_div_ctl.scala 891:95] + node _T_1301 = and(_T_1300, _T_1299) @[exu_div_ctl.scala 891:95] + node _T_1302 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:58] + node _T_1303 = and(_T_1301, _T_1302) @[exu_div_ctl.scala 893:11] + node _T_1304 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 910:84] + node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[exu_div_ctl.scala 910:79] + node _T_1306 = and(_T_1303, _T_1305) @[exu_div_ctl.scala 910:77] + node _T_1307 = or(_T_1296, _T_1306) @[exu_div_ctl.scala 910:47] + node _T_1308 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1309 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1310 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1311 = and(_T_1308, _T_1309) @[exu_div_ctl.scala 891:95] + node _T_1312 = and(_T_1311, _T_1310) @[exu_div_ctl.scala 891:95] + node _T_1313 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:58] + node _T_1314 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1315 = eq(_T_1314, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1316 = and(_T_1313, _T_1315) @[exu_div_ctl.scala 892:95] + node _T_1317 = and(_T_1312, _T_1316) @[exu_div_ctl.scala 893:11] + node _T_1318 = or(_T_1307, _T_1317) @[exu_div_ctl.scala 910:88] + node _T_1319 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1320 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1321 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 891:58] + node _T_1322 = and(_T_1319, _T_1320) @[exu_div_ctl.scala 891:95] + node _T_1323 = and(_T_1322, _T_1321) @[exu_div_ctl.scala 891:95] + node _T_1324 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:58] + node _T_1325 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:75] + node _T_1326 = eq(_T_1325, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1327 = and(_T_1324, _T_1326) @[exu_div_ctl.scala 892:95] + node _T_1328 = and(_T_1323, _T_1327) @[exu_div_ctl.scala 893:11] + node _T_1329 = or(_T_1318, _T_1328) @[exu_div_ctl.scala 910:131] + node _T_1330 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1331 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:75] + node _T_1332 = eq(_T_1331, UInt<1>("h00")) @[exu_div_ctl.scala 891:70] + node _T_1333 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1334 = and(_T_1330, _T_1332) @[exu_div_ctl.scala 891:95] + node _T_1335 = and(_T_1334, _T_1333) @[exu_div_ctl.scala 891:95] + node _T_1336 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:75] + node _T_1337 = eq(_T_1336, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1338 = bits(b_ff, 1, 1) @[exu_div_ctl.scala 892:58] + node _T_1339 = and(_T_1337, _T_1338) @[exu_div_ctl.scala 892:95] + node _T_1340 = and(_T_1335, _T_1339) @[exu_div_ctl.scala 893:11] + node _T_1341 = or(_T_1329, _T_1340) @[exu_div_ctl.scala 911:47] + node _T_1342 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1343 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1344 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 891:58] + node _T_1345 = and(_T_1342, _T_1343) @[exu_div_ctl.scala 891:95] + node _T_1346 = and(_T_1345, _T_1344) @[exu_div_ctl.scala 891:95] + node _T_1347 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1349 = and(_T_1346, _T_1348) @[exu_div_ctl.scala 893:11] + node _T_1350 = or(_T_1341, _T_1349) @[exu_div_ctl.scala 911:88] + node _T_1351 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1352 = bits(a_ff, 2, 2) @[exu_div_ctl.scala 891:58] + node _T_1353 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1354 = bits(a_ff, 0, 0) @[exu_div_ctl.scala 891:58] + node _T_1355 = and(_T_1351, _T_1352) @[exu_div_ctl.scala 891:95] + node _T_1356 = and(_T_1355, _T_1353) @[exu_div_ctl.scala 891:95] + node _T_1357 = and(_T_1356, _T_1354) @[exu_div_ctl.scala 891:95] + node _T_1358 = bits(b_ff, 3, 3) @[exu_div_ctl.scala 892:58] + node _T_1359 = and(_T_1357, _T_1358) @[exu_div_ctl.scala 893:11] + node _T_1360 = or(_T_1350, _T_1359) @[exu_div_ctl.scala 911:131] + node _T_1361 = bits(a_ff, 3, 3) @[exu_div_ctl.scala 891:58] + node _T_1362 = bits(a_ff, 1, 1) @[exu_div_ctl.scala 891:58] + node _T_1363 = and(_T_1361, _T_1362) @[exu_div_ctl.scala 891:95] + node _T_1364 = bits(b_ff, 2, 2) @[exu_div_ctl.scala 892:75] + node _T_1365 = eq(_T_1364, UInt<1>("h00")) @[exu_div_ctl.scala 892:70] + node _T_1366 = and(_T_1363, _T_1365) @[exu_div_ctl.scala 893:11] + node _T_1367 = bits(b_ff, 0, 0) @[exu_div_ctl.scala 912:81] + node _T_1368 = eq(_T_1367, UInt<1>("h00")) @[exu_div_ctl.scala 912:76] + node _T_1369 = and(_T_1366, _T_1368) @[exu_div_ctl.scala 912:74] + node _T_1370 = or(_T_1360, _T_1369) @[exu_div_ctl.scala 912:47] + node _T_1371 = cat(_T_1059, _T_1370) @[Cat.scala 29:58] + node _T_1372 = cat(_T_925, _T_956) @[Cat.scala 29:58] + node _T_1373 = cat(_T_1372, _T_1371) @[Cat.scala 29:58] + smallnum <= _T_1373 @[exu_div_ctl.scala 895:12] + node _T_1374 = bits(a_ff, 31, 0) @[exu_div_ctl.scala 915:50] + node shortq_dividend = cat(dividend_sign_ff, _T_1374) @[Cat.scala 29:58] + inst a_enc of exu_div_cls @[exu_div_ctl.scala 916:21] a_enc.clock <= clock a_enc.reset <= reset - a_enc.io.operand <= shortq_dividend @[exu_div_ctl.scala 918:20] - inst b_enc of exu_div_cls_1 @[exu_div_ctl.scala 920:21] + a_enc.io.operand <= shortq_dividend @[exu_div_ctl.scala 917:20] + inst b_enc of exu_div_cls_1 @[exu_div_ctl.scala 919:21] b_enc.clock <= clock b_enc.reset <= reset - node _T_1403 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 921:27] - b_enc.io.operand <= _T_1403 @[exu_div_ctl.scala 921:20] + node _T_1375 = bits(b_ff, 32, 0) @[exu_div_ctl.scala 920:27] + b_enc.io.operand <= _T_1375 @[exu_div_ctl.scala 920:20] node dw_a_enc = cat(UInt<1>("h00"), a_enc.io.cls) @[Cat.scala 29:58] node dw_b_enc = cat(UInt<1>("h00"), b_enc.io.cls) @[Cat.scala 29:58] - node _T_1404 = cat(UInt<1>("h00"), dw_b_enc) @[Cat.scala 29:58] - node _T_1405 = cat(UInt<1>("h00"), dw_a_enc) @[Cat.scala 29:58] - node _T_1406 = sub(_T_1404, _T_1405) @[exu_div_ctl.scala 925:41] - node _T_1407 = tail(_T_1406, 1) @[exu_div_ctl.scala 925:41] - node _T_1408 = add(_T_1407, UInt<7>("h01")) @[exu_div_ctl.scala 925:61] - node dw_shortq_raw = tail(_T_1408, 1) @[exu_div_ctl.scala 925:61] - node _T_1409 = bits(dw_shortq_raw, 6, 6) @[exu_div_ctl.scala 926:33] - node _T_1410 = bits(_T_1409, 0, 0) @[exu_div_ctl.scala 926:43] - node _T_1411 = bits(dw_shortq_raw, 5, 0) @[exu_div_ctl.scala 926:63] - node shortq = mux(_T_1410, UInt<1>("h00"), _T_1411) @[exu_div_ctl.scala 926:19] - node _T_1412 = bits(shortq, 5, 5) @[exu_div_ctl.scala 927:38] - node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[exu_div_ctl.scala 927:31] - node _T_1414 = and(valid_ff, _T_1413) @[exu_div_ctl.scala 927:29] - node _T_1415 = bits(shortq, 4, 2) @[exu_div_ctl.scala 927:52] - node _T_1416 = eq(_T_1415, UInt<3>("h07")) @[exu_div_ctl.scala 927:58] - node _T_1417 = eq(_T_1416, UInt<1>("h00")) @[exu_div_ctl.scala 927:44] - node _T_1418 = and(_T_1414, _T_1417) @[exu_div_ctl.scala 927:42] - node _T_1419 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 927:75] - node _T_1420 = and(_T_1418, _T_1419) @[exu_div_ctl.scala 927:73] - shortq_enable <= _T_1420 @[exu_div_ctl.scala 927:17] - node _T_1421 = eq(shortq, UInt<5>("h01f")) @[exu_div_ctl.scala 929:58] - node _T_1422 = eq(shortq, UInt<5>("h01e")) @[exu_div_ctl.scala 929:58] - node _T_1423 = eq(shortq, UInt<5>("h01d")) @[exu_div_ctl.scala 929:58] - node _T_1424 = eq(shortq, UInt<5>("h01c")) @[exu_div_ctl.scala 929:58] - node _T_1425 = eq(shortq, UInt<5>("h01b")) @[exu_div_ctl.scala 929:58] - node _T_1426 = eq(shortq, UInt<5>("h01a")) @[exu_div_ctl.scala 929:58] - node _T_1427 = eq(shortq, UInt<5>("h019")) @[exu_div_ctl.scala 929:58] - node _T_1428 = eq(shortq, UInt<5>("h018")) @[exu_div_ctl.scala 929:58] - node _T_1429 = eq(shortq, UInt<5>("h017")) @[exu_div_ctl.scala 929:58] - node _T_1430 = eq(shortq, UInt<5>("h016")) @[exu_div_ctl.scala 929:58] - node _T_1431 = eq(shortq, UInt<5>("h015")) @[exu_div_ctl.scala 929:58] - node _T_1432 = eq(shortq, UInt<5>("h014")) @[exu_div_ctl.scala 929:58] - node _T_1433 = eq(shortq, UInt<5>("h013")) @[exu_div_ctl.scala 929:58] - node _T_1434 = eq(shortq, UInt<5>("h012")) @[exu_div_ctl.scala 929:58] - node _T_1435 = eq(shortq, UInt<5>("h011")) @[exu_div_ctl.scala 929:58] - node _T_1436 = eq(shortq, UInt<5>("h010")) @[exu_div_ctl.scala 929:58] - node _T_1437 = eq(shortq, UInt<4>("h0f")) @[exu_div_ctl.scala 929:58] - node _T_1438 = eq(shortq, UInt<4>("h0e")) @[exu_div_ctl.scala 929:58] - node _T_1439 = eq(shortq, UInt<4>("h0d")) @[exu_div_ctl.scala 929:58] - node _T_1440 = eq(shortq, UInt<4>("h0c")) @[exu_div_ctl.scala 929:58] - node _T_1441 = eq(shortq, UInt<4>("h0b")) @[exu_div_ctl.scala 929:58] - node _T_1442 = eq(shortq, UInt<4>("h0a")) @[exu_div_ctl.scala 929:58] - node _T_1443 = eq(shortq, UInt<4>("h09")) @[exu_div_ctl.scala 929:58] - node _T_1444 = eq(shortq, UInt<4>("h08")) @[exu_div_ctl.scala 929:58] - node _T_1445 = eq(shortq, UInt<3>("h07")) @[exu_div_ctl.scala 929:58] - node _T_1446 = eq(shortq, UInt<3>("h06")) @[exu_div_ctl.scala 929:58] - node _T_1447 = eq(shortq, UInt<3>("h05")) @[exu_div_ctl.scala 929:58] - node _T_1448 = eq(shortq, UInt<3>("h04")) @[exu_div_ctl.scala 929:58] - node _T_1449 = eq(shortq, UInt<2>("h03")) @[exu_div_ctl.scala 929:58] - node _T_1450 = eq(shortq, UInt<2>("h02")) @[exu_div_ctl.scala 929:58] - node _T_1451 = eq(shortq, UInt<1>("h01")) @[exu_div_ctl.scala 929:58] - node _T_1452 = eq(shortq, UInt<1>("h00")) @[exu_div_ctl.scala 929:58] - node _T_1453 = mux(_T_1421, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1454 = mux(_T_1422, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1455 = mux(_T_1423, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1456 = mux(_T_1424, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1457 = mux(_T_1425, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1458 = mux(_T_1426, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1459 = mux(_T_1427, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1460 = mux(_T_1428, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1461 = mux(_T_1429, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1462 = mux(_T_1430, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1463 = mux(_T_1431, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1464 = mux(_T_1432, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1465 = mux(_T_1433, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1466 = mux(_T_1434, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1467 = mux(_T_1435, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1468 = mux(_T_1436, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1469 = mux(_T_1437, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1470 = mux(_T_1438, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1471 = mux(_T_1439, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1472 = mux(_T_1440, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1473 = mux(_T_1441, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1474 = mux(_T_1442, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1475 = mux(_T_1443, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1476 = mux(_T_1444, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1477 = mux(_T_1445, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1478 = mux(_T_1446, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1479 = mux(_T_1447, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1480 = mux(_T_1448, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1481 = mux(_T_1449, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1482 = mux(_T_1450, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1483 = mux(_T_1451, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1484 = mux(_T_1452, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1485 = or(_T_1453, _T_1454) @[Mux.scala 27:72] + node _T_1376 = cat(UInt<1>("h00"), dw_b_enc) @[Cat.scala 29:58] + node _T_1377 = cat(UInt<1>("h00"), dw_a_enc) @[Cat.scala 29:58] + node _T_1378 = sub(_T_1376, _T_1377) @[exu_div_ctl.scala 924:41] + node _T_1379 = tail(_T_1378, 1) @[exu_div_ctl.scala 924:41] + node _T_1380 = add(_T_1379, UInt<7>("h01")) @[exu_div_ctl.scala 924:61] + node dw_shortq_raw = tail(_T_1380, 1) @[exu_div_ctl.scala 924:61] + node _T_1381 = bits(dw_shortq_raw, 6, 6) @[exu_div_ctl.scala 925:33] + node _T_1382 = bits(_T_1381, 0, 0) @[exu_div_ctl.scala 925:43] + node _T_1383 = bits(dw_shortq_raw, 5, 0) @[exu_div_ctl.scala 925:63] + node shortq = mux(_T_1382, UInt<1>("h00"), _T_1383) @[exu_div_ctl.scala 925:19] + node _T_1384 = bits(shortq, 5, 5) @[exu_div_ctl.scala 926:38] + node _T_1385 = eq(_T_1384, UInt<1>("h00")) @[exu_div_ctl.scala 926:31] + node _T_1386 = and(valid_ff, _T_1385) @[exu_div_ctl.scala 926:29] + node _T_1387 = bits(shortq, 4, 2) @[exu_div_ctl.scala 926:52] + node _T_1388 = eq(_T_1387, UInt<3>("h07")) @[exu_div_ctl.scala 926:58] + node _T_1389 = eq(_T_1388, UInt<1>("h00")) @[exu_div_ctl.scala 926:44] + node _T_1390 = and(_T_1386, _T_1389) @[exu_div_ctl.scala 926:42] + node _T_1391 = eq(io.cancel, UInt<1>("h00")) @[exu_div_ctl.scala 926:75] + node _T_1392 = and(_T_1390, _T_1391) @[exu_div_ctl.scala 926:73] + shortq_enable <= _T_1392 @[exu_div_ctl.scala 926:17] + node _T_1393 = eq(shortq, UInt<5>("h01f")) @[exu_div_ctl.scala 928:58] + node _T_1394 = eq(shortq, UInt<5>("h01e")) @[exu_div_ctl.scala 928:58] + node _T_1395 = eq(shortq, UInt<5>("h01d")) @[exu_div_ctl.scala 928:58] + node _T_1396 = eq(shortq, UInt<5>("h01c")) @[exu_div_ctl.scala 928:58] + node _T_1397 = eq(shortq, UInt<5>("h01b")) @[exu_div_ctl.scala 928:58] + node _T_1398 = eq(shortq, UInt<5>("h01a")) @[exu_div_ctl.scala 928:58] + node _T_1399 = eq(shortq, UInt<5>("h019")) @[exu_div_ctl.scala 928:58] + node _T_1400 = eq(shortq, UInt<5>("h018")) @[exu_div_ctl.scala 928:58] + node _T_1401 = eq(shortq, UInt<5>("h017")) @[exu_div_ctl.scala 928:58] + node _T_1402 = eq(shortq, UInt<5>("h016")) @[exu_div_ctl.scala 928:58] + node _T_1403 = eq(shortq, UInt<5>("h015")) @[exu_div_ctl.scala 928:58] + node _T_1404 = eq(shortq, UInt<5>("h014")) @[exu_div_ctl.scala 928:58] + node _T_1405 = eq(shortq, UInt<5>("h013")) @[exu_div_ctl.scala 928:58] + node _T_1406 = eq(shortq, UInt<5>("h012")) @[exu_div_ctl.scala 928:58] + node _T_1407 = eq(shortq, UInt<5>("h011")) @[exu_div_ctl.scala 928:58] + node _T_1408 = eq(shortq, UInt<5>("h010")) @[exu_div_ctl.scala 928:58] + node _T_1409 = eq(shortq, UInt<4>("h0f")) @[exu_div_ctl.scala 928:58] + node _T_1410 = eq(shortq, UInt<4>("h0e")) @[exu_div_ctl.scala 928:58] + node _T_1411 = eq(shortq, UInt<4>("h0d")) @[exu_div_ctl.scala 928:58] + node _T_1412 = eq(shortq, UInt<4>("h0c")) @[exu_div_ctl.scala 928:58] + node _T_1413 = eq(shortq, UInt<4>("h0b")) @[exu_div_ctl.scala 928:58] + node _T_1414 = eq(shortq, UInt<4>("h0a")) @[exu_div_ctl.scala 928:58] + node _T_1415 = eq(shortq, UInt<4>("h09")) @[exu_div_ctl.scala 928:58] + node _T_1416 = eq(shortq, UInt<4>("h08")) @[exu_div_ctl.scala 928:58] + node _T_1417 = eq(shortq, UInt<3>("h07")) @[exu_div_ctl.scala 928:58] + node _T_1418 = eq(shortq, UInt<3>("h06")) @[exu_div_ctl.scala 928:58] + node _T_1419 = eq(shortq, UInt<3>("h05")) @[exu_div_ctl.scala 928:58] + node _T_1420 = eq(shortq, UInt<3>("h04")) @[exu_div_ctl.scala 928:58] + node _T_1421 = eq(shortq, UInt<2>("h03")) @[exu_div_ctl.scala 928:58] + node _T_1422 = eq(shortq, UInt<2>("h02")) @[exu_div_ctl.scala 928:58] + node _T_1423 = eq(shortq, UInt<1>("h01")) @[exu_div_ctl.scala 928:58] + node _T_1424 = eq(shortq, UInt<1>("h00")) @[exu_div_ctl.scala 928:58] + node _T_1425 = mux(_T_1393, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1426 = mux(_T_1394, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1427 = mux(_T_1395, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1428 = mux(_T_1396, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1429 = mux(_T_1397, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1430 = mux(_T_1398, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1431 = mux(_T_1399, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1432 = mux(_T_1400, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1433 = mux(_T_1401, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1434 = mux(_T_1402, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1435 = mux(_T_1403, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1436 = mux(_T_1404, UInt<4>("h08"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1437 = mux(_T_1405, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1438 = mux(_T_1406, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1439 = mux(_T_1407, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1440 = mux(_T_1408, UInt<4>("h0c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1441 = mux(_T_1409, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1442 = mux(_T_1410, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1443 = mux(_T_1411, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1444 = mux(_T_1412, UInt<5>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1445 = mux(_T_1413, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1446 = mux(_T_1414, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1447 = mux(_T_1415, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1448 = mux(_T_1416, UInt<5>("h014"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1449 = mux(_T_1417, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1450 = mux(_T_1418, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1451 = mux(_T_1419, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1452 = mux(_T_1420, UInt<5>("h018"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1453 = mux(_T_1421, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1454 = mux(_T_1422, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1455 = mux(_T_1423, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1456 = mux(_T_1424, UInt<5>("h01c"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1457 = or(_T_1425, _T_1426) @[Mux.scala 27:72] + node _T_1458 = or(_T_1457, _T_1427) @[Mux.scala 27:72] + node _T_1459 = or(_T_1458, _T_1428) @[Mux.scala 27:72] + node _T_1460 = or(_T_1459, _T_1429) @[Mux.scala 27:72] + node _T_1461 = or(_T_1460, _T_1430) @[Mux.scala 27:72] + node _T_1462 = or(_T_1461, _T_1431) @[Mux.scala 27:72] + node _T_1463 = or(_T_1462, _T_1432) @[Mux.scala 27:72] + node _T_1464 = or(_T_1463, _T_1433) @[Mux.scala 27:72] + node _T_1465 = or(_T_1464, _T_1434) @[Mux.scala 27:72] + node _T_1466 = or(_T_1465, _T_1435) @[Mux.scala 27:72] + node _T_1467 = or(_T_1466, _T_1436) @[Mux.scala 27:72] + node _T_1468 = or(_T_1467, _T_1437) @[Mux.scala 27:72] + node _T_1469 = or(_T_1468, _T_1438) @[Mux.scala 27:72] + node _T_1470 = or(_T_1469, _T_1439) @[Mux.scala 27:72] + node _T_1471 = or(_T_1470, _T_1440) @[Mux.scala 27:72] + node _T_1472 = or(_T_1471, _T_1441) @[Mux.scala 27:72] + node _T_1473 = or(_T_1472, _T_1442) @[Mux.scala 27:72] + node _T_1474 = or(_T_1473, _T_1443) @[Mux.scala 27:72] + node _T_1475 = or(_T_1474, _T_1444) @[Mux.scala 27:72] + node _T_1476 = or(_T_1475, _T_1445) @[Mux.scala 27:72] + node _T_1477 = or(_T_1476, _T_1446) @[Mux.scala 27:72] + node _T_1478 = or(_T_1477, _T_1447) @[Mux.scala 27:72] + node _T_1479 = or(_T_1478, _T_1448) @[Mux.scala 27:72] + node _T_1480 = or(_T_1479, _T_1449) @[Mux.scala 27:72] + node _T_1481 = or(_T_1480, _T_1450) @[Mux.scala 27:72] + node _T_1482 = or(_T_1481, _T_1451) @[Mux.scala 27:72] + node _T_1483 = or(_T_1482, _T_1452) @[Mux.scala 27:72] + node _T_1484 = or(_T_1483, _T_1453) @[Mux.scala 27:72] + node _T_1485 = or(_T_1484, _T_1454) @[Mux.scala 27:72] node _T_1486 = or(_T_1485, _T_1455) @[Mux.scala 27:72] node _T_1487 = or(_T_1486, _T_1456) @[Mux.scala 27:72] - node _T_1488 = or(_T_1487, _T_1457) @[Mux.scala 27:72] - node _T_1489 = or(_T_1488, _T_1458) @[Mux.scala 27:72] - node _T_1490 = or(_T_1489, _T_1459) @[Mux.scala 27:72] - node _T_1491 = or(_T_1490, _T_1460) @[Mux.scala 27:72] - node _T_1492 = or(_T_1491, _T_1461) @[Mux.scala 27:72] - node _T_1493 = or(_T_1492, _T_1462) @[Mux.scala 27:72] - node _T_1494 = or(_T_1493, _T_1463) @[Mux.scala 27:72] - node _T_1495 = or(_T_1494, _T_1464) @[Mux.scala 27:72] - node _T_1496 = or(_T_1495, _T_1465) @[Mux.scala 27:72] - node _T_1497 = or(_T_1496, _T_1466) @[Mux.scala 27:72] - node _T_1498 = or(_T_1497, _T_1467) @[Mux.scala 27:72] - node _T_1499 = or(_T_1498, _T_1468) @[Mux.scala 27:72] - node _T_1500 = or(_T_1499, _T_1469) @[Mux.scala 27:72] - node _T_1501 = or(_T_1500, _T_1470) @[Mux.scala 27:72] - node _T_1502 = or(_T_1501, _T_1471) @[Mux.scala 27:72] - node _T_1503 = or(_T_1502, _T_1472) @[Mux.scala 27:72] - node _T_1504 = or(_T_1503, _T_1473) @[Mux.scala 27:72] - node _T_1505 = or(_T_1504, _T_1474) @[Mux.scala 27:72] - node _T_1506 = or(_T_1505, _T_1475) @[Mux.scala 27:72] - node _T_1507 = or(_T_1506, _T_1476) @[Mux.scala 27:72] - node _T_1508 = or(_T_1507, _T_1477) @[Mux.scala 27:72] - node _T_1509 = or(_T_1508, _T_1478) @[Mux.scala 27:72] - node _T_1510 = or(_T_1509, _T_1479) @[Mux.scala 27:72] - node _T_1511 = or(_T_1510, _T_1480) @[Mux.scala 27:72] - node _T_1512 = or(_T_1511, _T_1481) @[Mux.scala 27:72] - node _T_1513 = or(_T_1512, _T_1482) @[Mux.scala 27:72] - node _T_1514 = or(_T_1513, _T_1483) @[Mux.scala 27:72] - node _T_1515 = or(_T_1514, _T_1484) @[Mux.scala 27:72] - wire _T_1516 : UInt<5> @[Mux.scala 27:72] - _T_1516 <= _T_1515 @[Mux.scala 27:72] - shortq_decode <= _T_1516 @[exu_div_ctl.scala 929:17] - node _T_1517 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 930:23] - node _T_1518 = mux(_T_1517, UInt<1>("h00"), shortq_decode) @[exu_div_ctl.scala 930:22] - shortq_shift <= _T_1518 @[exu_div_ctl.scala 930:16] - node _T_1519 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 931:20] - node _T_1520 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 931:30] - node _T_1521 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 931:40] - node _T_1522 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 931:50] - node _T_1523 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 931:60] - node _T_1524 = cat(_T_1522, _T_1523) @[Cat.scala 29:58] - node _T_1525 = cat(_T_1524, b_ff1) @[Cat.scala 29:58] - node _T_1526 = cat(_T_1519, _T_1520) @[Cat.scala 29:58] - node _T_1527 = cat(_T_1526, _T_1521) @[Cat.scala 29:58] - node _T_1528 = cat(_T_1527, _T_1525) @[Cat.scala 29:58] - b_ff <= _T_1528 @[exu_div_ctl.scala 931:8] + wire _T_1488 : UInt<5> @[Mux.scala 27:72] + _T_1488 <= _T_1487 @[Mux.scala 27:72] + shortq_decode <= _T_1488 @[exu_div_ctl.scala 928:17] + node _T_1489 = eq(shortq_enable, UInt<1>("h00")) @[exu_div_ctl.scala 929:23] + node _T_1490 = mux(_T_1489, UInt<1>("h00"), shortq_decode) @[exu_div_ctl.scala 929:22] + shortq_shift <= _T_1490 @[exu_div_ctl.scala 929:16] + node _T_1491 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 930:20] + node _T_1492 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 930:30] + node _T_1493 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 930:40] + node _T_1494 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 930:50] + node _T_1495 = bits(b_ff1, 32, 32) @[exu_div_ctl.scala 930:60] + node _T_1496 = cat(_T_1494, _T_1495) @[Cat.scala 29:58] + node _T_1497 = cat(_T_1496, b_ff1) @[Cat.scala 29:58] + node _T_1498 = cat(_T_1491, _T_1492) @[Cat.scala 29:58] + node _T_1499 = cat(_T_1498, _T_1493) @[Cat.scala 29:58] + node _T_1500 = cat(_T_1499, _T_1497) @[Cat.scala 29:58] + b_ff <= _T_1500 @[exu_div_ctl.scala 930:8] inst rvclkhdr of rvclkhdr @[lib.scala 390:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset rvclkhdr.io.clk <= clock @[lib.scala 392:18] rvclkhdr.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1529 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1501 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1529 <= valid_ff_in @[Reg.scala 28:23] + _T_1501 <= valid_ff_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - valid_ff <= _T_1529 @[exu_div_ctl.scala 932:12] + valid_ff <= _T_1501 @[exu_div_ctl.scala 931:12] inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 390:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[lib.scala 392:18] rvclkhdr_1.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_1.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1530 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1502 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1530 <= control_in @[Reg.scala 28:23] + _T_1502 <= control_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - control_ff <= _T_1530 @[exu_div_ctl.scala 933:16] + control_ff <= _T_1502 @[exu_div_ctl.scala 932:16] inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 390:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset rvclkhdr_2.io.clk <= clock @[lib.scala 392:18] rvclkhdr_2.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_2.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1531 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1503 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1531 <= by_zero_case @[Reg.scala 28:23] + _T_1503 <= by_zero_case @[Reg.scala 28:23] skip @[Reg.scala 28:19] - by_zero_case_ff <= _T_1531 @[exu_div_ctl.scala 934:19] + by_zero_case_ff <= _T_1503 @[exu_div_ctl.scala 933:19] inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 390:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset rvclkhdr_3.io.clk <= clock @[lib.scala 392:18] rvclkhdr_3.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_3.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1532 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1504 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1532 <= shortq_enable @[Reg.scala 28:23] + _T_1504 <= shortq_enable @[Reg.scala 28:23] skip @[Reg.scala 28:19] - shortq_enable_ff <= _T_1532 @[exu_div_ctl.scala 935:20] + shortq_enable_ff <= _T_1504 @[exu_div_ctl.scala 934:20] inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 390:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[lib.scala 392:18] rvclkhdr_4.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_4.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1533 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1505 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1533 <= shortq_shift @[Reg.scala 28:23] + _T_1505 <= shortq_shift @[Reg.scala 28:23] skip @[Reg.scala 28:19] - shortq_shift_ff <= _T_1533 @[exu_div_ctl.scala 936:19] + shortq_shift_ff <= _T_1505 @[exu_div_ctl.scala 935:19] inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 390:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[lib.scala 392:18] rvclkhdr_5.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_5.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1534 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1506 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1534 <= finish @[Reg.scala 28:23] + _T_1506 <= finish @[Reg.scala 28:23] skip @[Reg.scala 28:19] - finish_ff <= _T_1534 @[exu_div_ctl.scala 937:13] + finish_ff <= _T_1506 @[exu_div_ctl.scala 936:13] inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 390:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[lib.scala 392:18] rvclkhdr_6.io.en <= misc_enable @[lib.scala 393:17] rvclkhdr_6.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1535 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1507 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when misc_enable : @[Reg.scala 28:19] - _T_1535 <= count_in @[Reg.scala 28:23] + _T_1507 <= count_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - count_ff <= _T_1535 @[exu_div_ctl.scala 938:12] + count_ff <= _T_1507 @[exu_div_ctl.scala 937:12] inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 390:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[lib.scala 392:18] rvclkhdr_7.io.en <= a_enable @[lib.scala 393:17] rvclkhdr_7.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1536 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1508 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when a_enable : @[Reg.scala 28:19] - _T_1536 <= a_in @[Reg.scala 28:23] + _T_1508 <= a_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - a_ff <= _T_1536 @[exu_div_ctl.scala 940:8] - node _T_1537 = bits(b_in, 32, 0) @[exu_div_ctl.scala 941:23] + a_ff <= _T_1508 @[exu_div_ctl.scala 939:8] + node _T_1509 = bits(b_in, 32, 0) @[exu_div_ctl.scala 940:23] inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 390:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[lib.scala 392:18] rvclkhdr_8.io.en <= b_enable @[lib.scala 393:17] rvclkhdr_8.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1538 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1510 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when b_enable : @[Reg.scala 28:19] - _T_1538 <= _T_1537 @[Reg.scala 28:23] + _T_1510 <= _T_1509 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - b_ff1 <= _T_1538 @[exu_div_ctl.scala 941:9] + b_ff1 <= _T_1510 @[exu_div_ctl.scala 940:9] inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 390:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[lib.scala 392:18] rvclkhdr_9.io.en <= rq_enable @[lib.scala 393:17] rvclkhdr_9.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1539 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1511 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when rq_enable : @[Reg.scala 28:19] - _T_1539 <= r_in @[Reg.scala 28:23] + _T_1511 <= r_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - r_ff <= _T_1539 @[exu_div_ctl.scala 942:8] + r_ff <= _T_1511 @[exu_div_ctl.scala 941:8] inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 390:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[lib.scala 392:18] rvclkhdr_10.io.en <= rq_enable @[lib.scala 393:17] rvclkhdr_10.io.scan_mode <= UInt<1>("h00") @[lib.scala 394:24] - reg _T_1540 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1512 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when rq_enable : @[Reg.scala 28:19] - _T_1540 <= q_in @[Reg.scala 28:23] + _T_1512 <= q_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - q_ff <= _T_1540 @[exu_div_ctl.scala 943:8] + q_ff <= _T_1512 @[exu_div_ctl.scala 942:8] diff --git a/exu_div_new_4bit_fullshortq.v b/exu_div_new_4bit_fullshortq.v index f7765cba..391b13ca 100644 --- a/exu_div_new_4bit_fullshortq.v +++ b/exu_div_new_4bit_fullshortq.v @@ -2,37 +2,37 @@ module exu_div_cls( input [32:0] io_operand, output [4:0] io_cls ); - wire _T_3 = io_operand[31:30] == 2'h1; // @[exu_div_ctl.scala 958:63] - wire _T_5 = io_operand[31:29] == 3'h1; // @[exu_div_ctl.scala 958:63] - wire _T_7 = io_operand[31:28] == 4'h1; // @[exu_div_ctl.scala 958:63] - wire _T_9 = io_operand[31:27] == 5'h1; // @[exu_div_ctl.scala 958:63] - wire _T_11 = io_operand[31:26] == 6'h1; // @[exu_div_ctl.scala 958:63] - wire _T_13 = io_operand[31:25] == 7'h1; // @[exu_div_ctl.scala 958:63] - wire _T_15 = io_operand[31:24] == 8'h1; // @[exu_div_ctl.scala 958:63] - wire _T_17 = io_operand[31:23] == 9'h1; // @[exu_div_ctl.scala 958:63] - wire _T_19 = io_operand[31:22] == 10'h1; // @[exu_div_ctl.scala 958:63] - wire _T_21 = io_operand[31:21] == 11'h1; // @[exu_div_ctl.scala 958:63] - wire _T_23 = io_operand[31:20] == 12'h1; // @[exu_div_ctl.scala 958:63] - wire _T_25 = io_operand[31:19] == 13'h1; // @[exu_div_ctl.scala 958:63] - wire _T_27 = io_operand[31:18] == 14'h1; // @[exu_div_ctl.scala 958:63] - wire _T_29 = io_operand[31:17] == 15'h1; // @[exu_div_ctl.scala 958:63] - wire _T_31 = io_operand[31:16] == 16'h1; // @[exu_div_ctl.scala 958:63] - wire _T_33 = io_operand[31:15] == 17'h1; // @[exu_div_ctl.scala 958:63] - wire _T_35 = io_operand[31:14] == 18'h1; // @[exu_div_ctl.scala 958:63] - wire _T_37 = io_operand[31:13] == 19'h1; // @[exu_div_ctl.scala 958:63] - wire _T_39 = io_operand[31:12] == 20'h1; // @[exu_div_ctl.scala 958:63] - wire _T_41 = io_operand[31:11] == 21'h1; // @[exu_div_ctl.scala 958:63] - wire _T_43 = io_operand[31:10] == 22'h1; // @[exu_div_ctl.scala 958:63] - wire _T_45 = io_operand[31:9] == 23'h1; // @[exu_div_ctl.scala 958:63] - wire _T_47 = io_operand[31:8] == 24'h1; // @[exu_div_ctl.scala 958:63] - wire _T_49 = io_operand[31:7] == 25'h1; // @[exu_div_ctl.scala 958:63] - wire _T_51 = io_operand[31:6] == 26'h1; // @[exu_div_ctl.scala 958:63] - wire _T_53 = io_operand[31:5] == 27'h1; // @[exu_div_ctl.scala 958:63] - wire _T_55 = io_operand[31:4] == 28'h1; // @[exu_div_ctl.scala 958:63] - wire _T_57 = io_operand[31:3] == 29'h1; // @[exu_div_ctl.scala 958:63] - wire _T_59 = io_operand[31:2] == 30'h1; // @[exu_div_ctl.scala 958:63] - wire _T_61 = io_operand[31:1] == 31'h1; // @[exu_div_ctl.scala 958:63] - wire _T_63 = io_operand[31:0] == 32'h1; // @[exu_div_ctl.scala 958:63] + wire _T_3 = io_operand[31:30] == 2'h1; // @[exu_div_ctl.scala 957:63] + wire _T_5 = io_operand[31:29] == 3'h1; // @[exu_div_ctl.scala 957:63] + wire _T_7 = io_operand[31:28] == 4'h1; // @[exu_div_ctl.scala 957:63] + wire _T_9 = io_operand[31:27] == 5'h1; // @[exu_div_ctl.scala 957:63] + wire _T_11 = io_operand[31:26] == 6'h1; // @[exu_div_ctl.scala 957:63] + wire _T_13 = io_operand[31:25] == 7'h1; // @[exu_div_ctl.scala 957:63] + wire _T_15 = io_operand[31:24] == 8'h1; // @[exu_div_ctl.scala 957:63] + wire _T_17 = io_operand[31:23] == 9'h1; // @[exu_div_ctl.scala 957:63] + wire _T_19 = io_operand[31:22] == 10'h1; // @[exu_div_ctl.scala 957:63] + wire _T_21 = io_operand[31:21] == 11'h1; // @[exu_div_ctl.scala 957:63] + wire _T_23 = io_operand[31:20] == 12'h1; // @[exu_div_ctl.scala 957:63] + wire _T_25 = io_operand[31:19] == 13'h1; // @[exu_div_ctl.scala 957:63] + wire _T_27 = io_operand[31:18] == 14'h1; // @[exu_div_ctl.scala 957:63] + wire _T_29 = io_operand[31:17] == 15'h1; // @[exu_div_ctl.scala 957:63] + wire _T_31 = io_operand[31:16] == 16'h1; // @[exu_div_ctl.scala 957:63] + wire _T_33 = io_operand[31:15] == 17'h1; // @[exu_div_ctl.scala 957:63] + wire _T_35 = io_operand[31:14] == 18'h1; // @[exu_div_ctl.scala 957:63] + wire _T_37 = io_operand[31:13] == 19'h1; // @[exu_div_ctl.scala 957:63] + wire _T_39 = io_operand[31:12] == 20'h1; // @[exu_div_ctl.scala 957:63] + wire _T_41 = io_operand[31:11] == 21'h1; // @[exu_div_ctl.scala 957:63] + wire _T_43 = io_operand[31:10] == 22'h1; // @[exu_div_ctl.scala 957:63] + wire _T_45 = io_operand[31:9] == 23'h1; // @[exu_div_ctl.scala 957:63] + wire _T_47 = io_operand[31:8] == 24'h1; // @[exu_div_ctl.scala 957:63] + wire _T_49 = io_operand[31:7] == 25'h1; // @[exu_div_ctl.scala 957:63] + wire _T_51 = io_operand[31:6] == 26'h1; // @[exu_div_ctl.scala 957:63] + wire _T_53 = io_operand[31:5] == 27'h1; // @[exu_div_ctl.scala 957:63] + wire _T_55 = io_operand[31:4] == 28'h1; // @[exu_div_ctl.scala 957:63] + wire _T_57 = io_operand[31:3] == 29'h1; // @[exu_div_ctl.scala 957:63] + wire _T_59 = io_operand[31:2] == 30'h1; // @[exu_div_ctl.scala 957:63] + wire _T_61 = io_operand[31:1] == 31'h1; // @[exu_div_ctl.scala 957:63] + wire _T_63 = io_operand[31:0] == 32'h1; // @[exu_div_ctl.scala 957:63] wire [1:0] _T_66 = _T_5 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_67 = _T_7 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [2:0] _T_68 = _T_9 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] @@ -97,37 +97,37 @@ module exu_div_cls( wire [4:0] _T_124 = _T_123 | _T_93; // @[Mux.scala 27:72] wire [4:0] _T_125 = _T_124 | _T_94; // @[Mux.scala 27:72] wire [4:0] cls_zeros = _T_125 | _T_95; // @[Mux.scala 27:72] - wire _T_129 = io_operand[31:0] == 32'hffffffff; // @[exu_div_ctl.scala 960:25] - wire _T_137 = io_operand[31:29] == 3'h6; // @[exu_div_ctl.scala 961:76] - wire _T_142 = io_operand[31:28] == 4'he; // @[exu_div_ctl.scala 961:76] - wire _T_147 = io_operand[31:27] == 5'h1e; // @[exu_div_ctl.scala 961:76] - wire _T_152 = io_operand[31:26] == 6'h3e; // @[exu_div_ctl.scala 961:76] - wire _T_157 = io_operand[31:25] == 7'h7e; // @[exu_div_ctl.scala 961:76] - wire _T_162 = io_operand[31:24] == 8'hfe; // @[exu_div_ctl.scala 961:76] - wire _T_167 = io_operand[31:23] == 9'h1fe; // @[exu_div_ctl.scala 961:76] - wire _T_172 = io_operand[31:22] == 10'h3fe; // @[exu_div_ctl.scala 961:76] - wire _T_177 = io_operand[31:21] == 11'h7fe; // @[exu_div_ctl.scala 961:76] - wire _T_182 = io_operand[31:20] == 12'hffe; // @[exu_div_ctl.scala 961:76] - wire _T_187 = io_operand[31:19] == 13'h1ffe; // @[exu_div_ctl.scala 961:76] - wire _T_192 = io_operand[31:18] == 14'h3ffe; // @[exu_div_ctl.scala 961:76] - wire _T_197 = io_operand[31:17] == 15'h7ffe; // @[exu_div_ctl.scala 961:76] - wire _T_202 = io_operand[31:16] == 16'hfffe; // @[exu_div_ctl.scala 961:76] - wire _T_207 = io_operand[31:15] == 17'h1fffe; // @[exu_div_ctl.scala 961:76] - wire _T_212 = io_operand[31:14] == 18'h3fffe; // @[exu_div_ctl.scala 961:76] - wire _T_217 = io_operand[31:13] == 19'h7fffe; // @[exu_div_ctl.scala 961:76] - wire _T_222 = io_operand[31:12] == 20'hffffe; // @[exu_div_ctl.scala 961:76] - wire _T_227 = io_operand[31:11] == 21'h1ffffe; // @[exu_div_ctl.scala 961:76] - wire _T_232 = io_operand[31:10] == 22'h3ffffe; // @[exu_div_ctl.scala 961:76] - wire _T_237 = io_operand[31:9] == 23'h7ffffe; // @[exu_div_ctl.scala 961:76] - wire _T_242 = io_operand[31:8] == 24'hfffffe; // @[exu_div_ctl.scala 961:76] - wire _T_247 = io_operand[31:7] == 25'h1fffffe; // @[exu_div_ctl.scala 961:76] - wire _T_252 = io_operand[31:6] == 26'h3fffffe; // @[exu_div_ctl.scala 961:76] - wire _T_257 = io_operand[31:5] == 27'h7fffffe; // @[exu_div_ctl.scala 961:76] - wire _T_262 = io_operand[31:4] == 28'hffffffe; // @[exu_div_ctl.scala 961:76] - wire _T_267 = io_operand[31:3] == 29'h1ffffffe; // @[exu_div_ctl.scala 961:76] - wire _T_272 = io_operand[31:2] == 30'h3ffffffe; // @[exu_div_ctl.scala 961:76] - wire _T_277 = io_operand[31:1] == 31'h7ffffffe; // @[exu_div_ctl.scala 961:76] - wire _T_282 = io_operand[31:0] == 32'hfffffffe; // @[exu_div_ctl.scala 961:76] + wire _T_129 = io_operand[31:0] == 32'hffffffff; // @[exu_div_ctl.scala 959:25] + wire _T_137 = io_operand[31:29] == 3'h6; // @[exu_div_ctl.scala 960:76] + wire _T_142 = io_operand[31:28] == 4'he; // @[exu_div_ctl.scala 960:76] + wire _T_147 = io_operand[31:27] == 5'h1e; // @[exu_div_ctl.scala 960:76] + wire _T_152 = io_operand[31:26] == 6'h3e; // @[exu_div_ctl.scala 960:76] + wire _T_157 = io_operand[31:25] == 7'h7e; // @[exu_div_ctl.scala 960:76] + wire _T_162 = io_operand[31:24] == 8'hfe; // @[exu_div_ctl.scala 960:76] + wire _T_167 = io_operand[31:23] == 9'h1fe; // @[exu_div_ctl.scala 960:76] + wire _T_172 = io_operand[31:22] == 10'h3fe; // @[exu_div_ctl.scala 960:76] + wire _T_177 = io_operand[31:21] == 11'h7fe; // @[exu_div_ctl.scala 960:76] + wire _T_182 = io_operand[31:20] == 12'hffe; // @[exu_div_ctl.scala 960:76] + wire _T_187 = io_operand[31:19] == 13'h1ffe; // @[exu_div_ctl.scala 960:76] + wire _T_192 = io_operand[31:18] == 14'h3ffe; // @[exu_div_ctl.scala 960:76] + wire _T_197 = io_operand[31:17] == 15'h7ffe; // @[exu_div_ctl.scala 960:76] + wire _T_202 = io_operand[31:16] == 16'hfffe; // @[exu_div_ctl.scala 960:76] + wire _T_207 = io_operand[31:15] == 17'h1fffe; // @[exu_div_ctl.scala 960:76] + wire _T_212 = io_operand[31:14] == 18'h3fffe; // @[exu_div_ctl.scala 960:76] + wire _T_217 = io_operand[31:13] == 19'h7fffe; // @[exu_div_ctl.scala 960:76] + wire _T_222 = io_operand[31:12] == 20'hffffe; // @[exu_div_ctl.scala 960:76] + wire _T_227 = io_operand[31:11] == 21'h1ffffe; // @[exu_div_ctl.scala 960:76] + wire _T_232 = io_operand[31:10] == 22'h3ffffe; // @[exu_div_ctl.scala 960:76] + wire _T_237 = io_operand[31:9] == 23'h7ffffe; // @[exu_div_ctl.scala 960:76] + wire _T_242 = io_operand[31:8] == 24'hfffffe; // @[exu_div_ctl.scala 960:76] + wire _T_247 = io_operand[31:7] == 25'h1fffffe; // @[exu_div_ctl.scala 960:76] + wire _T_252 = io_operand[31:6] == 26'h3fffffe; // @[exu_div_ctl.scala 960:76] + wire _T_257 = io_operand[31:5] == 27'h7fffffe; // @[exu_div_ctl.scala 960:76] + wire _T_262 = io_operand[31:4] == 28'hffffffe; // @[exu_div_ctl.scala 960:76] + wire _T_267 = io_operand[31:3] == 29'h1ffffffe; // @[exu_div_ctl.scala 960:76] + wire _T_272 = io_operand[31:2] == 30'h3ffffffe; // @[exu_div_ctl.scala 960:76] + wire _T_277 = io_operand[31:1] == 31'h7ffffffe; // @[exu_div_ctl.scala 960:76] + wire _T_282 = io_operand[31:0] == 32'hfffffffe; // @[exu_div_ctl.scala 960:76] wire [1:0] _T_286 = _T_142 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_287 = _T_147 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] wire [2:0] _T_288 = _T_152 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] @@ -190,8 +190,8 @@ module exu_div_cls( wire [4:0] _T_342 = _T_341 | _T_312; // @[Mux.scala 27:72] wire [4:0] _T_343 = _T_342 | _T_313; // @[Mux.scala 27:72] wire [4:0] _T_344 = _T_343 | _T_314; // @[Mux.scala 27:72] - wire [4:0] cls_ones = _T_129 ? 5'h1f : _T_344; // @[exu_div_ctl.scala 960:44] - assign io_cls = io_operand[32] ? cls_ones : cls_zeros; // @[exu_div_ctl.scala 962:10] + wire [4:0] cls_ones = _T_129 ? 5'h1f : _T_344; // @[exu_div_ctl.scala 959:44] + assign io_cls = io_operand[32] ? cls_ones : cls_zeros; // @[exu_div_ctl.scala 961:10] endmodule module rvclkhdr( input io_clk, @@ -237,10 +237,10 @@ module exu_div_new_4bit_fullshortq( reg [63:0] _RAND_9; reg [31:0] _RAND_10; `endif // RANDOMIZE_REG_INIT - wire [32:0] a_enc_io_operand; // @[exu_div_ctl.scala 917:21] - wire [4:0] a_enc_io_cls; // @[exu_div_ctl.scala 917:21] - wire [32:0] b_enc_io_operand; // @[exu_div_ctl.scala 920:21] - wire [4:0] b_enc_io_cls; // @[exu_div_ctl.scala 920:21] + wire [32:0] a_enc_io_operand; // @[exu_div_ctl.scala 916:21] + wire [4:0] a_enc_io_cls; // @[exu_div_ctl.scala 916:21] + wire [32:0] b_enc_io_operand; // @[exu_div_ctl.scala 919:21] + wire [4:0] b_enc_io_cls; // @[exu_div_ctl.scala 919:21] wire rvclkhdr_io_clk; // @[lib.scala 390:23] wire rvclkhdr_io_en; // @[lib.scala 390:23] wire rvclkhdr_1_io_clk; // @[lib.scala 390:23] @@ -318,17 +318,17 @@ module exu_div_new_4bit_fullshortq( wire _T_54 = ~finish_ff; // @[exu_div_ctl.scala 787:69] wire _T_55 = _T_53 & _T_54; // @[exu_div_ctl.scala 787:67] wire _T_57 = _T_55 & _T; // @[exu_div_ctl.scala 787:80] - wire [6:0] _T_1404 = {1'h0,1'h0,b_enc_io_cls}; // @[Cat.scala 29:58] - wire [6:0] _T_1405 = {1'h0,1'h0,a_enc_io_cls}; // @[Cat.scala 29:58] - wire [6:0] _T_1407 = _T_1404 - _T_1405; // @[exu_div_ctl.scala 925:41] - wire [6:0] dw_shortq_raw = _T_1407 + 7'h1; // @[exu_div_ctl.scala 925:61] - wire [5:0] shortq = dw_shortq_raw[6] ? 6'h0 : dw_shortq_raw[5:0]; // @[exu_div_ctl.scala 926:19] - wire _T_1413 = ~shortq[5]; // @[exu_div_ctl.scala 927:31] - wire _T_1414 = valid_ff & _T_1413; // @[exu_div_ctl.scala 927:29] - wire _T_1416 = shortq[4:2] == 3'h7; // @[exu_div_ctl.scala 927:58] - wire _T_1417 = ~_T_1416; // @[exu_div_ctl.scala 927:44] - wire _T_1418 = _T_1414 & _T_1417; // @[exu_div_ctl.scala 927:42] - wire shortq_enable = _T_1418 & _T; // @[exu_div_ctl.scala 927:73] + wire [6:0] _T_1376 = {1'h0,1'h0,b_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_1377 = {1'h0,1'h0,a_enc_io_cls}; // @[Cat.scala 29:58] + wire [6:0] _T_1379 = _T_1376 - _T_1377; // @[exu_div_ctl.scala 924:41] + wire [6:0] dw_shortq_raw = _T_1379 + 7'h1; // @[exu_div_ctl.scala 924:61] + wire [5:0] shortq = dw_shortq_raw[6] ? 6'h0 : dw_shortq_raw[5:0]; // @[exu_div_ctl.scala 925:19] + wire _T_1385 = ~shortq[5]; // @[exu_div_ctl.scala 926:31] + wire _T_1386 = valid_ff & _T_1385; // @[exu_div_ctl.scala 926:29] + wire _T_1388 = shortq[4:2] == 3'h7; // @[exu_div_ctl.scala 926:58] + wire _T_1389 = ~_T_1388; // @[exu_div_ctl.scala 926:44] + wire _T_1390 = _T_1386 & _T_1389; // @[exu_div_ctl.scala 926:42] + wire shortq_enable = _T_1390 & _T; // @[exu_div_ctl.scala 926:73] wire _T_58 = ~shortq_enable; // @[exu_div_ctl.scala 787:95] wire count_enable = _T_57 & _T_58; // @[exu_div_ctl.scala 787:93] wire [6:0] _T_60 = count_enable ? 7'h7f : 7'h0; // @[Bitwise.scala 72:12] @@ -360,12 +360,12 @@ module exu_div_new_4bit_fullshortq( reg [32:0] r_ff; // @[Reg.scala 27:20] wire [37:0] _T_287 = {r_ff[32],r_ff,a_ff[31:28]}; // @[Cat.scala 29:58] wire [37:0] _T_289 = {b_ff[34:0],3'h0}; // @[Cat.scala 29:58] - wire [37:0] _T_291 = _T_287 + _T_289; // @[exu_div_ctl.scala 814:58] + wire [37:0] _T_291 = _T_287 + _T_289; // @[exu_div_ctl.scala 813:58] wire [37:0] _T_293 = {b_ff[35:0],2'h0}; // @[Cat.scala 29:58] - wire [37:0] _T_295 = _T_291 + _T_293; // @[exu_div_ctl.scala 814:85] + wire [37:0] _T_295 = _T_291 + _T_293; // @[exu_div_ctl.scala 813:85] wire [37:0] _T_297 = {b_ff[36:0],1'h0}; // @[Cat.scala 29:58] - wire [37:0] _T_299 = _T_295 + _T_297; // @[exu_div_ctl.scala 814:112] - wire [37:0] adder15_out = _T_299 + b_ff; // @[exu_div_ctl.scala 814:134] + wire [37:0] _T_299 = _T_295 + _T_297; // @[exu_div_ctl.scala 813:112] + wire [37:0] adder15_out = _T_299 + b_ff; // @[exu_div_ctl.scala 813:134] wire _T_302 = ~adder15_out[37]; // @[exu_div_ctl.scala 816:6] wire _T_303 = _T_302 ^ control_ff[2]; // @[exu_div_ctl.scala 816:23] wire _T_305 = a_ff[27:0] == 28'h0; // @[exu_div_ctl.scala 816:58] @@ -377,7 +377,7 @@ module exu_div_new_4bit_fullshortq( wire _T_314 = _T_299 == 38'h0; // @[exu_div_ctl.scala 817:82] wire _T_315 = _T_305 & _T_314; // @[exu_div_ctl.scala 817:67] wire _T_316 = _T_311 | _T_315; // @[exu_div_ctl.scala 817:43] - wire [37:0] adder13_out = _T_295 + b_ff; // @[exu_div_ctl.scala 812:112] + wire [37:0] adder13_out = _T_295 + b_ff; // @[exu_div_ctl.scala 811:112] wire _T_318 = ~adder13_out[37]; // @[exu_div_ctl.scala 818:6] wire _T_319 = _T_318 ^ control_ff[2]; // @[exu_div_ctl.scala 818:23] wire _T_322 = adder13_out == 38'h0; // @[exu_div_ctl.scala 818:82] @@ -388,8 +388,8 @@ module exu_div_new_4bit_fullshortq( wire _T_330 = _T_295 == 38'h0; // @[exu_div_ctl.scala 819:82] wire _T_331 = _T_305 & _T_330; // @[exu_div_ctl.scala 819:67] wire _T_332 = _T_327 | _T_331; // @[exu_div_ctl.scala 819:43] - wire [37:0] _T_239 = _T_291 + _T_297; // @[exu_div_ctl.scala 810:85] - wire [37:0] adder11_out = _T_239 + b_ff; // @[exu_div_ctl.scala 810:107] + wire [37:0] _T_239 = _T_291 + _T_297; // @[exu_div_ctl.scala 809:85] + wire [37:0] adder11_out = _T_239 + b_ff; // @[exu_div_ctl.scala 809:107] wire _T_334 = ~adder11_out[37]; // @[exu_div_ctl.scala 820:6] wire _T_335 = _T_334 ^ control_ff[2]; // @[exu_div_ctl.scala 820:23] wire _T_338 = adder11_out == 38'h0; // @[exu_div_ctl.scala 820:82] @@ -400,7 +400,7 @@ module exu_div_new_4bit_fullshortq( wire _T_346 = _T_239 == 38'h0; // @[exu_div_ctl.scala 821:82] wire _T_347 = _T_305 & _T_346; // @[exu_div_ctl.scala 821:67] wire _T_348 = _T_343 | _T_347; // @[exu_div_ctl.scala 821:43] - wire [37:0] adder9_out = _T_291 + b_ff; // @[exu_div_ctl.scala 808:85] + wire [37:0] adder9_out = _T_291 + b_ff; // @[exu_div_ctl.scala 807:85] wire _T_350 = ~adder9_out[37]; // @[exu_div_ctl.scala 822:6] wire _T_351 = _T_350 ^ control_ff[2]; // @[exu_div_ctl.scala 822:22] wire _T_354 = adder9_out == 38'h0; // @[exu_div_ctl.scala 822:80] @@ -411,9 +411,9 @@ module exu_div_new_4bit_fullshortq( wire _T_362 = _T_291 == 38'h0; // @[exu_div_ctl.scala 823:80] wire _T_363 = _T_305 & _T_362; // @[exu_div_ctl.scala 823:66] wire _T_364 = _T_359 | _T_363; // @[exu_div_ctl.scala 823:42] - wire [37:0] _T_191 = _T_287 + _T_293; // @[exu_div_ctl.scala 806:57] - wire [37:0] _T_195 = _T_191 + _T_297; // @[exu_div_ctl.scala 806:84] - wire [37:0] adder7_out = _T_195 + b_ff; // @[exu_div_ctl.scala 806:106] + wire [37:0] _T_191 = _T_287 + _T_293; // @[exu_div_ctl.scala 805:58] + wire [37:0] _T_195 = _T_191 + _T_297; // @[exu_div_ctl.scala 805:85] + wire [37:0] adder7_out = _T_195 + b_ff; // @[exu_div_ctl.scala 805:107] wire _T_366 = ~adder7_out[37]; // @[exu_div_ctl.scala 824:6] wire _T_367 = _T_366 ^ control_ff[2]; // @[exu_div_ctl.scala 824:22] wire _T_370 = adder7_out == 38'h0; // @[exu_div_ctl.scala 824:80] @@ -424,7 +424,7 @@ module exu_div_new_4bit_fullshortq( wire _T_378 = _T_195 == 38'h0; // @[exu_div_ctl.scala 825:80] wire _T_379 = _T_305 & _T_378; // @[exu_div_ctl.scala 825:66] wire _T_380 = _T_375 | _T_379; // @[exu_div_ctl.scala 825:42] - wire [37:0] adder5_out = _T_191 + b_ff; // @[exu_div_ctl.scala 804:84] + wire [37:0] adder5_out = _T_191 + b_ff; // @[exu_div_ctl.scala 803:85] wire _T_382 = ~adder5_out[37]; // @[exu_div_ctl.scala 826:6] wire _T_383 = _T_382 ^ control_ff[2]; // @[exu_div_ctl.scala 826:22] wire _T_386 = adder5_out == 38'h0; // @[exu_div_ctl.scala 826:80] @@ -437,8 +437,8 @@ module exu_div_new_4bit_fullshortq( wire _T_396 = _T_391 | _T_395; // @[exu_div_ctl.scala 827:42] wire [36:0] _T_146 = {r_ff,a_ff[31:28]}; // @[Cat.scala 29:58] wire [36:0] _T_148 = {b_ff[35:0],1'h0}; // @[Cat.scala 29:58] - wire [36:0] _T_150 = _T_146 + _T_148; // @[exu_div_ctl.scala 802:48] - wire [36:0] adder3_out = _T_150 + b_ff[36:0]; // @[exu_div_ctl.scala 802:70] + wire [36:0] _T_150 = _T_146 + _T_148; // @[exu_div_ctl.scala 801:48] + wire [36:0] adder3_out = _T_150 + b_ff[36:0]; // @[exu_div_ctl.scala 801:70] wire _T_398 = ~adder3_out[36]; // @[exu_div_ctl.scala 828:6] wire _T_399 = _T_398 ^ control_ff[2]; // @[exu_div_ctl.scala 828:22] wire _T_402 = adder3_out == 37'h0; // @[exu_div_ctl.scala 828:80] @@ -446,14 +446,14 @@ module exu_div_new_4bit_fullshortq( wire _T_404 = _T_399 | _T_403; // @[exu_div_ctl.scala 828:42] wire [35:0] _T_140 = {r_ff[31:0],a_ff[31:28]}; // @[Cat.scala 29:58] wire [35:0] _T_142 = {b_ff[34:0],1'h0}; // @[Cat.scala 29:58] - wire [35:0] adder2_out = _T_140 + _T_142; // @[exu_div_ctl.scala 801:48] + wire [35:0] adder2_out = _T_140 + _T_142; // @[exu_div_ctl.scala 800:48] wire _T_406 = ~adder2_out[35]; // @[exu_div_ctl.scala 829:6] wire _T_407 = _T_406 ^ control_ff[2]; // @[exu_div_ctl.scala 829:22] wire _T_410 = adder2_out == 36'h0; // @[exu_div_ctl.scala 829:80] wire _T_411 = _T_305 & _T_410; // @[exu_div_ctl.scala 829:66] wire _T_412 = _T_407 | _T_411; // @[exu_div_ctl.scala 829:42] wire [34:0] _T_135 = {r_ff[30:0],a_ff[31:28]}; // @[Cat.scala 29:58] - wire [34:0] adder1_out = _T_135 + b_ff[34:0]; // @[exu_div_ctl.scala 800:48] + wire [34:0] adder1_out = _T_135 + b_ff[34:0]; // @[exu_div_ctl.scala 799:48] wire _T_414 = ~adder1_out[34]; // @[exu_div_ctl.scala 830:6] wire _T_415 = _T_414 ^ control_ff[2]; // @[exu_div_ctl.scala 830:22] wire _T_418 = adder1_out == 35'h0; // @[exu_div_ctl.scala 830:80] @@ -461,57 +461,49 @@ module exu_div_new_4bit_fullshortq( wire _T_420 = _T_415 | _T_419; // @[exu_div_ctl.scala 830:42] wire [7:0] _T_427 = {_T_372,_T_380,_T_388,_T_396,_T_404,_T_412,_T_420,1'h0}; // @[Cat.scala 29:58] wire [15:0] quotient_raw = {_T_308,_T_316,_T_324,_T_332,_T_340,_T_348,_T_356,_T_364,_T_427}; // @[Cat.scala 29:58] - wire _T_441 = quotient_raw[15:8] == 8'h1; // @[exu_div_ctl.scala 833:76] - wire _T_446 = quotient_raw[15:9] == 7'h1; // @[exu_div_ctl.scala 833:76] - wire _T_479 = _T_441 | _T_446; // @[Mux.scala 27:72] - wire _T_451 = quotient_raw[15:10] == 6'h1; // @[exu_div_ctl.scala 833:76] - wire _T_480 = _T_479 | _T_451; // @[Mux.scala 27:72] - wire _T_456 = quotient_raw[15:11] == 5'h1; // @[exu_div_ctl.scala 833:76] - wire _T_481 = _T_480 | _T_456; // @[Mux.scala 27:72] - wire _T_461 = quotient_raw[15:12] == 4'h1; // @[exu_div_ctl.scala 833:76] - wire _T_482 = _T_481 | _T_461; // @[Mux.scala 27:72] - wire _T_466 = quotient_raw[15:13] == 3'h1; // @[exu_div_ctl.scala 833:76] - wire _T_483 = _T_482 | _T_466; // @[Mux.scala 27:72] - wire _T_470 = quotient_raw[15:14] == 2'h1; // @[exu_div_ctl.scala 833:76] - wire _T_484 = _T_483 | _T_470; // @[Mux.scala 27:72] - wire _T_486 = quotient_raw[15] | _T_484; // @[exu_div_ctl.scala 833:31] - wire _T_490 = quotient_raw[15:4] == 12'h0; // @[exu_div_ctl.scala 835:26] - wire _T_494 = quotient_raw[15:5] == 11'h0; // @[exu_div_ctl.scala 835:77] - wire _T_495 = _T_490 | _T_494; // @[exu_div_ctl.scala 835:54] - wire _T_499 = quotient_raw[15:6] == 10'h0; // @[exu_div_ctl.scala 835:128] - wire _T_500 = _T_495 | _T_499; // @[exu_div_ctl.scala 835:105] - wire _T_504 = quotient_raw[15:7] == 9'h0; // @[exu_div_ctl.scala 836:28] - wire _T_505 = _T_500 | _T_504; // @[exu_div_ctl.scala 835:155] - wire _T_509 = quotient_raw[15:12] == 4'h0; // @[exu_div_ctl.scala 836:76] - wire _T_510 = _T_505 | _T_509; // @[exu_div_ctl.scala 836:53] - wire _T_514 = quotient_raw[15:13] == 3'h0; // @[exu_div_ctl.scala 836:119] - wire _T_515 = _T_510 | _T_514; // @[exu_div_ctl.scala 836:96] - wire _T_519 = quotient_raw[15:14] == 2'h0; // @[exu_div_ctl.scala 837:28] - wire _T_520 = _T_515 | _T_519; // @[exu_div_ctl.scala 836:138] - wire _T_523 = _T_520 | quotient_raw[15]; // @[exu_div_ctl.scala 837:46] - wire _T_527 = quotient_raw[15:2] == 14'h0; // @[exu_div_ctl.scala 839:26] - wire _T_531 = quotient_raw[15:3] == 13'h0; // @[exu_div_ctl.scala 839:79] - wire _T_532 = _T_527 | _T_531; // @[exu_div_ctl.scala 839:56] - wire _T_537 = _T_532 | _T_499; // @[exu_div_ctl.scala 839:109] - wire _T_542 = _T_537 | _T_504; // @[exu_div_ctl.scala 839:159] - wire _T_546 = quotient_raw[15:10] == 6'h0; // @[exu_div_ctl.scala 840:79] - wire _T_547 = _T_542 | _T_546; // @[exu_div_ctl.scala 840:56] - wire _T_551 = quotient_raw[15:11] == 5'h0; // @[exu_div_ctl.scala 840:124] - wire _T_552 = _T_547 | _T_551; // @[exu_div_ctl.scala 840:101] - wire _T_557 = _T_552 | _T_519; // @[exu_div_ctl.scala 840:145] - wire _T_560 = _T_557 | quotient_raw[15]; // @[exu_div_ctl.scala 841:48] - wire _T_566 = quotient_raw[15:1] == 15'h1; // @[exu_div_ctl.scala 843:81] - wire _T_571 = quotient_raw[15:3] == 13'h1; // @[exu_div_ctl.scala 843:81] - wire _T_605 = _T_566 | _T_571; // @[Mux.scala 27:72] - wire _T_576 = quotient_raw[15:5] == 11'h1; // @[exu_div_ctl.scala 843:81] - wire _T_606 = _T_605 | _T_576; // @[Mux.scala 27:72] - wire _T_581 = quotient_raw[15:7] == 9'h1; // @[exu_div_ctl.scala 843:81] - wire _T_607 = _T_606 | _T_581; // @[Mux.scala 27:72] - wire _T_608 = _T_607 | _T_446; // @[Mux.scala 27:72] - wire _T_609 = _T_608 | _T_456; // @[Mux.scala 27:72] - wire _T_610 = _T_609 | _T_466; // @[Mux.scala 27:72] - wire _T_612 = quotient_raw[15] | _T_610; // @[exu_div_ctl.scala 843:31] - wire [3:0] quotient_new = {_T_486,_T_523,_T_560,_T_612}; // @[Cat.scala 29:58] + wire _T_439 = quotient_raw[15:8] == 8'h1; // @[exu_div_ctl.scala 833:49] + wire _T_444 = quotient_raw[15:9] == 7'h1; // @[exu_div_ctl.scala 833:49] + wire _T_477 = _T_439 | _T_444; // @[Mux.scala 27:72] + wire _T_449 = quotient_raw[15:10] == 6'h1; // @[exu_div_ctl.scala 833:49] + wire _T_478 = _T_477 | _T_449; // @[Mux.scala 27:72] + wire _T_454 = quotient_raw[15:11] == 5'h1; // @[exu_div_ctl.scala 833:49] + wire _T_479 = _T_478 | _T_454; // @[Mux.scala 27:72] + wire _T_459 = quotient_raw[15:12] == 4'h1; // @[exu_div_ctl.scala 833:49] + wire _T_480 = _T_479 | _T_459; // @[Mux.scala 27:72] + wire _T_464 = quotient_raw[15:13] == 3'h1; // @[exu_div_ctl.scala 833:49] + wire _T_481 = _T_480 | _T_464; // @[Mux.scala 27:72] + wire _T_468 = quotient_raw[15:14] == 2'h1; // @[exu_div_ctl.scala 833:49] + wire _T_482 = _T_481 | _T_468; // @[Mux.scala 27:72] + wire _T_486 = _T_482 | quotient_raw[15]; // @[exu_div_ctl.scala 833:94] + wire _T_488 = quotient_raw[15:4] == 12'h1; // @[exu_div_ctl.scala 835:26] + wire _T_490 = quotient_raw[15:5] == 11'h1; // @[exu_div_ctl.scala 835:77] + wire _T_491 = _T_488 | _T_490; // @[exu_div_ctl.scala 835:54] + wire _T_493 = quotient_raw[15:6] == 10'h1; // @[exu_div_ctl.scala 835:128] + wire _T_494 = _T_491 | _T_493; // @[exu_div_ctl.scala 835:105] + wire _T_496 = quotient_raw[15:7] == 9'h1; // @[exu_div_ctl.scala 836:28] + wire _T_497 = _T_494 | _T_496; // @[exu_div_ctl.scala 835:155] + wire _T_500 = _T_497 | _T_459; // @[exu_div_ctl.scala 836:53] + wire _T_503 = _T_500 | _T_464; // @[exu_div_ctl.scala 836:96] + wire _T_506 = _T_503 | _T_468; // @[exu_div_ctl.scala 836:138] + wire _T_509 = _T_506 | quotient_raw[15]; // @[exu_div_ctl.scala 837:46] + wire _T_511 = quotient_raw[15:2] == 14'h1; // @[exu_div_ctl.scala 839:26] + wire _T_513 = quotient_raw[15:3] == 13'h1; // @[exu_div_ctl.scala 839:79] + wire _T_514 = _T_511 | _T_513; // @[exu_div_ctl.scala 839:56] + wire _T_517 = _T_514 | _T_493; // @[exu_div_ctl.scala 839:109] + wire _T_520 = _T_517 | _T_496; // @[exu_div_ctl.scala 839:159] + wire _T_523 = _T_520 | _T_449; // @[exu_div_ctl.scala 840:55] + wire _T_526 = _T_523 | _T_454; // @[exu_div_ctl.scala 840:100] + wire _T_529 = _T_526 | _T_468; // @[exu_div_ctl.scala 840:144] + wire _T_532 = _T_529 | quotient_raw[15]; // @[exu_div_ctl.scala 841:48] + wire _T_536 = quotient_raw[15:1] == 15'h1; // @[exu_div_ctl.scala 843:54] + wire _T_575 = _T_536 | _T_513; // @[Mux.scala 27:72] + wire _T_576 = _T_575 | _T_490; // @[Mux.scala 27:72] + wire _T_577 = _T_576 | _T_496; // @[Mux.scala 27:72] + wire _T_578 = _T_577 | _T_444; // @[Mux.scala 27:72] + wire _T_579 = _T_578 | _T_454; // @[Mux.scala 27:72] + wire _T_580 = _T_579 | _T_464; // @[Mux.scala 27:72] + wire _T_584 = _T_580 | quotient_raw[15]; // @[exu_div_ctl.scala 843:99] + wire [3:0] quotient_new = {_T_486,_T_509,_T_532,_T_584}; // @[Cat.scala 29:58] wire _T_85 = quotient_new == 4'h0; // @[exu_div_ctl.scala 798:71] wire _T_86 = running_state & _T_85; // @[exu_div_ctl.scala 798:55] wire r_adder_sel_0 = _T_86 & _T_66; // @[exu_div_ctl.scala 798:85] @@ -561,395 +553,395 @@ module exu_div_new_4bit_fullshortq( wire _T_131 = running_state & _T_130; // @[exu_div_ctl.scala 798:55] wire r_adder_sel_15 = _T_131 & _T_66; // @[exu_div_ctl.scala 798:85] reg [31:0] q_ff; // @[Reg.scala 27:20] - wire [31:0] _T_617 = twos_comp_q_sel ? q_ff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_618 = b_twos_comp ? b_ff[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] twos_comp_in = _T_617 | _T_618; // @[Mux.scala 27:72] - wire _T_622 = |twos_comp_in[0]; // @[lib.scala 428:35] - wire _T_624 = ~twos_comp_in[1]; // @[lib.scala 428:40] - wire _T_626 = _T_622 ? _T_624 : twos_comp_in[1]; // @[lib.scala 428:23] - wire _T_628 = |twos_comp_in[1:0]; // @[lib.scala 428:35] - wire _T_630 = ~twos_comp_in[2]; // @[lib.scala 428:40] - wire _T_632 = _T_628 ? _T_630 : twos_comp_in[2]; // @[lib.scala 428:23] - wire _T_634 = |twos_comp_in[2:0]; // @[lib.scala 428:35] - wire _T_636 = ~twos_comp_in[3]; // @[lib.scala 428:40] - wire _T_638 = _T_634 ? _T_636 : twos_comp_in[3]; // @[lib.scala 428:23] - wire _T_640 = |twos_comp_in[3:0]; // @[lib.scala 428:35] - wire _T_642 = ~twos_comp_in[4]; // @[lib.scala 428:40] - wire _T_644 = _T_640 ? _T_642 : twos_comp_in[4]; // @[lib.scala 428:23] - wire _T_646 = |twos_comp_in[4:0]; // @[lib.scala 428:35] - wire _T_648 = ~twos_comp_in[5]; // @[lib.scala 428:40] - wire _T_650 = _T_646 ? _T_648 : twos_comp_in[5]; // @[lib.scala 428:23] - wire _T_652 = |twos_comp_in[5:0]; // @[lib.scala 428:35] - wire _T_654 = ~twos_comp_in[6]; // @[lib.scala 428:40] - wire _T_656 = _T_652 ? _T_654 : twos_comp_in[6]; // @[lib.scala 428:23] - wire _T_658 = |twos_comp_in[6:0]; // @[lib.scala 428:35] - wire _T_660 = ~twos_comp_in[7]; // @[lib.scala 428:40] - wire _T_662 = _T_658 ? _T_660 : twos_comp_in[7]; // @[lib.scala 428:23] - wire _T_664 = |twos_comp_in[7:0]; // @[lib.scala 428:35] - wire _T_666 = ~twos_comp_in[8]; // @[lib.scala 428:40] - wire _T_668 = _T_664 ? _T_666 : twos_comp_in[8]; // @[lib.scala 428:23] - wire _T_670 = |twos_comp_in[8:0]; // @[lib.scala 428:35] - wire _T_672 = ~twos_comp_in[9]; // @[lib.scala 428:40] - wire _T_674 = _T_670 ? _T_672 : twos_comp_in[9]; // @[lib.scala 428:23] - wire _T_676 = |twos_comp_in[9:0]; // @[lib.scala 428:35] - wire _T_678 = ~twos_comp_in[10]; // @[lib.scala 428:40] - wire _T_680 = _T_676 ? _T_678 : twos_comp_in[10]; // @[lib.scala 428:23] - wire _T_682 = |twos_comp_in[10:0]; // @[lib.scala 428:35] - wire _T_684 = ~twos_comp_in[11]; // @[lib.scala 428:40] - wire _T_686 = _T_682 ? _T_684 : twos_comp_in[11]; // @[lib.scala 428:23] - wire _T_688 = |twos_comp_in[11:0]; // @[lib.scala 428:35] - wire _T_690 = ~twos_comp_in[12]; // @[lib.scala 428:40] - wire _T_692 = _T_688 ? _T_690 : twos_comp_in[12]; // @[lib.scala 428:23] - wire _T_694 = |twos_comp_in[12:0]; // @[lib.scala 428:35] - wire _T_696 = ~twos_comp_in[13]; // @[lib.scala 428:40] - wire _T_698 = _T_694 ? _T_696 : twos_comp_in[13]; // @[lib.scala 428:23] - wire _T_700 = |twos_comp_in[13:0]; // @[lib.scala 428:35] - wire _T_702 = ~twos_comp_in[14]; // @[lib.scala 428:40] - wire _T_704 = _T_700 ? _T_702 : twos_comp_in[14]; // @[lib.scala 428:23] - wire _T_706 = |twos_comp_in[14:0]; // @[lib.scala 428:35] - wire _T_708 = ~twos_comp_in[15]; // @[lib.scala 428:40] - wire _T_710 = _T_706 ? _T_708 : twos_comp_in[15]; // @[lib.scala 428:23] - wire _T_712 = |twos_comp_in[15:0]; // @[lib.scala 428:35] - wire _T_714 = ~twos_comp_in[16]; // @[lib.scala 428:40] - wire _T_716 = _T_712 ? _T_714 : twos_comp_in[16]; // @[lib.scala 428:23] - wire _T_718 = |twos_comp_in[16:0]; // @[lib.scala 428:35] - wire _T_720 = ~twos_comp_in[17]; // @[lib.scala 428:40] - wire _T_722 = _T_718 ? _T_720 : twos_comp_in[17]; // @[lib.scala 428:23] - wire _T_724 = |twos_comp_in[17:0]; // @[lib.scala 428:35] - wire _T_726 = ~twos_comp_in[18]; // @[lib.scala 428:40] - wire _T_728 = _T_724 ? _T_726 : twos_comp_in[18]; // @[lib.scala 428:23] - wire _T_730 = |twos_comp_in[18:0]; // @[lib.scala 428:35] - wire _T_732 = ~twos_comp_in[19]; // @[lib.scala 428:40] - wire _T_734 = _T_730 ? _T_732 : twos_comp_in[19]; // @[lib.scala 428:23] - wire _T_736 = |twos_comp_in[19:0]; // @[lib.scala 428:35] - wire _T_738 = ~twos_comp_in[20]; // @[lib.scala 428:40] - wire _T_740 = _T_736 ? _T_738 : twos_comp_in[20]; // @[lib.scala 428:23] - wire _T_742 = |twos_comp_in[20:0]; // @[lib.scala 428:35] - wire _T_744 = ~twos_comp_in[21]; // @[lib.scala 428:40] - wire _T_746 = _T_742 ? _T_744 : twos_comp_in[21]; // @[lib.scala 428:23] - wire _T_748 = |twos_comp_in[21:0]; // @[lib.scala 428:35] - wire _T_750 = ~twos_comp_in[22]; // @[lib.scala 428:40] - wire _T_752 = _T_748 ? _T_750 : twos_comp_in[22]; // @[lib.scala 428:23] - wire _T_754 = |twos_comp_in[22:0]; // @[lib.scala 428:35] - wire _T_756 = ~twos_comp_in[23]; // @[lib.scala 428:40] - wire _T_758 = _T_754 ? _T_756 : twos_comp_in[23]; // @[lib.scala 428:23] - wire _T_760 = |twos_comp_in[23:0]; // @[lib.scala 428:35] - wire _T_762 = ~twos_comp_in[24]; // @[lib.scala 428:40] - wire _T_764 = _T_760 ? _T_762 : twos_comp_in[24]; // @[lib.scala 428:23] - wire _T_766 = |twos_comp_in[24:0]; // @[lib.scala 428:35] - wire _T_768 = ~twos_comp_in[25]; // @[lib.scala 428:40] - wire _T_770 = _T_766 ? _T_768 : twos_comp_in[25]; // @[lib.scala 428:23] - wire _T_772 = |twos_comp_in[25:0]; // @[lib.scala 428:35] - wire _T_774 = ~twos_comp_in[26]; // @[lib.scala 428:40] - wire _T_776 = _T_772 ? _T_774 : twos_comp_in[26]; // @[lib.scala 428:23] - wire _T_778 = |twos_comp_in[26:0]; // @[lib.scala 428:35] - wire _T_780 = ~twos_comp_in[27]; // @[lib.scala 428:40] - wire _T_782 = _T_778 ? _T_780 : twos_comp_in[27]; // @[lib.scala 428:23] - wire _T_784 = |twos_comp_in[27:0]; // @[lib.scala 428:35] - wire _T_786 = ~twos_comp_in[28]; // @[lib.scala 428:40] - wire _T_788 = _T_784 ? _T_786 : twos_comp_in[28]; // @[lib.scala 428:23] - wire _T_790 = |twos_comp_in[28:0]; // @[lib.scala 428:35] - wire _T_792 = ~twos_comp_in[29]; // @[lib.scala 428:40] - wire _T_794 = _T_790 ? _T_792 : twos_comp_in[29]; // @[lib.scala 428:23] - wire _T_796 = |twos_comp_in[29:0]; // @[lib.scala 428:35] - wire _T_798 = ~twos_comp_in[30]; // @[lib.scala 428:40] - wire _T_800 = _T_796 ? _T_798 : twos_comp_in[30]; // @[lib.scala 428:23] - wire _T_802 = |twos_comp_in[30:0]; // @[lib.scala 428:35] - wire _T_804 = ~twos_comp_in[31]; // @[lib.scala 428:40] - wire _T_806 = _T_802 ? _T_804 : twos_comp_in[31]; // @[lib.scala 428:23] - wire [6:0] _T_812 = {_T_662,_T_656,_T_650,_T_644,_T_638,_T_632,_T_626}; // @[lib.scala 430:14] - wire [14:0] _T_820 = {_T_710,_T_704,_T_698,_T_692,_T_686,_T_680,_T_674,_T_668,_T_812}; // @[lib.scala 430:14] - wire [7:0] _T_827 = {_T_758,_T_752,_T_746,_T_740,_T_734,_T_728,_T_722,_T_716}; // @[lib.scala 430:14] - wire [30:0] _T_836 = {_T_806,_T_800,_T_794,_T_788,_T_782,_T_776,_T_770,_T_764,_T_827,_T_820}; // @[lib.scala 430:14] - wire [31:0] twos_comp_out = {_T_836,twos_comp_in[0]}; // @[Cat.scala 29:58] - wire _T_838 = ~a_shift; // @[exu_div_ctl.scala 851:6] - wire _T_840 = _T_838 & _T_66; // @[exu_div_ctl.scala 851:15] - wire [31:0] _T_844 = {a_ff[27:0],4'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_589 = twos_comp_q_sel ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_590 = b_twos_comp ? b_ff[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] twos_comp_in = _T_589 | _T_590; // @[Mux.scala 27:72] + wire _T_594 = |twos_comp_in[0]; // @[lib.scala 428:35] + wire _T_596 = ~twos_comp_in[1]; // @[lib.scala 428:40] + wire _T_598 = _T_594 ? _T_596 : twos_comp_in[1]; // @[lib.scala 428:23] + wire _T_600 = |twos_comp_in[1:0]; // @[lib.scala 428:35] + wire _T_602 = ~twos_comp_in[2]; // @[lib.scala 428:40] + wire _T_604 = _T_600 ? _T_602 : twos_comp_in[2]; // @[lib.scala 428:23] + wire _T_606 = |twos_comp_in[2:0]; // @[lib.scala 428:35] + wire _T_608 = ~twos_comp_in[3]; // @[lib.scala 428:40] + wire _T_610 = _T_606 ? _T_608 : twos_comp_in[3]; // @[lib.scala 428:23] + wire _T_612 = |twos_comp_in[3:0]; // @[lib.scala 428:35] + wire _T_614 = ~twos_comp_in[4]; // @[lib.scala 428:40] + wire _T_616 = _T_612 ? _T_614 : twos_comp_in[4]; // @[lib.scala 428:23] + wire _T_618 = |twos_comp_in[4:0]; // @[lib.scala 428:35] + wire _T_620 = ~twos_comp_in[5]; // @[lib.scala 428:40] + wire _T_622 = _T_618 ? _T_620 : twos_comp_in[5]; // @[lib.scala 428:23] + wire _T_624 = |twos_comp_in[5:0]; // @[lib.scala 428:35] + wire _T_626 = ~twos_comp_in[6]; // @[lib.scala 428:40] + wire _T_628 = _T_624 ? _T_626 : twos_comp_in[6]; // @[lib.scala 428:23] + wire _T_630 = |twos_comp_in[6:0]; // @[lib.scala 428:35] + wire _T_632 = ~twos_comp_in[7]; // @[lib.scala 428:40] + wire _T_634 = _T_630 ? _T_632 : twos_comp_in[7]; // @[lib.scala 428:23] + wire _T_636 = |twos_comp_in[7:0]; // @[lib.scala 428:35] + wire _T_638 = ~twos_comp_in[8]; // @[lib.scala 428:40] + wire _T_640 = _T_636 ? _T_638 : twos_comp_in[8]; // @[lib.scala 428:23] + wire _T_642 = |twos_comp_in[8:0]; // @[lib.scala 428:35] + wire _T_644 = ~twos_comp_in[9]; // @[lib.scala 428:40] + wire _T_646 = _T_642 ? _T_644 : twos_comp_in[9]; // @[lib.scala 428:23] + wire _T_648 = |twos_comp_in[9:0]; // @[lib.scala 428:35] + wire _T_650 = ~twos_comp_in[10]; // @[lib.scala 428:40] + wire _T_652 = _T_648 ? _T_650 : twos_comp_in[10]; // @[lib.scala 428:23] + wire _T_654 = |twos_comp_in[10:0]; // @[lib.scala 428:35] + wire _T_656 = ~twos_comp_in[11]; // @[lib.scala 428:40] + wire _T_658 = _T_654 ? _T_656 : twos_comp_in[11]; // @[lib.scala 428:23] + wire _T_660 = |twos_comp_in[11:0]; // @[lib.scala 428:35] + wire _T_662 = ~twos_comp_in[12]; // @[lib.scala 428:40] + wire _T_664 = _T_660 ? _T_662 : twos_comp_in[12]; // @[lib.scala 428:23] + wire _T_666 = |twos_comp_in[12:0]; // @[lib.scala 428:35] + wire _T_668 = ~twos_comp_in[13]; // @[lib.scala 428:40] + wire _T_670 = _T_666 ? _T_668 : twos_comp_in[13]; // @[lib.scala 428:23] + wire _T_672 = |twos_comp_in[13:0]; // @[lib.scala 428:35] + wire _T_674 = ~twos_comp_in[14]; // @[lib.scala 428:40] + wire _T_676 = _T_672 ? _T_674 : twos_comp_in[14]; // @[lib.scala 428:23] + wire _T_678 = |twos_comp_in[14:0]; // @[lib.scala 428:35] + wire _T_680 = ~twos_comp_in[15]; // @[lib.scala 428:40] + wire _T_682 = _T_678 ? _T_680 : twos_comp_in[15]; // @[lib.scala 428:23] + wire _T_684 = |twos_comp_in[15:0]; // @[lib.scala 428:35] + wire _T_686 = ~twos_comp_in[16]; // @[lib.scala 428:40] + wire _T_688 = _T_684 ? _T_686 : twos_comp_in[16]; // @[lib.scala 428:23] + wire _T_690 = |twos_comp_in[16:0]; // @[lib.scala 428:35] + wire _T_692 = ~twos_comp_in[17]; // @[lib.scala 428:40] + wire _T_694 = _T_690 ? _T_692 : twos_comp_in[17]; // @[lib.scala 428:23] + wire _T_696 = |twos_comp_in[17:0]; // @[lib.scala 428:35] + wire _T_698 = ~twos_comp_in[18]; // @[lib.scala 428:40] + wire _T_700 = _T_696 ? _T_698 : twos_comp_in[18]; // @[lib.scala 428:23] + wire _T_702 = |twos_comp_in[18:0]; // @[lib.scala 428:35] + wire _T_704 = ~twos_comp_in[19]; // @[lib.scala 428:40] + wire _T_706 = _T_702 ? _T_704 : twos_comp_in[19]; // @[lib.scala 428:23] + wire _T_708 = |twos_comp_in[19:0]; // @[lib.scala 428:35] + wire _T_710 = ~twos_comp_in[20]; // @[lib.scala 428:40] + wire _T_712 = _T_708 ? _T_710 : twos_comp_in[20]; // @[lib.scala 428:23] + wire _T_714 = |twos_comp_in[20:0]; // @[lib.scala 428:35] + wire _T_716 = ~twos_comp_in[21]; // @[lib.scala 428:40] + wire _T_718 = _T_714 ? _T_716 : twos_comp_in[21]; // @[lib.scala 428:23] + wire _T_720 = |twos_comp_in[21:0]; // @[lib.scala 428:35] + wire _T_722 = ~twos_comp_in[22]; // @[lib.scala 428:40] + wire _T_724 = _T_720 ? _T_722 : twos_comp_in[22]; // @[lib.scala 428:23] + wire _T_726 = |twos_comp_in[22:0]; // @[lib.scala 428:35] + wire _T_728 = ~twos_comp_in[23]; // @[lib.scala 428:40] + wire _T_730 = _T_726 ? _T_728 : twos_comp_in[23]; // @[lib.scala 428:23] + wire _T_732 = |twos_comp_in[23:0]; // @[lib.scala 428:35] + wire _T_734 = ~twos_comp_in[24]; // @[lib.scala 428:40] + wire _T_736 = _T_732 ? _T_734 : twos_comp_in[24]; // @[lib.scala 428:23] + wire _T_738 = |twos_comp_in[24:0]; // @[lib.scala 428:35] + wire _T_740 = ~twos_comp_in[25]; // @[lib.scala 428:40] + wire _T_742 = _T_738 ? _T_740 : twos_comp_in[25]; // @[lib.scala 428:23] + wire _T_744 = |twos_comp_in[25:0]; // @[lib.scala 428:35] + wire _T_746 = ~twos_comp_in[26]; // @[lib.scala 428:40] + wire _T_748 = _T_744 ? _T_746 : twos_comp_in[26]; // @[lib.scala 428:23] + wire _T_750 = |twos_comp_in[26:0]; // @[lib.scala 428:35] + wire _T_752 = ~twos_comp_in[27]; // @[lib.scala 428:40] + wire _T_754 = _T_750 ? _T_752 : twos_comp_in[27]; // @[lib.scala 428:23] + wire _T_756 = |twos_comp_in[27:0]; // @[lib.scala 428:35] + wire _T_758 = ~twos_comp_in[28]; // @[lib.scala 428:40] + wire _T_760 = _T_756 ? _T_758 : twos_comp_in[28]; // @[lib.scala 428:23] + wire _T_762 = |twos_comp_in[28:0]; // @[lib.scala 428:35] + wire _T_764 = ~twos_comp_in[29]; // @[lib.scala 428:40] + wire _T_766 = _T_762 ? _T_764 : twos_comp_in[29]; // @[lib.scala 428:23] + wire _T_768 = |twos_comp_in[29:0]; // @[lib.scala 428:35] + wire _T_770 = ~twos_comp_in[30]; // @[lib.scala 428:40] + wire _T_772 = _T_768 ? _T_770 : twos_comp_in[30]; // @[lib.scala 428:23] + wire _T_774 = |twos_comp_in[30:0]; // @[lib.scala 428:35] + wire _T_776 = ~twos_comp_in[31]; // @[lib.scala 428:40] + wire _T_778 = _T_774 ? _T_776 : twos_comp_in[31]; // @[lib.scala 428:23] + wire [6:0] _T_784 = {_T_634,_T_628,_T_622,_T_616,_T_610,_T_604,_T_598}; // @[lib.scala 430:14] + wire [14:0] _T_792 = {_T_682,_T_676,_T_670,_T_664,_T_658,_T_652,_T_646,_T_640,_T_784}; // @[lib.scala 430:14] + wire [7:0] _T_799 = {_T_730,_T_724,_T_718,_T_712,_T_706,_T_700,_T_694,_T_688}; // @[lib.scala 430:14] + wire [30:0] _T_808 = {_T_778,_T_772,_T_766,_T_760,_T_754,_T_748,_T_742,_T_736,_T_799,_T_792}; // @[lib.scala 430:14] + wire [31:0] twos_comp_out = {_T_808,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire _T_810 = ~a_shift; // @[exu_div_ctl.scala 850:6] + wire _T_812 = _T_810 & _T_66; // @[exu_div_ctl.scala 850:15] + wire [31:0] _T_816 = {a_ff[27:0],4'h0}; // @[Cat.scala 29:58] wire [64:0] ar_shifted = _T_71[64:0]; // @[exu_div_ctl.scala 791:28] - wire [31:0] _T_846 = _T_840 ? io_dividend_in : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_847 = a_shift ? _T_844 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_848 = shortq_enable_ff ? ar_shifted[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_849 = _T_846 | _T_847; // @[Mux.scala 27:72] - wire [31:0] a_in = _T_849 | _T_848; // @[Mux.scala 27:72] - wire _T_851 = ~b_twos_comp; // @[exu_div_ctl.scala 856:5] - wire _T_853 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 856:63] - wire [32:0] _T_855 = {_T_853,io_divisor_in}; // @[Cat.scala 29:58] - wire _T_856 = ~control_ff[1]; // @[exu_div_ctl.scala 857:49] - wire [32:0] _T_858 = {_T_856,_T_836,twos_comp_in[0]}; // @[Cat.scala 29:58] - wire [32:0] _T_859 = _T_851 ? _T_855 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_860 = b_twos_comp ? _T_858 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] b_in = _T_859 | _T_860; // @[Mux.scala 27:72] - wire [32:0] _T_865 = {r_ff[28:0],a_ff[31:28]}; // @[Cat.scala 29:58] - wire [32:0] _T_883 = {1'h0,a_ff}; // @[Cat.scala 29:58] - wire [32:0] _T_884 = r_sign_sel ? 33'h1ffffffff : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_885 = r_adder_sel_0 ? _T_865 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_886 = r_adder_sel_1 ? adder1_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_887 = r_adder_sel_2 ? adder2_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_888 = r_adder_sel_3 ? adder3_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_889 = r_adder_sel_4 ? _T_191[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_890 = r_adder_sel_5 ? adder5_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_891 = r_adder_sel_6 ? _T_195[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_892 = r_adder_sel_7 ? adder7_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_893 = r_adder_sel_8 ? _T_291[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_894 = r_adder_sel_9 ? adder9_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_895 = r_adder_sel_10 ? _T_239[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_896 = r_adder_sel_11 ? adder11_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_897 = r_adder_sel_12 ? _T_295[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_898 = r_adder_sel_13 ? adder13_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_899 = r_adder_sel_14 ? _T_299[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_900 = r_adder_sel_15 ? adder15_out[32:0] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_901 = shortq_enable_ff ? ar_shifted[64:32] : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_902 = by_zero_case ? _T_883 : 33'h0; // @[Mux.scala 27:72] - wire [32:0] _T_903 = _T_884 | _T_885; // @[Mux.scala 27:72] - wire [32:0] _T_904 = _T_903 | _T_886; // @[Mux.scala 27:72] - wire [32:0] _T_905 = _T_904 | _T_887; // @[Mux.scala 27:72] - wire [32:0] _T_906 = _T_905 | _T_888; // @[Mux.scala 27:72] - wire [32:0] _T_907 = _T_906 | _T_889; // @[Mux.scala 27:72] - wire [32:0] _T_908 = _T_907 | _T_890; // @[Mux.scala 27:72] - wire [32:0] _T_909 = _T_908 | _T_891; // @[Mux.scala 27:72] - wire [32:0] _T_910 = _T_909 | _T_892; // @[Mux.scala 27:72] - wire [32:0] _T_911 = _T_910 | _T_893; // @[Mux.scala 27:72] - wire [32:0] _T_912 = _T_911 | _T_894; // @[Mux.scala 27:72] - wire [32:0] _T_913 = _T_912 | _T_895; // @[Mux.scala 27:72] - wire [32:0] _T_914 = _T_913 | _T_896; // @[Mux.scala 27:72] - wire [32:0] _T_915 = _T_914 | _T_897; // @[Mux.scala 27:72] - wire [32:0] _T_916 = _T_915 | _T_898; // @[Mux.scala 27:72] - wire [32:0] _T_917 = _T_916 | _T_899; // @[Mux.scala 27:72] - wire [32:0] _T_918 = _T_917 | _T_900; // @[Mux.scala 27:72] - wire [32:0] _T_919 = _T_918 | _T_901; // @[Mux.scala 27:72] - wire [32:0] r_in = _T_919 | _T_902; // @[Mux.scala 27:72] - wire [31:0] _T_923 = {q_ff[27:0],_T_486,_T_523,_T_560,_T_612}; // @[Cat.scala 29:58] - wire _T_946 = ~b_ff[3]; // @[exu_div_ctl.scala 893:70] - wire _T_948 = ~b_ff[2]; // @[exu_div_ctl.scala 893:70] - wire _T_951 = _T_946 & _T_948; // @[exu_div_ctl.scala 893:95] - wire _T_950 = ~b_ff[1]; // @[exu_div_ctl.scala 893:70] - wire _T_952 = _T_951 & _T_950; // @[exu_div_ctl.scala 893:95] - wire _T_953 = a_ff[3] & _T_952; // @[exu_div_ctl.scala 894:11] - wire _T_960 = a_ff[3] & _T_951; // @[exu_div_ctl.scala 894:11] - wire _T_962 = ~b_ff[0]; // @[exu_div_ctl.scala 899:33] - wire _T_963 = _T_960 & _T_962; // @[exu_div_ctl.scala 899:31] - wire _T_973 = a_ff[2] & _T_952; // @[exu_div_ctl.scala 894:11] - wire _T_974 = _T_963 | _T_973; // @[exu_div_ctl.scala 899:42] - wire _T_977 = a_ff[3] & a_ff[2]; // @[exu_div_ctl.scala 892:95] - wire _T_983 = _T_977 & _T_951; // @[exu_div_ctl.scala 894:11] - wire _T_984 = _T_974 | _T_983; // @[exu_div_ctl.scala 899:75] - wire _T_991 = a_ff[2] & _T_951; // @[exu_div_ctl.scala 894:11] - wire _T_994 = _T_991 & _T_962; // @[exu_div_ctl.scala 901:31] - wire _T_1004 = a_ff[1] & _T_952; // @[exu_div_ctl.scala 894:11] - wire _T_1005 = _T_994 | _T_1004; // @[exu_div_ctl.scala 901:42] - wire _T_1011 = _T_946 & _T_950; // @[exu_div_ctl.scala 893:95] - wire _T_1012 = a_ff[3] & _T_1011; // @[exu_div_ctl.scala 894:11] - wire _T_1015 = _T_1012 & _T_962; // @[exu_div_ctl.scala 901:106] - wire _T_1016 = _T_1005 | _T_1015; // @[exu_div_ctl.scala 901:78] - wire _T_1019 = ~a_ff[2]; // @[exu_div_ctl.scala 892:70] - wire _T_1020 = a_ff[3] & _T_1019; // @[exu_div_ctl.scala 892:95] - wire _T_1028 = _T_951 & b_ff[1]; // @[exu_div_ctl.scala 893:95] - wire _T_1029 = _T_1028 & b_ff[0]; // @[exu_div_ctl.scala 893:95] - wire _T_1030 = _T_1020 & _T_1029; // @[exu_div_ctl.scala 894:11] - wire _T_1031 = _T_1016 | _T_1030; // @[exu_div_ctl.scala 901:117] - wire _T_1033 = ~a_ff[3]; // @[exu_div_ctl.scala 892:70] - wire _T_1036 = _T_1033 & a_ff[2]; // @[exu_div_ctl.scala 892:95] - wire _T_1037 = _T_1036 & a_ff[1]; // @[exu_div_ctl.scala 892:95] - wire _T_1043 = _T_1037 & _T_951; // @[exu_div_ctl.scala 894:11] - wire _T_1044 = _T_1031 | _T_1043; // @[exu_div_ctl.scala 902:44] - wire _T_1050 = _T_977 & _T_946; // @[exu_div_ctl.scala 894:11] - wire _T_1053 = _T_1050 & _T_962; // @[exu_div_ctl.scala 902:107] - wire _T_1054 = _T_1044 | _T_1053; // @[exu_div_ctl.scala 902:80] - wire _T_1063 = _T_946 & b_ff[2]; // @[exu_div_ctl.scala 893:95] - wire _T_1064 = _T_1063 & _T_950; // @[exu_div_ctl.scala 893:95] - wire _T_1065 = _T_977 & _T_1064; // @[exu_div_ctl.scala 894:11] - wire _T_1066 = _T_1054 | _T_1065; // @[exu_div_ctl.scala 902:119] - wire _T_1069 = a_ff[3] & a_ff[1]; // @[exu_div_ctl.scala 892:95] - wire _T_1075 = _T_1069 & _T_1011; // @[exu_div_ctl.scala 894:11] - wire _T_1076 = _T_1066 | _T_1075; // @[exu_div_ctl.scala 903:44] - wire _T_1081 = _T_977 & a_ff[1]; // @[exu_div_ctl.scala 892:95] - wire _T_1086 = _T_1081 & _T_1063; // @[exu_div_ctl.scala 894:11] - wire _T_1087 = _T_1076 | _T_1086; // @[exu_div_ctl.scala 903:79] - wire _T_1091 = a_ff[2] & a_ff[1]; // @[exu_div_ctl.scala 892:95] - wire _T_1092 = _T_1091 & a_ff[0]; // @[exu_div_ctl.scala 892:95] - wire _T_1098 = _T_1092 & _T_1011; // @[exu_div_ctl.scala 894:11] - wire _T_1104 = _T_1020 & a_ff[0]; // @[exu_div_ctl.scala 892:95] - wire _T_1109 = _T_946 & b_ff[1]; // @[exu_div_ctl.scala 893:95] - wire _T_1110 = _T_1109 & b_ff[0]; // @[exu_div_ctl.scala 893:95] - wire _T_1111 = _T_1104 & _T_1110; // @[exu_div_ctl.scala 894:11] - wire _T_1112 = _T_1098 | _T_1111; // @[exu_div_ctl.scala 905:45] - wire _T_1119 = a_ff[2] & _T_1011; // @[exu_div_ctl.scala 894:11] - wire _T_1122 = _T_1119 & _T_962; // @[exu_div_ctl.scala 905:114] - wire _T_1123 = _T_1112 | _T_1122; // @[exu_div_ctl.scala 905:86] - wire _T_1130 = a_ff[1] & _T_951; // @[exu_div_ctl.scala 894:11] - wire _T_1133 = _T_1130 & _T_962; // @[exu_div_ctl.scala 906:33] - wire _T_1134 = _T_1123 | _T_1133; // @[exu_div_ctl.scala 905:129] - wire _T_1144 = a_ff[0] & _T_952; // @[exu_div_ctl.scala 894:11] - wire _T_1145 = _T_1134 | _T_1144; // @[exu_div_ctl.scala 906:47] - wire _T_1150 = ~a_ff[1]; // @[exu_div_ctl.scala 892:70] - wire _T_1152 = _T_1036 & _T_1150; // @[exu_div_ctl.scala 892:95] - wire _T_1162 = _T_1152 & _T_1029; // @[exu_div_ctl.scala 894:11] - wire _T_1163 = _T_1145 | _T_1162; // @[exu_div_ctl.scala 906:88] - wire _T_1172 = _T_1037 & _T_946; // @[exu_div_ctl.scala 894:11] - wire _T_1175 = _T_1172 & _T_962; // @[exu_div_ctl.scala 907:36] - wire _T_1176 = _T_1163 | _T_1175; // @[exu_div_ctl.scala 906:131] - wire _T_1182 = _T_948 & _T_950; // @[exu_div_ctl.scala 893:95] - wire _T_1183 = a_ff[3] & _T_1182; // @[exu_div_ctl.scala 894:11] - wire _T_1186 = _T_1183 & _T_962; // @[exu_div_ctl.scala 907:76] - wire _T_1187 = _T_1176 | _T_1186; // @[exu_div_ctl.scala 907:47] - wire _T_1197 = _T_1063 & b_ff[1]; // @[exu_div_ctl.scala 893:95] - wire _T_1198 = _T_1020 & _T_1197; // @[exu_div_ctl.scala 894:11] - wire _T_1199 = _T_1187 | _T_1198; // @[exu_div_ctl.scala 907:88] - wire _T_1213 = _T_1037 & _T_1064; // @[exu_div_ctl.scala 894:11] - wire _T_1214 = _T_1199 | _T_1213; // @[exu_div_ctl.scala 907:131] - wire _T_1220 = _T_1036 & a_ff[0]; // @[exu_div_ctl.scala 892:95] - wire _T_1226 = _T_1220 & _T_1011; // @[exu_div_ctl.scala 894:11] - wire _T_1227 = _T_1214 | _T_1226; // @[exu_div_ctl.scala 908:47] - wire _T_1234 = _T_1020 & _T_1150; // @[exu_div_ctl.scala 892:95] - wire _T_1240 = _T_1063 & b_ff[0]; // @[exu_div_ctl.scala 893:95] - wire _T_1241 = _T_1234 & _T_1240; // @[exu_div_ctl.scala 894:11] - wire _T_1242 = _T_1227 | _T_1241; // @[exu_div_ctl.scala 908:88] - wire _T_1247 = _T_1019 & a_ff[1]; // @[exu_div_ctl.scala 892:95] - wire _T_1248 = _T_1247 & a_ff[0]; // @[exu_div_ctl.scala 892:95] - wire _T_1254 = _T_1248 & _T_951; // @[exu_div_ctl.scala 894:11] - wire _T_1255 = _T_1242 | _T_1254; // @[exu_div_ctl.scala 908:131] - wire _T_1261 = _T_977 & _T_950; // @[exu_div_ctl.scala 894:11] - wire _T_1264 = _T_1261 & _T_962; // @[exu_div_ctl.scala 909:75] - wire _T_1265 = _T_1255 | _T_1264; // @[exu_div_ctl.scala 909:47] - wire _T_1273 = _T_1037 & a_ff[0]; // @[exu_div_ctl.scala 892:95] - wire _T_1278 = _T_1273 & _T_1063; // @[exu_div_ctl.scala 894:11] - wire _T_1279 = _T_1265 | _T_1278; // @[exu_div_ctl.scala 909:88] - wire _T_1286 = b_ff[3] & _T_948; // @[exu_div_ctl.scala 893:95] - wire _T_1287 = _T_977 & _T_1286; // @[exu_div_ctl.scala 894:11] - wire _T_1288 = _T_1279 | _T_1287; // @[exu_div_ctl.scala 909:131] - wire _T_1298 = _T_1286 & _T_950; // @[exu_div_ctl.scala 893:95] - wire _T_1299 = _T_1069 & _T_1298; // @[exu_div_ctl.scala 894:11] - wire _T_1300 = _T_1288 | _T_1299; // @[exu_div_ctl.scala 910:47] - wire _T_1303 = a_ff[3] & a_ff[0]; // @[exu_div_ctl.scala 892:95] - wire _T_1309 = _T_1303 & _T_1182; // @[exu_div_ctl.scala 894:11] - wire _T_1310 = _T_1300 | _T_1309; // @[exu_div_ctl.scala 910:88] - wire _T_1314 = a_ff[3] & _T_1150; // @[exu_div_ctl.scala 892:95] - wire _T_1322 = _T_1197 & b_ff[0]; // @[exu_div_ctl.scala 893:95] - wire _T_1323 = _T_1314 & _T_1322; // @[exu_div_ctl.scala 894:11] - wire _T_1324 = _T_1310 | _T_1323; // @[exu_div_ctl.scala 910:131] - wire _T_1331 = _T_1081 & b_ff[3]; // @[exu_div_ctl.scala 894:11] - wire _T_1334 = _T_1331 & _T_962; // @[exu_div_ctl.scala 911:77] - wire _T_1335 = _T_1324 | _T_1334; // @[exu_div_ctl.scala 911:47] - wire _T_1344 = b_ff[3] & _T_950; // @[exu_div_ctl.scala 893:95] - wire _T_1345 = _T_1081 & _T_1344; // @[exu_div_ctl.scala 894:11] - wire _T_1346 = _T_1335 | _T_1345; // @[exu_div_ctl.scala 911:88] - wire _T_1351 = _T_977 & a_ff[0]; // @[exu_div_ctl.scala 892:95] - wire _T_1356 = _T_1351 & _T_1344; // @[exu_div_ctl.scala 894:11] - wire _T_1357 = _T_1346 | _T_1356; // @[exu_div_ctl.scala 911:131] - wire _T_1363 = _T_1020 & a_ff[1]; // @[exu_div_ctl.scala 892:95] - wire _T_1368 = _T_1363 & _T_1109; // @[exu_div_ctl.scala 894:11] - wire _T_1369 = _T_1357 | _T_1368; // @[exu_div_ctl.scala 912:47] - wire _T_1374 = _T_1069 & a_ff[0]; // @[exu_div_ctl.scala 892:95] - wire _T_1377 = _T_1374 & _T_948; // @[exu_div_ctl.scala 894:11] - wire _T_1378 = _T_1369 | _T_1377; // @[exu_div_ctl.scala 912:88] - wire _T_1385 = _T_1081 & a_ff[0]; // @[exu_div_ctl.scala 892:95] - wire _T_1387 = _T_1385 & b_ff[3]; // @[exu_div_ctl.scala 894:11] - wire _T_1388 = _T_1378 | _T_1387; // @[exu_div_ctl.scala 912:131] - wire _T_1394 = _T_1069 & _T_948; // @[exu_div_ctl.scala 894:11] - wire _T_1397 = _T_1394 & _T_962; // @[exu_div_ctl.scala 913:74] - wire _T_1398 = _T_1388 | _T_1397; // @[exu_div_ctl.scala 913:47] - wire [31:0] _T_924 = {28'h0,_T_953,_T_984,_T_1087,_T_1398}; // @[Cat.scala 29:58] - wire [31:0] _T_926 = _T_76 ? _T_923 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_927 = smallnum_case ? _T_924 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_928 = by_zero_case ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_929 = _T_926 | _T_927; // @[Mux.scala 27:72] - wire [31:0] q_in = _T_929 | _T_928; // @[Mux.scala 27:72] - wire _T_934 = ~twos_comp_q_sel; // @[exu_div_ctl.scala 887:16] - wire _T_935 = _T_30 & _T_934; // @[exu_div_ctl.scala 887:14] - wire [31:0] _T_938 = _T_935 ? q_ff : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_939 = control_ff[0] ? r_ff[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_940 = twos_comp_q_sel ? twos_comp_out : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_941 = _T_938 | _T_939; // @[Mux.scala 27:72] - wire _T_1425 = shortq == 6'h1b; // @[exu_div_ctl.scala 929:58] - wire _T_1426 = shortq == 6'h1a; // @[exu_div_ctl.scala 929:58] - wire _T_1427 = shortq == 6'h19; // @[exu_div_ctl.scala 929:58] - wire _T_1428 = shortq == 6'h18; // @[exu_div_ctl.scala 929:58] - wire _T_1429 = shortq == 6'h17; // @[exu_div_ctl.scala 929:58] - wire _T_1430 = shortq == 6'h16; // @[exu_div_ctl.scala 929:58] - wire _T_1431 = shortq == 6'h15; // @[exu_div_ctl.scala 929:58] - wire _T_1432 = shortq == 6'h14; // @[exu_div_ctl.scala 929:58] - wire _T_1433 = shortq == 6'h13; // @[exu_div_ctl.scala 929:58] - wire _T_1434 = shortq == 6'h12; // @[exu_div_ctl.scala 929:58] - wire _T_1435 = shortq == 6'h11; // @[exu_div_ctl.scala 929:58] - wire _T_1436 = shortq == 6'h10; // @[exu_div_ctl.scala 929:58] - wire _T_1437 = shortq == 6'hf; // @[exu_div_ctl.scala 929:58] - wire _T_1438 = shortq == 6'he; // @[exu_div_ctl.scala 929:58] - wire _T_1439 = shortq == 6'hd; // @[exu_div_ctl.scala 929:58] - wire _T_1440 = shortq == 6'hc; // @[exu_div_ctl.scala 929:58] - wire _T_1441 = shortq == 6'hb; // @[exu_div_ctl.scala 929:58] - wire _T_1442 = shortq == 6'ha; // @[exu_div_ctl.scala 929:58] - wire _T_1443 = shortq == 6'h9; // @[exu_div_ctl.scala 929:58] - wire _T_1444 = shortq == 6'h8; // @[exu_div_ctl.scala 929:58] - wire _T_1445 = shortq == 6'h7; // @[exu_div_ctl.scala 929:58] - wire _T_1446 = shortq == 6'h6; // @[exu_div_ctl.scala 929:58] - wire _T_1447 = shortq == 6'h5; // @[exu_div_ctl.scala 929:58] - wire _T_1448 = shortq == 6'h4; // @[exu_div_ctl.scala 929:58] - wire _T_1449 = shortq == 6'h3; // @[exu_div_ctl.scala 929:58] - wire _T_1450 = shortq == 6'h2; // @[exu_div_ctl.scala 929:58] - wire _T_1451 = shortq == 6'h1; // @[exu_div_ctl.scala 929:58] - wire _T_1452 = shortq == 6'h0; // @[exu_div_ctl.scala 929:58] - wire [2:0] _T_1457 = _T_1425 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1458 = _T_1426 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1459 = _T_1427 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1460 = _T_1428 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1461 = _T_1429 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1462 = _T_1430 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1463 = _T_1431 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1464 = _T_1432 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1465 = _T_1433 ? 4'hc : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1466 = _T_1434 ? 4'hc : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1467 = _T_1435 ? 4'hc : 4'h0; // @[Mux.scala 27:72] - wire [3:0] _T_1468 = _T_1436 ? 4'hc : 4'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1469 = _T_1437 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1470 = _T_1438 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1471 = _T_1439 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1472 = _T_1440 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1473 = _T_1441 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1474 = _T_1442 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1475 = _T_1443 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1476 = _T_1444 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1477 = _T_1445 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1478 = _T_1446 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1479 = _T_1447 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1480 = _T_1448 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1481 = _T_1449 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1482 = _T_1450 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1483 = _T_1451 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] - wire [4:0] _T_1484 = _T_1452 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] - wire [2:0] _T_1489 = _T_1457 | _T_1458; // @[Mux.scala 27:72] - wire [2:0] _T_1490 = _T_1489 | _T_1459; // @[Mux.scala 27:72] - wire [2:0] _T_1491 = _T_1490 | _T_1460; // @[Mux.scala 27:72] - wire [3:0] _GEN_12 = {{1'd0}, _T_1491}; // @[Mux.scala 27:72] - wire [3:0] _T_1492 = _GEN_12 | _T_1461; // @[Mux.scala 27:72] - wire [3:0] _T_1493 = _T_1492 | _T_1462; // @[Mux.scala 27:72] - wire [3:0] _T_1494 = _T_1493 | _T_1463; // @[Mux.scala 27:72] - wire [3:0] _T_1495 = _T_1494 | _T_1464; // @[Mux.scala 27:72] - wire [3:0] _T_1496 = _T_1495 | _T_1465; // @[Mux.scala 27:72] - wire [3:0] _T_1497 = _T_1496 | _T_1466; // @[Mux.scala 27:72] - wire [3:0] _T_1498 = _T_1497 | _T_1467; // @[Mux.scala 27:72] - wire [3:0] _T_1499 = _T_1498 | _T_1468; // @[Mux.scala 27:72] - wire [4:0] _GEN_13 = {{1'd0}, _T_1499}; // @[Mux.scala 27:72] - wire [4:0] _T_1500 = _GEN_13 | _T_1469; // @[Mux.scala 27:72] - wire [4:0] _T_1501 = _T_1500 | _T_1470; // @[Mux.scala 27:72] - wire [4:0] _T_1502 = _T_1501 | _T_1471; // @[Mux.scala 27:72] - wire [4:0] _T_1503 = _T_1502 | _T_1472; // @[Mux.scala 27:72] - wire [4:0] _T_1504 = _T_1503 | _T_1473; // @[Mux.scala 27:72] - wire [4:0] _T_1505 = _T_1504 | _T_1474; // @[Mux.scala 27:72] - wire [4:0] _T_1506 = _T_1505 | _T_1475; // @[Mux.scala 27:72] - wire [4:0] _T_1507 = _T_1506 | _T_1476; // @[Mux.scala 27:72] - wire [4:0] _T_1508 = _T_1507 | _T_1477; // @[Mux.scala 27:72] - wire [4:0] _T_1509 = _T_1508 | _T_1478; // @[Mux.scala 27:72] - wire [4:0] _T_1510 = _T_1509 | _T_1479; // @[Mux.scala 27:72] - wire [4:0] _T_1511 = _T_1510 | _T_1480; // @[Mux.scala 27:72] - wire [4:0] _T_1512 = _T_1511 | _T_1481; // @[Mux.scala 27:72] - wire [4:0] _T_1513 = _T_1512 | _T_1482; // @[Mux.scala 27:72] - wire [4:0] _T_1514 = _T_1513 | _T_1483; // @[Mux.scala 27:72] - wire [4:0] shortq_decode = _T_1514 | _T_1484; // @[Mux.scala 27:72] - exu_div_cls a_enc ( // @[exu_div_ctl.scala 917:21] + wire [31:0] _T_818 = _T_812 ? io_dividend_in : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_819 = a_shift ? _T_816 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_820 = shortq_enable_ff ? ar_shifted[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_821 = _T_818 | _T_819; // @[Mux.scala 27:72] + wire [31:0] a_in = _T_821 | _T_820; // @[Mux.scala 27:72] + wire _T_823 = ~b_twos_comp; // @[exu_div_ctl.scala 855:5] + wire _T_825 = io_signed_in & io_divisor_in[31]; // @[exu_div_ctl.scala 855:63] + wire [32:0] _T_827 = {_T_825,io_divisor_in}; // @[Cat.scala 29:58] + wire _T_828 = ~control_ff[1]; // @[exu_div_ctl.scala 856:49] + wire [32:0] _T_830 = {_T_828,_T_808,twos_comp_in[0]}; // @[Cat.scala 29:58] + wire [32:0] _T_831 = _T_823 ? _T_827 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_832 = b_twos_comp ? _T_830 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] b_in = _T_831 | _T_832; // @[Mux.scala 27:72] + wire [32:0] _T_837 = {r_ff[28:0],a_ff[31:28]}; // @[Cat.scala 29:58] + wire [32:0] _T_855 = {1'h0,a_ff}; // @[Cat.scala 29:58] + wire [32:0] _T_856 = r_sign_sel ? 33'h1ffffffff : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_857 = r_adder_sel_0 ? _T_837 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_858 = r_adder_sel_1 ? adder1_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_859 = r_adder_sel_2 ? adder2_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_860 = r_adder_sel_3 ? adder3_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_861 = r_adder_sel_4 ? _T_191[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_862 = r_adder_sel_5 ? adder5_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_863 = r_adder_sel_6 ? _T_195[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_864 = r_adder_sel_7 ? adder7_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_865 = r_adder_sel_8 ? _T_291[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_866 = r_adder_sel_9 ? adder9_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_867 = r_adder_sel_10 ? _T_239[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_868 = r_adder_sel_11 ? adder11_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_869 = r_adder_sel_12 ? _T_295[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_870 = r_adder_sel_13 ? adder13_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_871 = r_adder_sel_14 ? _T_299[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_872 = r_adder_sel_15 ? adder15_out[32:0] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_873 = shortq_enable_ff ? ar_shifted[64:32] : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_874 = by_zero_case ? _T_855 : 33'h0; // @[Mux.scala 27:72] + wire [32:0] _T_875 = _T_856 | _T_857; // @[Mux.scala 27:72] + wire [32:0] _T_876 = _T_875 | _T_858; // @[Mux.scala 27:72] + wire [32:0] _T_877 = _T_876 | _T_859; // @[Mux.scala 27:72] + wire [32:0] _T_878 = _T_877 | _T_860; // @[Mux.scala 27:72] + wire [32:0] _T_879 = _T_878 | _T_861; // @[Mux.scala 27:72] + wire [32:0] _T_880 = _T_879 | _T_862; // @[Mux.scala 27:72] + wire [32:0] _T_881 = _T_880 | _T_863; // @[Mux.scala 27:72] + wire [32:0] _T_882 = _T_881 | _T_864; // @[Mux.scala 27:72] + wire [32:0] _T_883 = _T_882 | _T_865; // @[Mux.scala 27:72] + wire [32:0] _T_884 = _T_883 | _T_866; // @[Mux.scala 27:72] + wire [32:0] _T_885 = _T_884 | _T_867; // @[Mux.scala 27:72] + wire [32:0] _T_886 = _T_885 | _T_868; // @[Mux.scala 27:72] + wire [32:0] _T_887 = _T_886 | _T_869; // @[Mux.scala 27:72] + wire [32:0] _T_888 = _T_887 | _T_870; // @[Mux.scala 27:72] + wire [32:0] _T_889 = _T_888 | _T_871; // @[Mux.scala 27:72] + wire [32:0] _T_890 = _T_889 | _T_872; // @[Mux.scala 27:72] + wire [32:0] _T_891 = _T_890 | _T_873; // @[Mux.scala 27:72] + wire [32:0] r_in = _T_891 | _T_874; // @[Mux.scala 27:72] + wire [31:0] _T_895 = {q_ff[27:0],_T_486,_T_509,_T_532,_T_584}; // @[Cat.scala 29:58] + wire _T_918 = ~b_ff[3]; // @[exu_div_ctl.scala 892:70] + wire _T_920 = ~b_ff[2]; // @[exu_div_ctl.scala 892:70] + wire _T_923 = _T_918 & _T_920; // @[exu_div_ctl.scala 892:95] + wire _T_922 = ~b_ff[1]; // @[exu_div_ctl.scala 892:70] + wire _T_924 = _T_923 & _T_922; // @[exu_div_ctl.scala 892:95] + wire _T_925 = a_ff[3] & _T_924; // @[exu_div_ctl.scala 893:11] + wire _T_932 = a_ff[3] & _T_923; // @[exu_div_ctl.scala 893:11] + wire _T_934 = ~b_ff[0]; // @[exu_div_ctl.scala 898:33] + wire _T_935 = _T_932 & _T_934; // @[exu_div_ctl.scala 898:31] + wire _T_945 = a_ff[2] & _T_924; // @[exu_div_ctl.scala 893:11] + wire _T_946 = _T_935 | _T_945; // @[exu_div_ctl.scala 898:42] + wire _T_949 = a_ff[3] & a_ff[2]; // @[exu_div_ctl.scala 891:95] + wire _T_955 = _T_949 & _T_923; // @[exu_div_ctl.scala 893:11] + wire _T_956 = _T_946 | _T_955; // @[exu_div_ctl.scala 898:75] + wire _T_963 = a_ff[2] & _T_923; // @[exu_div_ctl.scala 893:11] + wire _T_966 = _T_963 & _T_934; // @[exu_div_ctl.scala 900:31] + wire _T_976 = a_ff[1] & _T_924; // @[exu_div_ctl.scala 893:11] + wire _T_977 = _T_966 | _T_976; // @[exu_div_ctl.scala 900:42] + wire _T_983 = _T_918 & _T_922; // @[exu_div_ctl.scala 892:95] + wire _T_984 = a_ff[3] & _T_983; // @[exu_div_ctl.scala 893:11] + wire _T_987 = _T_984 & _T_934; // @[exu_div_ctl.scala 900:106] + wire _T_988 = _T_977 | _T_987; // @[exu_div_ctl.scala 900:78] + wire _T_991 = ~a_ff[2]; // @[exu_div_ctl.scala 891:70] + wire _T_992 = a_ff[3] & _T_991; // @[exu_div_ctl.scala 891:95] + wire _T_1000 = _T_923 & b_ff[1]; // @[exu_div_ctl.scala 892:95] + wire _T_1001 = _T_1000 & b_ff[0]; // @[exu_div_ctl.scala 892:95] + wire _T_1002 = _T_992 & _T_1001; // @[exu_div_ctl.scala 893:11] + wire _T_1003 = _T_988 | _T_1002; // @[exu_div_ctl.scala 900:117] + wire _T_1005 = ~a_ff[3]; // @[exu_div_ctl.scala 891:70] + wire _T_1008 = _T_1005 & a_ff[2]; // @[exu_div_ctl.scala 891:95] + wire _T_1009 = _T_1008 & a_ff[1]; // @[exu_div_ctl.scala 891:95] + wire _T_1015 = _T_1009 & _T_923; // @[exu_div_ctl.scala 893:11] + wire _T_1016 = _T_1003 | _T_1015; // @[exu_div_ctl.scala 901:44] + wire _T_1022 = _T_949 & _T_918; // @[exu_div_ctl.scala 893:11] + wire _T_1025 = _T_1022 & _T_934; // @[exu_div_ctl.scala 901:107] + wire _T_1026 = _T_1016 | _T_1025; // @[exu_div_ctl.scala 901:80] + wire _T_1035 = _T_918 & b_ff[2]; // @[exu_div_ctl.scala 892:95] + wire _T_1036 = _T_1035 & _T_922; // @[exu_div_ctl.scala 892:95] + wire _T_1037 = _T_949 & _T_1036; // @[exu_div_ctl.scala 893:11] + wire _T_1038 = _T_1026 | _T_1037; // @[exu_div_ctl.scala 901:119] + wire _T_1041 = a_ff[3] & a_ff[1]; // @[exu_div_ctl.scala 891:95] + wire _T_1047 = _T_1041 & _T_983; // @[exu_div_ctl.scala 893:11] + wire _T_1048 = _T_1038 | _T_1047; // @[exu_div_ctl.scala 902:44] + wire _T_1053 = _T_949 & a_ff[1]; // @[exu_div_ctl.scala 891:95] + wire _T_1058 = _T_1053 & _T_1035; // @[exu_div_ctl.scala 893:11] + wire _T_1059 = _T_1048 | _T_1058; // @[exu_div_ctl.scala 902:79] + wire _T_1063 = a_ff[2] & a_ff[1]; // @[exu_div_ctl.scala 891:95] + wire _T_1064 = _T_1063 & a_ff[0]; // @[exu_div_ctl.scala 891:95] + wire _T_1070 = _T_1064 & _T_983; // @[exu_div_ctl.scala 893:11] + wire _T_1076 = _T_992 & a_ff[0]; // @[exu_div_ctl.scala 891:95] + wire _T_1081 = _T_918 & b_ff[1]; // @[exu_div_ctl.scala 892:95] + wire _T_1082 = _T_1081 & b_ff[0]; // @[exu_div_ctl.scala 892:95] + wire _T_1083 = _T_1076 & _T_1082; // @[exu_div_ctl.scala 893:11] + wire _T_1084 = _T_1070 | _T_1083; // @[exu_div_ctl.scala 904:45] + wire _T_1091 = a_ff[2] & _T_983; // @[exu_div_ctl.scala 893:11] + wire _T_1094 = _T_1091 & _T_934; // @[exu_div_ctl.scala 904:114] + wire _T_1095 = _T_1084 | _T_1094; // @[exu_div_ctl.scala 904:86] + wire _T_1102 = a_ff[1] & _T_923; // @[exu_div_ctl.scala 893:11] + wire _T_1105 = _T_1102 & _T_934; // @[exu_div_ctl.scala 905:33] + wire _T_1106 = _T_1095 | _T_1105; // @[exu_div_ctl.scala 904:129] + wire _T_1116 = a_ff[0] & _T_924; // @[exu_div_ctl.scala 893:11] + wire _T_1117 = _T_1106 | _T_1116; // @[exu_div_ctl.scala 905:47] + wire _T_1122 = ~a_ff[1]; // @[exu_div_ctl.scala 891:70] + wire _T_1124 = _T_1008 & _T_1122; // @[exu_div_ctl.scala 891:95] + wire _T_1134 = _T_1124 & _T_1001; // @[exu_div_ctl.scala 893:11] + wire _T_1135 = _T_1117 | _T_1134; // @[exu_div_ctl.scala 905:88] + wire _T_1144 = _T_1009 & _T_918; // @[exu_div_ctl.scala 893:11] + wire _T_1147 = _T_1144 & _T_934; // @[exu_div_ctl.scala 906:36] + wire _T_1148 = _T_1135 | _T_1147; // @[exu_div_ctl.scala 905:131] + wire _T_1154 = _T_920 & _T_922; // @[exu_div_ctl.scala 892:95] + wire _T_1155 = a_ff[3] & _T_1154; // @[exu_div_ctl.scala 893:11] + wire _T_1158 = _T_1155 & _T_934; // @[exu_div_ctl.scala 906:76] + wire _T_1159 = _T_1148 | _T_1158; // @[exu_div_ctl.scala 906:47] + wire _T_1169 = _T_1035 & b_ff[1]; // @[exu_div_ctl.scala 892:95] + wire _T_1170 = _T_992 & _T_1169; // @[exu_div_ctl.scala 893:11] + wire _T_1171 = _T_1159 | _T_1170; // @[exu_div_ctl.scala 906:88] + wire _T_1185 = _T_1009 & _T_1036; // @[exu_div_ctl.scala 893:11] + wire _T_1186 = _T_1171 | _T_1185; // @[exu_div_ctl.scala 906:131] + wire _T_1192 = _T_1008 & a_ff[0]; // @[exu_div_ctl.scala 891:95] + wire _T_1198 = _T_1192 & _T_983; // @[exu_div_ctl.scala 893:11] + wire _T_1199 = _T_1186 | _T_1198; // @[exu_div_ctl.scala 907:47] + wire _T_1206 = _T_992 & _T_1122; // @[exu_div_ctl.scala 891:95] + wire _T_1212 = _T_1035 & b_ff[0]; // @[exu_div_ctl.scala 892:95] + wire _T_1213 = _T_1206 & _T_1212; // @[exu_div_ctl.scala 893:11] + wire _T_1214 = _T_1199 | _T_1213; // @[exu_div_ctl.scala 907:88] + wire _T_1219 = _T_991 & a_ff[1]; // @[exu_div_ctl.scala 891:95] + wire _T_1220 = _T_1219 & a_ff[0]; // @[exu_div_ctl.scala 891:95] + wire _T_1226 = _T_1220 & _T_923; // @[exu_div_ctl.scala 893:11] + wire _T_1227 = _T_1214 | _T_1226; // @[exu_div_ctl.scala 907:131] + wire _T_1233 = _T_949 & _T_922; // @[exu_div_ctl.scala 893:11] + wire _T_1236 = _T_1233 & _T_934; // @[exu_div_ctl.scala 908:75] + wire _T_1237 = _T_1227 | _T_1236; // @[exu_div_ctl.scala 908:47] + wire _T_1245 = _T_1009 & a_ff[0]; // @[exu_div_ctl.scala 891:95] + wire _T_1250 = _T_1245 & _T_1035; // @[exu_div_ctl.scala 893:11] + wire _T_1251 = _T_1237 | _T_1250; // @[exu_div_ctl.scala 908:88] + wire _T_1258 = b_ff[3] & _T_920; // @[exu_div_ctl.scala 892:95] + wire _T_1259 = _T_949 & _T_1258; // @[exu_div_ctl.scala 893:11] + wire _T_1260 = _T_1251 | _T_1259; // @[exu_div_ctl.scala 908:131] + wire _T_1270 = _T_1258 & _T_922; // @[exu_div_ctl.scala 892:95] + wire _T_1271 = _T_1041 & _T_1270; // @[exu_div_ctl.scala 893:11] + wire _T_1272 = _T_1260 | _T_1271; // @[exu_div_ctl.scala 909:47] + wire _T_1275 = a_ff[3] & a_ff[0]; // @[exu_div_ctl.scala 891:95] + wire _T_1281 = _T_1275 & _T_1154; // @[exu_div_ctl.scala 893:11] + wire _T_1282 = _T_1272 | _T_1281; // @[exu_div_ctl.scala 909:88] + wire _T_1286 = a_ff[3] & _T_1122; // @[exu_div_ctl.scala 891:95] + wire _T_1294 = _T_1169 & b_ff[0]; // @[exu_div_ctl.scala 892:95] + wire _T_1295 = _T_1286 & _T_1294; // @[exu_div_ctl.scala 893:11] + wire _T_1296 = _T_1282 | _T_1295; // @[exu_div_ctl.scala 909:131] + wire _T_1303 = _T_1053 & b_ff[3]; // @[exu_div_ctl.scala 893:11] + wire _T_1306 = _T_1303 & _T_934; // @[exu_div_ctl.scala 910:77] + wire _T_1307 = _T_1296 | _T_1306; // @[exu_div_ctl.scala 910:47] + wire _T_1316 = b_ff[3] & _T_922; // @[exu_div_ctl.scala 892:95] + wire _T_1317 = _T_1053 & _T_1316; // @[exu_div_ctl.scala 893:11] + wire _T_1318 = _T_1307 | _T_1317; // @[exu_div_ctl.scala 910:88] + wire _T_1323 = _T_949 & a_ff[0]; // @[exu_div_ctl.scala 891:95] + wire _T_1328 = _T_1323 & _T_1316; // @[exu_div_ctl.scala 893:11] + wire _T_1329 = _T_1318 | _T_1328; // @[exu_div_ctl.scala 910:131] + wire _T_1335 = _T_992 & a_ff[1]; // @[exu_div_ctl.scala 891:95] + wire _T_1340 = _T_1335 & _T_1081; // @[exu_div_ctl.scala 893:11] + wire _T_1341 = _T_1329 | _T_1340; // @[exu_div_ctl.scala 911:47] + wire _T_1346 = _T_1041 & a_ff[0]; // @[exu_div_ctl.scala 891:95] + wire _T_1349 = _T_1346 & _T_920; // @[exu_div_ctl.scala 893:11] + wire _T_1350 = _T_1341 | _T_1349; // @[exu_div_ctl.scala 911:88] + wire _T_1357 = _T_1053 & a_ff[0]; // @[exu_div_ctl.scala 891:95] + wire _T_1359 = _T_1357 & b_ff[3]; // @[exu_div_ctl.scala 893:11] + wire _T_1360 = _T_1350 | _T_1359; // @[exu_div_ctl.scala 911:131] + wire _T_1366 = _T_1041 & _T_920; // @[exu_div_ctl.scala 893:11] + wire _T_1369 = _T_1366 & _T_934; // @[exu_div_ctl.scala 912:74] + wire _T_1370 = _T_1360 | _T_1369; // @[exu_div_ctl.scala 912:47] + wire [31:0] _T_896 = {28'h0,_T_925,_T_956,_T_1059,_T_1370}; // @[Cat.scala 29:58] + wire [31:0] _T_898 = _T_76 ? _T_895 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_899 = smallnum_case ? _T_896 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_900 = by_zero_case ? 32'hffffffff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_901 = _T_898 | _T_899; // @[Mux.scala 27:72] + wire [31:0] q_in = _T_901 | _T_900; // @[Mux.scala 27:72] + wire _T_906 = ~twos_comp_q_sel; // @[exu_div_ctl.scala 886:16] + wire _T_907 = _T_30 & _T_906; // @[exu_div_ctl.scala 886:14] + wire [31:0] _T_910 = _T_907 ? q_ff : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_911 = control_ff[0] ? r_ff[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_912 = twos_comp_q_sel ? twos_comp_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_913 = _T_910 | _T_911; // @[Mux.scala 27:72] + wire _T_1397 = shortq == 6'h1b; // @[exu_div_ctl.scala 928:58] + wire _T_1398 = shortq == 6'h1a; // @[exu_div_ctl.scala 928:58] + wire _T_1399 = shortq == 6'h19; // @[exu_div_ctl.scala 928:58] + wire _T_1400 = shortq == 6'h18; // @[exu_div_ctl.scala 928:58] + wire _T_1401 = shortq == 6'h17; // @[exu_div_ctl.scala 928:58] + wire _T_1402 = shortq == 6'h16; // @[exu_div_ctl.scala 928:58] + wire _T_1403 = shortq == 6'h15; // @[exu_div_ctl.scala 928:58] + wire _T_1404 = shortq == 6'h14; // @[exu_div_ctl.scala 928:58] + wire _T_1405 = shortq == 6'h13; // @[exu_div_ctl.scala 928:58] + wire _T_1406 = shortq == 6'h12; // @[exu_div_ctl.scala 928:58] + wire _T_1407 = shortq == 6'h11; // @[exu_div_ctl.scala 928:58] + wire _T_1408 = shortq == 6'h10; // @[exu_div_ctl.scala 928:58] + wire _T_1409 = shortq == 6'hf; // @[exu_div_ctl.scala 928:58] + wire _T_1410 = shortq == 6'he; // @[exu_div_ctl.scala 928:58] + wire _T_1411 = shortq == 6'hd; // @[exu_div_ctl.scala 928:58] + wire _T_1412 = shortq == 6'hc; // @[exu_div_ctl.scala 928:58] + wire _T_1413 = shortq == 6'hb; // @[exu_div_ctl.scala 928:58] + wire _T_1414 = shortq == 6'ha; // @[exu_div_ctl.scala 928:58] + wire _T_1415 = shortq == 6'h9; // @[exu_div_ctl.scala 928:58] + wire _T_1416 = shortq == 6'h8; // @[exu_div_ctl.scala 928:58] + wire _T_1417 = shortq == 6'h7; // @[exu_div_ctl.scala 928:58] + wire _T_1418 = shortq == 6'h6; // @[exu_div_ctl.scala 928:58] + wire _T_1419 = shortq == 6'h5; // @[exu_div_ctl.scala 928:58] + wire _T_1420 = shortq == 6'h4; // @[exu_div_ctl.scala 928:58] + wire _T_1421 = shortq == 6'h3; // @[exu_div_ctl.scala 928:58] + wire _T_1422 = shortq == 6'h2; // @[exu_div_ctl.scala 928:58] + wire _T_1423 = shortq == 6'h1; // @[exu_div_ctl.scala 928:58] + wire _T_1424 = shortq == 6'h0; // @[exu_div_ctl.scala 928:58] + wire [2:0] _T_1429 = _T_1397 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1430 = _T_1398 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1431 = _T_1399 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1432 = _T_1400 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1433 = _T_1401 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1434 = _T_1402 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1435 = _T_1403 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1436 = _T_1404 ? 4'h8 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1437 = _T_1405 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1438 = _T_1406 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1439 = _T_1407 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1440 = _T_1408 ? 4'hc : 4'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1441 = _T_1409 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1442 = _T_1410 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1443 = _T_1411 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1444 = _T_1412 ? 5'h10 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1445 = _T_1413 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1446 = _T_1414 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1447 = _T_1415 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1448 = _T_1416 ? 5'h14 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1449 = _T_1417 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1450 = _T_1418 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1451 = _T_1419 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1452 = _T_1420 ? 5'h18 : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1453 = _T_1421 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1454 = _T_1422 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1455 = _T_1423 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [4:0] _T_1456 = _T_1424 ? 5'h1c : 5'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1461 = _T_1429 | _T_1430; // @[Mux.scala 27:72] + wire [2:0] _T_1462 = _T_1461 | _T_1431; // @[Mux.scala 27:72] + wire [2:0] _T_1463 = _T_1462 | _T_1432; // @[Mux.scala 27:72] + wire [3:0] _GEN_12 = {{1'd0}, _T_1463}; // @[Mux.scala 27:72] + wire [3:0] _T_1464 = _GEN_12 | _T_1433; // @[Mux.scala 27:72] + wire [3:0] _T_1465 = _T_1464 | _T_1434; // @[Mux.scala 27:72] + wire [3:0] _T_1466 = _T_1465 | _T_1435; // @[Mux.scala 27:72] + wire [3:0] _T_1467 = _T_1466 | _T_1436; // @[Mux.scala 27:72] + wire [3:0] _T_1468 = _T_1467 | _T_1437; // @[Mux.scala 27:72] + wire [3:0] _T_1469 = _T_1468 | _T_1438; // @[Mux.scala 27:72] + wire [3:0] _T_1470 = _T_1469 | _T_1439; // @[Mux.scala 27:72] + wire [3:0] _T_1471 = _T_1470 | _T_1440; // @[Mux.scala 27:72] + wire [4:0] _GEN_13 = {{1'd0}, _T_1471}; // @[Mux.scala 27:72] + wire [4:0] _T_1472 = _GEN_13 | _T_1441; // @[Mux.scala 27:72] + wire [4:0] _T_1473 = _T_1472 | _T_1442; // @[Mux.scala 27:72] + wire [4:0] _T_1474 = _T_1473 | _T_1443; // @[Mux.scala 27:72] + wire [4:0] _T_1475 = _T_1474 | _T_1444; // @[Mux.scala 27:72] + wire [4:0] _T_1476 = _T_1475 | _T_1445; // @[Mux.scala 27:72] + wire [4:0] _T_1477 = _T_1476 | _T_1446; // @[Mux.scala 27:72] + wire [4:0] _T_1478 = _T_1477 | _T_1447; // @[Mux.scala 27:72] + wire [4:0] _T_1479 = _T_1478 | _T_1448; // @[Mux.scala 27:72] + wire [4:0] _T_1480 = _T_1479 | _T_1449; // @[Mux.scala 27:72] + wire [4:0] _T_1481 = _T_1480 | _T_1450; // @[Mux.scala 27:72] + wire [4:0] _T_1482 = _T_1481 | _T_1451; // @[Mux.scala 27:72] + wire [4:0] _T_1483 = _T_1482 | _T_1452; // @[Mux.scala 27:72] + wire [4:0] _T_1484 = _T_1483 | _T_1453; // @[Mux.scala 27:72] + wire [4:0] _T_1485 = _T_1484 | _T_1454; // @[Mux.scala 27:72] + wire [4:0] _T_1486 = _T_1485 | _T_1455; // @[Mux.scala 27:72] + wire [4:0] shortq_decode = _T_1486 | _T_1456; // @[Mux.scala 27:72] + exu_div_cls a_enc ( // @[exu_div_ctl.scala 916:21] .io_operand(a_enc_io_operand), .io_cls(a_enc_io_cls) ); - exu_div_cls b_enc ( // @[exu_div_ctl.scala 920:21] + exu_div_cls b_enc ( // @[exu_div_ctl.scala 919:21] .io_operand(b_enc_io_operand), .io_cls(b_enc_io_cls) ); @@ -997,10 +989,10 @@ module exu_div_new_4bit_fullshortq( .io_clk(rvclkhdr_10_io_clk), .io_en(rvclkhdr_10_io_en) ); - assign io_data_out = _T_941 | _T_940; // @[exu_div_ctl.scala 886:15] - assign io_valid_out = finish_ff & _T; // @[exu_div_ctl.scala 885:16] - assign a_enc_io_operand = {control_ff[2],a_ff}; // @[exu_div_ctl.scala 918:20] - assign b_enc_io_operand = b_ff[32:0]; // @[exu_div_ctl.scala 921:20] + assign io_data_out = _T_913 | _T_912; // @[exu_div_ctl.scala 885:15] + assign io_valid_out = finish_ff & _T; // @[exu_div_ctl.scala 884:16] + assign a_enc_io_operand = {control_ff[2],a_ff}; // @[exu_div_ctl.scala 917:20] + assign b_enc_io_operand = b_ff[32:0]; // @[exu_div_ctl.scala 920:20] assign rvclkhdr_io_clk = clock; // @[lib.scala 392:18] assign rvclkhdr_io_en = _T_47 | finish_ff; // @[lib.scala 393:17] assign rvclkhdr_1_io_clk = clock; // @[lib.scala 392:18] diff --git a/src/main/scala/exu/exu_div_ctl.scala b/src/main/scala/exu/exu_div_ctl.scala index 16629a7c..7dfe2f1f 100644 --- a/src/main/scala/exu/exu_div_ctl.scala +++ b/src/main/scala/exu/exu_div_ctl.scala @@ -796,14 +796,13 @@ class exu_div_new_4bit_fullshortq extends Module with RequireAsyncReset with lib val rq_enable = io.valid_in | valid_ff | running_state val r_sign_sel = valid_ff & dividend_sign_ff & !by_zero_case val r_adder_sel = (0 to 15 ).map(i=> (running_state & (quotient_new === i.asUInt) & !shortq_enable_ff)) - val adder1_out = Cat(r_ff(30,0),a_ff(31,28)) + b_ff(34,0) val adder2_out = Cat(r_ff(31,0),a_ff(31,28)) + Cat(b_ff(34,0),0.U) val adder3_out = Cat(r_ff(32,0),a_ff(31,28)) + Cat(b_ff(35,0),0.U) + b_ff(36,0) - val adder4_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(35,0),0.U(2.W)) - val adder5_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(35,0),0.U(2.W)) + b_ff - val adder6_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(35,0),0.U(2.W)) + Cat(b_ff(36,0),0.U) - val adder7_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(35,0),0.U(2.W)) + Cat(b_ff(36,0),0.U) + b_ff + val adder4_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(35,0),0.U(2.W)) + val adder5_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(35,0),0.U(2.W)) + b_ff + val adder6_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(35,0),0.U(2.W)) + Cat(b_ff(36,0),0.U) + val adder7_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(35,0),0.U(2.W)) + Cat(b_ff(36,0),0.U) + b_ff val adder8_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(34,0),0.U(3.W)) val adder9_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(34,0),0.U(3.W)) + b_ff val adder10_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(34,0),0.U(3.W)) + Cat(b_ff(36,0),0.U) @@ -812,7 +811,8 @@ class exu_div_new_4bit_fullshortq extends Module with RequireAsyncReset with lib val adder13_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(34,0),0.U(3.W)) + Cat(b_ff(35,0),0.U(2.W)) + b_ff val adder14_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(34,0),0.U(3.W)) + Cat(b_ff(35,0),0.U(2.W)) + Cat(b_ff(36,0),0.U) val adder15_out = Cat(r_ff(32),r_ff(32,0),a_ff(31,28)) + Cat(b_ff(34,0),0.U(3.W)) + Cat(b_ff(35,0),0.U(2.W)) + Cat(b_ff(36,0),0.U) + b_ff - quotient_raw := Cat( + + quotient_raw := Cat( (!adder15_out(37) ^ dividend_sign_ff) | ((a_ff(27,0) === 0.U) & (adder15_out === 0.U)), (!adder14_out(37) ^ dividend_sign_ff) | ((a_ff(27,0) === 0.U) & (adder14_out === 0.U)), (!adder13_out(37) ^ dividend_sign_ff) | ((a_ff(27,0) === 0.U) & (adder13_out === 0.U)), @@ -830,18 +830,17 @@ class exu_div_new_4bit_fullshortq extends Module with RequireAsyncReset with lib (!adder1_out(34) ^ dividend_sign_ff) | ((a_ff(27,0) === 0.U) & (adder1_out === 0.U)), 0.U) quotient_new := Cat( - ((quotient_raw(15)===1.U) | Mux1H((8 to 14).map(i=> (quotient_raw(15,i)=== Cat(Fill(15-i,0.U),1.U)).asBool -> 1.U))), + (Mux1H((8 to 14).map(i=> (quotient_raw(15,i)=== Cat(Fill(15-i,0.U),1.U)).asBool -> 1.U)) | (quotient_raw(15)===1.U)), - ( quotient_raw(15,4) === "b000000000001".U(12.U))| ( quotient_raw(15,5) === "b00000000001".U(11.U)) | ( quotient_raw(15,6) === "b0000000001".U(10.U)) | - ( quotient_raw(15,7) === "b000000001".U(9.U)) | ( quotient_raw(15,12)=== "b0001".U(4.U)) | ( quotient_raw(15,13)=== "b001".U(3.U)) | - ( quotient_raw(15,14)=== "b01".U(2.U)) | ( quotient_raw(15) === "b1".U), + ( quotient_raw(15,4) === "b000000000001".U(12.W))| ( quotient_raw(15,5) === "b00000000001".U(11.W)) | ( quotient_raw(15,6) === "b0000000001".U(10.W)) | + ( quotient_raw(15,7) === "b000000001".U(9.W)) | ( quotient_raw(15,12)=== "b0001".U(4.W)) | ( quotient_raw(15,13)=== "b001".U(3.W)) | + ( quotient_raw(15,14)=== "b01".U(2.W)) | ( quotient_raw(15) === "b1".U), - ( quotient_raw(15,2) === "b00000000000001".U(14.U))| ( quotient_raw(15,3) === "b0000000000001".U(13.U)) | ( quotient_raw(15,6) === "b0000000001".U(10.U)) | - ( quotient_raw(15,7) === "b0000000_01".U(9.U)) | ( quotient_raw(15,10)=== "b000001".U(6.U)) | ( quotient_raw(15,11)=== "b00001".U(5.U)) | - ( quotient_raw(15,14)=== "b01".U(2.U)) | ( quotient_raw(15) === "b1".U), - - ((quotient_raw(15)===1.U) | Mux1H((1 to 13 by 2).map(i=> (quotient_raw(15,i)=== Cat(Fill(15-i,0.U),1.U)).asBool -> 1.U)))) + ( quotient_raw(15,2) === "b00000000000001".U(14.W))| ( quotient_raw(15,3) === "b0000000000001".U(13.W)) | ( quotient_raw(15,6) === "b0000000001".U(10.W)) | + ( quotient_raw(15,7) === "b000000001".U(9.W)) | ( quotient_raw(15,10)=== "b000001".U(6.W)) | ( quotient_raw(15,11)=== "b00001".U(5.W)) | + ( quotient_raw(15,14)=== "b01".U(2.W)) | ( quotient_raw(15) === "b1".U), + (Mux1H((1 to 13 by 2).map(i=> (quotient_raw(15,i)=== Cat(Fill(15-i,0.U),1.U)).asBool -> 1.U)) | (quotient_raw(15)===1.U) )) val twos_comp_in = Mux1H(Seq ( twos_comp_q_sel -> q_ff, twos_comp_b_sel -> b_ff(31,0) diff --git a/target/scala-2.12/classes/exu/div_main5$.class b/target/scala-2.12/classes/exu/div_main5$.class index abd119f6..45e6e887 100644 Binary files a/target/scala-2.12/classes/exu/div_main5$.class and b/target/scala-2.12/classes/exu/div_main5$.class differ diff --git a/target/scala-2.12/classes/exu/div_main5$delayedInit$body.class b/target/scala-2.12/classes/exu/div_main5$delayedInit$body.class index f3fdd347..eeef3e20 100644 Binary files a/target/scala-2.12/classes/exu/div_main5$delayedInit$body.class and b/target/scala-2.12/classes/exu/div_main5$delayedInit$body.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_cls$$anon$7.class b/target/scala-2.12/classes/exu/exu_div_cls$$anon$7.class index 36be3cf9..d2b83dac 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_cls$$anon$7.class and b/target/scala-2.12/classes/exu/exu_div_cls$$anon$7.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_cls.class b/target/scala-2.12/classes/exu/exu_div_cls.class index f6b5e343..ee0d573b 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_cls.class and b/target/scala-2.12/classes/exu/exu_div_cls.class differ diff --git a/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class b/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class index 17d2b9d1..e92748af 100644 Binary files a/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class and b/target/scala-2.12/classes/exu/exu_div_new_4bit_fullshortq.class differ