Update el2_ifu_compress_ctl.scala

This commit is contained in:
Sarmad-paracha 2020-09-24 18:28:06 +05:00 committed by GitHub
parent 682c9269c9
commit dc47141916
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 2 additions and 2 deletions

View File

@ -177,7 +177,7 @@ class RVCDecoder(x: UInt, xLen: Int) {
def ret_q3 = q3 def ret_q3 = q3
} }
class el2_ifu_compress( val XLen: Int, val usingCompressed: Boolean) extends Module { class el2_ifu_compress_ctl( val XLen: Int, val usingCompressed: Boolean) extends Module {
val io = IO(new Bundle { val io = IO(new Bundle {
val in = Input(UInt(32.W)) val in = Input(UInt(32.W))
val out = Output(new ExpandedInstruction) val out = Output(new ExpandedInstruction)
@ -221,5 +221,5 @@ class el2_ifu_compress( val XLen: Int, val usingCompressed: Boolean) extends Mod
} }
object ifu_compress extends App { object ifu_compress extends App {
println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_compress(64, true))) println((new chisel3.stage.ChiselStage).emitVerilog(new el2_ifu_compress_ctl(64, true)))
} }