From e55b14d62a134e4d662b45eed446f7bf6c2d2199 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Thu, 8 Oct 2020 11:44:49 +0500 Subject: [PATCH] ICCM Flop done --- el2_ifu_iccm_mem.anno.json | 24 +- el2_ifu_iccm_mem.fir | 827 +++++++++--------- el2_ifu_iccm_mem.v | 450 ++++++---- src/main/scala/ifu/el2_ifu_iccm_mem.scala | 11 +- .../ifu/el2_ifu_iccm_mem$$anon$1.class | Bin 3721 -> 3717 bytes .../classes/ifu/el2_ifu_iccm_mem.class | Bin 92971 -> 93360 bytes target/scala-2.12/classes/ifu/ifu_iccm$.class | Bin 3883 -> 3883 bytes .../ifu/ifu_iccm$delayedInit$body.class | Bin 743 -> 743 bytes 8 files changed, 712 insertions(+), 600 deletions(-) diff --git a/el2_ifu_iccm_mem.anno.json b/el2_ifu_iccm_mem.anno.json index ab7e9a2d..fe943699 100644 --- a/el2_ifu_iccm_mem.anno.json +++ b/el2_ifu_iccm_mem.anno.json @@ -1,30 +1,38 @@ [ { "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_bank_wr_data_1", + "sink":"~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_bank_addr_2", "sources":[ - "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wr_data" + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_rw_addr", + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wren", + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wr_size" ] }, { "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_bank_wr_data_0", + "sink":"~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_bank_addr_3", "sources":[ - "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wr_data" + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_rw_addr", + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wren", + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wr_size" ] }, { "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_bank_wr_data_2", + "sink":"~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_bank_addr_1", "sources":[ - "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wr_data" + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_rw_addr", + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wren", + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wr_size" ] }, { "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_bank_wr_data_3", + "sink":"~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_bank_addr_0", "sources":[ - "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wr_data" + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_rw_addr", + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wren", + "~el2_ifu_iccm_mem|el2_ifu_iccm_mem>io_iccm_wr_size" ] }, { diff --git a/el2_ifu_iccm_mem.fir b/el2_ifu_iccm_mem.fir index efffcfe3..08ba1903 100644 --- a/el2_ifu_iccm_mem.fir +++ b/el2_ifu_iccm_mem.fir @@ -3,7 +3,7 @@ circuit el2_ifu_iccm_mem : module el2_ifu_iccm_mem : input clock : Clock input reset : UInt<1> - output io : {flip clk_override : UInt<1>, flip iccm_wren : UInt<1>, flip iccm_rden : UInt<1>, flip iccm_rw_addr : UInt<15>, flip iccm_buf_correct_ecc : UInt<1>, flip iccm_correction_state : UInt<1>, flip iccm_wr_size : UInt<3>, flip iccm_wr_data : UInt<78>, iccm_rd_data : UInt<64>, iccm_rd_data_ecc : UInt<78>, flip scan_mode : UInt<1>, iccm_bank_wr_data : UInt<39>[4]} + output io : {flip clk_override : UInt<1>, flip iccm_wren : UInt<1>, flip iccm_rden : UInt<1>, flip iccm_rw_addr : UInt<15>, flip iccm_buf_correct_ecc : UInt<1>, flip iccm_correction_state : UInt<1>, flip iccm_wr_size : UInt<3>, flip iccm_wr_data : UInt<78>, iccm_rd_data : UInt<64>, iccm_rd_data_ecc : UInt<78>, flip scan_mode : UInt<1>, iccm_bank_addr : UInt[4]} io.iccm_rd_data <= UInt<1>("h00") @[el2_ifu_iccm_mem.scala 22:19] io.iccm_rd_data_ecc <= UInt<1>("h00") @[el2_ifu_iccm_mem.scala 23:23] @@ -47,10 +47,6 @@ circuit el2_ifu_iccm_mem : node _T_27 = eq(_T_26, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 33:140] node _T_28 = or(_T_25, _T_27) @[el2_ifu_iccm_mem.scala 33:107] node wren_bank_3 = and(io.iccm_wren, _T_28) @[el2_ifu_iccm_mem.scala 33:64] - io.iccm_bank_wr_data[0] <= iccm_bank_wr_data[0] @[el2_ifu_iccm_mem.scala 35:24] - io.iccm_bank_wr_data[1] <= iccm_bank_wr_data[1] @[el2_ifu_iccm_mem.scala 35:24] - io.iccm_bank_wr_data[2] <= iccm_bank_wr_data[2] @[el2_ifu_iccm_mem.scala 35:24] - io.iccm_bank_wr_data[3] <= iccm_bank_wr_data[3] @[el2_ifu_iccm_mem.scala 35:24] node _T_29 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 36:81] node _T_30 = eq(_T_29, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 36:99] node _T_31 = and(io.iccm_rden, _T_30) @[el2_ifu_iccm_mem.scala 36:64] @@ -192,423 +188,446 @@ circuit el2_ifu_iccm_mem : when write_vec[3] : _T_96[3] <= iccm_bank_wr_data[3] skip - read mport _T_97 = iccm_mem[addr_bank_0], clock @[el2_ifu_iccm_mem.scala 49:25] - inter[0] <= _T_97[0] @[el2_ifu_iccm_mem.scala 49:9] - inter[1] <= _T_97[1] @[el2_ifu_iccm_mem.scala 49:9] - inter[2] <= _T_97[2] @[el2_ifu_iccm_mem.scala 49:9] - inter[3] <= _T_97[3] @[el2_ifu_iccm_mem.scala 49:9] - reg _T_98 : UInt, clock @[el2_ifu_iccm_mem.scala 50:62] - _T_98 <= inter[0] @[el2_ifu_iccm_mem.scala 50:62] - iccm_bank_dout[0] <= _T_98 @[el2_ifu_iccm_mem.scala 50:52] - reg _T_99 : UInt, clock @[el2_ifu_iccm_mem.scala 50:62] - _T_99 <= inter[1] @[el2_ifu_iccm_mem.scala 50:62] - iccm_bank_dout[1] <= _T_99 @[el2_ifu_iccm_mem.scala 50:52] - reg _T_100 : UInt, clock @[el2_ifu_iccm_mem.scala 50:62] - _T_100 <= inter[2] @[el2_ifu_iccm_mem.scala 50:62] - iccm_bank_dout[2] <= _T_100 @[el2_ifu_iccm_mem.scala 50:52] - reg _T_101 : UInt, clock @[el2_ifu_iccm_mem.scala 50:62] - _T_101 <= inter[3] @[el2_ifu_iccm_mem.scala 50:62] - iccm_bank_dout[3] <= _T_101 @[el2_ifu_iccm_mem.scala 50:52] + node _T_97 = bits(read_enable[0], 0, 0) @[Bitwise.scala 72:15] + node _T_98 = mux(_T_97, UInt<39>("h07fffffffff"), UInt<39>("h00")) @[Bitwise.scala 72:12] + infer mport _T_99 = iccm_mem[UInt<1>("h00")], clock @[el2_ifu_iccm_mem.scala 49:77] + node _T_100 = bits(addr_bank_0, 1, 0) + node _T_101 = and(_T_98, _T_99[_T_100]) @[el2_ifu_iccm_mem.scala 49:67] + node _T_102 = bits(read_enable[1], 0, 0) @[Bitwise.scala 72:15] + node _T_103 = mux(_T_102, UInt<39>("h07fffffffff"), UInt<39>("h00")) @[Bitwise.scala 72:12] + infer mport _T_104 = iccm_mem[UInt<1>("h01")], clock @[el2_ifu_iccm_mem.scala 49:77] + node _T_105 = bits(addr_bank_1, 1, 0) + node _T_106 = and(_T_103, _T_104[_T_105]) @[el2_ifu_iccm_mem.scala 49:67] + node _T_107 = bits(read_enable[2], 0, 0) @[Bitwise.scala 72:15] + node _T_108 = mux(_T_107, UInt<39>("h07fffffffff"), UInt<39>("h00")) @[Bitwise.scala 72:12] + infer mport _T_109 = iccm_mem[UInt<2>("h02")], clock @[el2_ifu_iccm_mem.scala 49:77] + node _T_110 = bits(addr_bank_2, 1, 0) + node _T_111 = and(_T_108, _T_109[_T_110]) @[el2_ifu_iccm_mem.scala 49:67] + node _T_112 = bits(read_enable[3], 0, 0) @[Bitwise.scala 72:15] + node _T_113 = mux(_T_112, UInt<39>("h07fffffffff"), UInt<39>("h00")) @[Bitwise.scala 72:12] + infer mport _T_114 = iccm_mem[UInt<2>("h03")], clock @[el2_ifu_iccm_mem.scala 49:77] + node _T_115 = bits(addr_bank_3, 1, 0) + node _T_116 = and(_T_113, _T_114[_T_115]) @[el2_ifu_iccm_mem.scala 49:67] + inter[0] <= _T_101 @[el2_ifu_iccm_mem.scala 49:9] + inter[1] <= _T_106 @[el2_ifu_iccm_mem.scala 49:9] + inter[2] <= _T_111 @[el2_ifu_iccm_mem.scala 49:9] + inter[3] <= _T_116 @[el2_ifu_iccm_mem.scala 49:9] + reg _T_117 : UInt, clock @[el2_ifu_iccm_mem.scala 50:62] + _T_117 <= inter[0] @[el2_ifu_iccm_mem.scala 50:62] + iccm_bank_dout[0] <= _T_117 @[el2_ifu_iccm_mem.scala 50:52] + reg _T_118 : UInt, clock @[el2_ifu_iccm_mem.scala 50:62] + _T_118 <= inter[1] @[el2_ifu_iccm_mem.scala 50:62] + iccm_bank_dout[1] <= _T_118 @[el2_ifu_iccm_mem.scala 50:52] + reg _T_119 : UInt, clock @[el2_ifu_iccm_mem.scala 50:62] + _T_119 <= inter[2] @[el2_ifu_iccm_mem.scala 50:62] + iccm_bank_dout[2] <= _T_119 @[el2_ifu_iccm_mem.scala 50:52] + reg _T_120 : UInt, clock @[el2_ifu_iccm_mem.scala 50:62] + _T_120 <= inter[3] @[el2_ifu_iccm_mem.scala 50:62] + iccm_bank_dout[3] <= _T_120 @[el2_ifu_iccm_mem.scala 50:52] + io.iccm_bank_addr[0] <= addr_bank_0 @[el2_ifu_iccm_mem.scala 52:21] + io.iccm_bank_addr[1] <= addr_bank_1 @[el2_ifu_iccm_mem.scala 52:21] + io.iccm_bank_addr[2] <= addr_bank_2 @[el2_ifu_iccm_mem.scala 52:21] + io.iccm_bank_addr[3] <= addr_bank_3 @[el2_ifu_iccm_mem.scala 52:21] wire redundant_valid : UInt<2> redundant_valid <= UInt<1>("h00") - wire redundant_address : UInt<14>[2] @[el2_ifu_iccm_mem.scala 53:31] - redundant_address[0] <= UInt<1>("h00") @[el2_ifu_iccm_mem.scala 54:21] - redundant_address[1] <= UInt<1>("h00") @[el2_ifu_iccm_mem.scala 54:21] - node _T_102 = bits(redundant_valid, 1, 1) @[el2_ifu_iccm_mem.scala 56:67] - node _T_103 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 56:90] - node _T_104 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 56:128] - node _T_105 = eq(_T_103, _T_104) @[el2_ifu_iccm_mem.scala 56:105] - node _T_106 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 56:163] - node _T_107 = eq(_T_106, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 56:169] - node _T_108 = and(_T_105, _T_107) @[el2_ifu_iccm_mem.scala 56:145] - node _T_109 = and(_T_102, _T_108) @[el2_ifu_iccm_mem.scala 56:71] - node _T_110 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 57:22] - node _T_111 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 57:60] - node _T_112 = eq(_T_110, _T_111) @[el2_ifu_iccm_mem.scala 57:37] - node _T_113 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 57:93] - node _T_114 = eq(_T_113, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 57:99] - node _T_115 = and(_T_112, _T_114) @[el2_ifu_iccm_mem.scala 57:77] - node _T_116 = or(_T_109, _T_115) @[el2_ifu_iccm_mem.scala 56:179] - node _T_117 = bits(redundant_valid, 1, 1) @[el2_ifu_iccm_mem.scala 56:67] - node _T_118 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 56:90] - node _T_119 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 56:128] - node _T_120 = eq(_T_118, _T_119) @[el2_ifu_iccm_mem.scala 56:105] - node _T_121 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 56:163] - node _T_122 = eq(_T_121, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 56:169] - node _T_123 = and(_T_120, _T_122) @[el2_ifu_iccm_mem.scala 56:145] - node _T_124 = and(_T_117, _T_123) @[el2_ifu_iccm_mem.scala 56:71] - node _T_125 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 57:22] - node _T_126 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 57:60] - node _T_127 = eq(_T_125, _T_126) @[el2_ifu_iccm_mem.scala 57:37] - node _T_128 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 57:93] - node _T_129 = eq(_T_128, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 57:99] - node _T_130 = and(_T_127, _T_129) @[el2_ifu_iccm_mem.scala 57:77] - node _T_131 = or(_T_124, _T_130) @[el2_ifu_iccm_mem.scala 56:179] - node _T_132 = bits(redundant_valid, 1, 1) @[el2_ifu_iccm_mem.scala 56:67] - node _T_133 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 56:90] - node _T_134 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 56:128] - node _T_135 = eq(_T_133, _T_134) @[el2_ifu_iccm_mem.scala 56:105] - node _T_136 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 56:163] - node _T_137 = eq(_T_136, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 56:169] - node _T_138 = and(_T_135, _T_137) @[el2_ifu_iccm_mem.scala 56:145] - node _T_139 = and(_T_132, _T_138) @[el2_ifu_iccm_mem.scala 56:71] - node _T_140 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 57:22] - node _T_141 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 57:60] - node _T_142 = eq(_T_140, _T_141) @[el2_ifu_iccm_mem.scala 57:37] - node _T_143 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 57:93] - node _T_144 = eq(_T_143, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 57:99] - node _T_145 = and(_T_142, _T_144) @[el2_ifu_iccm_mem.scala 57:77] - node _T_146 = or(_T_139, _T_145) @[el2_ifu_iccm_mem.scala 56:179] - node _T_147 = bits(redundant_valid, 1, 1) @[el2_ifu_iccm_mem.scala 56:67] - node _T_148 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 56:90] - node _T_149 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 56:128] - node _T_150 = eq(_T_148, _T_149) @[el2_ifu_iccm_mem.scala 56:105] - node _T_151 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 56:163] - node _T_152 = eq(_T_151, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 56:169] - node _T_153 = and(_T_150, _T_152) @[el2_ifu_iccm_mem.scala 56:145] - node _T_154 = and(_T_147, _T_153) @[el2_ifu_iccm_mem.scala 56:71] - node _T_155 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 57:22] - node _T_156 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 57:60] - node _T_157 = eq(_T_155, _T_156) @[el2_ifu_iccm_mem.scala 57:37] - node _T_158 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 57:93] - node _T_159 = eq(_T_158, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 57:99] - node _T_160 = and(_T_157, _T_159) @[el2_ifu_iccm_mem.scala 57:77] - node _T_161 = or(_T_154, _T_160) @[el2_ifu_iccm_mem.scala 56:179] - node _T_162 = cat(_T_161, _T_146) @[Cat.scala 29:58] - node _T_163 = cat(_T_162, _T_131) @[Cat.scala 29:58] - node sel_red1 = cat(_T_163, _T_116) @[Cat.scala 29:58] - node _T_164 = bits(redundant_valid, 0, 0) @[el2_ifu_iccm_mem.scala 58:67] - node _T_165 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 58:90] - node _T_166 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 58:128] - node _T_167 = eq(_T_165, _T_166) @[el2_ifu_iccm_mem.scala 58:105] - node _T_168 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 58:163] - node _T_169 = eq(_T_168, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 58:169] - node _T_170 = and(_T_167, _T_169) @[el2_ifu_iccm_mem.scala 58:145] - node _T_171 = and(_T_164, _T_170) @[el2_ifu_iccm_mem.scala 58:71] - node _T_172 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 59:22] - node _T_173 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 59:60] - node _T_174 = eq(_T_172, _T_173) @[el2_ifu_iccm_mem.scala 59:37] - node _T_175 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 59:93] - node _T_176 = eq(_T_175, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 59:99] - node _T_177 = and(_T_174, _T_176) @[el2_ifu_iccm_mem.scala 59:77] - node _T_178 = or(_T_171, _T_177) @[el2_ifu_iccm_mem.scala 58:179] - node _T_179 = bits(redundant_valid, 0, 0) @[el2_ifu_iccm_mem.scala 58:67] - node _T_180 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 58:90] - node _T_181 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 58:128] - node _T_182 = eq(_T_180, _T_181) @[el2_ifu_iccm_mem.scala 58:105] - node _T_183 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 58:163] - node _T_184 = eq(_T_183, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 58:169] - node _T_185 = and(_T_182, _T_184) @[el2_ifu_iccm_mem.scala 58:145] - node _T_186 = and(_T_179, _T_185) @[el2_ifu_iccm_mem.scala 58:71] - node _T_187 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 59:22] - node _T_188 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 59:60] - node _T_189 = eq(_T_187, _T_188) @[el2_ifu_iccm_mem.scala 59:37] - node _T_190 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 59:93] - node _T_191 = eq(_T_190, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 59:99] - node _T_192 = and(_T_189, _T_191) @[el2_ifu_iccm_mem.scala 59:77] - node _T_193 = or(_T_186, _T_192) @[el2_ifu_iccm_mem.scala 58:179] - node _T_194 = bits(redundant_valid, 0, 0) @[el2_ifu_iccm_mem.scala 58:67] - node _T_195 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 58:90] - node _T_196 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 58:128] - node _T_197 = eq(_T_195, _T_196) @[el2_ifu_iccm_mem.scala 58:105] - node _T_198 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 58:163] - node _T_199 = eq(_T_198, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 58:169] - node _T_200 = and(_T_197, _T_199) @[el2_ifu_iccm_mem.scala 58:145] - node _T_201 = and(_T_194, _T_200) @[el2_ifu_iccm_mem.scala 58:71] - node _T_202 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 59:22] - node _T_203 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 59:60] - node _T_204 = eq(_T_202, _T_203) @[el2_ifu_iccm_mem.scala 59:37] - node _T_205 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 59:93] - node _T_206 = eq(_T_205, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 59:99] - node _T_207 = and(_T_204, _T_206) @[el2_ifu_iccm_mem.scala 59:77] - node _T_208 = or(_T_201, _T_207) @[el2_ifu_iccm_mem.scala 58:179] - node _T_209 = bits(redundant_valid, 0, 0) @[el2_ifu_iccm_mem.scala 58:67] - node _T_210 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 58:90] - node _T_211 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 58:128] - node _T_212 = eq(_T_210, _T_211) @[el2_ifu_iccm_mem.scala 58:105] - node _T_213 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 58:163] - node _T_214 = eq(_T_213, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 58:169] - node _T_215 = and(_T_212, _T_214) @[el2_ifu_iccm_mem.scala 58:145] - node _T_216 = and(_T_209, _T_215) @[el2_ifu_iccm_mem.scala 58:71] - node _T_217 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 59:22] - node _T_218 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 59:60] - node _T_219 = eq(_T_217, _T_218) @[el2_ifu_iccm_mem.scala 59:37] - node _T_220 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 59:93] - node _T_221 = eq(_T_220, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 59:99] - node _T_222 = and(_T_219, _T_221) @[el2_ifu_iccm_mem.scala 59:77] - node _T_223 = or(_T_216, _T_222) @[el2_ifu_iccm_mem.scala 58:179] - node _T_224 = cat(_T_223, _T_208) @[Cat.scala 29:58] - node _T_225 = cat(_T_224, _T_193) @[Cat.scala 29:58] - node sel_red0 = cat(_T_225, _T_178) @[Cat.scala 29:58] - reg sel_red0_q : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_iccm_mem.scala 61:27] - sel_red0_q <= sel_red0 @[el2_ifu_iccm_mem.scala 61:27] - reg sel_red1_q : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_iccm_mem.scala 62:27] - sel_red1_q <= sel_red1 @[el2_ifu_iccm_mem.scala 62:27] - wire redundant_data : UInt<39>[2] @[el2_ifu_iccm_mem.scala 63:28] - redundant_data[0] <= UInt<1>("h00") @[el2_ifu_iccm_mem.scala 64:18] - redundant_data[1] <= UInt<1>("h00") @[el2_ifu_iccm_mem.scala 64:18] - node _T_226 = bits(sel_red1_q, 0, 0) @[el2_ifu_iccm_mem.scala 66:47] - node _T_227 = bits(_T_226, 0, 0) @[el2_ifu_iccm_mem.scala 66:51] - node _T_228 = bits(sel_red0_q, 0, 0) @[el2_ifu_iccm_mem.scala 67:47] - node _T_229 = bits(_T_228, 0, 0) @[el2_ifu_iccm_mem.scala 67:51] - node _T_230 = bits(sel_red0_q, 0, 0) @[el2_ifu_iccm_mem.scala 68:47] - node _T_231 = not(_T_230) @[el2_ifu_iccm_mem.scala 68:36] - node _T_232 = bits(sel_red1_q, 0, 0) @[el2_ifu_iccm_mem.scala 68:64] - node _T_233 = not(_T_232) @[el2_ifu_iccm_mem.scala 68:53] - node _T_234 = and(_T_231, _T_233) @[el2_ifu_iccm_mem.scala 68:51] - node _T_235 = bits(_T_234, 0, 0) @[el2_ifu_iccm_mem.scala 68:69] - node _T_236 = mux(_T_227, redundant_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_237 = mux(_T_229, redundant_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_238 = mux(_T_235, iccm_bank_dout[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_239 = or(_T_236, _T_237) @[Mux.scala 27:72] - node _T_240 = or(_T_239, _T_238) @[Mux.scala 27:72] + wire redundant_address : UInt<14>[2] @[el2_ifu_iccm_mem.scala 58:31] + redundant_address[0] <= UInt<1>("h00") @[el2_ifu_iccm_mem.scala 59:21] + redundant_address[1] <= UInt<1>("h00") @[el2_ifu_iccm_mem.scala 59:21] + node _T_121 = bits(redundant_valid, 1, 1) @[el2_ifu_iccm_mem.scala 61:67] + node _T_122 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 61:90] + node _T_123 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 61:128] + node _T_124 = eq(_T_122, _T_123) @[el2_ifu_iccm_mem.scala 61:105] + node _T_125 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 61:163] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 61:169] + node _T_127 = and(_T_124, _T_126) @[el2_ifu_iccm_mem.scala 61:145] + node _T_128 = and(_T_121, _T_127) @[el2_ifu_iccm_mem.scala 61:71] + node _T_129 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 62:22] + node _T_130 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 62:60] + node _T_131 = eq(_T_129, _T_130) @[el2_ifu_iccm_mem.scala 62:37] + node _T_132 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 62:93] + node _T_133 = eq(_T_132, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 62:99] + node _T_134 = and(_T_131, _T_133) @[el2_ifu_iccm_mem.scala 62:77] + node _T_135 = or(_T_128, _T_134) @[el2_ifu_iccm_mem.scala 61:179] + node _T_136 = bits(redundant_valid, 1, 1) @[el2_ifu_iccm_mem.scala 61:67] + node _T_137 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 61:90] + node _T_138 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 61:128] + node _T_139 = eq(_T_137, _T_138) @[el2_ifu_iccm_mem.scala 61:105] + node _T_140 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 61:163] + node _T_141 = eq(_T_140, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 61:169] + node _T_142 = and(_T_139, _T_141) @[el2_ifu_iccm_mem.scala 61:145] + node _T_143 = and(_T_136, _T_142) @[el2_ifu_iccm_mem.scala 61:71] + node _T_144 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 62:22] + node _T_145 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 62:60] + node _T_146 = eq(_T_144, _T_145) @[el2_ifu_iccm_mem.scala 62:37] + node _T_147 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 62:93] + node _T_148 = eq(_T_147, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 62:99] + node _T_149 = and(_T_146, _T_148) @[el2_ifu_iccm_mem.scala 62:77] + node _T_150 = or(_T_143, _T_149) @[el2_ifu_iccm_mem.scala 61:179] + node _T_151 = bits(redundant_valid, 1, 1) @[el2_ifu_iccm_mem.scala 61:67] + node _T_152 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 61:90] + node _T_153 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 61:128] + node _T_154 = eq(_T_152, _T_153) @[el2_ifu_iccm_mem.scala 61:105] + node _T_155 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 61:163] + node _T_156 = eq(_T_155, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 61:169] + node _T_157 = and(_T_154, _T_156) @[el2_ifu_iccm_mem.scala 61:145] + node _T_158 = and(_T_151, _T_157) @[el2_ifu_iccm_mem.scala 61:71] + node _T_159 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 62:22] + node _T_160 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 62:60] + node _T_161 = eq(_T_159, _T_160) @[el2_ifu_iccm_mem.scala 62:37] + node _T_162 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 62:93] + node _T_163 = eq(_T_162, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 62:99] + node _T_164 = and(_T_161, _T_163) @[el2_ifu_iccm_mem.scala 62:77] + node _T_165 = or(_T_158, _T_164) @[el2_ifu_iccm_mem.scala 61:179] + node _T_166 = bits(redundant_valid, 1, 1) @[el2_ifu_iccm_mem.scala 61:67] + node _T_167 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 61:90] + node _T_168 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 61:128] + node _T_169 = eq(_T_167, _T_168) @[el2_ifu_iccm_mem.scala 61:105] + node _T_170 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 61:163] + node _T_171 = eq(_T_170, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 61:169] + node _T_172 = and(_T_169, _T_171) @[el2_ifu_iccm_mem.scala 61:145] + node _T_173 = and(_T_166, _T_172) @[el2_ifu_iccm_mem.scala 61:71] + node _T_174 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 62:22] + node _T_175 = bits(redundant_address[1], 13, 0) @[el2_ifu_iccm_mem.scala 62:60] + node _T_176 = eq(_T_174, _T_175) @[el2_ifu_iccm_mem.scala 62:37] + node _T_177 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 62:93] + node _T_178 = eq(_T_177, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 62:99] + node _T_179 = and(_T_176, _T_178) @[el2_ifu_iccm_mem.scala 62:77] + node _T_180 = or(_T_173, _T_179) @[el2_ifu_iccm_mem.scala 61:179] + node _T_181 = cat(_T_180, _T_165) @[Cat.scala 29:58] + node _T_182 = cat(_T_181, _T_150) @[Cat.scala 29:58] + node sel_red1 = cat(_T_182, _T_135) @[Cat.scala 29:58] + node _T_183 = bits(redundant_valid, 0, 0) @[el2_ifu_iccm_mem.scala 63:67] + node _T_184 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 63:90] + node _T_185 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 63:128] + node _T_186 = eq(_T_184, _T_185) @[el2_ifu_iccm_mem.scala 63:105] + node _T_187 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 63:163] + node _T_188 = eq(_T_187, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 63:169] + node _T_189 = and(_T_186, _T_188) @[el2_ifu_iccm_mem.scala 63:145] + node _T_190 = and(_T_183, _T_189) @[el2_ifu_iccm_mem.scala 63:71] + node _T_191 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 64:22] + node _T_192 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 64:60] + node _T_193 = eq(_T_191, _T_192) @[el2_ifu_iccm_mem.scala 64:37] + node _T_194 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 64:93] + node _T_195 = eq(_T_194, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 64:99] + node _T_196 = and(_T_193, _T_195) @[el2_ifu_iccm_mem.scala 64:77] + node _T_197 = or(_T_190, _T_196) @[el2_ifu_iccm_mem.scala 63:179] + node _T_198 = bits(redundant_valid, 0, 0) @[el2_ifu_iccm_mem.scala 63:67] + node _T_199 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 63:90] + node _T_200 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 63:128] + node _T_201 = eq(_T_199, _T_200) @[el2_ifu_iccm_mem.scala 63:105] + node _T_202 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 63:163] + node _T_203 = eq(_T_202, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 63:169] + node _T_204 = and(_T_201, _T_203) @[el2_ifu_iccm_mem.scala 63:145] + node _T_205 = and(_T_198, _T_204) @[el2_ifu_iccm_mem.scala 63:71] + node _T_206 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 64:22] + node _T_207 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 64:60] + node _T_208 = eq(_T_206, _T_207) @[el2_ifu_iccm_mem.scala 64:37] + node _T_209 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 64:93] + node _T_210 = eq(_T_209, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 64:99] + node _T_211 = and(_T_208, _T_210) @[el2_ifu_iccm_mem.scala 64:77] + node _T_212 = or(_T_205, _T_211) @[el2_ifu_iccm_mem.scala 63:179] + node _T_213 = bits(redundant_valid, 0, 0) @[el2_ifu_iccm_mem.scala 63:67] + node _T_214 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 63:90] + node _T_215 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 63:128] + node _T_216 = eq(_T_214, _T_215) @[el2_ifu_iccm_mem.scala 63:105] + node _T_217 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 63:163] + node _T_218 = eq(_T_217, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 63:169] + node _T_219 = and(_T_216, _T_218) @[el2_ifu_iccm_mem.scala 63:145] + node _T_220 = and(_T_213, _T_219) @[el2_ifu_iccm_mem.scala 63:71] + node _T_221 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 64:22] + node _T_222 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 64:60] + node _T_223 = eq(_T_221, _T_222) @[el2_ifu_iccm_mem.scala 64:37] + node _T_224 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 64:93] + node _T_225 = eq(_T_224, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 64:99] + node _T_226 = and(_T_223, _T_225) @[el2_ifu_iccm_mem.scala 64:77] + node _T_227 = or(_T_220, _T_226) @[el2_ifu_iccm_mem.scala 63:179] + node _T_228 = bits(redundant_valid, 0, 0) @[el2_ifu_iccm_mem.scala 63:67] + node _T_229 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 63:90] + node _T_230 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 63:128] + node _T_231 = eq(_T_229, _T_230) @[el2_ifu_iccm_mem.scala 63:105] + node _T_232 = bits(io.iccm_rw_addr, 2, 1) @[el2_ifu_iccm_mem.scala 63:163] + node _T_233 = eq(_T_232, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 63:169] + node _T_234 = and(_T_231, _T_233) @[el2_ifu_iccm_mem.scala 63:145] + node _T_235 = and(_T_228, _T_234) @[el2_ifu_iccm_mem.scala 63:71] + node _T_236 = bits(addr_bank_inc, 14, 1) @[el2_ifu_iccm_mem.scala 64:22] + node _T_237 = bits(redundant_address[0], 13, 0) @[el2_ifu_iccm_mem.scala 64:60] + node _T_238 = eq(_T_236, _T_237) @[el2_ifu_iccm_mem.scala 64:37] + node _T_239 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 64:93] + node _T_240 = eq(_T_239, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 64:99] + node _T_241 = and(_T_238, _T_240) @[el2_ifu_iccm_mem.scala 64:77] + node _T_242 = or(_T_235, _T_241) @[el2_ifu_iccm_mem.scala 63:179] + node _T_243 = cat(_T_242, _T_227) @[Cat.scala 29:58] + node _T_244 = cat(_T_243, _T_212) @[Cat.scala 29:58] + node sel_red0 = cat(_T_244, _T_197) @[Cat.scala 29:58] + reg sel_red0_q : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_iccm_mem.scala 66:27] + sel_red0_q <= sel_red0 @[el2_ifu_iccm_mem.scala 66:27] + reg sel_red1_q : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_iccm_mem.scala 67:27] + sel_red1_q <= sel_red1 @[el2_ifu_iccm_mem.scala 67:27] + wire redundant_data : UInt<39>[2] @[el2_ifu_iccm_mem.scala 68:28] + redundant_data[0] <= UInt<1>("h00") @[el2_ifu_iccm_mem.scala 69:18] + redundant_data[1] <= UInt<1>("h00") @[el2_ifu_iccm_mem.scala 69:18] + node _T_245 = bits(sel_red1_q, 0, 0) @[el2_ifu_iccm_mem.scala 71:47] + node _T_246 = bits(_T_245, 0, 0) @[el2_ifu_iccm_mem.scala 71:51] + node _T_247 = bits(sel_red0_q, 0, 0) @[el2_ifu_iccm_mem.scala 72:47] + node _T_248 = bits(_T_247, 0, 0) @[el2_ifu_iccm_mem.scala 72:51] + node _T_249 = bits(sel_red0_q, 0, 0) @[el2_ifu_iccm_mem.scala 73:47] + node _T_250 = not(_T_249) @[el2_ifu_iccm_mem.scala 73:36] + node _T_251 = bits(sel_red1_q, 0, 0) @[el2_ifu_iccm_mem.scala 73:64] + node _T_252 = not(_T_251) @[el2_ifu_iccm_mem.scala 73:53] + node _T_253 = and(_T_250, _T_252) @[el2_ifu_iccm_mem.scala 73:51] + node _T_254 = bits(_T_253, 0, 0) @[el2_ifu_iccm_mem.scala 73:69] + node _T_255 = mux(_T_246, redundant_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_256 = mux(_T_248, redundant_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_257 = mux(_T_254, iccm_bank_dout[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_258 = or(_T_255, _T_256) @[Mux.scala 27:72] + node _T_259 = or(_T_258, _T_257) @[Mux.scala 27:72] wire iccm_bank_dout_fn_0 : UInt<39> @[Mux.scala 27:72] - iccm_bank_dout_fn_0 <= _T_240 @[Mux.scala 27:72] - node _T_241 = bits(sel_red1_q, 1, 1) @[el2_ifu_iccm_mem.scala 66:47] - node _T_242 = bits(_T_241, 0, 0) @[el2_ifu_iccm_mem.scala 66:51] - node _T_243 = bits(sel_red0_q, 1, 1) @[el2_ifu_iccm_mem.scala 67:47] - node _T_244 = bits(_T_243, 0, 0) @[el2_ifu_iccm_mem.scala 67:51] - node _T_245 = bits(sel_red0_q, 1, 1) @[el2_ifu_iccm_mem.scala 68:47] - node _T_246 = not(_T_245) @[el2_ifu_iccm_mem.scala 68:36] - node _T_247 = bits(sel_red1_q, 1, 1) @[el2_ifu_iccm_mem.scala 68:64] - node _T_248 = not(_T_247) @[el2_ifu_iccm_mem.scala 68:53] - node _T_249 = and(_T_246, _T_248) @[el2_ifu_iccm_mem.scala 68:51] - node _T_250 = bits(_T_249, 0, 0) @[el2_ifu_iccm_mem.scala 68:69] - node _T_251 = mux(_T_242, redundant_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_252 = mux(_T_244, redundant_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_253 = mux(_T_250, iccm_bank_dout[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_254 = or(_T_251, _T_252) @[Mux.scala 27:72] - node _T_255 = or(_T_254, _T_253) @[Mux.scala 27:72] + iccm_bank_dout_fn_0 <= _T_259 @[Mux.scala 27:72] + node _T_260 = bits(sel_red1_q, 1, 1) @[el2_ifu_iccm_mem.scala 71:47] + node _T_261 = bits(_T_260, 0, 0) @[el2_ifu_iccm_mem.scala 71:51] + node _T_262 = bits(sel_red0_q, 1, 1) @[el2_ifu_iccm_mem.scala 72:47] + node _T_263 = bits(_T_262, 0, 0) @[el2_ifu_iccm_mem.scala 72:51] + node _T_264 = bits(sel_red0_q, 1, 1) @[el2_ifu_iccm_mem.scala 73:47] + node _T_265 = not(_T_264) @[el2_ifu_iccm_mem.scala 73:36] + node _T_266 = bits(sel_red1_q, 1, 1) @[el2_ifu_iccm_mem.scala 73:64] + node _T_267 = not(_T_266) @[el2_ifu_iccm_mem.scala 73:53] + node _T_268 = and(_T_265, _T_267) @[el2_ifu_iccm_mem.scala 73:51] + node _T_269 = bits(_T_268, 0, 0) @[el2_ifu_iccm_mem.scala 73:69] + node _T_270 = mux(_T_261, redundant_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_271 = mux(_T_263, redundant_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_272 = mux(_T_269, iccm_bank_dout[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_273 = or(_T_270, _T_271) @[Mux.scala 27:72] + node _T_274 = or(_T_273, _T_272) @[Mux.scala 27:72] wire iccm_bank_dout_fn_1 : UInt<39> @[Mux.scala 27:72] - iccm_bank_dout_fn_1 <= _T_255 @[Mux.scala 27:72] - node _T_256 = bits(sel_red1_q, 2, 2) @[el2_ifu_iccm_mem.scala 66:47] - node _T_257 = bits(_T_256, 0, 0) @[el2_ifu_iccm_mem.scala 66:51] - node _T_258 = bits(sel_red0_q, 2, 2) @[el2_ifu_iccm_mem.scala 67:47] - node _T_259 = bits(_T_258, 0, 0) @[el2_ifu_iccm_mem.scala 67:51] - node _T_260 = bits(sel_red0_q, 2, 2) @[el2_ifu_iccm_mem.scala 68:47] - node _T_261 = not(_T_260) @[el2_ifu_iccm_mem.scala 68:36] - node _T_262 = bits(sel_red1_q, 2, 2) @[el2_ifu_iccm_mem.scala 68:64] - node _T_263 = not(_T_262) @[el2_ifu_iccm_mem.scala 68:53] - node _T_264 = and(_T_261, _T_263) @[el2_ifu_iccm_mem.scala 68:51] - node _T_265 = bits(_T_264, 0, 0) @[el2_ifu_iccm_mem.scala 68:69] - node _T_266 = mux(_T_257, redundant_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_267 = mux(_T_259, redundant_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_268 = mux(_T_265, iccm_bank_dout[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_269 = or(_T_266, _T_267) @[Mux.scala 27:72] - node _T_270 = or(_T_269, _T_268) @[Mux.scala 27:72] + iccm_bank_dout_fn_1 <= _T_274 @[Mux.scala 27:72] + node _T_275 = bits(sel_red1_q, 2, 2) @[el2_ifu_iccm_mem.scala 71:47] + node _T_276 = bits(_T_275, 0, 0) @[el2_ifu_iccm_mem.scala 71:51] + node _T_277 = bits(sel_red0_q, 2, 2) @[el2_ifu_iccm_mem.scala 72:47] + node _T_278 = bits(_T_277, 0, 0) @[el2_ifu_iccm_mem.scala 72:51] + node _T_279 = bits(sel_red0_q, 2, 2) @[el2_ifu_iccm_mem.scala 73:47] + node _T_280 = not(_T_279) @[el2_ifu_iccm_mem.scala 73:36] + node _T_281 = bits(sel_red1_q, 2, 2) @[el2_ifu_iccm_mem.scala 73:64] + node _T_282 = not(_T_281) @[el2_ifu_iccm_mem.scala 73:53] + node _T_283 = and(_T_280, _T_282) @[el2_ifu_iccm_mem.scala 73:51] + node _T_284 = bits(_T_283, 0, 0) @[el2_ifu_iccm_mem.scala 73:69] + node _T_285 = mux(_T_276, redundant_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_286 = mux(_T_278, redundant_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_287 = mux(_T_284, iccm_bank_dout[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_288 = or(_T_285, _T_286) @[Mux.scala 27:72] + node _T_289 = or(_T_288, _T_287) @[Mux.scala 27:72] wire iccm_bank_dout_fn_2 : UInt<39> @[Mux.scala 27:72] - iccm_bank_dout_fn_2 <= _T_270 @[Mux.scala 27:72] - node _T_271 = bits(sel_red1_q, 3, 3) @[el2_ifu_iccm_mem.scala 66:47] - node _T_272 = bits(_T_271, 0, 0) @[el2_ifu_iccm_mem.scala 66:51] - node _T_273 = bits(sel_red0_q, 3, 3) @[el2_ifu_iccm_mem.scala 67:47] - node _T_274 = bits(_T_273, 0, 0) @[el2_ifu_iccm_mem.scala 67:51] - node _T_275 = bits(sel_red0_q, 3, 3) @[el2_ifu_iccm_mem.scala 68:47] - node _T_276 = not(_T_275) @[el2_ifu_iccm_mem.scala 68:36] - node _T_277 = bits(sel_red1_q, 3, 3) @[el2_ifu_iccm_mem.scala 68:64] - node _T_278 = not(_T_277) @[el2_ifu_iccm_mem.scala 68:53] - node _T_279 = and(_T_276, _T_278) @[el2_ifu_iccm_mem.scala 68:51] - node _T_280 = bits(_T_279, 0, 0) @[el2_ifu_iccm_mem.scala 68:69] - node _T_281 = mux(_T_272, redundant_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_282 = mux(_T_274, redundant_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_283 = mux(_T_280, iccm_bank_dout[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_284 = or(_T_281, _T_282) @[Mux.scala 27:72] - node _T_285 = or(_T_284, _T_283) @[Mux.scala 27:72] + iccm_bank_dout_fn_2 <= _T_289 @[Mux.scala 27:72] + node _T_290 = bits(sel_red1_q, 3, 3) @[el2_ifu_iccm_mem.scala 71:47] + node _T_291 = bits(_T_290, 0, 0) @[el2_ifu_iccm_mem.scala 71:51] + node _T_292 = bits(sel_red0_q, 3, 3) @[el2_ifu_iccm_mem.scala 72:47] + node _T_293 = bits(_T_292, 0, 0) @[el2_ifu_iccm_mem.scala 72:51] + node _T_294 = bits(sel_red0_q, 3, 3) @[el2_ifu_iccm_mem.scala 73:47] + node _T_295 = not(_T_294) @[el2_ifu_iccm_mem.scala 73:36] + node _T_296 = bits(sel_red1_q, 3, 3) @[el2_ifu_iccm_mem.scala 73:64] + node _T_297 = not(_T_296) @[el2_ifu_iccm_mem.scala 73:53] + node _T_298 = and(_T_295, _T_297) @[el2_ifu_iccm_mem.scala 73:51] + node _T_299 = bits(_T_298, 0, 0) @[el2_ifu_iccm_mem.scala 73:69] + node _T_300 = mux(_T_291, redundant_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_301 = mux(_T_293, redundant_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_302 = mux(_T_299, iccm_bank_dout[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_303 = or(_T_300, _T_301) @[Mux.scala 27:72] + node _T_304 = or(_T_303, _T_302) @[Mux.scala 27:72] wire iccm_bank_dout_fn_3 : UInt<39> @[Mux.scala 27:72] - iccm_bank_dout_fn_3 <= _T_285 @[Mux.scala 27:72] + iccm_bank_dout_fn_3 <= _T_304 @[Mux.scala 27:72] wire redundant_lru : UInt<1> redundant_lru <= UInt<1>("h00") - node _T_286 = eq(redundant_lru, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 70:20] - node r0_addr_en = and(_T_286, io.iccm_buf_correct_ecc) @[el2_ifu_iccm_mem.scala 70:35] - node r1_addr_en = and(redundant_lru, io.iccm_buf_correct_ecc) @[el2_ifu_iccm_mem.scala 71:35] - node _T_287 = orr(sel_red0) @[el2_ifu_iccm_mem.scala 72:63] - node _T_288 = orr(sel_red1) @[el2_ifu_iccm_mem.scala 72:78] - node _T_289 = or(_T_287, _T_288) @[el2_ifu_iccm_mem.scala 72:67] - node _T_290 = and(_T_289, io.iccm_rden) @[el2_ifu_iccm_mem.scala 72:83] - node _T_291 = and(_T_290, io.iccm_correction_state) @[el2_ifu_iccm_mem.scala 72:98] - node redundant_lru_en = or(io.iccm_buf_correct_ecc, _T_291) @[el2_ifu_iccm_mem.scala 72:50] - node _T_292 = eq(redundant_lru, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 73:55] - node _T_293 = orr(sel_red0) @[el2_ifu_iccm_mem.scala 73:84] - node _T_294 = mux(_T_293, UInt<1>("h01"), UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 73:74] - node redundant_lru_in = mux(io.iccm_buf_correct_ecc, _T_292, _T_294) @[el2_ifu_iccm_mem.scala 73:29] - reg _T_295 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_305 = eq(redundant_lru, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 75:20] + node r0_addr_en = and(_T_305, io.iccm_buf_correct_ecc) @[el2_ifu_iccm_mem.scala 75:35] + node r1_addr_en = and(redundant_lru, io.iccm_buf_correct_ecc) @[el2_ifu_iccm_mem.scala 76:35] + node _T_306 = orr(sel_red0) @[el2_ifu_iccm_mem.scala 77:63] + node _T_307 = orr(sel_red1) @[el2_ifu_iccm_mem.scala 77:78] + node _T_308 = or(_T_306, _T_307) @[el2_ifu_iccm_mem.scala 77:67] + node _T_309 = and(_T_308, io.iccm_rden) @[el2_ifu_iccm_mem.scala 77:83] + node _T_310 = and(_T_309, io.iccm_correction_state) @[el2_ifu_iccm_mem.scala 77:98] + node redundant_lru_en = or(io.iccm_buf_correct_ecc, _T_310) @[el2_ifu_iccm_mem.scala 77:50] + node _T_311 = eq(redundant_lru, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 78:55] + node _T_312 = orr(sel_red0) @[el2_ifu_iccm_mem.scala 78:84] + node _T_313 = mux(_T_312, UInt<1>("h01"), UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 78:74] + node redundant_lru_in = mux(io.iccm_buf_correct_ecc, _T_311, _T_313) @[el2_ifu_iccm_mem.scala 78:29] + reg _T_314 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when redundant_lru_en : @[Reg.scala 28:19] - _T_295 <= redundant_lru_in @[Reg.scala 28:23] + _T_314 <= redundant_lru_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - redundant_lru <= _T_295 @[el2_ifu_iccm_mem.scala 74:17] - node _T_296 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 75:52] - reg _T_297 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + redundant_lru <= _T_314 @[el2_ifu_iccm_mem.scala 79:17] + node _T_315 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 80:52] + reg _T_316 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when r0_addr_en : @[Reg.scala 28:19] - _T_297 <= _T_296 @[Reg.scala 28:23] + _T_316 <= _T_315 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - redundant_address[0] <= _T_297 @[el2_ifu_iccm_mem.scala 75:24] - node _T_298 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 76:52] - node _T_299 = bits(r1_addr_en, 0, 0) @[el2_ifu_iccm_mem.scala 76:85] - reg _T_300 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_299 : @[Reg.scala 28:19] - _T_300 <= _T_298 @[Reg.scala 28:23] + redundant_address[0] <= _T_316 @[el2_ifu_iccm_mem.scala 80:24] + node _T_317 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_iccm_mem.scala 81:52] + node _T_318 = bits(r1_addr_en, 0, 0) @[el2_ifu_iccm_mem.scala 81:85] + reg _T_319 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_318 : @[Reg.scala 28:19] + _T_319 <= _T_317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - redundant_address[1] <= _T_300 @[el2_ifu_iccm_mem.scala 76:24] - node _T_301 = bits(r1_addr_en, 0, 0) @[el2_ifu_iccm_mem.scala 77:57] - reg _T_302 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_301 : @[Reg.scala 28:19] - _T_302 <= UInt<1>("h01") @[Reg.scala 28:23] + redundant_address[1] <= _T_319 @[el2_ifu_iccm_mem.scala 81:24] + node _T_320 = bits(r1_addr_en, 0, 0) @[el2_ifu_iccm_mem.scala 82:57] + reg _T_321 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_320 : @[Reg.scala 28:19] + _T_321 <= UInt<1>("h01") @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_303 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_322 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when r0_addr_en : @[Reg.scala 28:19] - _T_303 <= UInt<1>("h01") @[Reg.scala 28:23] + _T_322 <= UInt<1>("h01") @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_304 = cat(_T_302, _T_303) @[Cat.scala 29:58] - redundant_valid <= _T_304 @[el2_ifu_iccm_mem.scala 77:19] - node _T_305 = bits(io.iccm_rw_addr, 14, 2) @[el2_ifu_iccm_mem.scala 79:45] - node _T_306 = bits(redundant_address[0], 13, 1) @[el2_ifu_iccm_mem.scala 79:85] - node _T_307 = eq(_T_305, _T_306) @[el2_ifu_iccm_mem.scala 79:61] - node _T_308 = bits(io.iccm_rw_addr, 1, 1) @[el2_ifu_iccm_mem.scala 80:22] - node _T_309 = bits(redundant_address[0], 0, 0) @[el2_ifu_iccm_mem.scala 80:48] - node _T_310 = and(_T_308, _T_309) @[el2_ifu_iccm_mem.scala 80:26] - node _T_311 = bits(io.iccm_wr_size, 1, 0) @[el2_ifu_iccm_mem.scala 80:70] - node _T_312 = eq(_T_311, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 80:75] - node _T_313 = or(_T_310, _T_312) @[el2_ifu_iccm_mem.scala 80:52] - node _T_314 = and(_T_307, _T_313) @[el2_ifu_iccm_mem.scala 79:102] - node _T_315 = bits(redundant_valid, 0, 0) @[el2_ifu_iccm_mem.scala 80:101] - node _T_316 = and(_T_314, _T_315) @[el2_ifu_iccm_mem.scala 80:84] - node _T_317 = and(_T_316, io.iccm_wren) @[el2_ifu_iccm_mem.scala 80:105] - node _T_318 = eq(redundant_lru, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 81:6] - node _T_319 = and(_T_318, io.iccm_buf_correct_ecc) @[el2_ifu_iccm_mem.scala 81:21] - node redundant_data0_en = or(_T_317, _T_319) @[el2_ifu_iccm_mem.scala 80:121] - node _T_320 = bits(io.iccm_rw_addr, 1, 1) @[el2_ifu_iccm_mem.scala 82:49] - node _T_321 = bits(redundant_address[0], 0, 0) @[el2_ifu_iccm_mem.scala 82:73] - node _T_322 = and(_T_320, _T_321) @[el2_ifu_iccm_mem.scala 82:52] - node _T_323 = bits(redundant_address[0], 0, 0) @[el2_ifu_iccm_mem.scala 82:100] - node _T_324 = bits(io.iccm_wr_size, 1, 0) @[el2_ifu_iccm_mem.scala 82:122] - node _T_325 = eq(_T_324, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 82:127] - node _T_326 = and(_T_323, _T_325) @[el2_ifu_iccm_mem.scala 82:104] - node _T_327 = or(_T_322, _T_326) @[el2_ifu_iccm_mem.scala 82:78] - node _T_328 = bits(_T_327, 0, 0) @[el2_ifu_iccm_mem.scala 82:137] - node _T_329 = bits(io.iccm_wr_data, 77, 39) @[el2_ifu_iccm_mem.scala 83:20] - node _T_330 = bits(io.iccm_wr_data, 38, 0) @[el2_ifu_iccm_mem.scala 83:44] - node redundant_data0_in = mux(_T_328, _T_329, _T_330) @[el2_ifu_iccm_mem.scala 82:31] - node _T_331 = bits(redundant_data0_en, 0, 0) @[el2_ifu_iccm_mem.scala 84:78] - reg _T_332 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_331 : @[Reg.scala 28:19] - _T_332 <= redundant_data0_in @[Reg.scala 28:23] + node _T_323 = cat(_T_321, _T_322) @[Cat.scala 29:58] + redundant_valid <= _T_323 @[el2_ifu_iccm_mem.scala 82:19] + node _T_324 = bits(io.iccm_rw_addr, 14, 2) @[el2_ifu_iccm_mem.scala 84:45] + node _T_325 = bits(redundant_address[0], 13, 1) @[el2_ifu_iccm_mem.scala 84:85] + node _T_326 = eq(_T_324, _T_325) @[el2_ifu_iccm_mem.scala 84:61] + node _T_327 = bits(io.iccm_rw_addr, 1, 1) @[el2_ifu_iccm_mem.scala 85:22] + node _T_328 = bits(redundant_address[0], 0, 0) @[el2_ifu_iccm_mem.scala 85:48] + node _T_329 = and(_T_327, _T_328) @[el2_ifu_iccm_mem.scala 85:26] + node _T_330 = bits(io.iccm_wr_size, 1, 0) @[el2_ifu_iccm_mem.scala 85:70] + node _T_331 = eq(_T_330, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 85:75] + node _T_332 = or(_T_329, _T_331) @[el2_ifu_iccm_mem.scala 85:52] + node _T_333 = and(_T_326, _T_332) @[el2_ifu_iccm_mem.scala 84:102] + node _T_334 = bits(redundant_valid, 0, 0) @[el2_ifu_iccm_mem.scala 85:101] + node _T_335 = and(_T_333, _T_334) @[el2_ifu_iccm_mem.scala 85:84] + node _T_336 = and(_T_335, io.iccm_wren) @[el2_ifu_iccm_mem.scala 85:105] + node _T_337 = eq(redundant_lru, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 86:6] + node _T_338 = and(_T_337, io.iccm_buf_correct_ecc) @[el2_ifu_iccm_mem.scala 86:21] + node redundant_data0_en = or(_T_336, _T_338) @[el2_ifu_iccm_mem.scala 85:121] + node _T_339 = bits(io.iccm_rw_addr, 1, 1) @[el2_ifu_iccm_mem.scala 87:49] + node _T_340 = bits(redundant_address[0], 0, 0) @[el2_ifu_iccm_mem.scala 87:73] + node _T_341 = and(_T_339, _T_340) @[el2_ifu_iccm_mem.scala 87:52] + node _T_342 = bits(redundant_address[0], 0, 0) @[el2_ifu_iccm_mem.scala 87:100] + node _T_343 = bits(io.iccm_wr_size, 1, 0) @[el2_ifu_iccm_mem.scala 87:122] + node _T_344 = eq(_T_343, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 87:127] + node _T_345 = and(_T_342, _T_344) @[el2_ifu_iccm_mem.scala 87:104] + node _T_346 = or(_T_341, _T_345) @[el2_ifu_iccm_mem.scala 87:78] + node _T_347 = bits(_T_346, 0, 0) @[el2_ifu_iccm_mem.scala 87:137] + node _T_348 = bits(io.iccm_wr_data, 77, 39) @[el2_ifu_iccm_mem.scala 88:20] + node _T_349 = bits(io.iccm_wr_data, 38, 0) @[el2_ifu_iccm_mem.scala 88:44] + node redundant_data0_in = mux(_T_347, _T_348, _T_349) @[el2_ifu_iccm_mem.scala 87:31] + node _T_350 = bits(redundant_data0_en, 0, 0) @[el2_ifu_iccm_mem.scala 89:78] + reg _T_351 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_350 : @[Reg.scala 28:19] + _T_351 <= redundant_data0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - redundant_data[0] <= _T_332 @[el2_ifu_iccm_mem.scala 84:21] - node _T_333 = bits(io.iccm_rw_addr, 14, 2) @[el2_ifu_iccm_mem.scala 86:45] - node _T_334 = bits(redundant_address[1], 13, 1) @[el2_ifu_iccm_mem.scala 86:85] - node _T_335 = eq(_T_333, _T_334) @[el2_ifu_iccm_mem.scala 86:61] - node _T_336 = bits(io.iccm_rw_addr, 1, 1) @[el2_ifu_iccm_mem.scala 87:22] - node _T_337 = bits(redundant_address[1], 0, 0) @[el2_ifu_iccm_mem.scala 87:48] - node _T_338 = and(_T_336, _T_337) @[el2_ifu_iccm_mem.scala 87:26] - node _T_339 = bits(io.iccm_wr_size, 1, 0) @[el2_ifu_iccm_mem.scala 87:70] - node _T_340 = eq(_T_339, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 87:75] - node _T_341 = or(_T_338, _T_340) @[el2_ifu_iccm_mem.scala 87:52] - node _T_342 = and(_T_335, _T_341) @[el2_ifu_iccm_mem.scala 86:102] - node _T_343 = bits(redundant_valid, 1, 1) @[el2_ifu_iccm_mem.scala 87:101] - node _T_344 = and(_T_342, _T_343) @[el2_ifu_iccm_mem.scala 87:84] - node _T_345 = and(_T_344, io.iccm_wren) @[el2_ifu_iccm_mem.scala 87:105] - node _T_346 = eq(redundant_lru, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 88:6] - node _T_347 = and(_T_346, io.iccm_buf_correct_ecc) @[el2_ifu_iccm_mem.scala 88:21] - node redundant_data1_en = or(_T_345, _T_347) @[el2_ifu_iccm_mem.scala 87:121] - node _T_348 = bits(io.iccm_rw_addr, 1, 1) @[el2_ifu_iccm_mem.scala 89:49] - node _T_349 = bits(redundant_address[1], 0, 0) @[el2_ifu_iccm_mem.scala 89:73] - node _T_350 = and(_T_348, _T_349) @[el2_ifu_iccm_mem.scala 89:52] - node _T_351 = bits(redundant_address[1], 0, 0) @[el2_ifu_iccm_mem.scala 89:100] - node _T_352 = bits(io.iccm_wr_size, 1, 0) @[el2_ifu_iccm_mem.scala 89:122] - node _T_353 = eq(_T_352, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 89:127] - node _T_354 = and(_T_351, _T_353) @[el2_ifu_iccm_mem.scala 89:104] - node _T_355 = or(_T_350, _T_354) @[el2_ifu_iccm_mem.scala 89:78] - node _T_356 = bits(_T_355, 0, 0) @[el2_ifu_iccm_mem.scala 89:137] - node _T_357 = bits(io.iccm_wr_data, 77, 39) @[el2_ifu_iccm_mem.scala 90:20] - node _T_358 = bits(io.iccm_wr_data, 38, 0) @[el2_ifu_iccm_mem.scala 90:44] - node redundant_data1_in = mux(_T_356, _T_357, _T_358) @[el2_ifu_iccm_mem.scala 89:31] - node _T_359 = bits(redundant_data1_en, 0, 0) @[el2_ifu_iccm_mem.scala 91:78] - reg _T_360 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_359 : @[Reg.scala 28:19] - _T_360 <= redundant_data1_in @[Reg.scala 28:23] + redundant_data[0] <= _T_351 @[el2_ifu_iccm_mem.scala 89:21] + node _T_352 = bits(io.iccm_rw_addr, 14, 2) @[el2_ifu_iccm_mem.scala 91:45] + node _T_353 = bits(redundant_address[1], 13, 1) @[el2_ifu_iccm_mem.scala 91:85] + node _T_354 = eq(_T_352, _T_353) @[el2_ifu_iccm_mem.scala 91:61] + node _T_355 = bits(io.iccm_rw_addr, 1, 1) @[el2_ifu_iccm_mem.scala 92:22] + node _T_356 = bits(redundant_address[1], 0, 0) @[el2_ifu_iccm_mem.scala 92:48] + node _T_357 = and(_T_355, _T_356) @[el2_ifu_iccm_mem.scala 92:26] + node _T_358 = bits(io.iccm_wr_size, 1, 0) @[el2_ifu_iccm_mem.scala 92:70] + node _T_359 = eq(_T_358, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 92:75] + node _T_360 = or(_T_357, _T_359) @[el2_ifu_iccm_mem.scala 92:52] + node _T_361 = and(_T_354, _T_360) @[el2_ifu_iccm_mem.scala 91:102] + node _T_362 = bits(redundant_valid, 1, 1) @[el2_ifu_iccm_mem.scala 92:101] + node _T_363 = and(_T_361, _T_362) @[el2_ifu_iccm_mem.scala 92:84] + node _T_364 = and(_T_363, io.iccm_wren) @[el2_ifu_iccm_mem.scala 92:105] + node _T_365 = eq(redundant_lru, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 93:6] + node _T_366 = and(_T_365, io.iccm_buf_correct_ecc) @[el2_ifu_iccm_mem.scala 93:21] + node redundant_data1_en = or(_T_364, _T_366) @[el2_ifu_iccm_mem.scala 92:121] + node _T_367 = bits(io.iccm_rw_addr, 1, 1) @[el2_ifu_iccm_mem.scala 94:49] + node _T_368 = bits(redundant_address[1], 0, 0) @[el2_ifu_iccm_mem.scala 94:73] + node _T_369 = and(_T_367, _T_368) @[el2_ifu_iccm_mem.scala 94:52] + node _T_370 = bits(redundant_address[1], 0, 0) @[el2_ifu_iccm_mem.scala 94:100] + node _T_371 = bits(io.iccm_wr_size, 1, 0) @[el2_ifu_iccm_mem.scala 94:122] + node _T_372 = eq(_T_371, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 94:127] + node _T_373 = and(_T_370, _T_372) @[el2_ifu_iccm_mem.scala 94:104] + node _T_374 = or(_T_369, _T_373) @[el2_ifu_iccm_mem.scala 94:78] + node _T_375 = bits(_T_374, 0, 0) @[el2_ifu_iccm_mem.scala 94:137] + node _T_376 = bits(io.iccm_wr_data, 77, 39) @[el2_ifu_iccm_mem.scala 95:20] + node _T_377 = bits(io.iccm_wr_data, 38, 0) @[el2_ifu_iccm_mem.scala 95:44] + node redundant_data1_in = mux(_T_375, _T_376, _T_377) @[el2_ifu_iccm_mem.scala 94:31] + node _T_378 = bits(redundant_data1_en, 0, 0) @[el2_ifu_iccm_mem.scala 96:78] + reg _T_379 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_378 : @[Reg.scala 28:19] + _T_379 <= redundant_data1_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - redundant_data[1] <= _T_360 @[el2_ifu_iccm_mem.scala 91:21] - node _T_361 = bits(io.iccm_rw_addr, 2, 0) @[el2_ifu_iccm_mem.scala 93:50] - reg iccm_rd_addr_lo_q : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_iccm_mem.scala 93:34] - iccm_rd_addr_lo_q <= _T_361 @[el2_ifu_iccm_mem.scala 93:34] - node _T_362 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 94:48] - reg iccm_rd_addr_hi_q : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_iccm_mem.scala 94:34] - iccm_rd_addr_hi_q <= _T_362 @[el2_ifu_iccm_mem.scala 94:34] - node _T_363 = eq(iccm_rd_addr_hi_q, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 96:86] - node _T_364 = bits(iccm_bank_dout_fn_0, 31, 0) @[el2_ifu_iccm_mem.scala 96:115] - node _T_365 = eq(iccm_rd_addr_hi_q, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 96:86] - node _T_366 = bits(iccm_bank_dout_fn_1, 31, 0) @[el2_ifu_iccm_mem.scala 96:115] - node _T_367 = eq(iccm_rd_addr_hi_q, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 96:86] - node _T_368 = bits(iccm_bank_dout_fn_2, 31, 0) @[el2_ifu_iccm_mem.scala 96:115] - node _T_369 = eq(iccm_rd_addr_hi_q, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 96:86] - node _T_370 = bits(iccm_bank_dout_fn_3, 31, 0) @[el2_ifu_iccm_mem.scala 96:115] - node _T_371 = mux(_T_363, _T_364, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_372 = mux(_T_365, _T_366, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_373 = mux(_T_367, _T_368, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_374 = mux(_T_369, _T_370, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_375 = or(_T_371, _T_372) @[Mux.scala 27:72] - node _T_376 = or(_T_375, _T_373) @[Mux.scala 27:72] - node _T_377 = or(_T_376, _T_374) @[Mux.scala 27:72] - wire _T_378 : UInt<32> @[Mux.scala 27:72] - _T_378 <= _T_377 @[Mux.scala 27:72] - node _T_379 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 97:59] - node _T_380 = eq(_T_379, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 97:77] - node _T_381 = bits(iccm_bank_dout_fn_0, 31, 0) @[el2_ifu_iccm_mem.scala 97:106] - node _T_382 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 97:59] - node _T_383 = eq(_T_382, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 97:77] - node _T_384 = bits(iccm_bank_dout_fn_1, 31, 0) @[el2_ifu_iccm_mem.scala 97:106] - node _T_385 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 97:59] - node _T_386 = eq(_T_385, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 97:77] - node _T_387 = bits(iccm_bank_dout_fn_2, 31, 0) @[el2_ifu_iccm_mem.scala 97:106] - node _T_388 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 97:59] - node _T_389 = eq(_T_388, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 97:77] - node _T_390 = bits(iccm_bank_dout_fn_3, 31, 0) @[el2_ifu_iccm_mem.scala 97:106] - node _T_391 = mux(_T_380, _T_381, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_392 = mux(_T_383, _T_384, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_393 = mux(_T_386, _T_387, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_394 = mux(_T_389, _T_390, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_395 = or(_T_391, _T_392) @[Mux.scala 27:72] + redundant_data[1] <= _T_379 @[el2_ifu_iccm_mem.scala 96:21] + node _T_380 = bits(io.iccm_rw_addr, 2, 0) @[el2_ifu_iccm_mem.scala 98:50] + reg iccm_rd_addr_lo_q : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_iccm_mem.scala 98:34] + iccm_rd_addr_lo_q <= _T_380 @[el2_ifu_iccm_mem.scala 98:34] + node _T_381 = bits(addr_bank_inc, 2, 1) @[el2_ifu_iccm_mem.scala 99:48] + reg iccm_rd_addr_hi_q : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_iccm_mem.scala 99:34] + iccm_rd_addr_hi_q <= _T_381 @[el2_ifu_iccm_mem.scala 99:34] + node _T_382 = eq(iccm_rd_addr_hi_q, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 101:86] + node _T_383 = bits(iccm_bank_dout_fn_0, 31, 0) @[el2_ifu_iccm_mem.scala 101:115] + node _T_384 = eq(iccm_rd_addr_hi_q, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 101:86] + node _T_385 = bits(iccm_bank_dout_fn_1, 31, 0) @[el2_ifu_iccm_mem.scala 101:115] + node _T_386 = eq(iccm_rd_addr_hi_q, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 101:86] + node _T_387 = bits(iccm_bank_dout_fn_2, 31, 0) @[el2_ifu_iccm_mem.scala 101:115] + node _T_388 = eq(iccm_rd_addr_hi_q, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 101:86] + node _T_389 = bits(iccm_bank_dout_fn_3, 31, 0) @[el2_ifu_iccm_mem.scala 101:115] + node _T_390 = mux(_T_382, _T_383, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_391 = mux(_T_384, _T_385, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_392 = mux(_T_386, _T_387, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_393 = mux(_T_388, _T_389, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_394 = or(_T_390, _T_391) @[Mux.scala 27:72] + node _T_395 = or(_T_394, _T_392) @[Mux.scala 27:72] node _T_396 = or(_T_395, _T_393) @[Mux.scala 27:72] - node _T_397 = or(_T_396, _T_394) @[Mux.scala 27:72] - wire _T_398 : UInt<32> @[Mux.scala 27:72] - _T_398 <= _T_397 @[Mux.scala 27:72] - node iccm_rd_data_pre = cat(_T_378, _T_398) @[Cat.scala 29:58] - node _T_399 = bits(iccm_rd_addr_lo_q, 0, 0) @[el2_ifu_iccm_mem.scala 98:43] - node _T_400 = bits(_T_399, 0, 0) @[el2_ifu_iccm_mem.scala 98:53] - node _T_401 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_402 = bits(iccm_rd_data_pre, 63, 16) @[el2_ifu_iccm_mem.scala 98:89] - node _T_403 = cat(_T_401, _T_402) @[Cat.scala 29:58] - node _T_404 = mux(_T_400, _T_403, iccm_rd_data_pre) @[el2_ifu_iccm_mem.scala 98:25] - io.iccm_rd_data <= _T_404 @[el2_ifu_iccm_mem.scala 98:19] - node _T_405 = eq(iccm_rd_addr_hi_q, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 99:85] - node _T_406 = eq(iccm_rd_addr_hi_q, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 99:85] - node _T_407 = eq(iccm_rd_addr_hi_q, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 99:85] - node _T_408 = eq(iccm_rd_addr_hi_q, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 99:85] - node _T_409 = mux(_T_405, iccm_bank_dout_fn_0, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_410 = mux(_T_406, iccm_bank_dout_fn_1, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_411 = mux(_T_407, iccm_bank_dout_fn_2, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_412 = mux(_T_408, iccm_bank_dout_fn_3, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_413 = or(_T_409, _T_410) @[Mux.scala 27:72] - node _T_414 = or(_T_413, _T_411) @[Mux.scala 27:72] + wire _T_397 : UInt<32> @[Mux.scala 27:72] + _T_397 <= _T_396 @[Mux.scala 27:72] + node _T_398 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 102:59] + node _T_399 = eq(_T_398, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 102:77] + node _T_400 = bits(iccm_bank_dout_fn_0, 31, 0) @[el2_ifu_iccm_mem.scala 102:106] + node _T_401 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 102:59] + node _T_402 = eq(_T_401, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 102:77] + node _T_403 = bits(iccm_bank_dout_fn_1, 31, 0) @[el2_ifu_iccm_mem.scala 102:106] + node _T_404 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 102:59] + node _T_405 = eq(_T_404, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 102:77] + node _T_406 = bits(iccm_bank_dout_fn_2, 31, 0) @[el2_ifu_iccm_mem.scala 102:106] + node _T_407 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 102:59] + node _T_408 = eq(_T_407, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 102:77] + node _T_409 = bits(iccm_bank_dout_fn_3, 31, 0) @[el2_ifu_iccm_mem.scala 102:106] + node _T_410 = mux(_T_399, _T_400, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_411 = mux(_T_402, _T_403, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_412 = mux(_T_405, _T_406, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_413 = mux(_T_408, _T_409, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_414 = or(_T_410, _T_411) @[Mux.scala 27:72] node _T_415 = or(_T_414, _T_412) @[Mux.scala 27:72] - wire _T_416 : UInt<39> @[Mux.scala 27:72] - _T_416 <= _T_415 @[Mux.scala 27:72] - node _T_417 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 100:61] - node _T_418 = eq(_T_417, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 100:79] - node _T_419 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 100:61] - node _T_420 = eq(_T_419, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 100:79] - node _T_421 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 100:61] - node _T_422 = eq(_T_421, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 100:79] - node _T_423 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 100:61] - node _T_424 = eq(_T_423, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 100:79] - node _T_425 = mux(_T_418, iccm_bank_dout_fn_0, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_426 = mux(_T_420, iccm_bank_dout_fn_1, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_427 = mux(_T_422, iccm_bank_dout_fn_2, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_428 = mux(_T_424, iccm_bank_dout_fn_3, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_429 = or(_T_425, _T_426) @[Mux.scala 27:72] - node _T_430 = or(_T_429, _T_427) @[Mux.scala 27:72] - node _T_431 = or(_T_430, _T_428) @[Mux.scala 27:72] - wire _T_432 : UInt<39> @[Mux.scala 27:72] - _T_432 <= _T_431 @[Mux.scala 27:72] - node _T_433 = cat(_T_416, _T_432) @[Cat.scala 29:58] - io.iccm_rd_data_ecc <= _T_433 @[el2_ifu_iccm_mem.scala 99:23] + node _T_416 = or(_T_415, _T_413) @[Mux.scala 27:72] + wire _T_417 : UInt<32> @[Mux.scala 27:72] + _T_417 <= _T_416 @[Mux.scala 27:72] + node iccm_rd_data_pre = cat(_T_397, _T_417) @[Cat.scala 29:58] + node _T_418 = bits(iccm_rd_addr_lo_q, 0, 0) @[el2_ifu_iccm_mem.scala 103:43] + node _T_419 = bits(_T_418, 0, 0) @[el2_ifu_iccm_mem.scala 103:53] + node _T_420 = mux(UInt<1>("h00"), UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_421 = bits(iccm_rd_data_pre, 63, 16) @[el2_ifu_iccm_mem.scala 103:89] + node _T_422 = cat(_T_420, _T_421) @[Cat.scala 29:58] + node _T_423 = mux(_T_419, _T_422, iccm_rd_data_pre) @[el2_ifu_iccm_mem.scala 103:25] + io.iccm_rd_data <= _T_423 @[el2_ifu_iccm_mem.scala 103:19] + node _T_424 = eq(iccm_rd_addr_hi_q, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 104:85] + node _T_425 = eq(iccm_rd_addr_hi_q, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 104:85] + node _T_426 = eq(iccm_rd_addr_hi_q, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 104:85] + node _T_427 = eq(iccm_rd_addr_hi_q, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 104:85] + node _T_428 = mux(_T_424, iccm_bank_dout_fn_0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_429 = mux(_T_425, iccm_bank_dout_fn_1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_430 = mux(_T_426, iccm_bank_dout_fn_2, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_431 = mux(_T_427, iccm_bank_dout_fn_3, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_432 = or(_T_428, _T_429) @[Mux.scala 27:72] + node _T_433 = or(_T_432, _T_430) @[Mux.scala 27:72] + node _T_434 = or(_T_433, _T_431) @[Mux.scala 27:72] + wire _T_435 : UInt<39> @[Mux.scala 27:72] + _T_435 <= _T_434 @[Mux.scala 27:72] + node _T_436 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 105:61] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[el2_ifu_iccm_mem.scala 105:79] + node _T_438 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 105:61] + node _T_439 = eq(_T_438, UInt<1>("h01")) @[el2_ifu_iccm_mem.scala 105:79] + node _T_440 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 105:61] + node _T_441 = eq(_T_440, UInt<2>("h02")) @[el2_ifu_iccm_mem.scala 105:79] + node _T_442 = bits(iccm_rd_addr_lo_q, 1, 0) @[el2_ifu_iccm_mem.scala 105:61] + node _T_443 = eq(_T_442, UInt<2>("h03")) @[el2_ifu_iccm_mem.scala 105:79] + node _T_444 = mux(_T_437, iccm_bank_dout_fn_0, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_445 = mux(_T_439, iccm_bank_dout_fn_1, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_446 = mux(_T_441, iccm_bank_dout_fn_2, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_447 = mux(_T_443, iccm_bank_dout_fn_3, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_448 = or(_T_444, _T_445) @[Mux.scala 27:72] + node _T_449 = or(_T_448, _T_446) @[Mux.scala 27:72] + node _T_450 = or(_T_449, _T_447) @[Mux.scala 27:72] + wire _T_451 : UInt<39> @[Mux.scala 27:72] + _T_451 <= _T_450 @[Mux.scala 27:72] + node _T_452 = cat(_T_435, _T_451) @[Cat.scala 29:58] + io.iccm_rd_data_ecc <= _T_452 @[el2_ifu_iccm_mem.scala 104:23] diff --git a/el2_ifu_iccm_mem.v b/el2_ifu_iccm_mem.v index fb476561..c3246672 100644 --- a/el2_ifu_iccm_mem.v +++ b/el2_ifu_iccm_mem.v @@ -12,10 +12,10 @@ module el2_ifu_iccm_mem( output [63:0] io_iccm_rd_data, output [77:0] io_iccm_rd_data_ecc, input io_scan_mode, - output [38:0] io_iccm_bank_wr_data_0, - output [38:0] io_iccm_bank_wr_data_1, - output [38:0] io_iccm_bank_wr_data_2, - output [38:0] io_iccm_bank_wr_data_3 + output [11:0] io_iccm_bank_addr_0, + output [11:0] io_iccm_bank_addr_1, + output [11:0] io_iccm_bank_addr_2, + output [11:0] io_iccm_bank_addr_3 ); `ifdef RANDOMIZE_MEM_INIT reg [63:0] _RAND_0; @@ -41,8 +41,14 @@ module el2_ifu_iccm_mem( reg [31:0] _RAND_18; `endif // RANDOMIZE_REG_INIT reg [38:0] iccm_mem_0 [0:4095]; // @[el2_ifu_iccm_mem.scala 41:21] - wire [38:0] iccm_mem_0__T_97_data; // @[el2_ifu_iccm_mem.scala 41:21] - wire [11:0] iccm_mem_0__T_97_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_0__T_99_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_0__T_99_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_0__T_104_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_0__T_104_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_0__T_109_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_0__T_109_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_0__T_114_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_0__T_114_addr; // @[el2_ifu_iccm_mem.scala 41:21] wire [38:0] iccm_mem_0__T_93_data; // @[el2_ifu_iccm_mem.scala 41:21] wire [11:0] iccm_mem_0__T_93_addr; // @[el2_ifu_iccm_mem.scala 41:21] wire iccm_mem_0__T_93_mask; // @[el2_ifu_iccm_mem.scala 41:21] @@ -60,8 +66,14 @@ module el2_ifu_iccm_mem( wire iccm_mem_0__T_96_mask; // @[el2_ifu_iccm_mem.scala 41:21] wire iccm_mem_0__T_96_en; // @[el2_ifu_iccm_mem.scala 41:21] reg [38:0] iccm_mem_1 [0:4095]; // @[el2_ifu_iccm_mem.scala 41:21] - wire [38:0] iccm_mem_1__T_97_data; // @[el2_ifu_iccm_mem.scala 41:21] - wire [11:0] iccm_mem_1__T_97_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_1__T_99_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_1__T_99_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_1__T_104_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_1__T_104_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_1__T_109_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_1__T_109_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_1__T_114_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_1__T_114_addr; // @[el2_ifu_iccm_mem.scala 41:21] wire [38:0] iccm_mem_1__T_93_data; // @[el2_ifu_iccm_mem.scala 41:21] wire [11:0] iccm_mem_1__T_93_addr; // @[el2_ifu_iccm_mem.scala 41:21] wire iccm_mem_1__T_93_mask; // @[el2_ifu_iccm_mem.scala 41:21] @@ -79,8 +91,14 @@ module el2_ifu_iccm_mem( wire iccm_mem_1__T_96_mask; // @[el2_ifu_iccm_mem.scala 41:21] wire iccm_mem_1__T_96_en; // @[el2_ifu_iccm_mem.scala 41:21] reg [38:0] iccm_mem_2 [0:4095]; // @[el2_ifu_iccm_mem.scala 41:21] - wire [38:0] iccm_mem_2__T_97_data; // @[el2_ifu_iccm_mem.scala 41:21] - wire [11:0] iccm_mem_2__T_97_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_2__T_99_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_2__T_99_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_2__T_104_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_2__T_104_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_2__T_109_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_2__T_109_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_2__T_114_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_2__T_114_addr; // @[el2_ifu_iccm_mem.scala 41:21] wire [38:0] iccm_mem_2__T_93_data; // @[el2_ifu_iccm_mem.scala 41:21] wire [11:0] iccm_mem_2__T_93_addr; // @[el2_ifu_iccm_mem.scala 41:21] wire iccm_mem_2__T_93_mask; // @[el2_ifu_iccm_mem.scala 41:21] @@ -98,8 +116,14 @@ module el2_ifu_iccm_mem( wire iccm_mem_2__T_96_mask; // @[el2_ifu_iccm_mem.scala 41:21] wire iccm_mem_2__T_96_en; // @[el2_ifu_iccm_mem.scala 41:21] reg [38:0] iccm_mem_3 [0:4095]; // @[el2_ifu_iccm_mem.scala 41:21] - wire [38:0] iccm_mem_3__T_97_data; // @[el2_ifu_iccm_mem.scala 41:21] - wire [11:0] iccm_mem_3__T_97_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_3__T_99_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_3__T_99_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_3__T_104_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_3__T_104_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_3__T_109_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_3__T_109_addr; // @[el2_ifu_iccm_mem.scala 41:21] + wire [38:0] iccm_mem_3__T_114_data; // @[el2_ifu_iccm_mem.scala 41:21] + wire [11:0] iccm_mem_3__T_114_addr; // @[el2_ifu_iccm_mem.scala 41:21] wire [38:0] iccm_mem_3__T_93_data; // @[el2_ifu_iccm_mem.scala 41:21] wire [11:0] iccm_mem_3__T_93_addr; // @[el2_ifu_iccm_mem.scala 41:21] wire iccm_mem_3__T_93_mask; // @[el2_ifu_iccm_mem.scala 41:21] @@ -118,8 +142,8 @@ module el2_ifu_iccm_mem( wire iccm_mem_3__T_96_en; // @[el2_ifu_iccm_mem.scala 41:21] wire _T_1 = io_iccm_wr_size[1:0] == 2'h3; // @[el2_ifu_iccm_mem.scala 24:43] wire [1:0] addr_inc = _T_1 ? 2'h2 : 2'h1; // @[el2_ifu_iccm_mem.scala 24:21] - wire [14:0] _GEN_15 = {{13'd0}, addr_inc}; // @[el2_ifu_iccm_mem.scala 25:54] - wire [14:0] addr_bank_inc = io_iccm_rw_addr + _GEN_15; // @[el2_ifu_iccm_mem.scala 25:54] + wire [14:0] _GEN_31 = {{13'd0}, addr_inc}; // @[el2_ifu_iccm_mem.scala 25:54] + wire [14:0] addr_bank_inc = io_iccm_rw_addr + _GEN_31; // @[el2_ifu_iccm_mem.scala 25:54] wire [38:0] iccm_bank_wr_data_0 = io_iccm_wr_data[38:0]; // @[el2_ifu_iccm_mem.scala 29:50] wire [38:0] iccm_bank_wr_data_1 = io_iccm_wr_data[77:39]; // @[el2_ifu_iccm_mem.scala 30:54] wire _T_10 = io_iccm_rw_addr[2:1] == 2'h0; // @[el2_ifu_iccm_mem.scala 33:100] @@ -155,164 +179,202 @@ module el2_ifu_iccm_mem( wire _T_52 = wren_bank_3 | rden_bank_3; // @[el2_ifu_iccm_mem.scala 37:72] wire iccm_clken_3 = _T_52 | io_clk_override; // @[el2_ifu_iccm_mem.scala 37:87] wire [11:0] _T_59 = _T_12 ? addr_bank_inc[14:3] : io_iccm_rw_addr[14:3]; // @[el2_ifu_iccm_mem.scala 39:8] + wire [11:0] addr_bank_0 = wren_bank_0 ? io_iccm_rw_addr[14:3] : _T_59; // @[el2_ifu_iccm_mem.scala 38:55] wire [11:0] _T_66 = _T_17 ? addr_bank_inc[14:3] : io_iccm_rw_addr[14:3]; // @[el2_ifu_iccm_mem.scala 39:8] + wire [11:0] addr_bank_1 = wren_bank_1 ? io_iccm_rw_addr[14:3] : _T_66; // @[el2_ifu_iccm_mem.scala 38:55] wire [11:0] _T_73 = _T_22 ? addr_bank_inc[14:3] : io_iccm_rw_addr[14:3]; // @[el2_ifu_iccm_mem.scala 39:8] + wire [11:0] addr_bank_2 = wren_bank_2 ? io_iccm_rw_addr[14:3] : _T_73; // @[el2_ifu_iccm_mem.scala 38:55] wire [11:0] _T_80 = _T_27 ? addr_bank_inc[14:3] : io_iccm_rw_addr[14:3]; // @[el2_ifu_iccm_mem.scala 39:8] + wire [11:0] addr_bank_3 = wren_bank_3 ? io_iccm_rw_addr[14:3] : _T_80; // @[el2_ifu_iccm_mem.scala 38:55] + wire _T_85 = ~wren_bank_0; // @[el2_ifu_iccm_mem.scala 44:72] + wire read_enable_0 = iccm_clken_0 & _T_85; // @[el2_ifu_iccm_mem.scala 44:70] + wire _T_87 = ~wren_bank_1; // @[el2_ifu_iccm_mem.scala 44:72] + wire read_enable_1 = iccm_clken_1 & _T_87; // @[el2_ifu_iccm_mem.scala 44:70] + wire _T_89 = ~wren_bank_2; // @[el2_ifu_iccm_mem.scala 44:72] + wire read_enable_2 = iccm_clken_2 & _T_89; // @[el2_ifu_iccm_mem.scala 44:70] + wire _T_91 = ~wren_bank_3; // @[el2_ifu_iccm_mem.scala 44:72] + wire read_enable_3 = iccm_clken_3 & _T_91; // @[el2_ifu_iccm_mem.scala 44:70] + wire [38:0] _T_98 = read_enable_0 ? 39'h7fffffffff : 39'h0; // @[Bitwise.scala 72:12] + wire [38:0] _GEN_8 = iccm_mem_0__T_99_data; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_9 = 2'h1 == addr_bank_0[1:0] ? iccm_mem_1__T_99_data : _GEN_8; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_10 = 2'h2 == addr_bank_0[1:0] ? iccm_mem_2__T_99_data : _GEN_9; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_11 = 2'h3 == addr_bank_0[1:0] ? iccm_mem_3__T_99_data : _GEN_10; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _T_103 = read_enable_1 ? 39'h7fffffffff : 39'h0; // @[Bitwise.scala 72:12] + wire [38:0] _GEN_12 = iccm_mem_0__T_104_data; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_13 = 2'h1 == addr_bank_1[1:0] ? iccm_mem_1__T_104_data : _GEN_12; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_14 = 2'h2 == addr_bank_1[1:0] ? iccm_mem_2__T_104_data : _GEN_13; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_15 = 2'h3 == addr_bank_1[1:0] ? iccm_mem_3__T_104_data : _GEN_14; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _T_108 = read_enable_2 ? 39'h7fffffffff : 39'h0; // @[Bitwise.scala 72:12] + wire [38:0] _GEN_16 = iccm_mem_0__T_109_data; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_17 = 2'h1 == addr_bank_2[1:0] ? iccm_mem_1__T_109_data : _GEN_16; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_18 = 2'h2 == addr_bank_2[1:0] ? iccm_mem_2__T_109_data : _GEN_17; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_19 = 2'h3 == addr_bank_2[1:0] ? iccm_mem_3__T_109_data : _GEN_18; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _T_113 = read_enable_3 ? 39'h7fffffffff : 39'h0; // @[Bitwise.scala 72:12] + wire [38:0] _GEN_20 = iccm_mem_0__T_114_data; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_21 = 2'h1 == addr_bank_3[1:0] ? iccm_mem_1__T_114_data : _GEN_20; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_22 = 2'h2 == addr_bank_3[1:0] ? iccm_mem_2__T_114_data : _GEN_21; // @[el2_ifu_iccm_mem.scala 49:67] + wire [38:0] _GEN_23 = 2'h3 == addr_bank_3[1:0] ? iccm_mem_3__T_114_data : _GEN_22; // @[el2_ifu_iccm_mem.scala 49:67] reg [38:0] iccm_bank_dout_0; // @[el2_ifu_iccm_mem.scala 50:62] reg [38:0] iccm_bank_dout_1; // @[el2_ifu_iccm_mem.scala 50:62] reg [38:0] iccm_bank_dout_2; // @[el2_ifu_iccm_mem.scala 50:62] reg [38:0] iccm_bank_dout_3; // @[el2_ifu_iccm_mem.scala 50:62] - reg _T_302; // @[Reg.scala 27:20] - reg _T_303; // @[Reg.scala 27:20] - wire [1:0] redundant_valid = {_T_302,_T_303}; // @[Cat.scala 29:58] + reg _T_321; // @[Reg.scala 27:20] + reg _T_322; // @[Reg.scala 27:20] + wire [1:0] redundant_valid = {_T_321,_T_322}; // @[Cat.scala 29:58] reg [13:0] redundant_address_1; // @[Reg.scala 27:20] - wire _T_105 = io_iccm_rw_addr[14:1] == redundant_address_1; // @[el2_ifu_iccm_mem.scala 56:105] - wire _T_108 = _T_105 & _T_10; // @[el2_ifu_iccm_mem.scala 56:145] - wire _T_109 = redundant_valid[1] & _T_108; // @[el2_ifu_iccm_mem.scala 56:71] - wire _T_112 = addr_bank_inc[14:1] == redundant_address_1; // @[el2_ifu_iccm_mem.scala 57:37] - wire _T_115 = _T_112 & _T_12; // @[el2_ifu_iccm_mem.scala 57:77] - wire _T_116 = _T_109 | _T_115; // @[el2_ifu_iccm_mem.scala 56:179] - wire _T_123 = _T_105 & _T_15; // @[el2_ifu_iccm_mem.scala 56:145] - wire _T_124 = redundant_valid[1] & _T_123; // @[el2_ifu_iccm_mem.scala 56:71] - wire _T_130 = _T_112 & _T_17; // @[el2_ifu_iccm_mem.scala 57:77] - wire _T_131 = _T_124 | _T_130; // @[el2_ifu_iccm_mem.scala 56:179] - wire _T_138 = _T_105 & _T_20; // @[el2_ifu_iccm_mem.scala 56:145] - wire _T_139 = redundant_valid[1] & _T_138; // @[el2_ifu_iccm_mem.scala 56:71] - wire _T_145 = _T_112 & _T_22; // @[el2_ifu_iccm_mem.scala 57:77] - wire _T_146 = _T_139 | _T_145; // @[el2_ifu_iccm_mem.scala 56:179] - wire _T_153 = _T_105 & _T_25; // @[el2_ifu_iccm_mem.scala 56:145] - wire _T_154 = redundant_valid[1] & _T_153; // @[el2_ifu_iccm_mem.scala 56:71] - wire _T_160 = _T_112 & _T_27; // @[el2_ifu_iccm_mem.scala 57:77] - wire _T_161 = _T_154 | _T_160; // @[el2_ifu_iccm_mem.scala 56:179] - wire [3:0] sel_red1 = {_T_161,_T_146,_T_131,_T_116}; // @[Cat.scala 29:58] + wire _T_124 = io_iccm_rw_addr[14:1] == redundant_address_1; // @[el2_ifu_iccm_mem.scala 61:105] + wire _T_127 = _T_124 & _T_10; // @[el2_ifu_iccm_mem.scala 61:145] + wire _T_128 = redundant_valid[1] & _T_127; // @[el2_ifu_iccm_mem.scala 61:71] + wire _T_131 = addr_bank_inc[14:1] == redundant_address_1; // @[el2_ifu_iccm_mem.scala 62:37] + wire _T_134 = _T_131 & _T_12; // @[el2_ifu_iccm_mem.scala 62:77] + wire _T_135 = _T_128 | _T_134; // @[el2_ifu_iccm_mem.scala 61:179] + wire _T_142 = _T_124 & _T_15; // @[el2_ifu_iccm_mem.scala 61:145] + wire _T_143 = redundant_valid[1] & _T_142; // @[el2_ifu_iccm_mem.scala 61:71] + wire _T_149 = _T_131 & _T_17; // @[el2_ifu_iccm_mem.scala 62:77] + wire _T_150 = _T_143 | _T_149; // @[el2_ifu_iccm_mem.scala 61:179] + wire _T_157 = _T_124 & _T_20; // @[el2_ifu_iccm_mem.scala 61:145] + wire _T_158 = redundant_valid[1] & _T_157; // @[el2_ifu_iccm_mem.scala 61:71] + wire _T_164 = _T_131 & _T_22; // @[el2_ifu_iccm_mem.scala 62:77] + wire _T_165 = _T_158 | _T_164; // @[el2_ifu_iccm_mem.scala 61:179] + wire _T_172 = _T_124 & _T_25; // @[el2_ifu_iccm_mem.scala 61:145] + wire _T_173 = redundant_valid[1] & _T_172; // @[el2_ifu_iccm_mem.scala 61:71] + wire _T_179 = _T_131 & _T_27; // @[el2_ifu_iccm_mem.scala 62:77] + wire _T_180 = _T_173 | _T_179; // @[el2_ifu_iccm_mem.scala 61:179] + wire [3:0] sel_red1 = {_T_180,_T_165,_T_150,_T_135}; // @[Cat.scala 29:58] reg [13:0] redundant_address_0; // @[Reg.scala 27:20] - wire _T_167 = io_iccm_rw_addr[14:1] == redundant_address_0; // @[el2_ifu_iccm_mem.scala 58:105] - wire _T_170 = _T_167 & _T_10; // @[el2_ifu_iccm_mem.scala 58:145] - wire _T_171 = redundant_valid[0] & _T_170; // @[el2_ifu_iccm_mem.scala 58:71] - wire _T_174 = addr_bank_inc[14:1] == redundant_address_0; // @[el2_ifu_iccm_mem.scala 59:37] - wire _T_177 = _T_174 & _T_12; // @[el2_ifu_iccm_mem.scala 59:77] - wire _T_178 = _T_171 | _T_177; // @[el2_ifu_iccm_mem.scala 58:179] - wire _T_185 = _T_167 & _T_15; // @[el2_ifu_iccm_mem.scala 58:145] - wire _T_186 = redundant_valid[0] & _T_185; // @[el2_ifu_iccm_mem.scala 58:71] - wire _T_192 = _T_174 & _T_17; // @[el2_ifu_iccm_mem.scala 59:77] - wire _T_193 = _T_186 | _T_192; // @[el2_ifu_iccm_mem.scala 58:179] - wire _T_200 = _T_167 & _T_20; // @[el2_ifu_iccm_mem.scala 58:145] - wire _T_201 = redundant_valid[0] & _T_200; // @[el2_ifu_iccm_mem.scala 58:71] - wire _T_207 = _T_174 & _T_22; // @[el2_ifu_iccm_mem.scala 59:77] - wire _T_208 = _T_201 | _T_207; // @[el2_ifu_iccm_mem.scala 58:179] - wire _T_215 = _T_167 & _T_25; // @[el2_ifu_iccm_mem.scala 58:145] - wire _T_216 = redundant_valid[0] & _T_215; // @[el2_ifu_iccm_mem.scala 58:71] - wire _T_222 = _T_174 & _T_27; // @[el2_ifu_iccm_mem.scala 59:77] - wire _T_223 = _T_216 | _T_222; // @[el2_ifu_iccm_mem.scala 58:179] - wire [3:0] sel_red0 = {_T_223,_T_208,_T_193,_T_178}; // @[Cat.scala 29:58] - reg [3:0] sel_red0_q; // @[el2_ifu_iccm_mem.scala 61:27] - reg [3:0] sel_red1_q; // @[el2_ifu_iccm_mem.scala 62:27] - wire _T_231 = ~sel_red0_q[0]; // @[el2_ifu_iccm_mem.scala 68:36] - wire _T_233 = ~sel_red1_q[0]; // @[el2_ifu_iccm_mem.scala 68:53] - wire _T_234 = _T_231 & _T_233; // @[el2_ifu_iccm_mem.scala 68:51] + wire _T_186 = io_iccm_rw_addr[14:1] == redundant_address_0; // @[el2_ifu_iccm_mem.scala 63:105] + wire _T_189 = _T_186 & _T_10; // @[el2_ifu_iccm_mem.scala 63:145] + wire _T_190 = redundant_valid[0] & _T_189; // @[el2_ifu_iccm_mem.scala 63:71] + wire _T_193 = addr_bank_inc[14:1] == redundant_address_0; // @[el2_ifu_iccm_mem.scala 64:37] + wire _T_196 = _T_193 & _T_12; // @[el2_ifu_iccm_mem.scala 64:77] + wire _T_197 = _T_190 | _T_196; // @[el2_ifu_iccm_mem.scala 63:179] + wire _T_204 = _T_186 & _T_15; // @[el2_ifu_iccm_mem.scala 63:145] + wire _T_205 = redundant_valid[0] & _T_204; // @[el2_ifu_iccm_mem.scala 63:71] + wire _T_211 = _T_193 & _T_17; // @[el2_ifu_iccm_mem.scala 64:77] + wire _T_212 = _T_205 | _T_211; // @[el2_ifu_iccm_mem.scala 63:179] + wire _T_219 = _T_186 & _T_20; // @[el2_ifu_iccm_mem.scala 63:145] + wire _T_220 = redundant_valid[0] & _T_219; // @[el2_ifu_iccm_mem.scala 63:71] + wire _T_226 = _T_193 & _T_22; // @[el2_ifu_iccm_mem.scala 64:77] + wire _T_227 = _T_220 | _T_226; // @[el2_ifu_iccm_mem.scala 63:179] + wire _T_234 = _T_186 & _T_25; // @[el2_ifu_iccm_mem.scala 63:145] + wire _T_235 = redundant_valid[0] & _T_234; // @[el2_ifu_iccm_mem.scala 63:71] + wire _T_241 = _T_193 & _T_27; // @[el2_ifu_iccm_mem.scala 64:77] + wire _T_242 = _T_235 | _T_241; // @[el2_ifu_iccm_mem.scala 63:179] + wire [3:0] sel_red0 = {_T_242,_T_227,_T_212,_T_197}; // @[Cat.scala 29:58] + reg [3:0] sel_red0_q; // @[el2_ifu_iccm_mem.scala 66:27] + reg [3:0] sel_red1_q; // @[el2_ifu_iccm_mem.scala 67:27] + wire _T_250 = ~sel_red0_q[0]; // @[el2_ifu_iccm_mem.scala 73:36] + wire _T_252 = ~sel_red1_q[0]; // @[el2_ifu_iccm_mem.scala 73:53] + wire _T_253 = _T_250 & _T_252; // @[el2_ifu_iccm_mem.scala 73:51] reg [38:0] redundant_data_1; // @[Reg.scala 27:20] - wire [38:0] _T_236 = sel_red1_q[0] ? redundant_data_1 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_255 = sel_red1_q[0] ? redundant_data_1 : 39'h0; // @[Mux.scala 27:72] reg [38:0] redundant_data_0; // @[Reg.scala 27:20] - wire [38:0] _T_237 = sel_red0_q[0] ? redundant_data_0 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_238 = _T_234 ? iccm_bank_dout_0 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_239 = _T_236 | _T_237; // @[Mux.scala 27:72] - wire [38:0] iccm_bank_dout_fn_0 = _T_239 | _T_238; // @[Mux.scala 27:72] - wire _T_246 = ~sel_red0_q[1]; // @[el2_ifu_iccm_mem.scala 68:36] - wire _T_248 = ~sel_red1_q[1]; // @[el2_ifu_iccm_mem.scala 68:53] - wire _T_249 = _T_246 & _T_248; // @[el2_ifu_iccm_mem.scala 68:51] - wire [38:0] _T_251 = sel_red1_q[1] ? redundant_data_1 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_252 = sel_red0_q[1] ? redundant_data_0 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_253 = _T_249 ? iccm_bank_dout_1 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_254 = _T_251 | _T_252; // @[Mux.scala 27:72] - wire [38:0] iccm_bank_dout_fn_1 = _T_254 | _T_253; // @[Mux.scala 27:72] - wire _T_261 = ~sel_red0_q[2]; // @[el2_ifu_iccm_mem.scala 68:36] - wire _T_263 = ~sel_red1_q[2]; // @[el2_ifu_iccm_mem.scala 68:53] - wire _T_264 = _T_261 & _T_263; // @[el2_ifu_iccm_mem.scala 68:51] - wire [38:0] _T_266 = sel_red1_q[2] ? redundant_data_1 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_267 = sel_red0_q[2] ? redundant_data_0 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_268 = _T_264 ? iccm_bank_dout_2 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_269 = _T_266 | _T_267; // @[Mux.scala 27:72] - wire [38:0] iccm_bank_dout_fn_2 = _T_269 | _T_268; // @[Mux.scala 27:72] - wire _T_276 = ~sel_red0_q[3]; // @[el2_ifu_iccm_mem.scala 68:36] - wire _T_278 = ~sel_red1_q[3]; // @[el2_ifu_iccm_mem.scala 68:53] - wire _T_279 = _T_276 & _T_278; // @[el2_ifu_iccm_mem.scala 68:51] - wire [38:0] _T_281 = sel_red1_q[3] ? redundant_data_1 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_282 = sel_red0_q[3] ? redundant_data_0 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_283 = _T_279 ? iccm_bank_dout_3 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_284 = _T_281 | _T_282; // @[Mux.scala 27:72] - wire [38:0] iccm_bank_dout_fn_3 = _T_284 | _T_283; // @[Mux.scala 27:72] + wire [38:0] _T_256 = sel_red0_q[0] ? redundant_data_0 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_257 = _T_253 ? iccm_bank_dout_0 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_258 = _T_255 | _T_256; // @[Mux.scala 27:72] + wire [38:0] iccm_bank_dout_fn_0 = _T_258 | _T_257; // @[Mux.scala 27:72] + wire _T_265 = ~sel_red0_q[1]; // @[el2_ifu_iccm_mem.scala 73:36] + wire _T_267 = ~sel_red1_q[1]; // @[el2_ifu_iccm_mem.scala 73:53] + wire _T_268 = _T_265 & _T_267; // @[el2_ifu_iccm_mem.scala 73:51] + wire [38:0] _T_270 = sel_red1_q[1] ? redundant_data_1 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_271 = sel_red0_q[1] ? redundant_data_0 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_272 = _T_268 ? iccm_bank_dout_1 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_273 = _T_270 | _T_271; // @[Mux.scala 27:72] + wire [38:0] iccm_bank_dout_fn_1 = _T_273 | _T_272; // @[Mux.scala 27:72] + wire _T_280 = ~sel_red0_q[2]; // @[el2_ifu_iccm_mem.scala 73:36] + wire _T_282 = ~sel_red1_q[2]; // @[el2_ifu_iccm_mem.scala 73:53] + wire _T_283 = _T_280 & _T_282; // @[el2_ifu_iccm_mem.scala 73:51] + wire [38:0] _T_285 = sel_red1_q[2] ? redundant_data_1 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_286 = sel_red0_q[2] ? redundant_data_0 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_287 = _T_283 ? iccm_bank_dout_2 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_288 = _T_285 | _T_286; // @[Mux.scala 27:72] + wire [38:0] iccm_bank_dout_fn_2 = _T_288 | _T_287; // @[Mux.scala 27:72] + wire _T_295 = ~sel_red0_q[3]; // @[el2_ifu_iccm_mem.scala 73:36] + wire _T_297 = ~sel_red1_q[3]; // @[el2_ifu_iccm_mem.scala 73:53] + wire _T_298 = _T_295 & _T_297; // @[el2_ifu_iccm_mem.scala 73:51] + wire [38:0] _T_300 = sel_red1_q[3] ? redundant_data_1 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_301 = sel_red0_q[3] ? redundant_data_0 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_302 = _T_298 ? iccm_bank_dout_3 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_303 = _T_300 | _T_301; // @[Mux.scala 27:72] + wire [38:0] iccm_bank_dout_fn_3 = _T_303 | _T_302; // @[Mux.scala 27:72] reg redundant_lru; // @[Reg.scala 27:20] - wire _T_286 = ~redundant_lru; // @[el2_ifu_iccm_mem.scala 70:20] - wire r0_addr_en = _T_286 & io_iccm_buf_correct_ecc; // @[el2_ifu_iccm_mem.scala 70:35] - wire r1_addr_en = redundant_lru & io_iccm_buf_correct_ecc; // @[el2_ifu_iccm_mem.scala 71:35] - wire _T_287 = |sel_red0; // @[el2_ifu_iccm_mem.scala 72:63] - wire _T_288 = |sel_red1; // @[el2_ifu_iccm_mem.scala 72:78] - wire _T_289 = _T_287 | _T_288; // @[el2_ifu_iccm_mem.scala 72:67] - wire _T_290 = _T_289 & io_iccm_rden; // @[el2_ifu_iccm_mem.scala 72:83] - wire _T_291 = _T_290 & io_iccm_correction_state; // @[el2_ifu_iccm_mem.scala 72:98] - wire redundant_lru_en = io_iccm_buf_correct_ecc | _T_291; // @[el2_ifu_iccm_mem.scala 72:50] - wire _GEN_11 = r1_addr_en | _T_302; // @[Reg.scala 28:19] - wire _GEN_12 = r0_addr_en | _T_303; // @[Reg.scala 28:19] - wire _T_307 = io_iccm_rw_addr[14:2] == redundant_address_0[13:1]; // @[el2_ifu_iccm_mem.scala 79:61] - wire _T_310 = io_iccm_rw_addr[1] & redundant_address_0[0]; // @[el2_ifu_iccm_mem.scala 80:26] - wire _T_313 = _T_310 | _T_1; // @[el2_ifu_iccm_mem.scala 80:52] - wire _T_314 = _T_307 & _T_313; // @[el2_ifu_iccm_mem.scala 79:102] - wire _T_316 = _T_314 & redundant_valid[0]; // @[el2_ifu_iccm_mem.scala 80:84] - wire _T_317 = _T_316 & io_iccm_wren; // @[el2_ifu_iccm_mem.scala 80:105] - wire redundant_data0_en = _T_317 | r0_addr_en; // @[el2_ifu_iccm_mem.scala 80:121] - wire _T_326 = redundant_address_0[0] & _T_1; // @[el2_ifu_iccm_mem.scala 82:104] - wire _T_327 = _T_310 | _T_326; // @[el2_ifu_iccm_mem.scala 82:78] - wire _T_335 = io_iccm_rw_addr[14:2] == redundant_address_1[13:1]; // @[el2_ifu_iccm_mem.scala 86:61] - wire _T_338 = io_iccm_rw_addr[1] & redundant_address_1[0]; // @[el2_ifu_iccm_mem.scala 87:26] - wire _T_341 = _T_338 | _T_1; // @[el2_ifu_iccm_mem.scala 87:52] - wire _T_342 = _T_335 & _T_341; // @[el2_ifu_iccm_mem.scala 86:102] - wire _T_344 = _T_342 & redundant_valid[1]; // @[el2_ifu_iccm_mem.scala 87:84] - wire _T_345 = _T_344 & io_iccm_wren; // @[el2_ifu_iccm_mem.scala 87:105] - wire redundant_data1_en = _T_345 | r0_addr_en; // @[el2_ifu_iccm_mem.scala 87:121] - wire _T_354 = redundant_address_1[0] & _T_1; // @[el2_ifu_iccm_mem.scala 89:104] - wire _T_355 = _T_338 | _T_354; // @[el2_ifu_iccm_mem.scala 89:78] - reg [2:0] iccm_rd_addr_lo_q; // @[el2_ifu_iccm_mem.scala 93:34] - reg [1:0] iccm_rd_addr_hi_q; // @[el2_ifu_iccm_mem.scala 94:34] - wire _T_363 = iccm_rd_addr_hi_q == 2'h0; // @[el2_ifu_iccm_mem.scala 96:86] - wire _T_365 = iccm_rd_addr_hi_q == 2'h1; // @[el2_ifu_iccm_mem.scala 96:86] - wire _T_367 = iccm_rd_addr_hi_q == 2'h2; // @[el2_ifu_iccm_mem.scala 96:86] - wire _T_369 = iccm_rd_addr_hi_q == 2'h3; // @[el2_ifu_iccm_mem.scala 96:86] - wire [31:0] _T_371 = _T_363 ? iccm_bank_dout_fn_0[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_372 = _T_365 ? iccm_bank_dout_fn_1[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_373 = _T_367 ? iccm_bank_dout_fn_2[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_374 = _T_369 ? iccm_bank_dout_fn_3[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_375 = _T_371 | _T_372; // @[Mux.scala 27:72] - wire [31:0] _T_376 = _T_375 | _T_373; // @[Mux.scala 27:72] - wire [31:0] _T_377 = _T_376 | _T_374; // @[Mux.scala 27:72] - wire _T_380 = iccm_rd_addr_lo_q[1:0] == 2'h0; // @[el2_ifu_iccm_mem.scala 97:77] - wire _T_383 = iccm_rd_addr_lo_q[1:0] == 2'h1; // @[el2_ifu_iccm_mem.scala 97:77] - wire _T_386 = iccm_rd_addr_lo_q[1:0] == 2'h2; // @[el2_ifu_iccm_mem.scala 97:77] - wire _T_389 = iccm_rd_addr_lo_q[1:0] == 2'h3; // @[el2_ifu_iccm_mem.scala 97:77] - wire [31:0] _T_391 = _T_380 ? iccm_bank_dout_fn_0[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_392 = _T_383 ? iccm_bank_dout_fn_1[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_393 = _T_386 ? iccm_bank_dout_fn_2[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_394 = _T_389 ? iccm_bank_dout_fn_3[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_395 = _T_391 | _T_392; // @[Mux.scala 27:72] + wire _T_305 = ~redundant_lru; // @[el2_ifu_iccm_mem.scala 75:20] + wire r0_addr_en = _T_305 & io_iccm_buf_correct_ecc; // @[el2_ifu_iccm_mem.scala 75:35] + wire r1_addr_en = redundant_lru & io_iccm_buf_correct_ecc; // @[el2_ifu_iccm_mem.scala 76:35] + wire _T_306 = |sel_red0; // @[el2_ifu_iccm_mem.scala 77:63] + wire _T_307 = |sel_red1; // @[el2_ifu_iccm_mem.scala 77:78] + wire _T_308 = _T_306 | _T_307; // @[el2_ifu_iccm_mem.scala 77:67] + wire _T_309 = _T_308 & io_iccm_rden; // @[el2_ifu_iccm_mem.scala 77:83] + wire _T_310 = _T_309 & io_iccm_correction_state; // @[el2_ifu_iccm_mem.scala 77:98] + wire redundant_lru_en = io_iccm_buf_correct_ecc | _T_310; // @[el2_ifu_iccm_mem.scala 77:50] + wire _GEN_27 = r1_addr_en | _T_321; // @[Reg.scala 28:19] + wire _GEN_28 = r0_addr_en | _T_322; // @[Reg.scala 28:19] + wire _T_326 = io_iccm_rw_addr[14:2] == redundant_address_0[13:1]; // @[el2_ifu_iccm_mem.scala 84:61] + wire _T_329 = io_iccm_rw_addr[1] & redundant_address_0[0]; // @[el2_ifu_iccm_mem.scala 85:26] + wire _T_332 = _T_329 | _T_1; // @[el2_ifu_iccm_mem.scala 85:52] + wire _T_333 = _T_326 & _T_332; // @[el2_ifu_iccm_mem.scala 84:102] + wire _T_335 = _T_333 & redundant_valid[0]; // @[el2_ifu_iccm_mem.scala 85:84] + wire _T_336 = _T_335 & io_iccm_wren; // @[el2_ifu_iccm_mem.scala 85:105] + wire redundant_data0_en = _T_336 | r0_addr_en; // @[el2_ifu_iccm_mem.scala 85:121] + wire _T_345 = redundant_address_0[0] & _T_1; // @[el2_ifu_iccm_mem.scala 87:104] + wire _T_346 = _T_329 | _T_345; // @[el2_ifu_iccm_mem.scala 87:78] + wire _T_354 = io_iccm_rw_addr[14:2] == redundant_address_1[13:1]; // @[el2_ifu_iccm_mem.scala 91:61] + wire _T_357 = io_iccm_rw_addr[1] & redundant_address_1[0]; // @[el2_ifu_iccm_mem.scala 92:26] + wire _T_360 = _T_357 | _T_1; // @[el2_ifu_iccm_mem.scala 92:52] + wire _T_361 = _T_354 & _T_360; // @[el2_ifu_iccm_mem.scala 91:102] + wire _T_363 = _T_361 & redundant_valid[1]; // @[el2_ifu_iccm_mem.scala 92:84] + wire _T_364 = _T_363 & io_iccm_wren; // @[el2_ifu_iccm_mem.scala 92:105] + wire redundant_data1_en = _T_364 | r0_addr_en; // @[el2_ifu_iccm_mem.scala 92:121] + wire _T_373 = redundant_address_1[0] & _T_1; // @[el2_ifu_iccm_mem.scala 94:104] + wire _T_374 = _T_357 | _T_373; // @[el2_ifu_iccm_mem.scala 94:78] + reg [2:0] iccm_rd_addr_lo_q; // @[el2_ifu_iccm_mem.scala 98:34] + reg [1:0] iccm_rd_addr_hi_q; // @[el2_ifu_iccm_mem.scala 99:34] + wire _T_382 = iccm_rd_addr_hi_q == 2'h0; // @[el2_ifu_iccm_mem.scala 101:86] + wire _T_384 = iccm_rd_addr_hi_q == 2'h1; // @[el2_ifu_iccm_mem.scala 101:86] + wire _T_386 = iccm_rd_addr_hi_q == 2'h2; // @[el2_ifu_iccm_mem.scala 101:86] + wire _T_388 = iccm_rd_addr_hi_q == 2'h3; // @[el2_ifu_iccm_mem.scala 101:86] + wire [31:0] _T_390 = _T_382 ? iccm_bank_dout_fn_0[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_391 = _T_384 ? iccm_bank_dout_fn_1[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_392 = _T_386 ? iccm_bank_dout_fn_2[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_393 = _T_388 ? iccm_bank_dout_fn_3[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_394 = _T_390 | _T_391; // @[Mux.scala 27:72] + wire [31:0] _T_395 = _T_394 | _T_392; // @[Mux.scala 27:72] wire [31:0] _T_396 = _T_395 | _T_393; // @[Mux.scala 27:72] - wire [31:0] _T_397 = _T_396 | _T_394; // @[Mux.scala 27:72] - wire [63:0] iccm_rd_data_pre = {_T_377,_T_397}; // @[Cat.scala 29:58] - wire [63:0] _T_403 = {16'h0,iccm_rd_data_pre[63:16]}; // @[Cat.scala 29:58] - wire [38:0] _T_409 = _T_363 ? iccm_bank_dout_fn_0 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_410 = _T_365 ? iccm_bank_dout_fn_1 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_411 = _T_367 ? iccm_bank_dout_fn_2 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_412 = _T_369 ? iccm_bank_dout_fn_3 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_413 = _T_409 | _T_410; // @[Mux.scala 27:72] - wire [38:0] _T_414 = _T_413 | _T_411; // @[Mux.scala 27:72] - wire [38:0] _T_415 = _T_414 | _T_412; // @[Mux.scala 27:72] - wire [38:0] _T_425 = _T_380 ? iccm_bank_dout_fn_0 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_426 = _T_383 ? iccm_bank_dout_fn_1 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_427 = _T_386 ? iccm_bank_dout_fn_2 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_428 = _T_389 ? iccm_bank_dout_fn_3 : 39'h0; // @[Mux.scala 27:72] - wire [38:0] _T_429 = _T_425 | _T_426; // @[Mux.scala 27:72] - wire [38:0] _T_430 = _T_429 | _T_427; // @[Mux.scala 27:72] - wire [38:0] _T_431 = _T_430 | _T_428; // @[Mux.scala 27:72] - assign iccm_mem_0__T_97_addr = wren_bank_0 ? io_iccm_rw_addr[14:3] : _T_59; - assign iccm_mem_0__T_97_data = iccm_mem_0[iccm_mem_0__T_97_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + wire _T_399 = iccm_rd_addr_lo_q[1:0] == 2'h0; // @[el2_ifu_iccm_mem.scala 102:77] + wire _T_402 = iccm_rd_addr_lo_q[1:0] == 2'h1; // @[el2_ifu_iccm_mem.scala 102:77] + wire _T_405 = iccm_rd_addr_lo_q[1:0] == 2'h2; // @[el2_ifu_iccm_mem.scala 102:77] + wire _T_408 = iccm_rd_addr_lo_q[1:0] == 2'h3; // @[el2_ifu_iccm_mem.scala 102:77] + wire [31:0] _T_410 = _T_399 ? iccm_bank_dout_fn_0[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_411 = _T_402 ? iccm_bank_dout_fn_1[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_412 = _T_405 ? iccm_bank_dout_fn_2[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_413 = _T_408 ? iccm_bank_dout_fn_3[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_414 = _T_410 | _T_411; // @[Mux.scala 27:72] + wire [31:0] _T_415 = _T_414 | _T_412; // @[Mux.scala 27:72] + wire [31:0] _T_416 = _T_415 | _T_413; // @[Mux.scala 27:72] + wire [63:0] iccm_rd_data_pre = {_T_396,_T_416}; // @[Cat.scala 29:58] + wire [63:0] _T_422 = {16'h0,iccm_rd_data_pre[63:16]}; // @[Cat.scala 29:58] + wire [38:0] _T_428 = _T_382 ? iccm_bank_dout_fn_0 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_429 = _T_384 ? iccm_bank_dout_fn_1 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_430 = _T_386 ? iccm_bank_dout_fn_2 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_431 = _T_388 ? iccm_bank_dout_fn_3 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_432 = _T_428 | _T_429; // @[Mux.scala 27:72] + wire [38:0] _T_433 = _T_432 | _T_430; // @[Mux.scala 27:72] + wire [38:0] _T_434 = _T_433 | _T_431; // @[Mux.scala 27:72] + wire [38:0] _T_444 = _T_399 ? iccm_bank_dout_fn_0 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_445 = _T_402 ? iccm_bank_dout_fn_1 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_446 = _T_405 ? iccm_bank_dout_fn_2 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_447 = _T_408 ? iccm_bank_dout_fn_3 : 39'h0; // @[Mux.scala 27:72] + wire [38:0] _T_448 = _T_444 | _T_445; // @[Mux.scala 27:72] + wire [38:0] _T_449 = _T_448 | _T_446; // @[Mux.scala 27:72] + wire [38:0] _T_450 = _T_449 | _T_447; // @[Mux.scala 27:72] + assign iccm_mem_0__T_99_addr = 12'h0; + assign iccm_mem_0__T_99_data = iccm_mem_0[iccm_mem_0__T_99_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_0__T_104_addr = 12'h1; + assign iccm_mem_0__T_104_data = iccm_mem_0[iccm_mem_0__T_104_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_0__T_109_addr = 12'h2; + assign iccm_mem_0__T_109_data = iccm_mem_0[iccm_mem_0__T_109_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_0__T_114_addr = 12'h3; + assign iccm_mem_0__T_114_data = iccm_mem_0[iccm_mem_0__T_114_addr]; // @[el2_ifu_iccm_mem.scala 41:21] assign iccm_mem_0__T_93_data = io_iccm_wr_data[38:0]; assign iccm_mem_0__T_93_addr = wren_bank_0 ? io_iccm_rw_addr[14:3] : _T_59; assign iccm_mem_0__T_93_mask = iccm_clken_0 & wren_bank_0; @@ -329,8 +391,14 @@ module el2_ifu_iccm_mem( assign iccm_mem_0__T_96_addr = wren_bank_3 ? io_iccm_rw_addr[14:3] : _T_80; assign iccm_mem_0__T_96_mask = iccm_clken_0 & wren_bank_0; assign iccm_mem_0__T_96_en = 1'h1; - assign iccm_mem_1__T_97_addr = wren_bank_0 ? io_iccm_rw_addr[14:3] : _T_59; - assign iccm_mem_1__T_97_data = iccm_mem_1[iccm_mem_1__T_97_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_1__T_99_addr = 12'h0; + assign iccm_mem_1__T_99_data = iccm_mem_1[iccm_mem_1__T_99_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_1__T_104_addr = 12'h1; + assign iccm_mem_1__T_104_data = iccm_mem_1[iccm_mem_1__T_104_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_1__T_109_addr = 12'h2; + assign iccm_mem_1__T_109_data = iccm_mem_1[iccm_mem_1__T_109_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_1__T_114_addr = 12'h3; + assign iccm_mem_1__T_114_data = iccm_mem_1[iccm_mem_1__T_114_addr]; // @[el2_ifu_iccm_mem.scala 41:21] assign iccm_mem_1__T_93_data = io_iccm_wr_data[77:39]; assign iccm_mem_1__T_93_addr = wren_bank_0 ? io_iccm_rw_addr[14:3] : _T_59; assign iccm_mem_1__T_93_mask = iccm_clken_1 & wren_bank_1; @@ -347,8 +415,14 @@ module el2_ifu_iccm_mem( assign iccm_mem_1__T_96_addr = wren_bank_3 ? io_iccm_rw_addr[14:3] : _T_80; assign iccm_mem_1__T_96_mask = iccm_clken_1 & wren_bank_1; assign iccm_mem_1__T_96_en = 1'h1; - assign iccm_mem_2__T_97_addr = wren_bank_0 ? io_iccm_rw_addr[14:3] : _T_59; - assign iccm_mem_2__T_97_data = iccm_mem_2[iccm_mem_2__T_97_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_2__T_99_addr = 12'h0; + assign iccm_mem_2__T_99_data = iccm_mem_2[iccm_mem_2__T_99_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_2__T_104_addr = 12'h1; + assign iccm_mem_2__T_104_data = iccm_mem_2[iccm_mem_2__T_104_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_2__T_109_addr = 12'h2; + assign iccm_mem_2__T_109_data = iccm_mem_2[iccm_mem_2__T_109_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_2__T_114_addr = 12'h3; + assign iccm_mem_2__T_114_data = iccm_mem_2[iccm_mem_2__T_114_addr]; // @[el2_ifu_iccm_mem.scala 41:21] assign iccm_mem_2__T_93_data = io_iccm_wr_data[38:0]; assign iccm_mem_2__T_93_addr = wren_bank_0 ? io_iccm_rw_addr[14:3] : _T_59; assign iccm_mem_2__T_93_mask = iccm_clken_2 & wren_bank_2; @@ -365,8 +439,14 @@ module el2_ifu_iccm_mem( assign iccm_mem_2__T_96_addr = wren_bank_3 ? io_iccm_rw_addr[14:3] : _T_80; assign iccm_mem_2__T_96_mask = iccm_clken_2 & wren_bank_2; assign iccm_mem_2__T_96_en = 1'h1; - assign iccm_mem_3__T_97_addr = wren_bank_0 ? io_iccm_rw_addr[14:3] : _T_59; - assign iccm_mem_3__T_97_data = iccm_mem_3[iccm_mem_3__T_97_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_3__T_99_addr = 12'h0; + assign iccm_mem_3__T_99_data = iccm_mem_3[iccm_mem_3__T_99_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_3__T_104_addr = 12'h1; + assign iccm_mem_3__T_104_data = iccm_mem_3[iccm_mem_3__T_104_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_3__T_109_addr = 12'h2; + assign iccm_mem_3__T_109_data = iccm_mem_3[iccm_mem_3__T_109_addr]; // @[el2_ifu_iccm_mem.scala 41:21] + assign iccm_mem_3__T_114_addr = 12'h3; + assign iccm_mem_3__T_114_data = iccm_mem_3[iccm_mem_3__T_114_addr]; // @[el2_ifu_iccm_mem.scala 41:21] assign iccm_mem_3__T_93_data = io_iccm_wr_data[77:39]; assign iccm_mem_3__T_93_addr = wren_bank_0 ? io_iccm_rw_addr[14:3] : _T_59; assign iccm_mem_3__T_93_mask = iccm_clken_3 & wren_bank_3; @@ -383,12 +463,12 @@ module el2_ifu_iccm_mem( assign iccm_mem_3__T_96_addr = wren_bank_3 ? io_iccm_rw_addr[14:3] : _T_80; assign iccm_mem_3__T_96_mask = iccm_clken_3 & wren_bank_3; assign iccm_mem_3__T_96_en = 1'h1; - assign io_iccm_rd_data = iccm_rd_addr_lo_q[0] ? _T_403 : iccm_rd_data_pre; // @[el2_ifu_iccm_mem.scala 22:19 el2_ifu_iccm_mem.scala 98:19] - assign io_iccm_rd_data_ecc = {_T_415,_T_431}; // @[el2_ifu_iccm_mem.scala 23:23 el2_ifu_iccm_mem.scala 99:23] - assign io_iccm_bank_wr_data_0 = io_iccm_wr_data[38:0]; // @[el2_ifu_iccm_mem.scala 35:24] - assign io_iccm_bank_wr_data_1 = io_iccm_wr_data[77:39]; // @[el2_ifu_iccm_mem.scala 35:24] - assign io_iccm_bank_wr_data_2 = io_iccm_wr_data[38:0]; // @[el2_ifu_iccm_mem.scala 35:24] - assign io_iccm_bank_wr_data_3 = io_iccm_wr_data[77:39]; // @[el2_ifu_iccm_mem.scala 35:24] + assign io_iccm_rd_data = iccm_rd_addr_lo_q[0] ? _T_422 : iccm_rd_data_pre; // @[el2_ifu_iccm_mem.scala 22:19 el2_ifu_iccm_mem.scala 103:19] + assign io_iccm_rd_data_ecc = {_T_434,_T_450}; // @[el2_ifu_iccm_mem.scala 23:23 el2_ifu_iccm_mem.scala 104:23] + assign io_iccm_bank_addr_0 = wren_bank_0 ? io_iccm_rw_addr[14:3] : _T_59; // @[el2_ifu_iccm_mem.scala 52:21] + assign io_iccm_bank_addr_1 = wren_bank_1 ? io_iccm_rw_addr[14:3] : _T_66; // @[el2_ifu_iccm_mem.scala 52:21] + assign io_iccm_bank_addr_2 = wren_bank_2 ? io_iccm_rw_addr[14:3] : _T_73; // @[el2_ifu_iccm_mem.scala 52:21] + assign io_iccm_bank_addr_3 = wren_bank_3 ? io_iccm_rw_addr[14:3] : _T_80; // @[el2_ifu_iccm_mem.scala 52:21] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -447,9 +527,9 @@ initial begin _RAND_7 = {2{`RANDOM}}; iccm_bank_dout_3 = _RAND_7[38:0]; _RAND_8 = {1{`RANDOM}}; - _T_302 = _RAND_8[0:0]; + _T_321 = _RAND_8[0:0]; _RAND_9 = {1{`RANDOM}}; - _T_303 = _RAND_9[0:0]; + _T_322 = _RAND_9[0:0]; _RAND_10 = {1{`RANDOM}}; redundant_address_1 = _RAND_10[13:0]; _RAND_11 = {1{`RANDOM}}; @@ -524,19 +604,19 @@ end // initial if(iccm_mem_3__T_96_en & iccm_mem_3__T_96_mask) begin iccm_mem_3[iccm_mem_3__T_96_addr] <= iccm_mem_3__T_96_data; // @[el2_ifu_iccm_mem.scala 41:21] end - iccm_bank_dout_0 <= iccm_mem_0__T_97_data; - iccm_bank_dout_1 <= iccm_mem_1__T_97_data; - iccm_bank_dout_2 <= iccm_mem_2__T_97_data; - iccm_bank_dout_3 <= iccm_mem_3__T_97_data; + iccm_bank_dout_0 <= _T_98 & _GEN_11; + iccm_bank_dout_1 <= _T_103 & _GEN_15; + iccm_bank_dout_2 <= _T_108 & _GEN_19; + iccm_bank_dout_3 <= _T_113 & _GEN_23; if (reset) begin - _T_302 <= 1'h0; + _T_321 <= 1'h0; end else begin - _T_302 <= _GEN_11; + _T_321 <= _GEN_27; end if (reset) begin - _T_303 <= 1'h0; + _T_322 <= 1'h0; end else begin - _T_303 <= _GEN_12; + _T_322 <= _GEN_28; end if (reset) begin redundant_address_1 <= 14'h0; @@ -561,7 +641,7 @@ end // initial if (reset) begin redundant_data_1 <= 39'h0; end else if (redundant_data1_en) begin - if (_T_355) begin + if (_T_374) begin redundant_data_1 <= iccm_bank_wr_data_1; end else begin redundant_data_1 <= iccm_bank_wr_data_0; @@ -570,7 +650,7 @@ end // initial if (reset) begin redundant_data_0 <= 39'h0; end else if (redundant_data0_en) begin - if (_T_327) begin + if (_T_346) begin redundant_data_0 <= iccm_bank_wr_data_1; end else begin redundant_data_0 <= iccm_bank_wr_data_0; @@ -580,9 +660,9 @@ end // initial redundant_lru <= 1'h0; end else if (redundant_lru_en) begin if (io_iccm_buf_correct_ecc) begin - redundant_lru <= _T_286; + redundant_lru <= _T_305; end else begin - redundant_lru <= _T_287; + redundant_lru <= _T_306; end end if (reset) begin diff --git a/src/main/scala/ifu/el2_ifu_iccm_mem.scala b/src/main/scala/ifu/el2_ifu_iccm_mem.scala index cc7c0ea7..72e3bff1 100644 --- a/src/main/scala/ifu/el2_ifu_iccm_mem.scala +++ b/src/main/scala/ifu/el2_ifu_iccm_mem.scala @@ -17,7 +17,7 @@ class el2_ifu_iccm_mem extends Module with el2_lib { val iccm_rd_data = Output(UInt(64.W)) val iccm_rd_data_ecc = Output(UInt(78.W)) val scan_mode = Input(Bool()) - val iccm_bank_wr_data = Output(Vec(ICCM_NUM_BANKS, UInt(39.W))) + val iccm_bank_addr = Output(Vec(ICCM_NUM_BANKS, UInt())) }) io.iccm_rd_data := 0.U io.iccm_rd_data_ecc := 0.U @@ -32,7 +32,7 @@ class el2_ifu_iccm_mem extends Module with el2_lib { val wren_bank = (0 until ICCM_NUM_BANKS).map(i=> io.iccm_wren&((io.iccm_rw_addr(ICCM_BANK_HI-1,1)===i.U)|(addr_bank_inc(ICCM_BANK_HI-1,1)===i.U))) val iccm_bank_wr_data = iccm_bank_wr_data_vec - io.iccm_bank_wr_data := iccm_bank_wr_data + //io.iccm_bank_wr_data := iccm_bank_wr_data val rden_bank = (0 until ICCM_NUM_BANKS).map(i=> io.iccm_rden&(io.iccm_rw_addr(ICCM_BANK_HI-1,1)===i.U)|(addr_bank_inc(ICCM_BANK_HI-1,1)===i.U)) val iccm_clken = for(i<- 0 until ICCM_NUM_BANKS) yield wren_bank(i) | rden_bank(i) | io.clk_override val addr_bank = (0 until ICCM_NUM_BANKS).map(i=> Mux(wren_bank(i).asBool, io.iccm_rw_addr(ICCM_BITS-2, ICCM_BANK_INDEX_LO-1), @@ -46,9 +46,14 @@ class el2_ifu_iccm_mem extends Module with el2_lib { val iccm_bank_dout = Wire(Vec(ICCM_NUM_BANKS, UInt(39.W))) val inter = Wire(Vec(ICCM_NUM_BANKS, UInt(39.W))) for(i<-0 until ICCM_NUM_BANKS) iccm_mem.write(addr_bank(i), iccm_bank_wr_data, write_vec) - inter := iccm_mem.read(addr_bank(0)) + inter := (0 until ICCM_NUM_BANKS).map(i=>Fill(39,read_enable(i))& iccm_mem(i)(addr_bank(i))) for(i<-0 until ICCM_NUM_BANKS) iccm_bank_dout(i) := RegNext(inter(i)) + io.iccm_bank_addr := addr_bank + + + + val redundant_valid = WireInit(UInt(2.W), init = 0.U) val redundant_address = Wire(Vec(2, UInt((ICCM_BITS-2).W))) redundant_address := (0 until 2).map(i=>0.U) diff --git a/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem$$anon$1.class b/target/scala-2.12/classes/ifu/el2_ifu_iccm_mem$$anon$1.class index 0a034168deb2dabfa9750510de8a25b1cdf571b4..64a5d8379b2ba2ee3df7e06b4236afe4c468138c 100644 GIT binary patch delta 892 zcmZva%P&Jg6vn@4++LmA+g@!$8m}}d2|^BnM9Pz4pu6#j@(=i+l zkM$3P#z*=?gM$;%Da%Y6wOYgVM`5azLjw{%OOZ$hLW>Ww2ZZ zISwhwo~VQ}stStpOjRo6WX&`=pC*!QQABO7V5%#-Dep5CtC7WYvgG7mzig>i1|0-; z@c-WHWzcEbjz85t+4!AsgPd%bCKsfV#T)fh|SfwFnEuu=IeHKG&+@G5mK}zeZOKJuz(k z26Io?xkc+0t&s%>VqUK+TSR(XEHhCkxnxul?bs!;BVrYXcEf;zWAUL^yHDsV4Jw_d P@j?pDM6DyJoYM-@Ei7QD5ramR(U%%=4J?Q^My0vTv4|Chf!e4D=w)Ku zh`tgW4%QgVBy+n-+IPPHcnK$C4kR=z-JgPSJQoj)uILBO~Iz^4DnzY?48fz>KeaMh07Cuvpnn zrLvtiS?pJetMX#e%|xTwl!xixSo~x!S*2F^9IMCZ_wd2P&;QPkORS%vC!1R^G3W1S*g(-^T_<1H z)DT(-p&2ZC?+`*D5K<^1KoUwq2#|!({_oA~?(Oc)ofjXFAETMsckg}k=FOY3v$L~L zeR0=)jIoKKUn?xXxv6VhtfjOLab0sm!}hxEvF#zIC~RQEw&spl%Y<>wt(~#<*7}xl z)v>Mh4SN^2HFmYcLM*7Ttd{02To`ePX$tG@MH<`DP~TFoutC*4F|Iw<)Dmmx99Ki+ zn&z#o^_^YqvFQraw(RYUbtvH*bVWOSs}d+&pp2?mUf);I0!ro1`lwP7=&6-u2i0ap z(W?sjX_>+KN_UMJ|SMDO9CZ;jKJTXZT{o1l}Pxe=3}=({a? zS?+R^9;r|R51gPVS-F8(nLRa4D=R6}0@`*31}x_WF38Bt)p`kfta4{T{ZOSWIIJKN z)B@o^Zg%ca<*3|&nQJqZ- z**aBp{ZJIJmnb+DaAZJ~FIO9;^YYE4a*QTir3cC}HeQasS#~+b@N(1-KsmPZa_mGo zMj7#PY%t4_8SD^x)j_Y4zND8z4p5LHQbG_N3<8Jrtv&aGde1Z!p$=N0q|2Nw^YSXRF-pezd4mo3;hVQbB_;?-mO zP3byTi{`ct$j#M8ZCE|DY;;&FDIQ)H7`kg?UgPTQ3bk!TeuKs38?a_gEYyB96Y z@0+u3LhoRiI<##4)UZ}nJX{G3-BDLsxlwk;+JW$l!XSnmPz+ty5IoKN+tSovp({LSCT zt)J31dr4v6>`fD>9o4(@oj$T{&9o!BdQ-azZsqN!PRXvx8L+x_&5VxOdB*&4QTToT zk`cUv)9Z6v*Os=SXa_jLHP!GzPaO-OrCG`zzLhH^uoT|O}&@My^^xgFJ>Wy0SkWp9|&v{7Fx z>Mf^nGW;Ipira_EwazS8m%cog?9cJ~=LT|z4VXM;!}!)klZuBH6hw2gMj6o&1sUOx z)~j|=SzyVcNvH>I*CSVt8IT+3xe@JS(}d02dkj;JD4*W3NH5%7 z+;7U3xuwN@6m?otMcy&17H=qNSlb(VSBiErCOV~McHS|odu&o74*!_9eZ%ywGHq1u zk^$43VtR>)1L2+J8z;n$oL1Op@qp=@x9g34a@HxivEGHNmkyY)W#bCeXLRxrRe^AB zg@dmmuW-b;b<;Y7Rk>@j*G+G$(mTdEwkG8z*d^y|8%x zm~02VJWkIBy_dybepK&qWqRkL(qo2?>o=if_B^VOX-#X7>xq1#n;Z1CCFA-{-VFWv zY+W<8AUM^LKleYwRUT?j*;PuO*ABD$b@nNn>$TVTtsCL*PJ66fSlqV^cCK30IUjcI znq8W|rbiY01$y%O?%mm^J~*vpw$9sE6XXlZH%2#;ebB$O1;^y}-OBBPdO|%HRKX5| zro<|y749(xOxraZ@&%e3pXACkWhlyz{?yo-vuXVH*s5cCFWI2vIqGHJl8w_h*Gz+b zobtTiiEdsr%_wo!7q`RW@mxO%{fLcv)R|wbVucafiuPZo<$CF`JIb{#%L11_ zi2CS6zY-YXEI0Lk^N#Ah1ok*gw3Cji^pe}`9XnMUp>~1 z-%^#AzsFdAIQ$_u&+1o;dB0ZCrL09rw(r!AMS+0AO08M) z+&w#5nj4xsE84d2Xl{uu-O<_H*4k0%zN~1e@8}4zEKG<~%Y|6B!g@-C71qm=+)>|f zWc}7yVR>6yOJRsb6xPG@Q;6kZX3c+>$gQku?Sxz}u<#^q20ZeGt6~k{=&LZ5QVJX5 zWoT+|+YXr8w(4fwB-FQrSbv2@yi`YVEv0Ri)y<8a+d^!R!gAa+g$YmYU? znhFb{R7YV$TMJ4+$q*Z>FvH0bV&h>zFQ3BtI++T~+S}W9mvwZsQT0r~jhKU=ut0Mg zFsiM(D(@m=;2tZZzOk{ruDP`Vwzhwzs>g5H{I@Ojtw#!mUi@B=U+-?OYpn0AuiF)a zV74s?kAU1j=k*Nxf}_sD@0q*XW36?Bqp%U?O(4ooH-Ts$R(#=q2$a+%RlX$JgzQUzE^AP8@?=!)12Lzpe?^&5kp* zw08laeS94^IGH}~fV@QXYf1dqh)Wcugg?7U%^>B)Nu`0H zW>m*}q@`PqK!ccmXcY3CjN1CGb*tOjQNKzR)wt}McLZA%DKyG7ohM&nZE$cWi<=xN}$#{ z^mG=nrfMAwltGswnN?m}?gY241UOwe!Ly0GytZt9-MppMl_-LJEzt7mntm#+t6EYy zcMVsr&6}rFHJ#CwP9Jz<X#Q&~mD+?pD08#KuT8Z4VYE0xeN zNF?wwT|95cgfN^;qw$zCkic=RaMlLR;;b4#zi(=l$SwUn&M$@#;-XV z{|Vi3-Rh+)D$#RrIjebaKG8*RzS^a=W!2Pqqvi<0zP7B8vtlkLeYI%y=2R(@)Hd5t zmYEi&2%A^J617!}=dP%$o;x4-b1@WQqTkdaZubjC1RIYT>6kItcev^79x|dSMNyskyb$Q2HntgoZ_-{vL69rn{o6wHB5t3@QEK z@r##MuB@J0NXrB%c#+EhWniY#U%@Jn!Edb0HHQpk2*!pT_3icBV_07zLv--!TJNY- zQO$-jR5^?kLvB6dcUEG>O&N|#+8XN=^{%iv&Pp<^p9tx+s>gE-Wu!7Htc*~KFm}dT zJMa*@tf2w-(#`yKe3BF2BbpMmSQ!(B9mc{lajUGb`A#5FP)EtX3i5cRgsg-9&wwqr zwKZ1MH*AZ+`G5 zGfj_)wPq-@-NnPNrZ7^8!sz6MyS4A;_xl+NdRV7Inti8RtF_wV`!!CI+nDR&^%1?1~A zTw4N2N(AD*Al7s;lx-dea99vXN+3|p?q#7^LqmqL-2($I3kFFE3^|6?33fCeg{p4% zK!C%7KvDt$7jTsx*Oma15&?LDV+oN~=`xg~JRsn)K#-Jxz&Y}{;#<0!>KfYG+p(rq z$8B)D2MU}Pl+>e2Jsw5ZrT`@q^Dgyx6kS^aQjaS2cobb*0#c7Eh1-ZlakFGtzOL)& ztnWnq{J>p2VzscO&Q=Qlan=uATLMzoDut)G1maFaKS}HXziDm&I*7QVAP1Cg{Ag$?%o|>j>O8`l=J+`AoVS`6j*-xqXv#3$- zODx@Q!5<#-Xe5B?b@52&BZBFv#SP^Z<<%^vE3YBPPHZW~F41b)gI$_s(!-OAvTBT@ z_RWd?^kkif^z}YJF>^-g2D(dU-6WZM7|NfOzff`hN)vzVo88j6rLGeXU$)hEV8SqH zq}zB2whZjRL)2WaAhI3p&Nd~Cq5MtxJ1Ox##w0IRT~k|2qq!B=sT`*l8p=O~=!Xt9 z_)|GifIJJ%ra|OiLge3$B5&Q+ZWbBdU_EK(?&H2nFn~_^(37?xARIbafH1|>RHjG? zZz!KDUyxzGq*?8**e*Qx#oc3b=U&|FG~f|*h(E5Q>@x+OKlJOF$XaLwR1Ou;P-@rj zTj_CRu9pOX3~r`CCcxWwZE1%EV<@5C*3{Gy>%_W=O$2YY0MEf(uYFfLHZa6Y4Tp?e zn9!iay)*Z~QZ$oU2VsdnTjtMJZHw8@jx%VjR4i$VBwx)`smd|FIrTwpU`fUC=y3W$ zv@-&|1AR~ffxehgw(r_r-*F`NVr;MPY(VRnmeN(+dL}rIN?7Pa!~xg`pEdLM=D``W(|BqS&a3 zm4{Fhk}N$&FtBrb9Mub^2B`gb71T|_Kuc}at|?GZFB3$);75~PD9G7;x+K@i;~#cj zHrydPpA6gxObJY-LQXSS18dA=fxry--N;c@25Vwl=^ASt8P;=tg+(zuI@~_KYiYW{ zwy|aszyqdUmOx?4QF|M(RjsQtMk}BIUS$O42Ihsqhi7oQ*c5<=aS5g~E94KstlbiIg|VIJR7bjYNK7BKN@45W zw6Ag0C-efTYSv~7p_Mwa3dUhA!s;sxwq2xF8?05N)^Y=`GguqjK{nZ7u$|(UO$KWh zsm%uK5UDK&>lCR*gLR2ilfiZg*=+{fEoes=Y>%L|7;LYgwHoXwk=kLfqeZISV8@75 zr@@XDsa*y;PNeo2?0Atn%3vo5ykiXZ9g#ZDVEaVs1cQB7@a;3$i6V8P!A=sXlMQyV zNS$J^?}^m+4R(r1oo=vGMe2tJ`@Tq>X|U5o>TH9ZF7i0nU_TJ4^9}Yxk-E@eXNc5A z20K%vE-~0yB6XR;&K9X38|)mB`ia5L706c^>^zaW#$e}*)K3j|fk^$#U>Azi&kgn? zk-E`f7m3u(2D@0K_8aUHk-E)bmx@%k!7dZ2I}CQYNZn`IY($Y58A)FTGFTBIH`*fk>cgu$*AsizF~Q<3_G!LAdjUmEOZ0{K@4yI#IH+{AX2|E*o`9fTZ7#sQolFY%_8-(!EO<$R}Hpbr2c5ITSe+m2D?q9-Za<&k@~a2 zx<%@*2D@FP-Z9u6BK4lZ?i5=6-C%c#)ISV%xA^s+2D?Y3J~G(7BK0qW-6vB2G1&bg z^}iq8GuSVLM83hE5vkq=`=$7`ufd)bseT6gl}HUR*mEL<2NLKS7aHt& z_G`54V1dD25WgH|uop#Yn8AJ{QX>rZl1Pm**l$H@w84HSQezDEdyyJvus?`YiNRhL zsR;&qMWhZl*sCHn*;sl^6+N2Hb->|K#sZm{=6s>Wb{6Djn7e-|nAfbWacT7&&V zq}Chk1CiQjuz!kFoxwg7sd|HbBvK6q`&gu62K$#tZ8g}xMXK3g{}HJp4fcshZ8z9| zMXJqUpNiB@gMB7a9R~Ycq`D0Dg-Go-*q0);7fS#kzMCw>W35eA3h7uI1?-+_I zQr|TcO{7jT6kVjgho>bpVDJZ0@sW&geiIwx#E6lSsd}kk;-jJrDZbN9Fb+$SWqfy? zHBPOgA?>gf?DU{kFb__`73Yu^f_CB^w4@eO%90Y3x1@zKrNC%!^%J_MWQ!MIbP_c0 z#=z8|eA@`^7xcwu6*-xT9F2At`aq*ihd$V7FQP9t3r$+}uyWjTQjc?OXcQUp*^}3W z1t0b~3Z4YeqkJ+^I!77?I5smj(d&KI~ ze~Hzn{}QWD|0PzR{!6Sr{g+sM`Y*AXc9r#8V&AN_sa6Pr;Z}IeWvd;FFEJj(xm>N!F`+xAz`IzxaO0gXm{(lRSuiVoR7{N@`(Rbvzi0 zJxNJg3Gt1_0!udBiao{V{$s%=8?UUb$5oYrM>c)3cxYRi;F4BSd=s-^8Y^+LBzv2M zfUFqiHr-Obnt(n8Y_^hdetmAUxiF)B{IR{aa@3Iz3%DPSq z)Uo;c;AOY=WDCv|y7H#UH!KTQ*-X*YfU}CG;=>5uHZOBH6PQ{(H&lvB7vrs98zpfj z6UwnWT=2@;jmayDV%59M*-b{imt4T5s7l^9&=&K9W=x#4^IR~>+K-trZNffizV<%# zgTlpUwJ9v<*wuc}tZS{!?t(Lg_Y$YubyCzdFboUsCYTnqm&>+?G#^2Px*D zUe*+IP%mqWIjEO4#T?Yjnqm&>WleDpA%G4(|?II zgma{_$xbtM>e5wy~!ikWJ`Y5 z3qGlV?Du|B>*O32lVuhk>XK<4e_4pvr>t9&yi+7JlU2U^RU^SC8;R{VkOYgY#vLyv zCAUQUeWm0&xnE-ve0g}tZtbBmPwOz)BsLjOoYZ01X5jdl?-elE6gHK99BHs=B8B%* zdN|lt@xwU=yD6SMAG7c%X*!!hIAawy3cO;U(ZP@BnCh1|o};<<$Y8VBY|63JU}Ylj zi3Tedv`Gf55GiacJ%l{CZKzb00tGu&oHh|ha*TD@#K)l=2Ajv`lfu|-k<^@a;i^QD z$_=(iq$&+oEmHFgwpgSV7;K5CG(1;aDrnUPTPA2r47OaPmKkh?NUbnfjY!oRtX4>@ zGT2H{%rypEC4QxMe;vi+Y8h-bTSEoF9trs*rj@uw;cpSG;(C|2J zlc3>oT%DldaolE+!sEDlk;3D+Eh2?I98O@71OUd{0N|?uGYfV*lyar(r=`E zM=ADgVApD)*mbHjW0PeZ*LoMJ3g-qj)YD-!we{_IMQrY#h8RD)DWrY}?L#dl#lMT! zp{)jrrWI08qRlE*@m5=j^GE1qIrV#C^gnna!s==24-F-Rm+X-5nXprwO}v;GQqP7N#an)SucVh@y7Nso^JPY7ZYIf4&sEP0 zE7R2TP0i}NIe5NWH{CDR1uV2&tE0FPP{| z%!7|Y>W|SF%?`$-(QAL|Pcqdj)GM(^$b#hO*3fCQ9c^9h4YB6drnYf4JXzh`3MaTa zgM~;-hmz(Cd{wkf2Tt_UuzHPp9rjx`Zx=^$(c6y0odT(ha=rTVFjTt1mr7O8E~MUs zuEA12$uVFd^%iV4vOD=CT-+kJW~%$u+fc<+tOhihNscC+L{M^27%Hq=y*&)9JFpqp zQqRp8Qtv|DH@4N8uY|4`Syg50n7ksW)YEM~HzZ!Swek`}m3ohQZ&LZ3ST{Ni2u#+7f#u$h99JC|AFrw}3 zs&9cDy*|m)i1Y1U$UfqV`l1m@`y#tQqF+{K36-987>0fcsn6kbVDa0pv9TpEi+X|= z(GysPwa!orsV||wwP7tX_oClrs=rl#j}y|Iy_CgaAGBtk+J+u_p!#wc60e|)D-HEE zbwrpAQ2z+k;2oHZiT@S0DPBpudhN^)wUTTAVHEXG>KkG8QWZOsrjZT-_0Mz(=LwM_ zTk5ev*`Wg$vLU`M9o|;o39HYm@0yv`Z{K04e-pI7!#;TZgZltt1$#<)8gbko?Bm7? zOAKX!sL78FWwA(M7rlb_JGdBPWs;dj+{<<;{u&)Wr3@HsmO7qH@dc{W*Ay+JF@RCc z$9r)<0axR1JEIEK0TcKhQqHHckL+* zX*kj_e(V>K$Wyf*nOcq(L0>@qPzTkh!j{F|>}#r2El=x-Zb-{Fl$D~e*aG%6Z(AC+ zfITHr{S0M|_;rB7j*2Vc_A__)#qhUOT(- zf&)J_j(nv;R4O*1_qM&MJ$_UiRbUP*-PKy(zLy$Q>sCV>MYg$A!##w1YK2MqJar~q zPs84|N&$?$Yh`>=q#?5^kwQzLo*ctM`}Piua~QB(qrdk!!|8^0xHbvHtOhT$Csvq^ zhcqf6FOR}n;`VpJV?HFSK%GBRvFrKZAMs|rp@#k0fo!6dfu+i=9Y2u znp<$*rZxvvVB^Jq;67cRsg-HifwxH5XD%J1@cS@m^J$#>8pl}MTdGL+g)~{Pb#fcc zTVk8dhN#BWRa@-pU)>OC`!O@fA3YDCXVihjL4uc&TqyVi=~ zRBOX~BPDocL+5iTBmyPqi^LTY5(8n9h4Wbu=314F~D|D@d7`c18W}SNh3y2R|R0`E?IyoI0usqcNK_VcqN>d zshz9g*0PtZpN8;-xQR<#aDsirtl*+d?MK?hs45{Y-hB&cm(l?wuCc{&@-5Hz@=P{S z!^;9SqG|nvTrVFtgK<7XyPDtQY1d#eMKp(>;+T@c?ai%S9feylSmOPZLUcJe*9FT0 zyjm0HQHe7v%VEsd^bGB1+Vx@R@^dWX2wiT(EpUA3#Zb~1Gqjs&?0sIlh2P4{Yz2Qw zAkUAr*0$H4!!zwp?v603@lKre z<8~0o!KVkA5{ld`qp*5mihHqoCFT?Nr^Ln#WV4WX$m_M3I=I~!^P~Rp2Iw8{XlX!u zEX-8xarAh2axso?Tn%YYqU99Qq7>fV$`OlF{1;l1(w^3Sk)=JQJ%g0G8?R$&xLb=R zxx?eD5888KHdK2aO|ojK>vdpm(RkAr2G(BCUZm;OZwzItXxhIulopZty`k(Bsh6>- zJLPR0KSLWgaYM9MaTBM#hKb^8F%7fYtv%{BHMh5Swv00+wAZyih1p>34TX)1n@-Lg z*WDb(U(?>gO``T^L)j(t#H%AyL}k4rmK6$jv~+bC+IwQW{~H#CEPYltx8sZ`?R{Dl za?yAj{vcEPhxSi;QQZ2?x^uSatpOEsga!AgI2nzM-?NeQ!wbgO*j_LZ@$8G=-h)T#z$G!LZGHc>8om zPwVHLH0e;Dpk(}XG(D>K3+sLL{wOhS`m*^_SmE}DRaJ!@JNQXq(!&ZY%UX3tIZPS1 za9&qy1Fa>OSO~E1AboHiQ}iL&1(MM9dTJx24~4048x_OhT!q_LHE?g29n8EbP0G{{ z*D-7u4fU<%T^N4n;p+uSo_ygYf4F+XxC#d=>T;?+EesGW2?n>*X@Aw00`a0Gj@D8v z+1iXgQ=dguif2vn37KOx$*NC7FB6qgj!LP>V?i?8K=%l3E*`~2<_VGc5LuANR1%42 z;#lCZBo57K#Mq!O(yPg779+DI2Xh)O6496CF^!ZmEdRrL04li#4Z`l5iNvdNWu{)M zufoJs_zKP!*oN`EfqxGpq_3fgspJpL5WNm{x}$A3)*nVz zR*tGP^m=_u7_1HG6fhOW1gf->N3^t7>8w6MhIhpDChCv3x}MsIUqd<`KV_f~Usd1I z6*KfBk*R)!jI= zj&&x>Neq3LaI4*@={Q)+K5%hU(aBxAFUq~hPKCI z#$~qx*P>Tk-{Xb8CqUotPlsLNg@&LLHCxeyd-E!dR*~IYRm+uH`uQgvouCc8!Xe zF~)<-!uqB9<=(nDJYE-9=*y^+`3VY+Cy4Y&)$3<8tX!SPvh-`5cNy^&m^ju?MZI1J zte@pEgX-1%<1TG!YXc+++_5lRX4Y9?oMq)RKNElzR-M$MaYZ{cdb#;CBT2 zQ&=q1FUKwiarun5S|`PEpB2^mD}X$g$0AgB;-f!Jc;YWvo`_4nAT)XrlE2Agxm1aX zcLg-95rfF@GQoq}te)zIFj9DHewEPfRYN&Ww4gs4%6CQTPlj@`NWBT0qK9j1Ut#Ef z<}2s=Ukv3`@#EWua=J*pYba-l)Zd_n)vWkR71l22@(;J51I8^-)E?hFzw&ca`F2&yM_HH4Cqhj0UMr>o-35ayZNgH{uhRF z0jGs9NUr6%hXS;qh-WAli61mWxm2V=^l$|$jXxHbVMDo6qzps3Mx=5K zjtkf#3SVI;zY(;#-ljP@jx=8&EdWwg9?K^c+#}_bIB&J!#S}2KB#-qX-arYa>|&V` zi9iX~%Ec8Dfs#qq6%v6Gm_q!9M4$v~JK_q7KnWfai7O-mbRa0dqC|iW{uEb81n5*x zaYeb)xt!t(i2xnCDXvHtI!04mkuG#brnn+q=qyZeMY_=Gmg0(Zp`$Cs73o6fQt~TG z1nB%paYee&;gaHtbfJ?Y#TDs7$3luL(uK}^6j!7R9q1^oNEbS-QCyKObQGhwB3iiYwBE&Jz?@ zNc0mfYTXZ)x9y2_tPqERheGFJ{i3UNOWU5>wkmqc&`Wj~S!IMS2wfNsogey+%Xjz&;B0n*-VS;v*p^X%&YYc6+`1PlTR;O(yzMmOd zy`cTv&`uJm8x8F&@$1cocDA7HH?+I8dq@JK?}H-MZD@~()E$QQs7T#~p}4Ry)?u!Y z#KbxX78NHu3u~@)SnDXUM!_ZI#NFKD`W>~j z(nPC-*!;DmYx@=)0xu|e)!4SzvI>X$(X~m8h=MP(4vg=>?POIe?&SFnJ32c)EcTM& z87@$xa8Nqee^oO-x4o>jwG9XQV)+J)5e%B*On-q1QjZ!s;l8T11-j5$AZFK`0`*w| z3owayPJlea9TgyD!rpse&(&aI>+cG8eIMM+&6Tf3KtbIKP*VqzkU(@f{7;9hC z@7Nyey2eIV`+6>Z$6i(YdI7GnAJx8Ih->UhbzN6Gt|>q4P_=)jYwT0ydSjQWi;lgj zoQ|EUJjOm%9%Gj(kFiJ9#gCn;_BH8={i>V~TT^+Ajj24wwp1QtQ!0L<5#+h3@zSxW} zG2=_k_%buT+>CL~7U#z)TRg@YTRgtfjIT1|tIhZtGsekUoDb(}@ffFS@fc@n@fas+ z@fhc6@ffFR@fc@m@fas*@fhc5@ffFQ@fc@l@fas)@%T0~K48Y(W_-IDIHhf}k7 zj5D)%e78xz$Bgea#bca=#bca<#bca;#bca)#p4&u>le-VH)i~j8RN_= z&W97Pc#QL|c>D)5e%Xv)G2>Uw_%$=e8CRSiCtUFu=Uegk4KsezjNdZjKbtX5wc>m@ z(~8G9(Tc}7&x*%5&5Fl3%ZkT1$%@A~$BM@|#frx`!-~f^!HUN?zlz5=y^6;lneoSF z{4X>9w;AKiD$a)!t9Xp_s(AdV8GmNRpPTU)X8fgy>5MAk$MY5u<9sT99W-Orj5RaX zDOMh0nY3XXGn6O5eGJAST7MsNm(rWD>d{5rN_27YV@xZ)dA~BasHajex?366tsoq? zcyv*5w=!XM@y!qjhMq*2#gxxkUP#FfDbqqvfuLhlV{BmPX@o<7tl=xT6P3e3&0<5b zdvP+xGUTRYDpRoN{|lrwMag6lD1}Y8->6c9TuRNbm71AKDQvs-tJIuBu~dOesWMxs z@>EJ;zpr1V=BBUIJX@*xsg%OjRliCtJQSr0U6xv8D^;CJDeR*4tJEP`%B|EATdAd~ zl*0a5ze=q*6iW?rS*pfXsy3BU*yrk3sY9~VaFpxsm-aB!dA3zRH@l6OKq{0YDlFNcEx>*O2urY zno=o+{XBk^YCe=Mp|{IYN7zaonMx^aE%K{W>!B#s$E8%8t<;WGN@16iU!^(@MXA0n zr8;e;x>6~HElqxvI;74s>QZXAt<;`WO5w4dU!{&d6iZEXDRqpk)Um0Q!jlreN}X^h zN-c6J^&MNOeW{efLz{0@scM%}C)!G#lu9W)Kl(-9QYnQ;mfxsSwJxPDww1ahl~QFpSmAcAS>grTV;mNjNr4Fe}Smmm| zYi*@|no21=WcRDoA$19>T}u7TR_gjxO5u6GU!@Mor`$^2U@LWFDy6XXz^_t=v}m@* zWvQEOrEW>36m~&;i%Q*UD|K5crLg(JuTqEPQ|n!p>b8}-J(W_}2l9<7wZWy-owibU zrBVu8Qv51)NRIAS>KOAXQmU`M& z>KCb$!ltfoQK?_rNQd?jTd5aQ zDTOU)ew8|;^{H(xrCzd?`fYNh6y7iM`@r*2Fqtn|j zuPcAT@06mapY#kZq`Zv%2?73$EHeOd1cV6Qu6jdx)68KU7>QLYxEO@+rMi<`^z}K++)z7C?a$Y~5IqTewqDkl8@| z4dNLdCa<3n^6V1pr;xI<>Zg!Hjtq=4t+&CnUYN>2<|~oIPUJQY+ocExMw_Jw2Pgw7 zML1B56d5uc7=x5$$ReJ3t_qO|m?L0|fPsuzDlgmNawU9~ZBhWc0=Q>*>SwwfR5*sS zeyoyZ*d@ylC9~?sQ4V-egQN$&EihA_Taq4>H3ep&lE|Oq-SBOJIX?#>v72{+z=~yo za#Oeh`jAgYif#*3KF&f#w+9wbl9Um9EP_nQN*Ff;V8pClB~TSuC;_Y%fJ*^b{S^V1 z1(ur*=NKRk1ZLhMEnh-CV^4>@sV=a>^ku%$LkZLbYR&qNL-#)rnByNBBcuzGwMZ*t zm9NTR+oi&5{0X;8h1dBLZVRlJ_|Qgw!aJoo*ZC7}mkQVW6Yh`-H~16olnTfE33o|_ zxB3&_CAD_5KjGcdoR9P;yhobzc7MWqr8&3x6Fy2RywjiX(Nf_Kf5OK|g}eL-A1f8! z?N9hPsqkKZ!pBR6kM<{gg0!BG^(Xutsqpdsg!f5>zvEB%yV5d$*Prl-QsI;Q37;et z{+>VKlcmC^`V;=1RQNQ1!ly`uf8bB}RH^V8{)E3T6+X+K@M%)vbNmUPF7>eU{0aX+ zDtv)I;U7wcf8gzuKB{h>eMd!)i2 z`xCxbD*SJM!uLsqKk+Agzf|~Bf5Hz)t^K(_;RmHTf9X&7A(?Pc@hAMSR5<8Q_z|hF z=1=%hsc^`j@MBWpOn<_U%c?4vrWV80FVgx z^e6laslj^r6MjZ2+{d3Vj;xcY9rY)SBU2^9{rw65N-8|ipYU^1;lcidpAQbP)ei6n zELzcR!J#)upI&&L&afAxIT!lN`9-PlaDT$TkqVFWC;XCBxX7RIZ>7S;{)B%g6&~wP z`1exb@&1JWAQdk4C;YNhc%nbySERy|{0YA*6`tZx_%*5UG=IW>lnT%AC;Ylpc$Pom zKS_oCJuqc&NQKM&<@}~pxYD2STTQDH; zQsEu`gg=!ExBC9X4`ds-Hu{I$ft#~m;9kr;{6`7?P_AX2e@O41u;3YT$oe!O&y+(pqyc%B95R*$ zBd_sh|Bwkaey&{0BmF}r)cARFEw}rJOwjUtxt4AIArrK`Kn}Sx4af`S zkR542{zwkll?LQRa>(6jKwd0|+?xjEC3484(}28G?w7~k>6%kTP!OlUn<$RSTk1M(+w$nT{Ad8Hik)HEQkl0%-B2ISRp$RDHud5s+Mj5Hvx zl|!DD2INoWkmsZUd7ZrVoaY}hp^g7c4tYTukk`v0f0PE~&*hL8rvZ6`9P-jMAa9gI zUY-WzO>)R9(tx~K4tZr7khjQP{c8V^30?4hIpnozK;9~cye;1T@HCm8jyF$A#Y6s@=iJAfixiRl0)8}2ISpx$UD=3yhjdscN&oQ$|3Jf z1M)t3PjtV3$b{kSez}$p`iD&Di5`$cKAZ;RgL246(|~+P4*7T*kPpitpG*Vt5jo`3 zX+SQBhE{I!3`1Xq7j4*6mlkWa}WUrGb=X*uNY z(t!Mh9P$roKt3afd?gLYU&kV&`9>O$&&eU*N(1tFIpkl`fc&)_ z^6fMrUywt-n+D{Ia>&1>0r?ww=k~sT$b`=AB{}2=X+Zu~Uhoh7Lnic>zmr3LoCf6Y z<&ghQ1M&}Y$WPLMd|3|pX&R8P$ZPy_|Bwkz^r~FTFa1L%)c9*s$e@x2kS%8A=244LM|H8jx>FohX>)A2Pv--jZvX?H@A1iT*5y?2!iKU*wRvX+Zu} z4%sse$hYOy)5||(LiM~OhwPIEm|Q1M!|EKz=TV zoRJ3P7jnp1X+VA{hfL#)DV50~%MV>kRgpthrU4m{L(WSBGAM^!kOrhGhg_Hjq$Y=~ zP6JYxLoP`JG9-swmIh>o9CAe(kePDG+B6`;a>z6`OR8CN$TT)fs)iggjm?s3wj6Rp z8n(=lLvBg~vWFaUa~hBlIpmf!AamuAjcGvU$swE4fb1!U+?EDpz8vz1G$4D)AzRXb z>@A0EO#`xz9CAk*kbUKl?P)+p<&d3eK=zYE?n(o)zZ`N;8ju6zkVmBfIZzIHOd61b zc|sbHL*$VA(ts?GL!Ouh`6*wa|Y3+d3otHg&l7 zgx?H)2D|t5?{O{qz_RFlbGO~glZlW9^R1)1sv5g)xx z22v>nnc)QyAE}jrOoL74sWT;po#O==mDDD9vx`+p4O`&_8J!fQ3?Pf7AalJS#VJ9m zr6BXYAY+q)kh3k8f>e1y#-#*VB5gB^ydYB(L7>4>sRoO^!qXCk9c^ZrRD-2n5bVF znU@rV8rCXlG1hxQ7AAr~c(uAg(pzux3X2bedab`!n)7C_u=s$dS9qN?=Ph1g@%c!v z@Oo*^jb35#nMbei25HVsUSaW-I{h$H!s2T%Zng6Q za|82C;TXPKL0@bbQna@EA@Qkz9z}PvQEQ8CSN9$WRE~c5TBeVF82d97aQ0yXz$-o< zkj;Xhu|6Rds0^t`C;KwN5c5L)D9d8X@|XjG>aQouNK`#mJx+|P%B?KS zbZGu|QMY=c)_+^KdMZ*~i;KI}Gwx8&Dh}SOp3|*fa4pMRGNxO-`2GjfOCL}#j|>m) zSFgHTy=HAs_1eh5Na^kB&$`tcA5d=wdB1v~TfLKC-rcR85Gzpkv3=|uc0OWJZM97O zk`>^B1(c9_8r(qL_a#2*VG{x&^}&#O&X=r}X%L9O(c9_?^r<5L1TZt8 z9v_K-5J7!DqyAFVXJ9bPqWV;t9kuu?Ni9DAfciYK*k*qrx!J+@8?{k&SiT^#B8BDm ztAFUkviOTEo1>D;{8gS!E;~KB%v&ynj!e$@o{Mo#a>n;>M!jh) zpY?$sjbhW-OvJ*UD#@Sv#NCJdX)gDl!ERR(j+W>@&x6_V84_{(K)%xlzVi?6J1TtV z1MWLB;XD82zB2;8^P%t^rP=Zwq>}i~M-JcNeSyn&{^iGa{_|CQr!cZSxjFBbR%&6S zA-RaNP75Qcs!Hx;g^|6%G^t^c1u4t|2y+0^GLpET3u|=>teY`9 zFJP9VBS`C*u4QuT&1VC&Ft;9KXIiZcDS0c?vNXf& zp`XI=Lp}66`?XxBu`YL%X?SFA(lTki9QNrWu@4Q8 z@Q6sCqQ3GvU%h$)%MgEJHhtIs|13E6@tf4&fck z&6RhOx%n`wLtuN*A<*=>5>+w6?gN|-|GdM7qa&3`ZKz_5b${VYEY*lFU{zX5vC3ph zjkJ}z!c=N|Ql*>~Tb!bo(ndS9FOJOn3fhY`BBA|uTl?+Fv@f>i>TDxwi%dES+Ky$a$2V^QdGw&$jw3_6W=5IiI;-tBj05PV+Fq zI~C2KTU&suvHcy!D2XgiY7A}B1M0~Th-(f(>y`kw4|jKKOWcjCBvO$aEn&f@#8E&W zo$?CXw7X2lD2H;jRJQ%vS|ry~(z<~uas8;3X18pw;fF9*y0v8iz6~~cOWisU!c`nHK=Bb`-0#Ul&Zlwm7kf) zz)g;!W?Rak#tpeHX`QL}LxCf3rw8xhqmu|G~k>=!#G{D5iiI(K! zgyzyamtC10Q$96?!q+92aZUxnc~)}H_gzJspMnuZ!w^klr&IS?k>1H=Fl?r<`K-u{ zsA3a zft9nl>OS@!`y5L?)@|Nu8pH8rTWdu?wrn5<@Y{S0;6Xmx+w;#nKB=CmJjiAR-efa_ zIcyeh^E7bJ#lXFj58R~~xZC-_jnAZN9W-#WcQ9~wih(;g#p-vEN-{s~vcE>Vn4u)- zccS~Xql@5`>b>fvYlEX}9?*{M*1prNo#^d_ghpaiiQhtMy59zIvZD$8VoB$8VnmtNZMe=KTNdlV+n&nu9)RHu|JF zu0HAecAs>b-6x%H_enqS-zWX>(EB8zk=Z9{XV`txnT|dQDs+6DWyOVFF@_iC4|4er;jKpLa+mCl*-{K&ZEBT$oLf-pZaAvq)N z0Imh{bu`E7)^4a-tJ=BU-`p1X$&Fev&rOr(R^>OhD!yDVf0&@{_nNFK#e$#a zG+9;T@Z=`j@33uEiqg7f7FDU%Xv|9Bw-RI)Yw$eqfOdPgb{Fn(;-jdzF`th${{`&t ztcrUV_uN2FYurXE$+&&Dc8__#a0_PmG;SYjSHOMJ#nf12RZ>f+Zwcplz`C=%JTllh zFvlVt$z|_HLF1*;aq|*n;t8E-hsRo_VaFyb&4YGnUN=i~gI$`3G4cJ4=DJ&GicC+g zj(mpMl&X_HNa>p*DHd~OrEiMYCA+~c{SC=V|A<}seRwKIb-BbYy}T~BMOG#^fV(u3 z%Gu^nZ;7qml4R;VYOA;3teoR)^(2+k9GRJ1H+khWN0ufRIcRa39mP4$F3xe%;xI+s z6)>OlPT9`#Fqvk9G)#Bt(dF&h6GeAuzr^3KitzY#C?3CRzwXvvdO$txfI6IREvrY< zqe|^}qwV#_OnPE!J|2BAe#dSt67-JrM9J($0>Yr@slfQd7#kx?tZXJn>BHAy<0xXm zwo5!D1=cH3%>kxIbz7y&RcABq8yTM5&UBv(LC@p6zLC+%MVz;}eN*(G+Eu{D^W0Z? zr2Vm5d$U{n3obBLd1>}iY~M()q&f4Y*aPaQA~NW-P&C9%`gSzrFhfQ(BW?zaAGmRL z+9I0L7T|x^F*rn1JaN|ken1^vv|syhzxFSP{b#@SDM}U@(QTIVbITX{M@GbbL1%ID zVGgo_g|Y+cxc$10?1SBUm>{*5Zat^yF1>)UZhb_N`$zH91jhF3Q@ZsT-TIsdH06Lg zfoyZ)0rhYxJKWyQK3U4P(91Se%C^GGHUn&{QGosWhJ1ZvQMbPNd)<0tx4x}g-+nMN zjpCiV>Fhdl)WWC~9-;3zpw2L{obU)CR;!a4Qh4yY9l$?v)(i&M-WNOG>9 znjaf+Y=MZ^{Mg8Vgqol42XCCSKZxUvb9Oem@T4l4vwTnZ5!N|nzn<=_P5p2 z9on8HlRVGFMVriwW>TBfFNkJ3+GIEy7H#rmb3NDspUA7$s`RtaA^)LUzgRRS&2CAc znk%A~+|)>cL#ZEQesw@yWSS5&Qfi5C2sC{g!;+j9aW*@(s}AD}0wD8^Fwq_YzcvX3T{ zebOnr945dzw*GXY#mXc79R>Ua&#J~`|79Y3g@k>j+rW~pu2Sysl@fd!u>(MNS#~V>Ycsy;qaRHM1$pO{(52%~C1{^p$n$3aVh-N#0bD}vmu=C+n zHTP>du(tewx|zm>u4z4@JviuF(H;)aNHk)DVw({8k3AkQj?7M~v5u8y9p2+$UXp^@ z#aNM)QGJiAnj6jKs=gD=b*P#b&5Nt*0<1|6_&x{h8STjd-;4Hi0Om*YlK^f>0qDv( z#df&&4yaquZ0N~<*R)>IUR;5{M|(LG=pF4HSHRNn^b9?CgC*m z;v2QB9@SBhC6R?mg}o2KmqZ4p<~^WdI|AC0{vo1|jJ zA0<_2O~q0r8jX@FAtf4hsM0UmFO@3GeXA03PEwbrxX%lz4xN`L=-hy1e5fp|>Y{E} zCMzOSlbVTVf+u$H4)Hu7DI_-`MiYC<<3y`!SGcAnpNjsce-w{cVMRUK-(kf8(E(m7 zY7WP&jf_dEsd&KXT3B}aLTw65k}udjS8b}>SMhvtV00kYJtI2Mq5Gidpt$bNtXHO3 z4i9Boo(>~BYkp;9eA1$ZG9CJ^jPy&&sEYn>aC9)&H!C{Wq3@9B5Qo0*yi;szpmvjZ zo47KvD7hBS+r*Wr8iMn&Ty3N&IU>rXHMmudCFRzf>wzm%bd09C3ZezvT-nhAhq;DE zhYE9f2J)4up8Y^i*EC{PL{F}!m_{5HJ&fnxBYK!4_rhqQH1}00Zi!s0mMc^3cEsS+ zWDicmqQkfXxzS+`1%^k5JG{l=f2$)UNsE-!yH`i5QV6-wQ*`0v1$>n7w4K$FA%TpJEDavfohT$7`dxdJ1ilN}07iB55Ni(_orbkLDY;@zA4 zj$E5kxeFh;r0547xu!;^@8p=ioBWPkoBWPkn^N?+u90h# zkCAJ7bUL@d=;(BZ1!hEN#4QkUk6d*JAGu~mXL8Un(U}g=S}Vci{J!p>eK}tHj~5n^V})HF9nCj9h#<5Cin==xkoh3DMb(V$O-qi5C-t9NzkJ zy3m%C@A<`*wQl&fM22Eex9;V|5@Rb`DOMz9Z+kVQ7%)^?tH78}YZVrJg9LsD;E$%w z7Ktl@q3Ny_LGc*gaYHK?nj;Z%+}j>d+xcXmELz6xSspEO*t0xZZrk%gzBr>j>@?`s z6c5#0cPm>{Jbl1JH7astBoa3fwq?Ux#GN|s@+%{==~w&Sc57s9^4Zp3<9F^P>Jp14 z72|U+t7f)3@APTq1Rhrrt>6}#7p-tus4`mVv{16DTO_H4gI3)#iIAh}EM>PjAEKz( zzde){VVBShbsygIJeHk+_)hi|dj>CWJfJ+MyrAyWYPFcQP2HCVhsbyxU`!X-jyg3usng0kYVO8B1-WlE(K1tn|b!FCVS+}eEjIqXSqg>sW-I;w- z_9^PVobfqjIhBb2nDar-N9w*vkI0b7VTgYaxh!&px-T~;cW~}d#2@CVd3cF1@8h0& z&rEe+&xJkL_uQoJ%O9IRJ0E!Y@8o})|Ao4**G0Xq?{%ZPulJL^f8YBRbzh(Peb)3@ zkNAl`zw7g|y07mIeedi0pt>)5Q}lu8!|J|%YQJ9n`XYX}-)H^4RQC;-KA?KQQgz?J zjRRW;?nL?d`;5I7u*xsl4D2?+|EhRBR=mzQlc^@nqR;0qtW+}HLAQSU68lTNblN=2 zUs%CwE?=+=kCanV_M6b?oG*i?v9Ltc66Z<<-eyJ;9*d6@OvS^MHQ&iu#&S}iIS~)W zCr~fthO1_ggP=N*{qR~QVn1PdKA@fOe))wDVCOPzUj7n$neu&R_zuP(1-G8y^NhMj6|6}w(@g#*cb;VeoF;)7WUiB(^;)uY|^(_T4#yB?R?$UjB$C&w_I>%;r|zTyT|uw z|AW#yE%3j}-#HXn^Mo1yBU?D_@xRm|VZJfze`pY=QU2F@IGshzTVBPBj_KW8Qu)&T zvu;rTKjwTjglY^-`Z z8>ha^#;gBgCE7$*sx4s?wX@h%?O8TWAHt^V>)1?vJ^oH+v-EQipU-CN7vS$9Hb;LJ z@$;xQg;j*c!&JwzxuM(HywIy`VMZQXlyNSr&iET!9Nx;7WYw{yS!c6l#z3~*c#f^e z-p*ELx3b!tBDS)}sjRlgezr2Qj;)IPmaWWH+3LLa*_xggvei8wXKV9EunqYm+4}r9 z*@oT~Y<=$*wxLfx+t}x9#P_jH(d$@UzZGm#zwfZk{a$BV`ZuzM{tvTQ|Ib*{fKnD4 za5&pKa5dXD@OIWb@NaC}AeHgMI|H}jwXGRgOkR$+ivu`)Z$M}T-Y!<~CS^vb1~ipz z$9hmLXhHT2Xe&X}+3l<#v%Q5pk*lcfwl&;khTr9wV;Ky6`-vHEi+>sXzM{U zGAcpa09sbYt)OiLEhpnr&^CdVotXhz9cYn^e}c9dv>utnR}WfVCh=_nEjO%!)&N?5 z=HEeU1g&TIB+z1@^$xdz)&yFwtlL4`3R>T+D?r-@S|6hvv}Vxy8O5L-0a`Ts6wrkHZsK%3Ps0NM{ho7wM6(9QsDPQSN7 zI}^0o1D1kz7HH)Irh;}hXk`O;f_4sQl>^s|3=sQ9e-4VLP{Q@n6_|y1Vyg-|2ztotio)Eg!K3qdRo<&rC;E!IG6!~&5vN#gZ zH}brs$X9rg#c_GQkr(OJNvv_D7g?NI<{NoeQk}2%B0G;1^I_-XlOkX1MRp$dpDU&RG^vuFH^AZHU(JCZ zOp1JyS4(layKiTBHd*m+@d7&!c#szVg``T}>ctYru=`aqG%VbQjZ6Q>2 zSLiXu2$x|>@eIN(%)q}K)&r;C<$^IEac{(Z5JwUBLp%WSK*WO)4?#SX%jdE}Hk^$B z*C2p^h@vuFr9f8aTb2hz+Ywuo@4(CbHrb=K1v=_ zlq`K9)Ab&D9{zgj`Fd|$XXqpKG5R=tJipFIO3*4Lv?>X0slMEnU2e+mmGJHJ&`6Jy zJ$jtx!6Ke>Jv>)=cu4>26KDtYJM=qkz3w#idPKtagoN+;1iq2_ONq45l+ZLQ52U!= zLbD~bg%a9QCoS|a>xEhwqgU!x`ci$bz7MuJ4Q0OyWxGRvM1MkmUVjPs%?>RLEe$<~ zF84`vy${lHu!@d>PtkB#jH07MQB)kJtLPYJ6%B`aDAW}w8Vzsl#YWN5%_$mAl2Afumx_i%8zsl4osm_#TA;XC>oCSRCJ7{;?xAz5}3utD0rHJU(gTFQ1DB-e3pV=QSclE&j*Jv z_5!6|q~JFcyhOooDfk@)zo+026ueBqD-^s+!D|%!k%HGL_!9+hQ1B)NZ&C1P3jRXD zUnzK-f_Er*mxA{w_!|X(r{H}G{z1V96#SEd4=MPFf{!Wq7X|;O;6DhIH>g5Cq09eL z@F@kKQSdnhUr_KR0)LBX9A+(p6N6x>6>y%gL>DECw90SX?Z;2{bgrr;3@9;M(h z3LYosCn)tK1y51%GzGt+;1?7;L%}a8c$R|aD0rTNUsLb`1us(Y8-jX?g5T2R?E z(l_XX^ws#_2bB6J1s_uI5e4+U@*sU-JV@UJ5B`UOPbl~=1)oyz83pwH=pcRZIY{4V zRv85f1px|z6wud!RgD7r09!2k;A`-3WdF;Jy%{Ha4ID4<{{1&1Nv zpB!TJ>7f5B>5e%N0KzzozxO5$Ew$FzQfos?M72~zOGHFOOG`_%v_wQpL_|bHL_|bH zL`0;B`ZV2hEkAc(;N0|ogu=lJVIngh~)^;8=-JySKsZG?vMO~SH^y? zs!)SEG@uDBXhR3O(1RrOVE{uI!5Ahmg&E9Y0V!C*3f8cJE$mS1 z_KOD|VT?@){6t}Wn>Te$inJ8fBd%|5Y}{JEHL^9p6on0K+|t|;X(^u6+}aswZ*6Fq zR2|ve(71bXTT@p{B*6R%%V=rd$b}IHn5MA)u}Bj;8XH;~6gI4yCnmK=Hnl_=J15l= zxwd(8YeQ#Odt{cvw2iwvBOOZcGF{OQ->mrZ7b@e*mp2SlG@nwjy&9t z3I0&I>f5I%8QH$M>ABiowY0EQ^J!ZZ*sqk^H!m$cTg%iqJyNkfFK>iW>K~OC@@u{{ zUv_5p2<5o!q3KoW%5o*xT%n9;7^!ILsPw$yTAF{bpX_*ic3%4KbY-Iw^jD>AEGw-d z+|uD%&_Bk{>&-vCR2h*snsBq1tDsLZ=@ZI8AH(U}$!^mHo!4_;yPlVcdY*xL)^w`p zh7l-Vzi9bJ`$YM&wV^sM#~doh1j1GNpd1C!ax`by)bqv>fmr zUXFBso6xHs{+B(F^is(Gj*9wU7uTx`dTk#V)vL_bD>D$aZ;@@^g;Bk#GPz!Tm63y{ z7tb3#WmVhisZ9%}?O8c-?eq+PUPfWw;3+!-N*KbU*XE@+L>8&OC1Xmm8^*5)_=bv&HbCEkMa9fOwea4lhzcqln*NyGeQ|3)HallE-f3g zt!ib{s>SqsS$1B*>VR+h`1I0(Qs36vV0B*U>WTh7{hG#X9ytA&O1-dj?BE&4G#@u2 zCoi1tuk^n`sA=84++A&}3nNuW7UYx;Pxr5At08%KKe}?|l$|YWW^_dQ4_VN*y0~cp>NQ)j^3M?Yo4-$5H=}LdlKg?0 zM-`(T^=okFJ8Nv)>XKu+`lH?C`8V@+Q?F#!W(`@@x_WlUyc}b}q%iz`aN!uL>8eR z%vOb5VTF>z^BY*Sal^ztJC<&k94W6cR-yU#$;)0oV7odu7|^tuMWwz~i?R%5M7TH- z*|%tO>l!6ko|8WYe%Q9a7hEprxdRrjpLTR@$&dl16=csnqYn?yXqh*7S(fd^5)7WoIue z9p)cZwQAz}SzYBp@>joauC_O)w7h8BnxcZ~nMY0CwqbmBc6ODbZ_Y9nOkAUB{*2(v z3PnG1Lry_p@}T0nMe~ikjpQ%;xc+(mDv3VfY+r6w{;I|6CpVUttRGP7FNs)sm1@gH zy<{~_hhO^!j2bfi@b#0M)=VteoK!Qu@ZFEC0G zep|4^XiIQQBLlE>-x6@MwM#2BBS$HZyh*$(}I=R z*@ao@+6sNIGD*>+bYHNrY(w$Js(lkSPYf4rU8FbUOO z+`4XZq<&@oz=jIZPI$fL>{-=kShg=?ROf<8gGzjvBF^*A$zGkgc2--J-npP{;OvcS zM&}Puw31C#D?1lJu4~>}*m-bqp1;z;C)(Hawhc;dq<{XZr9+B0Zm8MQKXXuV$D$=e z(op`+MMZl?Pa0HQs?C*S}Ad(#Mhi{KLbuHoD7Gys=q_-&Mxg;i$=* zH|W_py!}P(wX1s2j6DBTM>z*h?>JW9o`-UvA2T**9W{AtWTl!5e<_@&^ZMSjL0^;C zXYu5XRXKfk6_EV6?17uPefF$eyk0RP(39+fdV*i1!wv;ovep*1HScR2GwGqScLMx@1y-3?|%kP--i0n8!7tngumyTACZ1 zJImX)ZfkCdEZx@G+}7HW@4hT=Y3S$(unf$8lgkBIrowV1!V2qWNp5RsJhowTB)_b! zttCIeLJI2>^HYH3U>ePTm&mQCYVCwvKd|s5X8tkq`70xh;25Ydl~M{jES6zYd)rpP z)U{PNJPV0CMwKuvIN*<7%-MkVFR5^`K9gcZ97XlI@+juigDNFASle&+y;zltFFqr z$QZbxN^59pYOil@ZG^4uU#aTxTPFW)V?*n)f}tP3@#EJ!+v}SeIveVDL?D=H3&JBH zH`IAO+rHqabMbrn&h|)aJ>e*9jCse0^3xq3+Q+2kty{Y~8#cB?CRMdIMRrA+Y9rer zHQgI$G(Yn3S(IOPoZeJrO|}j}{yQo((uyDKLiZv|yNGGlJ+jj}iz8d1O@VC?Tt~Hq z>7o}Vj%h43BVp(ShP4Zt(H?1Ns*kji@lc=9VmGyQb)rf0TXb{@a6Ht1Lu+UKj)s=z zCRiv+q2j{};TgEAuLr#_nuHq$P3q+O?a_-uy2y%RkZZWiPWjhwf^{>aOfBtQKxm&_ z&katdk2)YP5&c>i{WatgMJeIWZc;NyIZ+a6F`4tl5ha|3GR{IczEzO+CZS798jyWLA{cmDVq=TwGsWwWN}YDB5gY*S40(6nMjG7h!gFv`1RH z@fb9SS-qo>=cLs&Y_4C`){gpBs;JhjK5Hvqno-z*YIk2{c4-jJH2W%xvtJA+@5sQH zQMRzIzOK3B#L|L#9%%!yp>kFaQTJ&@lv9@Y0 z43tKfBAHQESLOt_t^_z;Il(iDyR5EsLH+!t)fFg$eJ#-X(lz~5RA05EqH;A?!erxw zLS6p>QL68NmyMq1|ZUtC{Sx@1v(Syf#vh9^Pb={_R8rmnJn zRaFJGFhSvUU{VA?WqG;9lEH;U)xgF0)qJOfzz}UjL9U5+3 zWlhbBWp(v6l@(PrmE~v=nN{VbP%F-Ma+sEL0@c>9 zDuqtD7F%Vhqua9?i7G5+;c>f~y`?q_%uXMSV5wA7v07nx#o?U1=S9 zDp7Pxih5$RU&~o)R#MH(Y`#MN_}}emHP5{D)f|4 zp{ayQeR(_r_2uzY>dT!}>OZM3kEO-hllWroNwipd5-rxAM2od2(PHgMG}5nnX=z1$ z-O~CMwUzbDs#nxPmq2yxiX!xMm<%8l^&9KL^c(Av`%M;Ik)JLpBShSyy9strPvlxm zio{^Suj(AVZ}yU<^}^>VrcM-EEUPN7FDhSCUX6=Px+p7!wlu}V+>BpyH2xF1w!(>dFPcuf$MNH*8bb zxlRp|EJ`>h`+#k3-Rz{e1nYKhizNTislF*{DLBhevXnkSB~uCY(Uol688&R&*0Nh+ z`6zTxrGs#;(l>`Or61OSHZ`|48Oi`9jnJ?tG&n|_9^lq=wbsE>`2l4RJbv-giWSwB z`Ls-sgcrFCQHG`~gB7d-8T`h|TyscM4#U{6t)abPYXs{{WQY!4UF#f`DykVzB$Sb) z7;@_qy|WT4Zpvs>(&k8~sCR`O;jARn`tguXtHyYap^R0=1(h+%c#NHq)($+eE^TbY zy>v6b9iQgJj}c9ZTA&;rgdHZrGjXe|umw&aQBX(8zY6kX1y8Co74&}wY`LYasl1_a zO9ak8BDQMcd>rj0AY{NkC~^MO?ZsFgq64EOx4r>ormPjmXoyQQbEM+iJo@f*(_1$opcTz-d8A z9jGYAf#}*4pk#dBMKKOU*Oq|Pfr?@rh^{RGsRI?kOT-$uSu(6v*LQR_bfP-Wb{CIW zEi9>T6~SGcrlo63K3R}NA4 zpr0fvp{88|Q70&pIze%a6VSD(5+&nvD2{Ogy0!$QPEZ`<1axf)NS&Y<)#)q)U0VXu znl6s1X}Y!qq%~a}Q`2;92}o1hVm!n&s3bh&^#Y|VmEeftnb9LmMsk(m>&!q>o#7TEq&YY z%rrYz5ZO*}XPXqpP~KDiMoPSoF)0?Sep6dZlex{-sT`;08_GY0=)W9l@P}}s067+% zO@qjPgvf`EB5&T(ZWbBdU_Dso?&H3SGk{L{(1SHUARIbafH1|(WTr?8Zz!KD|0TnG zL6g`WksWx{t>6 z0^Cf#G=R77*w_vWMo>b%ZPTWXNGFy_Y$A9K0gg=_M*EI->{p1G8Xh+G$hZb2Zk4$Q z7NMEU?SUowD49P>wJl~pDo&%NQL%z4l6>(}r7Fkx=F|tZffW?Tlfqd&XlMBP`TC;< zd;@Tw-o9gNL&ve$da<>kvk|SMB&n;o^^9{Im9WrK4(azG_vB8#WQjcrX4_-+%q5G)lXqE7Qg{g1NQn<9dJp|^5N z6pZP86n4_r1QqoWNv^h8B)`$aWgi({r?Qh70v!?DAw`;OgNS0ICRX&KCL~$L7{Txz z?wd&UGKtrIv6lf7p51aJ_Us8Mxt_ z?wdh{oN2H|)|AeCJ{aCVc3hRgHnGigJr|?7^;lnFVGNHBw~ua8nq{ypteFJLFj`mw z`7OuoZp6;CuFeQ8cmjB(;j8df2EjKUl`HlD;MrRota$uyuw&S<1d1mh=sr2o32FGM z1=wPRjfP(NG_FuwkG>EqclG)FnU}RWqCP*e9i8e}*M^8$<5ntcotySGj{1b2Csobb zJ0Y}E$5z2OtVLM8&R|lCR* zgLR2i#9%vw>}G@Q6trf8?Gm(O4Ypg*wi@g>k!my8@glX|V0%QW!(e+ws>@*eL~5tO zP7tZx20KyU9dEGxBDL3GCyCSv20K~s?KjwWMCxRNogz}F80=J$I?Z6GiPRYeJ6)vC zGT0d+^<9IVDN^4v*jXZVp25x*d0b$y?~2q#20KTjE-~2mMC$tnJ6EJGH`sY1b)~`1 z7pbcac7aG;Yp@Fi^7RJ0NThBw*u^4sv%xMAsUH~ZQjz+h!M-n2w;Sv-k-EcRmy6V0 z2D?I}?l#z!B6ZMUSBccU2D@6M?l;&qBK4rbt`)jGY_RJD?NNhWFH(;g>;{o~!eBRw z)Kdn#Nu-`J*v%sK6NB9%Qa?4=4@ByDgWW2Se{QfJ3fc<>yG^8iWw6^t>Lr8yNThya zuscNRw+6dYq+T)DT_W|G!48Pj?+tdhNWEdOdqnDw20JKHe==CNNd3iN_lnfp2D?wB z-Zj|$LaV;aMbyTKk5zy8x;4~f*j4fe1|{l{RBh}1_0dsL)8G1ws?`~WF$(qK>UlwUE}lOp9e*i#~<8SH713K;Aej^a-@*pCG*!(cxVsZ4`CD^h(7_EV9{ zHrR7QBG+Kgi&Q^@{Y?Biz+gWYsj$I*AyR`4_JT+a0 zOCptTuwRSRXoLMmq{bTTWsw?hu-}SQfx&(!QWFjKibzd1*sCH{WU$vnYKp;L7pZ9m z`@KlbFxVeNs>EP#h}3L@y(v<24faQoI>KOYiBy@v{v=Wr2K%!}%{SOzL~5bI{wh)^ z+uI^lZLoJlYKg(#6{%$gdrzcl4E8sXLJ#=9NTCP(yGX4z*gr%Hz2HAZYQ4e!B~nKj z?B61Fw81_Qsf`Bvk4QBc>_d^-WU!A!YKy@>7O7(l_K8Tf80=G#YBktrBDKw6pNmwx z!Tu{!od)|tq;?qWOOe`zhjJoyoS`TpwZ~9=BDK#@{33Otp{OEtlA&lK^&LaeMe0;M z9H9Y&KYxmjWPGoi*as&@jHFD}O9c}j6=g`#jctN)RDvv{o9V1^YAp?EBa^Vxb6LSW zJONjfLs|&hc-Lb|EvBR;C8pSt=F5}!iCI{uaL}+`UCpN3d ziB#lhw3*NY8tpOkz(!jSJ+WD6(yE7*qn49;oNNE0$dJ#TVqIA9VOyi%86&F&i^tsU zn2giC2~u#5m0kZkot~X}WzTTKk3wvWWZS0NNw6Zs5)6P9rH0>Fh?5*~Q)uaCst4IGOR*(KmtRDTB zSUvhLv3m4hVm0k5>$k)qkB39V?yQZ{keiq zHtssM?FuGYuNu3Xw+H>A+aG(-&)yZ;gMMNMm|#k1VOn+UiN&6zB&>w!zG8tT8*arG zVspE(V3Unk)=uN93c(|rK3P1p3r%oID=E5XSujnMxLJa&%tAm`40Bg*5#Qk~*kqM& zve_G-1*gpCoSfFop(j3T>LBa5oOq&M_*za6O7czBLP=TIX@NTSTK8ObYYVpEOrk4q zntXqQ@q&SXM4HiHXZS-UZLMNzDJ zmpQx1$hVFQxFl7{`v%%k-ebnZNgK@tqpbay8PlHY9`m)grS}LIoz*6>pkotzk6G7Q zd)ozP67MBWx9cRSYht!MEJ<@TH^_^;<$N@bxyL(sHCud^Gsx{@ak&d3>)-iy;^+t} zuVTPCy*eS2=?uJu$lV!8LZ@h!3GERxgeBBI>U#+l>uHp;-wqH}q>eq3Zpstp7|KWlb^% zjb%+T2aRP-au4NX?eQKeo;k@qR6KK%d#HG3)4tL^%;5_{=Op(~@yJQ;q2ig7+(X4P zC%K1mGSh8Al6$CFR*(Kms2=^7SUvhLv3m4hV)f|1#Ol$1i8YB`0S(JwE)2Aj*~QI3TMD;0Sc8>~#wrW&kVq_8`& z7kO~oP^l^eN{QPh;slO~4x4y5gTr9+*#c4+yDbu$(=J?aS)?jr)Vuit0h+?iX*h=y18n>@- zwG6h3t)>FtaoifghR1Ph1r3km)(ILO$E_DMJdWESXm}iVl%U~pT)m*-aoo`&g~xFX zB8A6s8%1gxw%s}GTZ8>i?M zltf<1?q)Cr9{2R)U2Va16$i@!%q~^ zw*D+by+pkaH5-o z>W%6x*l*dqRh+;@FE@^M3M4bit?CbhQ0X>LDpf(dfchhJ4VL-|PW=j~cVe@V-HRvS z;ublOuHL2IjVh*MHDcfBG)Id{ASgK(3>9`z?G6I#UTg-o)N?Zi)caBQO>On&8=y5~ ztEy}r6ITS4dX~-ShQ!;oR$gMLQXfhJqCq`{o$Tl^4tID@j~xN_-D%sq8d@MnZ%gtt;=Xn-WS?k7 zebJbNeUV)t(Jw1=g-Snj7>0fcsK3C;zv8!FVq=SM4)p{tq9?EnYaN*uP=Ag7)`qpn z+>2gLSAV1a76+m^dnt>gXUFqW&JL!8<)!#s+LqcId!`Y>2N*hrg4un+c;avwmfV8ba-BaZrmeY#ja&Tw8RYVrd^Su9c?LJaSB za52QnG&7C3pY2loH9CGM88FyfbrPB4zo<@6Q?!8kCBUfWqdmFR>npjMlA$rphiMmw z)l@@S##O@^$xOl2i>rn>S|a{30G-+9(ZjiKIQ)8X*RK44hCMjZQ@)5qo~q$^(oC%n z`U2{QI;ch!wk+yqUsI)O*;)>|AuZQXR*1r43)oM2+tRQF>{*e*AOm5NR1{cUe* zkDd%i6_^D}ceOUO@1_RTy4ldil5MWga1Y_0T47Jxe04TlPs84|3IUA0Yo&Zrq+#z` zl}MpCq@EnZLi<+C@$xWWxkmrk(+g)A+7xXnhFJ|xZ%?c+8xLqySY955wM6aj?rVg_ z<5~ip6xc()c2^NQXstA~5^Yvco2ku?H3IHWszov9Ir`w5$mS)HT{tdN!)vn9KG-62 z&n{2b$~5f0TP(z}t=iFbeIssz#=S6zOsirGf&R%gUo{(OuI(b$d4J?WOL)(#Euk^_ zYn%_5J^X{(GIF-%hO$mHHQduI6&6}yC`Sp}Dyu&rMZezufEV4+)@WEjCEXO^%bzbHwNN<5^YB` zJGa`11AH`I$yW%w2;(8)E5r~fu8@c`@FlH@e4< z_m;z$FOM18#o8r7=yE9*7lbaC;l?aFAi$NIB8GMajR-GjxR1ljcrshTU*gCMBCU1p z4LFaYgYMT+?p*EaAPja5-cE^QuA<|C>9$_O-5*|lOvvEyOffbB_J$y;@kSgt<8~0o z>6<-FR77r;QCNd8#SgHiB1X9%CdJ0Mc(jnft)JU#F`&5#m`4qcHo*9CNA1!M1evPc zjUEr{>67?&%7AtdEhnFrO0fQyC6-F~FL)=$(C*dl%h0;D`;k(2;3XmLL3;m3z6QeY ziM5A=Y=rg*nq<{d*GsD0qS2-=46Gf}9-~43aYNZGn)Z{1(jrn%8_IT(`Z2Z|C%w7g z2RY-`;xO%5+*)Wq#qvMi^gjew*v8%ZhWVowG~8wNv9see-buWkuDzz=o~yt8n{~s6H7C&ly^+qs+M9S~LCja*qVCE9 zx0tCkg8R?utiSdbYKO2%f9-85bd}BjHP1k3$(gcxHwYEq3!*5Ph4dE<%{nftrnzwo zX&2D`j=s%o^RYj2Tl_O!`-k=~TEuDX6eC+Z-u;BXRTrkdB z7`DV7Q9jGjm3ui2OgdMHQ!;u6n6B%Apswj@eRMq?9?DmM^0zjwtjh1$#?Ql&zN2qh z)~YkgVaB8*=XbR>(h_Q+g)qy|Gjt<|DLUS8HqT6ROgLg{BcS(zsc`oY!4XvXTUR!6 z9r{{>f{jj{pPsGf1oe=f8&c6pM`s9*MVS1CriN{u^g`;41f8P8xb|A0)r`b6RqwA4 zfJf*9VV^MS+gWXLk&r%^oCb@N;}Ya*882EMv=@C?4zd{z$Bi2SqgINZg`OYK^Au)T zMru+AGc_fBWV${=$1q|vHnf&?VSJyDGx`%e@WH$7aP>yaW}~dA%hCFnAV9`qrnZ&N zw5zrhh!!P&0GDFPmL&A?`UI-d0z=u&`)qxpYsybOi`{G4!@yVUooO{tpN!Rc9W%$M z+c?&0EVnWAVo~=~Q1?@Fn4fBAsC%YiF3m(mW(bj)5Gl!FDv3lhaV(!$620?HF~;e0 z^tt4l^N`sQJ^3aV3F&1yOe3WX%gu4O4PUB6yR%J8Bx zk4psfBWZ#y`Gt#Cr|XOK#rhFw3G^Rcv*RO`z8tQzt!<~mw6PTx<0=fjR<8?!bp`q! zOzANZEUMrUEnHPNy+n}Vgsb$`==SwBu4f|R*MN@uxHP!N%7&J%h@o#lruusQsBd(@ zgSoKC{-Azz5Y}pNtPQ|lt7zsH&~ZB$T^q2-+)j~ny-CO2VAM$*t2maE82T3BR?VpC zV{({IHJE|PI=+z5)q)!Wg*9M67j@xiidG%>wyoG6!N+uctKJ%fwr!X>+O5E~q!iV6 zyU@2C`gY{7fIi&NCh(zE-vQFj9F|4{0gan6ZLZK`AM`jO zhozGqX*Bnus={Q%F)bx(MPyS$R}0Of^po_HF@M#+gC#f1uuhJEeyVG&$~~=eU!`0j zqEr|)P7ms*>A3HVF7nZ{&?(WHI7=_1KH_W?_q%Ax_L5xGO=$4>UJeWD=b~hBgAeX` z1N!+2TVr+gj3USzX%N2+3=CuN<8R=n;Ms)7@sd@VsN@quqbDKxR1OPKCC1;o(Bw;uK|fAs z+4@glD|KBEDZC=TQfT+Qq3jj2gr6JANh0+E9?32GX9}R z`3>bqB87)IcZn2U#NWVk3*bfk^<0-ghN0Xee$6zLdqt{`p*$c`*@p74NI|tjBGu1O zo)D=4hVryX;VH~dL~5|1JSS2^u>(cqHXOTBL~eP8@^kU)NUTNJLlgHx*HVz>gkNpa zCAvgwjVLfGK+husWBTX;%!B1O1TK+KV0;cd7k-{Zw<1e7BXGFLU?MV@l*4k+WR+iv z;$fXM$K{#xn_KXOuK?Ce`?{Ty|3Lm2n3f)x8kmmuqx?3A)J*Kr5%$BcFA3N=vF&_X zRGE1~nIoV~X%5S!Qn-hj=~3Pa!CML5`8ljF@%jp(n^^iq!dE!ex@xLigck9DESp7e00*JxFTKX7)fzOy3iSs z;)-;k10cl}=|ZPFiYwBEj&2lJqzj$PD6U8sI&@K7kuG$iqPQYm=!`^uMF}6Bg($8_ z7dq%rT#+txilMk7UFe8HaYee&`Gew$bfLoq#TDs7CkKivBnAmDx0V3P+IB@cYQ!nu zfdJ+j!LHVgZM*8)s_4m0KiQ3Ql@a(};M`!~oWOa8`keYawW|wgsvJMyss9!~Uu>wK zi`1ot7Su9Gbk}O2IG&X;aE*~jbf$m`7VBlV~`TOuFtc9rR}B3iP{VLbI;MYRGe3sCw6(Pj2lir} z3XCC)w&I9>feBKdS~{@4sX338(Hn^O8kz!sP^?jTw}kf zeSIXZvFp@zUG2E0{ICPn{++I|50&eUU8pWP_M&n+cB1ka`%rm|U8p?99#j`UcB0zX zq$l>Haz1Q5FHzs9LtJjR(^JU-rx_n7fsGu~&$CzvtL;o|%_g^R~HgNw%}o4&=VkF2r)BXNXJzpiCuQ*%=Vb91 zr)2RMXJqjhCuH&X9y2~@#@%LouNmW9EY62hv3QI#v3UHTNq@+UA2#Dh%=l3=#yMD= zAE#jP7-wMd_z5$9(u|)nfI zxf%c0jK47BFGWmeP!Yd^6R3EM^QU<1H)GX|H8a*JRt~Xr+7ONz$|K<3gK>x+G#vhb z(x0*F3FEt!@Zy4}nO1P;0cH63TqSQpw=$|*K{#pggz*L4O7W_KJ0ai?Jc=-bDgR|T z0VOk_lmrfepkq{HY-r#ygogoH!$)nWC{sbrU?Z?QaXQ8_D?)F_vwYHg+Jk|~9K ztX`Gsm8C|zlv-&kwJMoX*gpG>DmBKX)EZlib5ODsfqAqpeh9GNrJ;@LNgbJ916@jW+DdgLQwp2IyeieJ&NJ*%YNxH# zu4GDK_k&lZj_Zx3M!J+b-d1W)GNtga#j8>$^hT-qE~QSimD-<7DLnW2MwMFNQtD(| zsqZ9H3J;#XQKc5TlseT`>a=7^;enS|rFu2Vb1QX*t<;&xl)|$&uS$KlH$LT7>Kt3C z?OOQwop!yeieJerl0RsS9nTE=r~po;QA@N>#g*y2MuM z(qu~ES?4#Z)KZsHm)S~Po=hn`cKt?`TIN#fN?WO`k|~9Uzh0H<)qw0)>Ka?AYm+I3 z=g?l2>eX$#TdC`9rEW;36ds9tRjOBA!g5#j-DE3ub26pybl$5{z3LKbTuS}GR_fMd zN@1gbSEYL8Q*Nbhvz59%nNrxZ;8m$!Et=K3EOm#i)Sbzc!uE-8QK9Nn$dgSJu+B~uF9YP>4d ztIpG{)FZZ1k0w(JJAAw<)vL~PwX6Cbvz2;0nNrwnt<*ENQa?_n6t-Y_RjOB==USJgp0$j-8|+(D>UmqKpCwZYo6x)})hnOc z;Ih;&Y^7dErWCf0c~z=c>r)$DO8v@K>cwPAVdI%srFykK)#y^{*S1o>NvxEjysZ4z zRH_n>zxX>m;|CYqr~IBCn)w{h$O1Mxz2@@=*PRQ_n@FbRyrs*S%-`Kuh?5DWre>?Kj&0?6CSJ0?g8p2*QNIeq+u`;@=SQThuG zTT5Me7rH6d^SgJsUjL9o{yPQ859E*^r2zS{@`+jSX#Gq;{d_6Mq-U7!`uUVf&S{@H z>*rI{&u3%@ACu?c(>&&Y7Xr;3d_G4GAcsJp9CWE!eChHG;wn#MU{)T%0y3CD=`1;B zyji$IkXd{g4yEBIArL5sKE4nh8q+i9<#;NrAx$9O!N29xb{>AoCn zEFjaT`*P7psAAH6eUY0g9NU-8%arK{V+brE{75;6eS^#@Uj|45O&dR<;PCr=dC4+P zvolWf4K`~e%{PP!M+m;5*j?neG8~M|hTv}~&v1mien!i)i?5%Nl$}*SBRS+4-&oUn z>rLwgsSISk$#U2!+{Qt>6hYs3vlKxeWk96}`X(Snh79@&kg^Opj%S{&LL>y{5ZFRs zAY&HF%Qi)>gr~Ai^-Yu4Pl+5D@h$XKNdOlKz$E}&^c4Y@`j(jv=NKRk`exq+z2eIkSI^kfVQ;GQ zEjN9cZ}d=nHNIN2zN65C5BlbLhsFr$f@Cey%2?s4GT2tB@G5V@ty1AN-h|tHYb8Fk z-kb1tY0gJ^6Kj$?yhkeB=}maARCtFs;eAr!UEYLGkP08?P54Azatg?jyK^`q{63q6FyZce7ZN`)1<;@dJ{ffDtxv# z;WMPd=Xeu7Q!0F}H{r9S!smMvK3nQx7kU%^u2lG9Z^GwDg)j9c{5`4gW!{9(l?q?s zP53;i@KxS~&zA~c<4yPisql5)gfEmj$qn9wFOmx1)wP9NQM94P55r9@SEO*?~w|>~K3V-ZP_))3wr{07Q zNv-|4H{r*mIe+0z_;H!ApLr90LMrU@Cj6vSSoJ3SlvG&vCj7KiIL({zGqS4k2fYdZ zSemopO&H&>h+j1IXL%FG(fktOkT+qR@GlY0@h1G7)L?zR2|q6t?(a<)hvrGt9_URN zXTwT_2YD0zg;aQmH{lng!o$1?|I$C)RvS-b@z6pWe~&-!cInfLn5Q%BMQP3>z2*Fp zRCts(;a^LI$9NO|jZ}D?H{qA1!V|m+|5hq|xHsY7NrfkQ6MjW1T;ho-uzmy8^_9Tqs@nrMuw|LPnYjwTG9J`O#UCTx|99nD z9_1Y}c2Ai99699CDL{Tt4!JP}$aCe8O({U0Cx_gW0_6Gf8sFj_GOorikZXC2cgVOJ zzfi7ai+9L4EiaO5+3FoKPRonsklRv#yhIM!o&w~ha>&jUAipn%+>rw0Wpc<}DL`H> zhdeF?$SdT2xyL(XoL^okhuoI}-Qk59E*+ zrvQ1Y9P-i>Ab%)_yetLC+vJc}qyTxl9P+9ZAb%u>ye0+6JLHhpr2u)S+|_UJ4jI=4 z-zA5!d!fV^7{d20%g_sAh{O9Aqr9P&pgKz7R^?@R&mUOD7}6d>=DL*A1D z=0_1~o$Olq@d`J%YPzsO_%X^|nyhFwfXOGCWJmeiRt|xj_4*7Tr zkcZ@uPo@C*m>lxy6d)g$L;g4g$S35G&!zzRq#W|O6d<3HL;frU$fxD5{tNGrajyQ1 zT+3g2hm3ReAIl+MOabyIa>!q&0QsyO^5qmDe=3LkT?&xT$su1&0rGh{A>T>?@&!5MpHqPRr5y6FDM0>84*5k?{8A<{2FLKD76d?a9ub#f%A>*p&Z8>EB6d>P`7kr?1 z$haDRR}MKS1<3d0kV8^{{F@wdSPGEu`~Pk~#TO@)>EnkV^yhhp#A!_Kr}+PnYdO+8 zWL)+9Qw}*Q1;~HNA;+Wu`ENPoxD+5ikV8&L0rEd`$iq{B{7?=#DFw)n&LM zAhYF=krW_v3XuKekXuuL>@SCGO966#9CCXKkOSqA9VtMD z<&a$|Kn{{a?o0u4upDxC3XntOkjJM0IaCh0HwDOHa>x@>fE+G|+@AvEVRFcmQ-I8q zL!OcX)+NH>G!CkVo&%@lO4{>W~iej!ee8F#g_tO-yJWJ3XhK! z7GLy>6`rL|FqdQ;X9}wmV}%FDt1V8zRtxZ|`&@OB1f(byM0|PJrGW`D86c%nP2x^@ z9+6a&GN~rhVl@#T(M_aDxfEnZEQt8%Z6c5gDafo?5b=>(83>)oK3|lpkZ}oZ zf;YQZmDI3hu^r^X9A+RQSk21{Z=#HV>({s9e^ONE!k3X8AR#tPR+g==Dk=ftaz zoNJ}Rb+N*8rNVVm!>x=Jo)<6dFx(1h!&)5+QkoEi8rDi_G1kU{RK|lqc$K}yP$_02?Q!net#-x=i?6}B)z0x%_$p1|2)^=#is)L zjDL`gTQmM%b=N^(`GhBKX8MFD9=!`KLS+Nk5b%o62V^q;=WIZL`6>eHaq%bji!2W_ zKO~Ujt4^3DJ|;j}7EqSQAM`Eyda?|K)jjH7F|I0ivmid3z}Va4yVaAm!CSi3(~;_2 zT+pqabDw%{f&XFk{BHH)n_2pj!@JeX9zCR9aY(%?G}?baz5YS<#x=R>O`)NoqI=aJ zbgQ=?QttryfO=22dLO@hpj&+;%5@mHtRJ5=^HYyDb1YHAD$n!juxe+TQKE%4N4ASY zV;uPo3r+Ko@32t5GvB*VseRZmmZ$D#C$S6I#fU|<)iL!;mWK=GQv&Lla07M!m-wWI zP4ETOLjm>tFIg+oET$-9z)dun`DY}8+>wT|ZEP6#*tsxy9@Eul)F0zhAX#jP`V%%C zJQuSx^;ys~b|UoqDQNWRl(*G==BJ9@R!^W$74av4={|K|CsJ5Z5@|{-@)olA zvn-qA63hHWo=rA8JF(1LE`^Rw%=oU0@rcBX@85}f(^y|N0Dd%%m9ROsKUI)F4T!oA z`BNqLpW$v-5snt`KQDmU@fi|v`#`=k0KW5g?mH@c=O5g6=D>IU$$e)GeCJ=nca&z! zcaTcpJO6h04(|(GzVjb1zVp#n@tyq8^2FwRL|Uo&p~l1_&N|HxC95jAljVnYCkB^0 zS$;M%3WxAHet+#*;(bBo~7(3T$Wk|_enHJPC%pUq#3_sLEzjHtfIgNFdqfDbi zl?ltF^>x^%zr;Q?K#%X%25LpAtUpgiu978>$#%-Cw{GOEuyPSQVC1tRj(8V{E0aHI>?$P$_4{79{DVwDAt@ z3qte1g7#vKNNB&+)_!Xu?I&1sb+!SuMJ62w?GMM_B+IN<;Gz(J#n7o+n{LjrwYc3J zllkmZty2&(-EpE3mI3hDQ&*1{oW^J+6DXW=c z+U%c!QY}>-RXZb8p0H}Q8b?oDm$WB#L#|B-sXhV)R^mqJbh8uJo1AxAGqTx2)4FGqgA{w#$~;?C!*z z&by$Qq1J?q+Ikw|idh+}#L)I0`!7~Rth?$}G{oVG_F-*T-(6MwIYmbNAYnDUlG;nX{jt`?3?)Io6F#7ArZGT$SiNG6e?sjct+`v<+O2Ji?S+I!qSFoIdyF$73~_BE zQr4|JeWnov>XWw1`y^*CP~x>u>hRnrm4tfglhDV?`=k=jeNsutbDz}Zu}?zgU%5|$ zjK@9+oF4n6l3w&lvwG~4654#0*FI_1SL>5zef2(Rme)RMme)QBR`=K^&HDe_CzYU2 znuR{81bxyhSD&=Q?vr-febO$wPulIhPdcvmeUi|~?31+P?LKLbqfY`kr0wB-(%!E! z>pG&RS=TW%at~-HAJ9%mZ>m46ow>$;K>IGz7?r;_7_l{zEDKFaJOb6Y z7>g4#p4+Wm0OX5kj@7Mwzjlpk=XSX>w{ow!m4`g!MlG4=rpa@w@S0l%U(J@UwQF?S z?=o3MlJzjnX|jsY)Wjxpu1r)UDXr?9SyUuj!Z0g=-%5~KtikizLmF;iZo>U_bQBf$ ztz}peFK6$w3hrIpbA7qixQ$eTar+fz^&4?#-l?k6Iw!jOE}MM z){WOyq2bPf`RGtbV%bMf&}gaXQ`LSZ9$<-fxYsHT+ncB~x7($8!z|71c4_Xw#P=o5 zb+^zEnw3}``3$omSts2?=^H{x);eXSZ-~|_Q!#8z)fBK7XF)jMET&OTc`N#!(!<|NilUO7#nrHMs)EKZZ7IQ#74 z?2{IUDQcI`d=NV0G?s(OG#fS?(_MP9cdvHO`1`a6@b}PoJe?eYr<2;F-P#j})H4sN zqv+PMdICMk)1I1OKgdg`2a4v?!$Z+KcB^aeAIe3^>_q~?pvR5Cc;;{$BSS3wB}N&* zmnowtVm-4{JVON5Pr{l5Ob_d}N>{1QW;`%7IZIf&zeUL-9pv{9siz!NCmzuLjqLs1+D8PbwRCHrjekJTVys*5i@cmaik}W+?0`P1 zTOZf0AAU$<2h}37&B+JVDcnTq;dC;QJ}I2;Fi|iZ6ec8mdt->ui2)~&DX*4K9H^*vd793LOd_M-jG zQIX@4IF-KfpgIq^ZYGn%+AUBiI!T+5#J}}z2h}o%WS2{_AjuSjBr9N$9-U`m$g#;F z>O2!eL!uR>3Fn#b1#gnGONru5a`smy-a?tb?G~d7Z{ z`d&Dl<#2_e^k_NsB3X|6P3KpC*R7u-8kuIdF|;^yt<*xC63TNRo{5>)LG?(}GMKAU z8-?cR6l@Gja%#xgAnkTKH8ea5Xqu)P5G$j~LZ8nb(9d(avVMUDJB_=Z>6H4#(pGS} zOZ3Y7^=lM%NWbm@{fCU*uirtB9#M=XQrUYF$=>IbT?SdKaO)4mTWn5faI}E>gFLGm zll|d%_PG-FIE^W;l}r|1zBE0L}_$*}%@mxPbe$99Vzxpjt1q?Gx_9L4O_Y z;{XkXLpCV(*O33%BlW`2yo4I-zXdbA$HBZL3A2l_JRzg{E-!y}IGd~bN;uo0YEC#O zs;UdHHZkD)956SW%K={t=Q;rUhWjP}+?WK=m2;APWbYnS8_;a%k$GoHzi>aU!0*HT z918Rg_m3(N<9}7j9?}Y2S7lX&>Jlog{}zpa=45<_lhva-3Q`q1GNEwn)8nep(B!-a z)ka&7+sZWwBwAx~+5)OyCD*pQlUR~` z!S1=1CcCi}&NwtYl-JMx4OR`X|e`VM2_@dEODbVJ8517E3#o?3I0`v7Jl0W2r+?Li zl5FLbH;J0i2?@)nI-5jIXiH*7=bCg)DDk99b+(GHAM zTqmMC`ityILm=oJ-~)!ksp^v0Q9IW$s@6Gg<}efBWjs90m-Yf#VdvW3#PGzZ;h+d^ zIh`Zd${t59nqt6@NmO_3{aERB zi@CY_hKn8Mni8HO%oQ_ot@*Xo`}uBqXvJoo&Ugn>&UexNssFqxz>0Xxn_lDaSIFy&vIB`c6fHw0wMRvwYKMx zYfg9$2OSok;{cr-p6dX0y*0Mh>&UgH=aK7J8o9_F_&sLeFxSX6!r`*(lGxETa;=LQ zx%hG*2IzU=dAykU;dzc?9uYnwT1*UbcwNfrLK~94AQx5Ey5ZXp8i7IGx|bJAj9bx4 zu_7sZP3ov51BObE5;3OJT7?CFlmxyF@S6|NHjKE3CV>LiilBHv@3^6r3l&O)9QU>d z)$M#TP#P}f_ACyUI_y~%F0<`=)xP=K7+RBfw)L0jojZxT#1gOX^SPH*GaH?E`m}Nak1G$Ca|_K4 zmpd#}5w37rC{fjwOKPFVs#_=#a#WqAY@_qph>Fj3j9}xje`q$gezvl`>_o)(vuD}! zcr)ct8kr)^K`M0|hRvuXG}Ju7{9`Uu4Lr$3vH>tHZAI5-UP zS-~rUSF8Io9?tk##tZ6xW1+FeSg-ESJSX$&%L3Mxj*zB3v&?hG|XIKti_{{B-dsyyB#7((fxx3W;eHZp!(-(OC)PDW? z4McoTzo+{BSl!?MkNrRB4?PDo3}_$Fh4{?@{~qw6x_{s^179Bain>4ilko4tuc`Y7 z4I4CRP?5TSur|2=;IO)X$ciDGhBT}DhwdDD`p~mbe*R)Ax$KoRelksq6&CR~cSXL4=Ct^>00`&@RxM~*a0o94@h1W6>yN=~}fOf)r`d~S?nD@6wu$`j&c$k z)QjAm8uV7)p@o7Mu6n73Q8~5t(WS9h+1RgHYp3Sl z1U4OGVZA6SMxRgE_-~*L&T@QH_}qn}Z_8G*f^VoG&cb|?c{nRaOmALc6TiVSIm`7; z=i?Z6CV#_4au(~`$jjOB-pq==h0-}o_-*Cq?yxZqPWhG!>MZQHnWwW_yV$gEv9!(- zf7|)Gs~O|+jBmN%&cgpM@^+8!CI5rcJ1y|P%HKH@S@VS1|07#C?eV|VA#T1g_kU;* zr&0dbdN`d$%v)Y(NBoa%W^cmYQcpp1z@N4YoA^lmykZQzB*~X#US>wHEI=h$U1(txODKCPy6tpzu5zv-_ z7Vv!p+H%l>zBfRt0WCeP6SP{;jI^Ubs{<_~jqp~0mX$_$D?!Ul9|77b&_d}3Xsbc% zlTLhVK+8!dzO|rb2Zw>S4z#|(G|<+AmK(epG&<*@fA9>@=$was883iF=R6F|cn~x? z=V5@c9<&C~1{w1~+Xz}X^E%KPK^u~JHfT+t4bD0Wv7qq9eY)(YCFoIKFl zKpUHr0opdu#^jC!Z98b=b2C9}2W?y~4p9npfL4&Z5wuRwCiJCpbb&UpFO_2lXovS3 z2-;52CinA!whOdL{ZKoB-JliqI{?~opcVF~IyxS-DgCLA_JCGApbNCUpiLW4586J^ zrVjWJv=cy^G2joNoe0|Wfv4Jrcd6wu}l z8Uos>pv@T^2JJM^ju`9*?R3!Q4QU4L4A9Dk)Pi;YkDqW~;Qadn7rI}qWe|T1KZ{pu)9u$C)729K7um;d zsQZ|Cf~H=a5c!f=WO0_BXXF_Pkz-y5W=xzj=NWm9J~x3iE|1k&9QWlJc}qf_uZ%@@ zp2g+C&f60rUmc6=JSWQo@>zPBX^kTEWOOuC+Ia37*Tw=n&xK0k4&go*O1~tbVXu$H z66ce9Htd}Vk#CGe7KfL6Mt&qw@o$a=K7zLlaV(a!_)jEM@&~b4rC+I)1x5u%C-B%G z#v&j6)sPQQhG|co|h2$j#y;p8{<8DY@i|`@?EjW&X>1)L=FZH1nx#BNBv~3 z*%kuh9|%0e7~wJu{7)d<$PE0Sh2tpGaSlf=&ah2Kf0>KvR5}YI9)x%Z;-QF#BR&lA z2ri$^^4VxM23+GXEf|k13J@QTcoHjQMQS>mf_N%R4?GEeA0{17AY4}U;z|-cZ zF_XKG^;dG3qGaeecUJpc`%?Q{XS$;Mah<03(+BB8^r8G(K}yi3Nocbqv{Jp?mMu4B zkCpIs#?YXLzRRHpU7zT!&{*PYa9- zOtA7mirXkKSwbt5&=xppf%{os?Mv8vnm$V})sNLX^E=N$GRvwx(-Ffsj!NUVNcO;{Dwk}UeR!Lg%Us`Rp{(- zMZ=+niiYzA6%8l)DLVRYMZ+mGijHnf(Qwj(58H1wXR7yq1m?lm&U@g8mjBTZ$m4Y_k zTE@0hs-1!k3OXt1qF@L8x|347D7BkX$5C)R1$!viOTj)0PN3jK;@eNbNfew+wC_-G z3I(T9a2f@tQ*Z_aXHsw$1!t4QcPTiBg6~mqE(PaNa6SbWP;enJUqr#h6kI~Vr4)Rh zg3BnloPtK>kBnVGsVgbCih`>txQ2pj3FL`O%&Wr!7UX0fP!0z`G=Ic zje^@L_z?wnQ*Z|bcT#W{1qUd&hk}C?bW?CI1@}>KKS4b}!Gm=95CsoY@CXHuQgDcV zeT-6%Q}6@@Pg3v{1y57(46*!}Qa_>KSqgqi!E^M(^A!AyE`LtJFDQ6{f?xWFGxj2- zUZUXF6#RyQmnrxy1;3-<6$)OZ;57pClg`l1Jmim@4UyZMp`A?_d z3<}Pq;4FNp-2YulokPL*C^(mb^C&oF^?G*fof;%XL;9d&uqu_oD9-!bs3Lc{1VM2L?Qjbz_h=Ru`c$|VKD0q^Brzm)u zn4h84k16;G1ysR4=MPFf{!Wqgn~~g_>2Pj{IQ=tSnQ`y z4D*iy^UwII3NBS21@v)Sl|DzS(g$Q!`sAxhA8A$Tv#Kh62$g@jlz(iLe;!n&4|=Nf z2~L$hnyH2;$fh8Nf?NvdbB!u}U{UQ)!2k*dQV^zK5CwxNpwH*2^uaroK2fI*r{FLO z@+cUAfPXQE(U)%+eV~hfOp1R+iP0B<7=2rY(Kliked~qMH(U4zdT?ktF6py1Onk3N z!Srbz1^?I49djTUMFAK-_oSkw)*4%CZKx$uTE2#8iKd8XX=#aumWXJHh=_=Yh=_=Y zND&cH|EBxi<#C2HUw1lh9U9Pt7PO%QUFbm{vM_)lj9?5Cn8FO^uz)4xU(!zt1L!6Eg51dMiZJ8O>0Ip qZXYO3fv_B4ifT+WtPydUC?WS`Pj6djH@Q}uOM(UO_D|~bi~Iq(A!|nf diff --git a/target/scala-2.12/classes/ifu/ifu_iccm$.class b/target/scala-2.12/classes/ifu/ifu_iccm$.class index eecc7b1a3c8699b9fed1953a43d8f2d36c1ced31..c74454eb89a485bfa849c389d71fa5a0f1531622 100644 GIT binary patch delta 118 zcmZ22w_0w)H5Nw8&DU8Z*%-4Yf8??Sk`uVaC%bWbf?0uJQPIiYxz(X86CMqyni3u> qu$b`VLp(-MF& qu$b`VLp(-MF&Xw8`IjD}XWue7gY%^Ck-b diff --git a/target/scala-2.12/classes/ifu/ifu_iccm$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_iccm$delayedInit$body.class index 68805253cdc5deace2096dc0a45e3c25c0d25f87..7327208b83ea5e14b15b2896ef327ed11bf15df2 100644 GIT binary patch delta 19 ZcmaFP`kZxx7ZYRlWN)SbAUTK08vsRt23Y_A delta 19 ZcmaFP`kZxx7ZYRJWN)SbAUTK08vsQy22TJ0