From e626196137efc6b32e3b66da8ddc2d0464b6a907 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Mon, 9 Nov 2020 14:22:33 +0500 Subject: [PATCH] Bus_buffer done --- el2_lsu_bus_buffer.fir | 7520 ++++++++--------- el2_lsu_bus_buffer.v | 2768 +++--- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 18 +- .../chisel-module-template.kotlin_module | Bin 0 -> 16 bytes .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 551435 -> 551451 bytes 7 files changed, 5148 insertions(+), 5158 deletions(-) create mode 100644 target/scala-2.12/classes/META-INF/chisel-module-template.kotlin_module diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index 8e36a5d1..8ff52a29 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -1044,200 +1044,200 @@ circuit el2_lsu_bus_buffer : node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[el2_lsu_bus_buffer.scala 216:86] node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_559 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_559 = bits(buf_data[0], 31, 24) @[el2_lsu_bus_buffer.scala 216:104] node _T_560 = and(_T_558, _T_559) @[el2_lsu_bus_buffer.scala 216:91] node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[el2_lsu_bus_buffer.scala 216:86] node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_564 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_564 = bits(buf_data[1], 31, 24) @[el2_lsu_bus_buffer.scala 216:104] node _T_565 = and(_T_563, _T_564) @[el2_lsu_bus_buffer.scala 216:91] node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[el2_lsu_bus_buffer.scala 216:86] node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_569 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_569 = bits(buf_data[2], 31, 24) @[el2_lsu_bus_buffer.scala 216:104] node _T_570 = and(_T_568, _T_569) @[el2_lsu_bus_buffer.scala 216:91] node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[el2_lsu_bus_buffer.scala 216:86] node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_574 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 216:104] + node _T_574 = bits(buf_data[3], 31, 24) @[el2_lsu_bus_buffer.scala 216:104] node _T_575 = and(_T_573, _T_574) @[el2_lsu_bus_buffer.scala 216:91] node _T_576 = or(_T_560, _T_565) @[el2_lsu_bus_buffer.scala 216:123] node _T_577 = or(_T_576, _T_570) @[el2_lsu_bus_buffer.scala 216:123] node _T_578 = or(_T_577, _T_575) @[el2_lsu_bus_buffer.scala 216:123] - node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 217:60] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[el2_lsu_bus_buffer.scala 217:86] node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_582 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] - node _T_583 = and(_T_581, _T_582) @[el2_lsu_bus_buffer.scala 217:65] - node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 217:60] + node _T_582 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 217:104] + node _T_583 = and(_T_581, _T_582) @[el2_lsu_bus_buffer.scala 217:91] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[el2_lsu_bus_buffer.scala 217:86] node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_587 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] - node _T_588 = and(_T_586, _T_587) @[el2_lsu_bus_buffer.scala 217:65] - node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 217:60] + node _T_587 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 217:104] + node _T_588 = and(_T_586, _T_587) @[el2_lsu_bus_buffer.scala 217:91] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[el2_lsu_bus_buffer.scala 217:86] node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_592 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] - node _T_593 = and(_T_591, _T_592) @[el2_lsu_bus_buffer.scala 217:65] - node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 217:60] + node _T_592 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 217:104] + node _T_593 = and(_T_591, _T_592) @[el2_lsu_bus_buffer.scala 217:91] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[el2_lsu_bus_buffer.scala 217:86] node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_597 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 217:78] - node _T_598 = and(_T_596, _T_597) @[el2_lsu_bus_buffer.scala 217:65] - node _T_599 = or(_T_583, _T_588) @[el2_lsu_bus_buffer.scala 217:97] - node _T_600 = or(_T_599, _T_593) @[el2_lsu_bus_buffer.scala 217:97] - node _T_601 = or(_T_600, _T_598) @[el2_lsu_bus_buffer.scala 217:97] - node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 218:60] + node _T_597 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 217:104] + node _T_598 = and(_T_596, _T_597) @[el2_lsu_bus_buffer.scala 217:91] + node _T_599 = or(_T_583, _T_588) @[el2_lsu_bus_buffer.scala 217:123] + node _T_600 = or(_T_599, _T_593) @[el2_lsu_bus_buffer.scala 217:123] + node _T_601 = or(_T_600, _T_598) @[el2_lsu_bus_buffer.scala 217:123] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[el2_lsu_bus_buffer.scala 218:86] node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_605 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] - node _T_606 = and(_T_604, _T_605) @[el2_lsu_bus_buffer.scala 218:65] - node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 218:60] + node _T_605 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 218:104] + node _T_606 = and(_T_604, _T_605) @[el2_lsu_bus_buffer.scala 218:91] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[el2_lsu_bus_buffer.scala 218:86] node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_610 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] - node _T_611 = and(_T_609, _T_610) @[el2_lsu_bus_buffer.scala 218:65] - node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 218:60] + node _T_610 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 218:104] + node _T_611 = and(_T_609, _T_610) @[el2_lsu_bus_buffer.scala 218:91] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[el2_lsu_bus_buffer.scala 218:86] node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_615 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] - node _T_616 = and(_T_614, _T_615) @[el2_lsu_bus_buffer.scala 218:65] - node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 218:60] + node _T_615 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 218:104] + node _T_616 = and(_T_614, _T_615) @[el2_lsu_bus_buffer.scala 218:91] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[el2_lsu_bus_buffer.scala 218:86] node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_620 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 218:78] - node _T_621 = and(_T_619, _T_620) @[el2_lsu_bus_buffer.scala 218:65] - node _T_622 = or(_T_606, _T_611) @[el2_lsu_bus_buffer.scala 218:96] - node _T_623 = or(_T_622, _T_616) @[el2_lsu_bus_buffer.scala 218:96] - node _T_624 = or(_T_623, _T_621) @[el2_lsu_bus_buffer.scala 218:96] - node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 219:60] + node _T_620 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 218:104] + node _T_621 = and(_T_619, _T_620) @[el2_lsu_bus_buffer.scala 218:91] + node _T_622 = or(_T_606, _T_611) @[el2_lsu_bus_buffer.scala 218:123] + node _T_623 = or(_T_622, _T_616) @[el2_lsu_bus_buffer.scala 218:123] + node _T_624 = or(_T_623, _T_621) @[el2_lsu_bus_buffer.scala 218:123] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[el2_lsu_bus_buffer.scala 219:86] node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_628 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] - node _T_629 = and(_T_627, _T_628) @[el2_lsu_bus_buffer.scala 219:65] - node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 219:60] + node _T_628 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 219:104] + node _T_629 = and(_T_627, _T_628) @[el2_lsu_bus_buffer.scala 219:91] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[el2_lsu_bus_buffer.scala 219:86] node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_633 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] - node _T_634 = and(_T_632, _T_633) @[el2_lsu_bus_buffer.scala 219:65] - node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 219:60] + node _T_633 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 219:104] + node _T_634 = and(_T_632, _T_633) @[el2_lsu_bus_buffer.scala 219:91] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[el2_lsu_bus_buffer.scala 219:86] node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_638 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] - node _T_639 = and(_T_637, _T_638) @[el2_lsu_bus_buffer.scala 219:65] - node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 219:60] + node _T_638 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 219:104] + node _T_639 = and(_T_637, _T_638) @[el2_lsu_bus_buffer.scala 219:91] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[el2_lsu_bus_buffer.scala 219:86] node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_643 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 219:78] - node _T_644 = and(_T_642, _T_643) @[el2_lsu_bus_buffer.scala 219:65] - node _T_645 = or(_T_629, _T_634) @[el2_lsu_bus_buffer.scala 219:95] - node _T_646 = or(_T_645, _T_639) @[el2_lsu_bus_buffer.scala 219:95] - node _T_647 = or(_T_646, _T_644) @[el2_lsu_bus_buffer.scala 219:95] + node _T_643 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 219:104] + node _T_644 = and(_T_642, _T_643) @[el2_lsu_bus_buffer.scala 219:91] + node _T_645 = or(_T_629, _T_634) @[el2_lsu_bus_buffer.scala 219:123] + node _T_646 = or(_T_645, _T_639) @[el2_lsu_bus_buffer.scala 219:123] + node _T_647 = or(_T_646, _T_644) @[el2_lsu_bus_buffer.scala 219:123] node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] - node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[el2_lsu_bus_buffer.scala 219:130] - node _T_652 = or(_T_650, _T_651) @[el2_lsu_bus_buffer.scala 219:101] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[el2_lsu_bus_buffer.scala 220:32] + node _T_652 = or(_T_650, _T_651) @[el2_lsu_bus_buffer.scala 219:129] io.ld_fwddata_buf_lo <= _T_652 @[el2_lsu_bus_buffer.scala 216:24] - node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 221:86] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[el2_lsu_bus_buffer.scala 222:86] node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_656 = bits(buf_data[0], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] - node _T_657 = and(_T_655, _T_656) @[el2_lsu_bus_buffer.scala 221:91] - node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 221:86] + node _T_656 = bits(buf_data[0], 31, 24) @[el2_lsu_bus_buffer.scala 222:104] + node _T_657 = and(_T_655, _T_656) @[el2_lsu_bus_buffer.scala 222:91] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[el2_lsu_bus_buffer.scala 222:86] node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_661 = bits(buf_data[1], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] - node _T_662 = and(_T_660, _T_661) @[el2_lsu_bus_buffer.scala 221:91] - node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 221:86] + node _T_661 = bits(buf_data[1], 31, 24) @[el2_lsu_bus_buffer.scala 222:104] + node _T_662 = and(_T_660, _T_661) @[el2_lsu_bus_buffer.scala 222:91] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[el2_lsu_bus_buffer.scala 222:86] node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_666 = bits(buf_data[2], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] - node _T_667 = and(_T_665, _T_666) @[el2_lsu_bus_buffer.scala 221:91] - node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 221:86] + node _T_666 = bits(buf_data[2], 31, 24) @[el2_lsu_bus_buffer.scala 222:104] + node _T_667 = and(_T_665, _T_666) @[el2_lsu_bus_buffer.scala 222:91] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[el2_lsu_bus_buffer.scala 222:86] node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_671 = bits(buf_data[3], 31, 23) @[el2_lsu_bus_buffer.scala 221:104] - node _T_672 = and(_T_670, _T_671) @[el2_lsu_bus_buffer.scala 221:91] - node _T_673 = or(_T_657, _T_662) @[el2_lsu_bus_buffer.scala 221:123] - node _T_674 = or(_T_673, _T_667) @[el2_lsu_bus_buffer.scala 221:123] - node _T_675 = or(_T_674, _T_672) @[el2_lsu_bus_buffer.scala 221:123] - node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 222:60] + node _T_671 = bits(buf_data[3], 31, 24) @[el2_lsu_bus_buffer.scala 222:104] + node _T_672 = and(_T_670, _T_671) @[el2_lsu_bus_buffer.scala 222:91] + node _T_673 = or(_T_657, _T_662) @[el2_lsu_bus_buffer.scala 222:123] + node _T_674 = or(_T_673, _T_667) @[el2_lsu_bus_buffer.scala 222:123] + node _T_675 = or(_T_674, _T_672) @[el2_lsu_bus_buffer.scala 222:123] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[el2_lsu_bus_buffer.scala 223:86] node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_679 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] - node _T_680 = and(_T_678, _T_679) @[el2_lsu_bus_buffer.scala 222:65] - node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 222:60] + node _T_679 = bits(buf_data[0], 23, 16) @[el2_lsu_bus_buffer.scala 223:104] + node _T_680 = and(_T_678, _T_679) @[el2_lsu_bus_buffer.scala 223:91] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[el2_lsu_bus_buffer.scala 223:86] node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_684 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] - node _T_685 = and(_T_683, _T_684) @[el2_lsu_bus_buffer.scala 222:65] - node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 222:60] + node _T_684 = bits(buf_data[1], 23, 16) @[el2_lsu_bus_buffer.scala 223:104] + node _T_685 = and(_T_683, _T_684) @[el2_lsu_bus_buffer.scala 223:91] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[el2_lsu_bus_buffer.scala 223:86] node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_689 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] - node _T_690 = and(_T_688, _T_689) @[el2_lsu_bus_buffer.scala 222:65] - node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 222:60] + node _T_689 = bits(buf_data[2], 23, 16) @[el2_lsu_bus_buffer.scala 223:104] + node _T_690 = and(_T_688, _T_689) @[el2_lsu_bus_buffer.scala 223:91] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[el2_lsu_bus_buffer.scala 223:86] node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_694 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 222:78] - node _T_695 = and(_T_693, _T_694) @[el2_lsu_bus_buffer.scala 222:65] - node _T_696 = or(_T_680, _T_685) @[el2_lsu_bus_buffer.scala 222:97] - node _T_697 = or(_T_696, _T_690) @[el2_lsu_bus_buffer.scala 222:97] - node _T_698 = or(_T_697, _T_695) @[el2_lsu_bus_buffer.scala 222:97] - node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 223:60] + node _T_694 = bits(buf_data[3], 23, 16) @[el2_lsu_bus_buffer.scala 223:104] + node _T_695 = and(_T_693, _T_694) @[el2_lsu_bus_buffer.scala 223:91] + node _T_696 = or(_T_680, _T_685) @[el2_lsu_bus_buffer.scala 223:123] + node _T_697 = or(_T_696, _T_690) @[el2_lsu_bus_buffer.scala 223:123] + node _T_698 = or(_T_697, _T_695) @[el2_lsu_bus_buffer.scala 223:123] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[el2_lsu_bus_buffer.scala 224:86] node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_702 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] - node _T_703 = and(_T_701, _T_702) @[el2_lsu_bus_buffer.scala 223:65] - node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 223:60] + node _T_702 = bits(buf_data[0], 15, 8) @[el2_lsu_bus_buffer.scala 224:104] + node _T_703 = and(_T_701, _T_702) @[el2_lsu_bus_buffer.scala 224:91] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[el2_lsu_bus_buffer.scala 224:86] node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_707 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] - node _T_708 = and(_T_706, _T_707) @[el2_lsu_bus_buffer.scala 223:65] - node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 223:60] + node _T_707 = bits(buf_data[1], 15, 8) @[el2_lsu_bus_buffer.scala 224:104] + node _T_708 = and(_T_706, _T_707) @[el2_lsu_bus_buffer.scala 224:91] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[el2_lsu_bus_buffer.scala 224:86] node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_712 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] - node _T_713 = and(_T_711, _T_712) @[el2_lsu_bus_buffer.scala 223:65] - node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 223:60] + node _T_712 = bits(buf_data[2], 15, 8) @[el2_lsu_bus_buffer.scala 224:104] + node _T_713 = and(_T_711, _T_712) @[el2_lsu_bus_buffer.scala 224:91] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[el2_lsu_bus_buffer.scala 224:86] node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_717 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 223:78] - node _T_718 = and(_T_716, _T_717) @[el2_lsu_bus_buffer.scala 223:65] - node _T_719 = or(_T_703, _T_708) @[el2_lsu_bus_buffer.scala 223:96] - node _T_720 = or(_T_719, _T_713) @[el2_lsu_bus_buffer.scala 223:96] - node _T_721 = or(_T_720, _T_718) @[el2_lsu_bus_buffer.scala 223:96] - node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 224:60] + node _T_717 = bits(buf_data[3], 15, 8) @[el2_lsu_bus_buffer.scala 224:104] + node _T_718 = and(_T_716, _T_717) @[el2_lsu_bus_buffer.scala 224:91] + node _T_719 = or(_T_703, _T_708) @[el2_lsu_bus_buffer.scala 224:123] + node _T_720 = or(_T_719, _T_713) @[el2_lsu_bus_buffer.scala 224:123] + node _T_721 = or(_T_720, _T_718) @[el2_lsu_bus_buffer.scala 224:123] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[el2_lsu_bus_buffer.scala 225:86] node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_725 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] - node _T_726 = and(_T_724, _T_725) @[el2_lsu_bus_buffer.scala 224:65] - node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 224:60] + node _T_725 = bits(buf_data[0], 7, 0) @[el2_lsu_bus_buffer.scala 225:104] + node _T_726 = and(_T_724, _T_725) @[el2_lsu_bus_buffer.scala 225:91] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[el2_lsu_bus_buffer.scala 225:86] node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_730 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] - node _T_731 = and(_T_729, _T_730) @[el2_lsu_bus_buffer.scala 224:65] - node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 224:60] + node _T_730 = bits(buf_data[1], 7, 0) @[el2_lsu_bus_buffer.scala 225:104] + node _T_731 = and(_T_729, _T_730) @[el2_lsu_bus_buffer.scala 225:91] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[el2_lsu_bus_buffer.scala 225:86] node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_735 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] - node _T_736 = and(_T_734, _T_735) @[el2_lsu_bus_buffer.scala 224:65] - node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 224:60] + node _T_735 = bits(buf_data[2], 7, 0) @[el2_lsu_bus_buffer.scala 225:104] + node _T_736 = and(_T_734, _T_735) @[el2_lsu_bus_buffer.scala 225:91] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[el2_lsu_bus_buffer.scala 225:86] node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_740 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 224:78] - node _T_741 = and(_T_739, _T_740) @[el2_lsu_bus_buffer.scala 224:65] - node _T_742 = or(_T_726, _T_731) @[el2_lsu_bus_buffer.scala 224:95] - node _T_743 = or(_T_742, _T_736) @[el2_lsu_bus_buffer.scala 224:95] - node _T_744 = or(_T_743, _T_741) @[el2_lsu_bus_buffer.scala 224:95] + node _T_740 = bits(buf_data[3], 7, 0) @[el2_lsu_bus_buffer.scala 225:104] + node _T_741 = and(_T_739, _T_740) @[el2_lsu_bus_buffer.scala 225:91] + node _T_742 = or(_T_726, _T_731) @[el2_lsu_bus_buffer.scala 225:123] + node _T_743 = or(_T_742, _T_736) @[el2_lsu_bus_buffer.scala 225:123] + node _T_744 = or(_T_743, _T_741) @[el2_lsu_bus_buffer.scala 225:123] node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] - node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[el2_lsu_bus_buffer.scala 224:130] - node _T_749 = or(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 224:101] - io.ld_fwddata_buf_hi <= _T_749 @[el2_lsu_bus_buffer.scala 221:24] - node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 226:65] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[el2_lsu_bus_buffer.scala 226:32] + node _T_749 = or(_T_747, _T_748) @[el2_lsu_bus_buffer.scala 225:129] + io.ld_fwddata_buf_hi <= _T_749 @[el2_lsu_bus_buffer.scala 222:24] + node bus_coalescing_disable = or(io.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 228:65] node _T_750 = mux(io.lsu_pkt_r.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_751 = mux(io.lsu_pkt_r.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_752 = mux(io.lsu_pkt_r.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1245,19 +1245,19 @@ circuit el2_lsu_bus_buffer : node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_754 @[Mux.scala 27:72] - node _T_755 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 231:50] - node _T_756 = eq(_T_755, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 231:55] - node _T_757 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 232:50] - node _T_758 = eq(_T_757, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 232:55] - node _T_759 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 232:91] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 233:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 233:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 234:50] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 234:55] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[el2_lsu_bus_buffer.scala 234:91] node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] - node _T_761 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 233:50] - node _T_762 = eq(_T_761, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 233:55] - node _T_763 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 233:91] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 235:50] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 235:55] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[el2_lsu_bus_buffer.scala 235:91] node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] - node _T_765 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 234:50] - node _T_766 = eq(_T_765, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 234:55] - node _T_767 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 234:91] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 236:50] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 236:55] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[el2_lsu_bus_buffer.scala 236:91] node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1268,19 +1268,19 @@ circuit el2_lsu_bus_buffer : node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] - node _T_776 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 235:50] - node _T_777 = eq(_T_776, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 235:55] - node _T_778 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 236:50] - node _T_779 = eq(_T_778, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 236:55] - node _T_780 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 236:81] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 237:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 238:50] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 238:55] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[el2_lsu_bus_buffer.scala 238:81] node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_782 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:50] - node _T_783 = eq(_T_782, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 237:55] - node _T_784 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 237:81] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 239:50] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 239:55] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[el2_lsu_bus_buffer.scala 239:81] node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_786 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 238:50] - node _T_787 = eq(_T_786, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 238:55] - node _T_788 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 238:81] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:50] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 240:55] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[el2_lsu_bus_buffer.scala 240:81] node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1291,19 +1291,19 @@ circuit el2_lsu_bus_buffer : node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] - node _T_797 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 240:49] - node _T_798 = eq(_T_797, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 240:54] - node _T_799 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 241:49] - node _T_800 = eq(_T_799, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 241:54] - node _T_801 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 241:93] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 242:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:49] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 243:54] + node _T_801 = bits(io.store_data_r, 31, 8) @[el2_lsu_bus_buffer.scala 243:93] node _T_802 = cat(UInt<8>("h00"), _T_801) @[Cat.scala 29:58] - node _T_803 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 242:49] - node _T_804 = eq(_T_803, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 242:54] - node _T_805 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 242:93] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 244:49] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 244:54] + node _T_805 = bits(io.store_data_r, 31, 16) @[el2_lsu_bus_buffer.scala 244:93] node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] - node _T_807 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 243:49] - node _T_808 = eq(_T_807, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 243:54] - node _T_809 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 243:93] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:49] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 245:54] + node _T_809 = bits(io.store_data_r, 31, 24) @[el2_lsu_bus_buffer.scala 245:93] node _T_810 = cat(UInt<24>("h00"), _T_809) @[Cat.scala 29:58] node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1314,19 +1314,19 @@ circuit el2_lsu_bus_buffer : node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] store_data_hi_r <= _T_817 @[Mux.scala 27:72] - node _T_818 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 245:49] - node _T_819 = eq(_T_818, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 245:54] - node _T_820 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 246:49] - node _T_821 = eq(_T_820, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 246:54] - node _T_822 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 246:82] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 247:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 248:49] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 248:54] + node _T_822 = bits(io.store_data_r, 23, 0) @[el2_lsu_bus_buffer.scala 248:82] node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] - node _T_824 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 247:49] - node _T_825 = eq(_T_824, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 247:54] - node _T_826 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 247:82] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 249:49] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 249:54] + node _T_826 = bits(io.store_data_r, 15, 0) @[el2_lsu_bus_buffer.scala 249:82] node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_828 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 248:49] - node _T_829 = eq(_T_828, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 248:54] - node _T_830 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 248:82] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 250:49] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 250:54] + node _T_830 = bits(io.store_data_r, 7, 0) @[el2_lsu_bus_buffer.scala 250:82] node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1337,13 +1337,13 @@ circuit el2_lsu_bus_buffer : node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] store_data_lo_r <= _T_838 @[Mux.scala 27:72] - node _T_839 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 251:36] - node _T_840 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 251:57] - node ldst_samedw_r = eq(_T_839, _T_840) @[el2_lsu_bus_buffer.scala 251:40] - node _T_841 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 252:67] - node _T_842 = eq(_T_841, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 252:74] - node _T_843 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 253:40] - node _T_844 = eq(_T_843, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 253:26] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 253:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[el2_lsu_bus_buffer.scala 253:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[el2_lsu_bus_buffer.scala 253:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[el2_lsu_bus_buffer.scala 254:67] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 254:74] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[el2_lsu_bus_buffer.scala 255:40] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 255:26] node _T_845 = mux(io.lsu_pkt_r.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] node _T_846 = mux(io.lsu_pkt_r.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] node _T_847 = mux(io.lsu_pkt_r.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -1351,26 +1351,26 @@ circuit el2_lsu_bus_buffer : node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_849 @[Mux.scala 27:72] - node _T_850 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 255:55] - node _T_851 = and(io.lsu_busreq_r, _T_850) @[el2_lsu_bus_buffer.scala 255:34] - node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 255:79] - node ibuf_byp = and(_T_851, _T_852) @[el2_lsu_bus_buffer.scala 255:77] - node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 256:36] - node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 256:56] - node ibuf_wr_en = and(_T_853, _T_854) @[el2_lsu_bus_buffer.scala 256:54] + node _T_850 = or(io.lsu_pkt_r.load, io.no_word_merge_r) @[el2_lsu_bus_buffer.scala 257:55] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[el2_lsu_bus_buffer.scala 257:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 257:79] + node ibuf_byp = and(_T_851, _T_852) @[el2_lsu_bus_buffer.scala 257:77] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 258:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 258:56] + node ibuf_wr_en = and(_T_853, _T_854) @[el2_lsu_bus_buffer.scala 258:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 258:36] - node _T_856 = and(ibuf_drain_vld, _T_855) @[el2_lsu_bus_buffer.scala 258:34] - node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 258:49] - node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 259:44] - node _T_858 = and(io.lsu_busreq_m, _T_857) @[el2_lsu_bus_buffer.scala 259:42] - node _T_859 = and(_T_858, ibuf_valid) @[el2_lsu_bus_buffer.scala 259:61] - node _T_860 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 259:107] - node _T_861 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 259:132] - node _T_862 = neq(_T_860, _T_861) @[el2_lsu_bus_buffer.scala 259:115] - node _T_863 = or(io.lsu_pkt_m.load, _T_862) @[el2_lsu_bus_buffer.scala 259:95] - node ibuf_force_drain = and(_T_859, _T_863) @[el2_lsu_bus_buffer.scala 259:74] + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 260:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[el2_lsu_bus_buffer.scala 260:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 260:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 261:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[el2_lsu_bus_buffer.scala 261:42] + node _T_859 = and(_T_858, ibuf_valid) @[el2_lsu_bus_buffer.scala 261:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 261:107] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 261:132] + node _T_862 = neq(_T_860, _T_861) @[el2_lsu_bus_buffer.scala 261:115] + node _T_863 = or(io.lsu_pkt_m.load, _T_862) @[el2_lsu_bus_buffer.scala 261:95] + node ibuf_force_drain = and(_T_859, _T_863) @[el2_lsu_bus_buffer.scala 261:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -1379,217 +1379,217 @@ circuit el2_lsu_bus_buffer : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 264:62] - node _T_865 = or(ibuf_wr_en, _T_864) @[el2_lsu_bus_buffer.scala 264:48] - node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 264:98] - node _T_867 = eq(_T_866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 264:82] - node _T_868 = and(_T_865, _T_867) @[el2_lsu_bus_buffer.scala 264:80] - node _T_869 = or(_T_868, ibuf_byp) @[el2_lsu_bus_buffer.scala 265:5] - node _T_870 = or(_T_869, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 265:16] - node _T_871 = or(_T_870, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 265:35] - node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 265:55] - node _T_873 = or(_T_871, _T_872) @[el2_lsu_bus_buffer.scala 265:53] - node _T_874 = or(_T_873, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 265:67] - node _T_875 = and(ibuf_valid, _T_874) @[el2_lsu_bus_buffer.scala 264:32] - ibuf_drain_vld <= _T_875 @[el2_lsu_bus_buffer.scala 264:18] + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 266:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[el2_lsu_bus_buffer.scala 266:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 266:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 266:82] + node _T_868 = and(_T_865, _T_867) @[el2_lsu_bus_buffer.scala 266:80] + node _T_869 = or(_T_868, ibuf_byp) @[el2_lsu_bus_buffer.scala 267:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 267:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[el2_lsu_bus_buffer.scala 267:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 267:55] + node _T_873 = or(_T_871, _T_872) @[el2_lsu_bus_buffer.scala 267:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[el2_lsu_bus_buffer.scala 267:67] + node _T_875 = and(ibuf_valid, _T_874) @[el2_lsu_bus_buffer.scala 266:32] + ibuf_drain_vld <= _T_875 @[el2_lsu_bus_buffer.scala 266:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 270:39] - node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 270:69] - node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[el2_lsu_bus_buffer.scala 270:24] + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 272:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[el2_lsu_bus_buffer.scala 272:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[el2_lsu_bus_buffer.scala 272:24] node ibuf_sz_in = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 273:25] - node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 274:42] - node _T_879 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 274:70] - node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 274:95] - node _T_881 = or(_T_879, _T_880) @[el2_lsu_bus_buffer.scala 274:77] - node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 275:41] - node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 275:65] - node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[el2_lsu_bus_buffer.scala 275:8] - node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[el2_lsu_bus_buffer.scala 274:27] - node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 278:61] - node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 279:25] - node _T_887 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 279:45] - node _T_888 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 279:76] - node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 279:8] - node _T_890 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 280:40] - node _T_891 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 280:77] - node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[el2_lsu_bus_buffer.scala 280:8] - node _T_893 = mux(_T_885, _T_889, _T_892) @[el2_lsu_bus_buffer.scala 278:46] - node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 278:61] - node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 279:25] - node _T_896 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 279:45] - node _T_897 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 279:76] - node _T_898 = mux(_T_895, _T_896, _T_897) @[el2_lsu_bus_buffer.scala 279:8] - node _T_899 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 280:40] - node _T_900 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 280:77] - node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[el2_lsu_bus_buffer.scala 280:8] - node _T_902 = mux(_T_894, _T_898, _T_901) @[el2_lsu_bus_buffer.scala 278:46] - node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 278:61] - node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 279:25] - node _T_905 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 279:45] - node _T_906 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 279:76] - node _T_907 = mux(_T_904, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 279:8] - node _T_908 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 280:40] - node _T_909 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 280:77] - node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[el2_lsu_bus_buffer.scala 280:8] - node _T_911 = mux(_T_903, _T_907, _T_910) @[el2_lsu_bus_buffer.scala 278:46] - node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 278:61] - node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 279:25] - node _T_914 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 279:45] - node _T_915 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 279:76] - node _T_916 = mux(_T_913, _T_914, _T_915) @[el2_lsu_bus_buffer.scala 279:8] - node _T_917 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 280:40] - node _T_918 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 280:77] - node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[el2_lsu_bus_buffer.scala 280:8] - node _T_920 = mux(_T_912, _T_916, _T_919) @[el2_lsu_bus_buffer.scala 278:46] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 275:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 276:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[el2_lsu_bus_buffer.scala 276:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 276:95] + node _T_881 = or(_T_879, _T_880) @[el2_lsu_bus_buffer.scala 276:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 277:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 277:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[el2_lsu_bus_buffer.scala 277:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[el2_lsu_bus_buffer.scala 276:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 280:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 281:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 281:45] + node _T_888 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 281:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[el2_lsu_bus_buffer.scala 281:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 282:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 282:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[el2_lsu_bus_buffer.scala 282:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[el2_lsu_bus_buffer.scala 280:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 280:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 281:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 281:45] + node _T_897 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 281:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[el2_lsu_bus_buffer.scala 281:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 282:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 282:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[el2_lsu_bus_buffer.scala 282:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[el2_lsu_bus_buffer.scala 280:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 280:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 281:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 281:45] + node _T_906 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 281:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[el2_lsu_bus_buffer.scala 281:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 282:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 282:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[el2_lsu_bus_buffer.scala 282:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[el2_lsu_bus_buffer.scala 280:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 280:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 281:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 281:45] + node _T_915 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 281:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[el2_lsu_bus_buffer.scala 281:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 282:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 282:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[el2_lsu_bus_buffer.scala 282:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[el2_lsu_bus_buffer.scala 280:46] node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] - node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 281:59] - node _T_924 = bits(_T_923, 0, 0) @[el2_lsu_bus_buffer.scala 281:79] - node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 281:93] - node _T_926 = tail(_T_925, 1) @[el2_lsu_bus_buffer.scala 281:93] - node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[el2_lsu_bus_buffer.scala 281:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[el2_lsu_bus_buffer.scala 281:26] - node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 283:36] - node _T_929 = and(_T_928, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 283:54] - node _T_930 = and(_T_929, ibuf_valid) @[el2_lsu_bus_buffer.scala 283:75] - node _T_931 = and(_T_930, ibuf_write) @[el2_lsu_bus_buffer.scala 283:88] - node _T_932 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 283:117] - node _T_933 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 283:137] - node _T_934 = eq(_T_932, _T_933) @[el2_lsu_bus_buffer.scala 283:124] - node _T_935 = and(_T_931, _T_934) @[el2_lsu_bus_buffer.scala 283:101] - node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 283:147] - node _T_937 = and(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 283:145] - node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 283:170] - node _T_939 = and(_T_937, _T_938) @[el2_lsu_bus_buffer.scala 283:168] - ibuf_merge_en <= _T_939 @[el2_lsu_bus_buffer.scala 283:17] - node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 284:20] - ibuf_merge_in <= _T_940 @[el2_lsu_bus_buffer.scala 284:17] - node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 285:65] - node _T_942 = and(ibuf_merge_en, _T_941) @[el2_lsu_bus_buffer.scala 285:63] - node _T_943 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 285:92] - node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 285:114] - node _T_945 = or(_T_943, _T_944) @[el2_lsu_bus_buffer.scala 285:96] - node _T_946 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 285:130] - node _T_947 = mux(_T_942, _T_945, _T_946) @[el2_lsu_bus_buffer.scala 285:48] - node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 285:65] - node _T_949 = and(ibuf_merge_en, _T_948) @[el2_lsu_bus_buffer.scala 285:63] - node _T_950 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 285:92] - node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 285:114] - node _T_952 = or(_T_950, _T_951) @[el2_lsu_bus_buffer.scala 285:96] - node _T_953 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 285:130] - node _T_954 = mux(_T_949, _T_952, _T_953) @[el2_lsu_bus_buffer.scala 285:48] - node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 285:65] - node _T_956 = and(ibuf_merge_en, _T_955) @[el2_lsu_bus_buffer.scala 285:63] - node _T_957 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 285:92] - node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 285:114] - node _T_959 = or(_T_957, _T_958) @[el2_lsu_bus_buffer.scala 285:96] - node _T_960 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 285:130] - node _T_961 = mux(_T_956, _T_959, _T_960) @[el2_lsu_bus_buffer.scala 285:48] - node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 285:65] - node _T_963 = and(ibuf_merge_en, _T_962) @[el2_lsu_bus_buffer.scala 285:63] - node _T_964 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 285:92] - node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 285:114] - node _T_966 = or(_T_964, _T_965) @[el2_lsu_bus_buffer.scala 285:96] - node _T_967 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 285:130] - node _T_968 = mux(_T_963, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 285:48] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 283:59] + node _T_924 = bits(_T_923, 0, 0) @[el2_lsu_bus_buffer.scala 283:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 283:93] + node _T_926 = tail(_T_925, 1) @[el2_lsu_bus_buffer.scala 283:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[el2_lsu_bus_buffer.scala 283:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[el2_lsu_bus_buffer.scala 283:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 285:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 285:54] + node _T_930 = and(_T_929, ibuf_valid) @[el2_lsu_bus_buffer.scala 285:75] + node _T_931 = and(_T_930, ibuf_write) @[el2_lsu_bus_buffer.scala 285:88] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 285:117] + node _T_933 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 285:137] + node _T_934 = eq(_T_932, _T_933) @[el2_lsu_bus_buffer.scala 285:124] + node _T_935 = and(_T_931, _T_934) @[el2_lsu_bus_buffer.scala 285:101] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 285:147] + node _T_937 = and(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 285:145] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 285:170] + node _T_939 = and(_T_937, _T_938) @[el2_lsu_bus_buffer.scala 285:168] + ibuf_merge_en <= _T_939 @[el2_lsu_bus_buffer.scala 285:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 286:20] + ibuf_merge_in <= _T_940 @[el2_lsu_bus_buffer.scala 286:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 287:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[el2_lsu_bus_buffer.scala 287:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 287:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 287:114] + node _T_945 = or(_T_943, _T_944) @[el2_lsu_bus_buffer.scala 287:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 287:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[el2_lsu_bus_buffer.scala 287:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 287:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[el2_lsu_bus_buffer.scala 287:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 287:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 287:114] + node _T_952 = or(_T_950, _T_951) @[el2_lsu_bus_buffer.scala 287:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 287:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[el2_lsu_bus_buffer.scala 287:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 287:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[el2_lsu_bus_buffer.scala 287:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 287:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 287:114] + node _T_959 = or(_T_957, _T_958) @[el2_lsu_bus_buffer.scala 287:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 287:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[el2_lsu_bus_buffer.scala 287:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 287:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[el2_lsu_bus_buffer.scala 287:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 287:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 287:114] + node _T_966 = or(_T_964, _T_965) @[el2_lsu_bus_buffer.scala 287:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 287:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 287:48] node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] - node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 286:62] - node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 286:60] - node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 286:98] - node _T_974 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 286:118] - node _T_975 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 286:143] - node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 286:81] - node _T_977 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 286:169] - node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 286:45] - node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 286:62] - node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 286:60] - node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 286:98] - node _T_982 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 286:118] - node _T_983 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:143] - node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 286:81] - node _T_985 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:169] - node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 286:45] - node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 286:62] - node _T_988 = and(ibuf_merge_en, _T_987) @[el2_lsu_bus_buffer.scala 286:60] - node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 286:98] - node _T_990 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 286:118] - node _T_991 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:143] - node _T_992 = mux(_T_989, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 286:81] - node _T_993 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:169] - node _T_994 = mux(_T_988, _T_992, _T_993) @[el2_lsu_bus_buffer.scala 286:45] - node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 286:62] - node _T_996 = and(ibuf_merge_en, _T_995) @[el2_lsu_bus_buffer.scala 286:60] - node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 286:98] - node _T_998 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 286:118] - node _T_999 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:143] - node _T_1000 = mux(_T_997, _T_998, _T_999) @[el2_lsu_bus_buffer.scala 286:81] - node _T_1001 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:169] - node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[el2_lsu_bus_buffer.scala 286:45] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 288:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 288:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 288:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 288:118] + node _T_975 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 288:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 288:81] + node _T_977 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 288:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 288:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 288:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 288:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 288:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 288:118] + node _T_983 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 288:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 288:81] + node _T_985 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 288:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 288:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 288:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[el2_lsu_bus_buffer.scala 288:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 288:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 288:118] + node _T_991 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 288:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 288:81] + node _T_993 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 288:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[el2_lsu_bus_buffer.scala 288:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 288:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[el2_lsu_bus_buffer.scala 288:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 288:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 288:118] + node _T_999 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 288:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[el2_lsu_bus_buffer.scala 288:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 288:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[el2_lsu_bus_buffer.scala 288:45] node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] - node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 288:28] - node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 288:63] - node _T_1007 = and(_T_1005, _T_1006) @[el2_lsu_bus_buffer.scala 288:61] - reg _T_1008 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 288:24] - _T_1008 <= _T_1007 @[el2_lsu_bus_buffer.scala 288:24] - ibuf_valid <= _T_1008 @[el2_lsu_bus_buffer.scala 288:14] - node _T_1009 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 289:120] - node _T_1010 = bits(_T_1009, 0, 0) @[el2_lsu_bus_buffer.scala 289:120] - node _T_1011 = and(ibuf_wr_en, _T_1010) @[el2_lsu_bus_buffer.scala 289:89] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 290:28] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 290:63] + node _T_1007 = and(_T_1005, _T_1006) @[el2_lsu_bus_buffer.scala 290:61] + reg _T_1008 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 290:24] + _T_1008 <= _T_1007 @[el2_lsu_bus_buffer.scala 290:24] + ibuf_valid <= _T_1008 @[el2_lsu_bus_buffer.scala 290:14] + node _T_1009 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 291:120] + node _T_1010 = bits(_T_1009, 0, 0) @[el2_lsu_bus_buffer.scala 291:120] + node _T_1011 = and(ibuf_wr_en, _T_1010) @[el2_lsu_bus_buffer.scala 291:89] reg _T_1012 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1011 : @[Reg.scala 28:19] _T_1012 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_1012 @[el2_lsu_bus_buffer.scala 289:12] - node _T_1013 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 290:131] - node _T_1014 = bits(_T_1013, 0, 0) @[el2_lsu_bus_buffer.scala 290:131] - node _T_1015 = and(ibuf_wr_en, _T_1014) @[el2_lsu_bus_buffer.scala 290:100] + ibuf_tag <= _T_1012 @[el2_lsu_bus_buffer.scala 291:12] + node _T_1013 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 292:131] + node _T_1014 = bits(_T_1013, 0, 0) @[el2_lsu_bus_buffer.scala 292:131] + node _T_1015 = and(ibuf_wr_en, _T_1014) @[el2_lsu_bus_buffer.scala 292:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1015 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1016 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 291:127] - node _T_1017 = bits(_T_1016, 0, 0) @[el2_lsu_bus_buffer.scala 291:127] - node _T_1018 = and(ibuf_wr_en, _T_1017) @[el2_lsu_bus_buffer.scala 291:96] + node _T_1016 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 293:127] + node _T_1017 = bits(_T_1016, 0, 0) @[el2_lsu_bus_buffer.scala 293:127] + node _T_1018 = and(ibuf_wr_en, _T_1017) @[el2_lsu_bus_buffer.scala 293:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1018 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1019 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 292:128] - node _T_1020 = bits(_T_1019, 0, 0) @[el2_lsu_bus_buffer.scala 292:128] - node _T_1021 = and(ibuf_wr_en, _T_1020) @[el2_lsu_bus_buffer.scala 292:97] + node _T_1019 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 294:128] + node _T_1020 = bits(_T_1019, 0, 0) @[el2_lsu_bus_buffer.scala 294:128] + node _T_1021 = and(ibuf_wr_en, _T_1020) @[el2_lsu_bus_buffer.scala 294:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1021 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1022 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 293:135] - node _T_1023 = bits(_T_1022, 0, 0) @[el2_lsu_bus_buffer.scala 293:135] - node _T_1024 = and(ibuf_wr_en, _T_1023) @[el2_lsu_bus_buffer.scala 293:104] + node _T_1022 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 295:135] + node _T_1023 = bits(_T_1022, 0, 0) @[el2_lsu_bus_buffer.scala 295:135] + node _T_1024 = and(ibuf_wr_en, _T_1023) @[el2_lsu_bus_buffer.scala 295:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1024 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1025 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 294:135] - node _T_1026 = bits(_T_1025, 0, 0) @[el2_lsu_bus_buffer.scala 294:135] - node _T_1027 = and(ibuf_wr_en, _T_1026) @[el2_lsu_bus_buffer.scala 294:104] + node _T_1025 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 296:135] + node _T_1026 = bits(_T_1025, 0, 0) @[el2_lsu_bus_buffer.scala 296:135] + node _T_1027 = and(ibuf_wr_en, _T_1026) @[el2_lsu_bus_buffer.scala 296:104] reg _T_1028 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1027 : @[Reg.scala 28:19] _T_1028 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1028 @[el2_lsu_bus_buffer.scala 294:19] - node _T_1029 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 295:134] - node _T_1030 = bits(_T_1029, 0, 0) @[el2_lsu_bus_buffer.scala 295:134] - node _T_1031 = and(ibuf_wr_en, _T_1030) @[el2_lsu_bus_buffer.scala 295:103] + ibuf_sideeffect <= _T_1028 @[el2_lsu_bus_buffer.scala 296:19] + node _T_1029 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 297:134] + node _T_1030 = bits(_T_1029, 0, 0) @[el2_lsu_bus_buffer.scala 297:134] + node _T_1031 = and(ibuf_wr_en, _T_1030) @[el2_lsu_bus_buffer.scala 297:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1031 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] @@ -1598,7 +1598,7 @@ circuit el2_lsu_bus_buffer : when ibuf_wr_en : @[Reg.scala 28:19] _T_1032 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1032 @[el2_lsu_bus_buffer.scala 296:14] + ibuf_write <= _T_1032 @[el2_lsu_bus_buffer.scala 298:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1611,12 +1611,12 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1033 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1033 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_1033 @[el2_lsu_bus_buffer.scala 298:13] + ibuf_addr <= _T_1033 @[el2_lsu_bus_buffer.scala 300:13] reg _T_1034 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1034 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1034 @[el2_lsu_bus_buffer.scala 299:15] + ibuf_byteen <= _T_1034 @[el2_lsu_bus_buffer.scala 301:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -1625,38 +1625,38 @@ circuit el2_lsu_bus_buffer : rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1035 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1035 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_1035 @[el2_lsu_bus_buffer.scala 300:13] - reg _T_1036 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 301:59] - _T_1036 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 301:59] - ibuf_timer <= _T_1036 @[el2_lsu_bus_buffer.scala 301:14] + ibuf_data <= _T_1035 @[el2_lsu_bus_buffer.scala 302:13] + reg _T_1036 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 303:59] + _T_1036 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 303:59] + ibuf_timer <= _T_1036 @[el2_lsu_bus_buffer.scala 303:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 305:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 306:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 307:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 308:15] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_1037 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 311:43] - node _T_1038 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 311:72] - node _T_1039 = and(_T_1037, _T_1038) @[el2_lsu_bus_buffer.scala 311:51] - node _T_1040 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 311:97] - node _T_1041 = and(_T_1039, _T_1040) @[el2_lsu_bus_buffer.scala 311:80] - node _T_1042 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 312:5] - node _T_1043 = and(_T_1041, _T_1042) @[el2_lsu_bus_buffer.scala 311:114] - node _T_1044 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 312:114] - node _T_1045 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 312:114] - node _T_1046 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 312:114] - node _T_1047 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 312:114] + node _T_1037 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 313:43] + node _T_1038 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 313:72] + node _T_1039 = and(_T_1037, _T_1038) @[el2_lsu_bus_buffer.scala 313:51] + node _T_1040 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 313:97] + node _T_1041 = and(_T_1039, _T_1040) @[el2_lsu_bus_buffer.scala 313:80] + node _T_1042 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 314:5] + node _T_1043 = and(_T_1041, _T_1042) @[el2_lsu_bus_buffer.scala 313:114] + node _T_1044 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 314:114] + node _T_1045 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 314:114] + node _T_1046 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 314:114] + node _T_1047 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 314:114] node _T_1048 = mux(_T_1044, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1049 = mux(_T_1045, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1050 = mux(_T_1046, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -1666,16 +1666,16 @@ circuit el2_lsu_bus_buffer : node _T_1054 = or(_T_1053, _T_1051) @[Mux.scala 27:72] wire _T_1055 : UInt<1> @[Mux.scala 27:72] _T_1055 <= _T_1054 @[Mux.scala 27:72] - node _T_1056 = eq(_T_1055, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 312:31] - node _T_1057 = and(_T_1043, _T_1056) @[el2_lsu_bus_buffer.scala 312:29] - node _T_1058 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 313:88] - node _T_1059 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 313:111] - node _T_1060 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 313:88] - node _T_1061 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 313:111] - node _T_1062 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 313:88] - node _T_1063 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 313:111] - node _T_1064 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 313:88] - node _T_1065 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 313:111] + node _T_1056 = eq(_T_1055, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 314:31] + node _T_1057 = and(_T_1043, _T_1056) @[el2_lsu_bus_buffer.scala 314:29] + node _T_1058 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 315:88] + node _T_1059 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 315:111] + node _T_1060 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 315:88] + node _T_1061 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 315:111] + node _T_1062 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 315:88] + node _T_1063 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 315:111] + node _T_1064 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 315:88] + node _T_1065 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 315:111] node _T_1066 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1067 = mux(_T_1060, _T_1061, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1068 = mux(_T_1062, _T_1063, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1685,32 +1685,32 @@ circuit el2_lsu_bus_buffer : node _T_1072 = or(_T_1071, _T_1069) @[Mux.scala 27:72] wire _T_1073 : UInt<1> @[Mux.scala 27:72] _T_1073 <= _T_1072 @[Mux.scala 27:72] - node _T_1074 = eq(_T_1073, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 313:5] - node _T_1075 = and(_T_1057, _T_1074) @[el2_lsu_bus_buffer.scala 312:140] - node _T_1076 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 313:119] - node obuf_wr_wait = and(_T_1075, _T_1076) @[el2_lsu_bus_buffer.scala 313:117] - node _T_1077 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 314:75] - node _T_1078 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 314:95] - node _T_1079 = and(_T_1077, _T_1078) @[el2_lsu_bus_buffer.scala 314:79] - node _T_1080 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 314:121] - node _T_1081 = tail(_T_1080, 1) @[el2_lsu_bus_buffer.scala 314:121] - node _T_1082 = mux(_T_1079, _T_1081, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 314:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1082) @[el2_lsu_bus_buffer.scala 314:29] - node _T_1083 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 315:41] - node _T_1084 = and(io.lsu_busreq_m, _T_1083) @[el2_lsu_bus_buffer.scala 315:39] - node _T_1085 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 315:60] - node _T_1086 = and(_T_1084, _T_1085) @[el2_lsu_bus_buffer.scala 315:58] - node _T_1087 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 315:93] - node _T_1088 = and(_T_1086, _T_1087) @[el2_lsu_bus_buffer.scala 315:72] - node _T_1089 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 315:117] - node _T_1090 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 315:208] - node _T_1091 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 315:228] - node _T_1092 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 315:208] - node _T_1093 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 315:228] - node _T_1094 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 315:208] - node _T_1095 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 315:228] - node _T_1096 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 315:208] - node _T_1097 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 315:228] + node _T_1074 = eq(_T_1073, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 315:5] + node _T_1075 = and(_T_1057, _T_1074) @[el2_lsu_bus_buffer.scala 314:140] + node _T_1076 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 315:119] + node obuf_wr_wait = and(_T_1075, _T_1076) @[el2_lsu_bus_buffer.scala 315:117] + node _T_1077 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 316:75] + node _T_1078 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 316:95] + node _T_1079 = and(_T_1077, _T_1078) @[el2_lsu_bus_buffer.scala 316:79] + node _T_1080 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 316:121] + node _T_1081 = tail(_T_1080, 1) @[el2_lsu_bus_buffer.scala 316:121] + node _T_1082 = mux(_T_1079, _T_1081, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 316:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1082) @[el2_lsu_bus_buffer.scala 316:29] + node _T_1083 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:41] + node _T_1084 = and(io.lsu_busreq_m, _T_1083) @[el2_lsu_bus_buffer.scala 317:39] + node _T_1085 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:60] + node _T_1086 = and(_T_1084, _T_1085) @[el2_lsu_bus_buffer.scala 317:58] + node _T_1087 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 317:93] + node _T_1088 = and(_T_1086, _T_1087) @[el2_lsu_bus_buffer.scala 317:72] + node _T_1089 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 317:117] + node _T_1090 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:208] + node _T_1091 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 317:228] + node _T_1092 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 317:208] + node _T_1093 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 317:228] + node _T_1094 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 317:208] + node _T_1095 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 317:228] + node _T_1096 = eq(CmdPtr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 317:208] + node _T_1097 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 317:228] node _T_1098 = mux(_T_1090, _T_1091, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1099 = mux(_T_1092, _T_1093, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1100 = mux(_T_1094, _T_1095, UInt<1>("h00")) @[Mux.scala 27:72] @@ -1720,35 +1720,35 @@ circuit el2_lsu_bus_buffer : node _T_1104 = or(_T_1103, _T_1101) @[Mux.scala 27:72] wire _T_1105 : UInt<30> @[Mux.scala 27:72] _T_1105 <= _T_1104 @[Mux.scala 27:72] - node _T_1106 = neq(_T_1089, _T_1105) @[el2_lsu_bus_buffer.scala 315:123] - node _T_1107 = and(_T_1088, _T_1106) @[el2_lsu_bus_buffer.scala 315:101] - obuf_force_wr_en <= _T_1107 @[el2_lsu_bus_buffer.scala 315:20] + node _T_1106 = neq(_T_1089, _T_1105) @[el2_lsu_bus_buffer.scala 317:123] + node _T_1107 = and(_T_1088, _T_1106) @[el2_lsu_bus_buffer.scala 317:101] + obuf_force_wr_en <= _T_1107 @[el2_lsu_bus_buffer.scala 317:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1108 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:53] - node _T_1109 = and(ibuf_byp, _T_1108) @[el2_lsu_bus_buffer.scala 317:31] - node _T_1110 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 317:64] - node _T_1111 = or(_T_1110, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 317:84] - node ibuf_buf_byp = and(_T_1109, _T_1111) @[el2_lsu_bus_buffer.scala 317:61] + node _T_1108 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:53] + node _T_1109 = and(ibuf_byp, _T_1108) @[el2_lsu_bus_buffer.scala 319:31] + node _T_1110 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:64] + node _T_1111 = or(_T_1110, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 319:84] + node ibuf_buf_byp = and(_T_1109, _T_1111) @[el2_lsu_bus_buffer.scala 319:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 320:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 321:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 321:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 321:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 321:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 322:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 324:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 322:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 323:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 324:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 325:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 326:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 327:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1761,10 +1761,10 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1112 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 332:32] - node _T_1113 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 332:74] - node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 332:52] - node _T_1115 = and(_T_1112, _T_1114) @[el2_lsu_bus_buffer.scala 332:50] + node _T_1112 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 334:32] + node _T_1113 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 334:74] + node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 334:52] + node _T_1115 = and(_T_1112, _T_1114) @[el2_lsu_bus_buffer.scala 334:50] node _T_1116 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1117 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1118 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -1778,8 +1778,8 @@ circuit el2_lsu_bus_buffer : node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] wire _T_1127 : UInt<3> @[Mux.scala 27:72] _T_1127 <= _T_1126 @[Mux.scala 27:72] - node _T_1128 = eq(_T_1127, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 333:36] - node _T_1129 = and(_T_1128, found_cmdptr0) @[el2_lsu_bus_buffer.scala 333:47] + node _T_1128 = eq(_T_1127, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 335:36] + node _T_1129 = and(_T_1128, found_cmdptr0) @[el2_lsu_bus_buffer.scala 335:47] node _T_1130 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1131 = cat(_T_1130, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1132 = cat(_T_1131, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] @@ -1800,8 +1800,8 @@ circuit el2_lsu_bus_buffer : node _T_1147 = or(_T_1146, _T_1144) @[Mux.scala 27:72] wire _T_1148 : UInt<1> @[Mux.scala 27:72] _T_1148 <= _T_1147 @[Mux.scala 27:72] - node _T_1149 = eq(_T_1148, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 334:23] - node _T_1150 = and(_T_1129, _T_1149) @[el2_lsu_bus_buffer.scala 334:21] + node _T_1149 = eq(_T_1148, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:23] + node _T_1150 = and(_T_1129, _T_1149) @[el2_lsu_bus_buffer.scala 336:21] node _T_1151 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] node _T_1152 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] node _T_1153 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] @@ -1819,9 +1819,9 @@ circuit el2_lsu_bus_buffer : node _T_1165 = or(_T_1164, _T_1162) @[Mux.scala 27:72] wire _T_1166 : UInt<1> @[Mux.scala 27:72] _T_1166 <= _T_1165 @[Mux.scala 27:72] - node _T_1167 = and(_T_1166, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 334:141] - node _T_1168 = eq(_T_1167, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 334:105] - node _T_1169 = and(_T_1150, _T_1168) @[el2_lsu_bus_buffer.scala 334:103] + node _T_1167 = and(_T_1166, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 336:141] + node _T_1168 = eq(_T_1167, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:105] + node _T_1169 = and(_T_1150, _T_1168) @[el2_lsu_bus_buffer.scala 336:103] node _T_1170 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1171 = cat(_T_1170, buf_dual[1]) @[Cat.scala 29:58] node _T_1172 = cat(_T_1171, buf_dual[0]) @[Cat.scala 29:58] @@ -1862,7 +1862,7 @@ circuit el2_lsu_bus_buffer : node _T_1206 = or(_T_1205, _T_1203) @[Mux.scala 27:72] wire _T_1207 : UInt<1> @[Mux.scala 27:72] _T_1207 <= _T_1206 @[Mux.scala 27:72] - node _T_1208 = and(_T_1188, _T_1207) @[el2_lsu_bus_buffer.scala 335:77] + node _T_1208 = and(_T_1188, _T_1207) @[el2_lsu_bus_buffer.scala 337:77] node _T_1209 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] node _T_1210 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] node _T_1211 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] @@ -1880,10 +1880,10 @@ circuit el2_lsu_bus_buffer : node _T_1223 = or(_T_1222, _T_1220) @[Mux.scala 27:72] wire _T_1224 : UInt<1> @[Mux.scala 27:72] _T_1224 <= _T_1223 @[Mux.scala 27:72] - node _T_1225 = eq(_T_1224, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 335:150] - node _T_1226 = and(_T_1208, _T_1225) @[el2_lsu_bus_buffer.scala 335:148] - node _T_1227 = eq(_T_1226, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 335:8] - node _T_1228 = or(_T_1227, found_cmdptr1) @[el2_lsu_bus_buffer.scala 335:181] + node _T_1225 = eq(_T_1224, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 337:150] + node _T_1226 = and(_T_1208, _T_1225) @[el2_lsu_bus_buffer.scala 337:148] + node _T_1227 = eq(_T_1226, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 337:8] + node _T_1228 = or(_T_1227, found_cmdptr1) @[el2_lsu_bus_buffer.scala 337:181] node _T_1229 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1230 = cat(_T_1229, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1231 = cat(_T_1230, buf_nomerge[0]) @[Cat.scala 29:58] @@ -1904,30 +1904,30 @@ circuit el2_lsu_bus_buffer : node _T_1246 = or(_T_1245, _T_1243) @[Mux.scala 27:72] wire _T_1247 : UInt<1> @[Mux.scala 27:72] _T_1247 <= _T_1246 @[Mux.scala 27:72] - node _T_1248 = or(_T_1228, _T_1247) @[el2_lsu_bus_buffer.scala 335:197] - node _T_1249 = or(_T_1248, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 335:269] - node _T_1250 = and(_T_1169, _T_1249) @[el2_lsu_bus_buffer.scala 334:164] - node _T_1251 = or(_T_1115, _T_1250) @[el2_lsu_bus_buffer.scala 332:98] - node _T_1252 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:48] - node _T_1253 = or(bus_cmd_ready, _T_1252) @[el2_lsu_bus_buffer.scala 336:46] - node _T_1254 = or(_T_1253, obuf_nosend) @[el2_lsu_bus_buffer.scala 336:60] - node _T_1255 = and(_T_1251, _T_1254) @[el2_lsu_bus_buffer.scala 336:29] - node _T_1256 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:77] - node _T_1257 = and(_T_1255, _T_1256) @[el2_lsu_bus_buffer.scala 336:75] - node _T_1258 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:93] - node _T_1259 = and(_T_1257, _T_1258) @[el2_lsu_bus_buffer.scala 336:91] - node _T_1260 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 336:118] - node _T_1261 = and(_T_1259, _T_1260) @[el2_lsu_bus_buffer.scala 336:116] - node _T_1262 = and(_T_1261, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 336:142] - obuf_wr_en <= _T_1262 @[el2_lsu_bus_buffer.scala 332:14] + node _T_1248 = or(_T_1228, _T_1247) @[el2_lsu_bus_buffer.scala 337:197] + node _T_1249 = or(_T_1248, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 337:269] + node _T_1250 = and(_T_1169, _T_1249) @[el2_lsu_bus_buffer.scala 336:164] + node _T_1251 = or(_T_1115, _T_1250) @[el2_lsu_bus_buffer.scala 334:98] + node _T_1252 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 338:48] + node _T_1253 = or(bus_cmd_ready, _T_1252) @[el2_lsu_bus_buffer.scala 338:46] + node _T_1254 = or(_T_1253, obuf_nosend) @[el2_lsu_bus_buffer.scala 338:60] + node _T_1255 = and(_T_1251, _T_1254) @[el2_lsu_bus_buffer.scala 338:29] + node _T_1256 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 338:77] + node _T_1257 = and(_T_1255, _T_1256) @[el2_lsu_bus_buffer.scala 338:75] + node _T_1258 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 338:93] + node _T_1259 = and(_T_1257, _T_1258) @[el2_lsu_bus_buffer.scala 338:91] + node _T_1260 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 338:118] + node _T_1261 = and(_T_1259, _T_1260) @[el2_lsu_bus_buffer.scala 338:116] + node _T_1262 = and(_T_1261, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 338:142] + obuf_wr_en <= _T_1262 @[el2_lsu_bus_buffer.scala 334:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1263 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 338:47] - node _T_1264 = or(bus_cmd_sent, _T_1263) @[el2_lsu_bus_buffer.scala 338:33] - node _T_1265 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 338:65] - node _T_1266 = and(_T_1264, _T_1265) @[el2_lsu_bus_buffer.scala 338:63] - node _T_1267 = and(_T_1266, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 338:77] - node obuf_rst = or(_T_1267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 338:98] + node _T_1263 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 340:47] + node _T_1264 = or(bus_cmd_sent, _T_1263) @[el2_lsu_bus_buffer.scala 340:33] + node _T_1265 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:65] + node _T_1266 = and(_T_1264, _T_1265) @[el2_lsu_bus_buffer.scala 340:63] + node _T_1267 = and(_T_1266, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 340:77] + node obuf_rst = or(_T_1267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 340:98] node _T_1268 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] node _T_1269 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] node _T_1270 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] @@ -1945,7 +1945,7 @@ circuit el2_lsu_bus_buffer : node _T_1282 = or(_T_1281, _T_1279) @[Mux.scala 27:72] wire _T_1283 : UInt<1> @[Mux.scala 27:72] _T_1283 <= _T_1282 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1283) @[el2_lsu_bus_buffer.scala 339:26] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1283) @[el2_lsu_bus_buffer.scala 341:26] node _T_1284 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] node _T_1285 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] node _T_1286 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] @@ -1963,7 +1963,7 @@ circuit el2_lsu_bus_buffer : node _T_1298 = or(_T_1297, _T_1295) @[Mux.scala 27:72] wire _T_1299 : UInt<1> @[Mux.scala 27:72] _T_1299 <= _T_1298 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1299) @[el2_lsu_bus_buffer.scala 340:31] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1299) @[el2_lsu_bus_buffer.scala 342:31] node _T_1300 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1301 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1302 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -1977,12 +1977,12 @@ circuit el2_lsu_bus_buffer : node _T_1310 = or(_T_1309, _T_1307) @[Mux.scala 27:72] wire _T_1311 : UInt<32> @[Mux.scala 27:72] _T_1311 <= _T_1310 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1311) @[el2_lsu_bus_buffer.scala 341:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 342:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 343:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 343:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 343:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 343:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1311) @[el2_lsu_bus_buffer.scala 343:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 344:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 345:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 345:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 345:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 345:10] node _T_1312 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] node _T_1313 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1314 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] @@ -1997,43 +1997,43 @@ circuit el2_lsu_bus_buffer : node _T_1323 = or(_T_1322, _T_1320) @[Mux.scala 27:72] wire _T_1324 : UInt<2> @[Mux.scala 27:72] _T_1324 <= _T_1323 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1312, _T_1324) @[el2_lsu_bus_buffer.scala 344:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1312, _T_1324) @[el2_lsu_bus_buffer.scala 346:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[el2_lsu_bus_buffer.scala 347:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[el2_lsu_bus_buffer.scala 349:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 350:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 352:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1325 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 353:39] - node _T_1326 = eq(_T_1325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 353:26] - node _T_1327 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 353:68] - node obuf_cmd_done_in = and(_T_1326, _T_1327) @[el2_lsu_bus_buffer.scala 353:51] + node _T_1325 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 355:39] + node _T_1326 = eq(_T_1325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 355:26] + node _T_1327 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 355:68] + node obuf_cmd_done_in = and(_T_1326, _T_1327) @[el2_lsu_bus_buffer.scala 355:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1328 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 356:40] - node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 356:27] - node _T_1330 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 356:70] - node obuf_data_done_in = and(_T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 356:52] - node _T_1331 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 357:67] - node _T_1332 = eq(_T_1331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:72] - node _T_1333 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 357:92] - node _T_1334 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 357:111] - node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:98] - node _T_1336 = and(_T_1333, _T_1335) @[el2_lsu_bus_buffer.scala 357:96] - node _T_1337 = or(_T_1332, _T_1336) @[el2_lsu_bus_buffer.scala 357:79] - node _T_1338 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 357:129] - node _T_1339 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 357:147] - node _T_1340 = orr(_T_1339) @[el2_lsu_bus_buffer.scala 357:153] - node _T_1341 = eq(_T_1340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 357:134] - node _T_1342 = and(_T_1338, _T_1341) @[el2_lsu_bus_buffer.scala 357:132] - node _T_1343 = or(_T_1337, _T_1342) @[el2_lsu_bus_buffer.scala 357:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1343) @[el2_lsu_bus_buffer.scala 357:28] + node _T_1328 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 358:40] + node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 358:27] + node _T_1330 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 358:70] + node obuf_data_done_in = and(_T_1329, _T_1330) @[el2_lsu_bus_buffer.scala 358:52] + node _T_1331 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 359:67] + node _T_1332 = eq(_T_1331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 359:72] + node _T_1333 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 359:92] + node _T_1334 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 359:111] + node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 359:98] + node _T_1336 = and(_T_1333, _T_1335) @[el2_lsu_bus_buffer.scala 359:96] + node _T_1337 = or(_T_1332, _T_1336) @[el2_lsu_bus_buffer.scala 359:79] + node _T_1338 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 359:129] + node _T_1339 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 359:147] + node _T_1340 = orr(_T_1339) @[el2_lsu_bus_buffer.scala 359:153] + node _T_1341 = eq(_T_1340, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 359:134] + node _T_1342 = and(_T_1338, _T_1341) @[el2_lsu_bus_buffer.scala 359:132] + node _T_1343 = or(_T_1337, _T_1342) @[el2_lsu_bus_buffer.scala 359:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1343) @[el2_lsu_bus_buffer.scala 359:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -2046,53 +2046,53 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1344 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:44] - node _T_1345 = and(obuf_wr_en, _T_1344) @[el2_lsu_bus_buffer.scala 365:42] - node _T_1346 = eq(_T_1345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:29] - node _T_1347 = and(_T_1346, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 365:61] - node _T_1348 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 365:116] - node _T_1349 = and(bus_rsp_read, _T_1348) @[el2_lsu_bus_buffer.scala 365:96] - node _T_1350 = eq(_T_1349, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:81] - node _T_1351 = and(_T_1347, _T_1350) @[el2_lsu_bus_buffer.scala 365:79] - node _T_1352 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:22] - node _T_1353 = and(bus_cmd_sent, _T_1352) @[el2_lsu_bus_buffer.scala 366:20] - node _T_1354 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 366:37] - node _T_1355 = and(_T_1353, _T_1354) @[el2_lsu_bus_buffer.scala 366:35] - node obuf_rdrsp_pend_in = or(_T_1351, _T_1355) @[el2_lsu_bus_buffer.scala 365:138] + node _T_1344 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:44] + node _T_1345 = and(obuf_wr_en, _T_1344) @[el2_lsu_bus_buffer.scala 367:42] + node _T_1346 = eq(_T_1345, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:29] + node _T_1347 = and(_T_1346, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 367:61] + node _T_1348 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 367:116] + node _T_1349 = and(bus_rsp_read, _T_1348) @[el2_lsu_bus_buffer.scala 367:96] + node _T_1350 = eq(_T_1349, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 367:81] + node _T_1351 = and(_T_1347, _T_1350) @[el2_lsu_bus_buffer.scala 367:79] + node _T_1352 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 368:22] + node _T_1353 = and(bus_cmd_sent, _T_1352) @[el2_lsu_bus_buffer.scala 368:20] + node _T_1354 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 368:37] + node _T_1355 = and(_T_1353, _T_1354) @[el2_lsu_bus_buffer.scala 368:35] + node obuf_rdrsp_pend_in = or(_T_1351, _T_1355) @[el2_lsu_bus_buffer.scala 367:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1356 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 368:46] - node _T_1357 = or(bus_cmd_sent, _T_1356) @[el2_lsu_bus_buffer.scala 368:44] - node obuf_rdrsp_tag_in = mux(_T_1357, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 368:30] + node _T_1356 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 370:46] + node _T_1357 = or(bus_cmd_sent, _T_1356) @[el2_lsu_bus_buffer.scala 370:44] + node obuf_rdrsp_tag_in = mux(_T_1357, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 370:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1358 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 371:34] - node _T_1359 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 371:52] - node _T_1360 = eq(_T_1358, _T_1359) @[el2_lsu_bus_buffer.scala 371:40] - node _T_1361 = and(_T_1360, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 371:60] - node _T_1362 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:80] - node _T_1363 = and(_T_1361, _T_1362) @[el2_lsu_bus_buffer.scala 371:78] - node _T_1364 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:99] - node _T_1365 = and(_T_1363, _T_1364) @[el2_lsu_bus_buffer.scala 371:97] - node _T_1366 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:113] - node _T_1367 = and(_T_1365, _T_1366) @[el2_lsu_bus_buffer.scala 371:111] - node _T_1368 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 371:130] - node _T_1369 = and(_T_1367, _T_1368) @[el2_lsu_bus_buffer.scala 371:128] - node _T_1370 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:20] - node _T_1371 = and(obuf_valid, _T_1370) @[el2_lsu_bus_buffer.scala 372:18] - node _T_1372 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 372:90] - node _T_1373 = and(bus_rsp_read, _T_1372) @[el2_lsu_bus_buffer.scala 372:70] - node _T_1374 = eq(_T_1373, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:55] - node _T_1375 = and(obuf_rdrsp_pend, _T_1374) @[el2_lsu_bus_buffer.scala 372:53] - node _T_1376 = or(_T_1371, _T_1375) @[el2_lsu_bus_buffer.scala 372:34] - node _T_1377 = and(_T_1369, _T_1376) @[el2_lsu_bus_buffer.scala 371:165] - obuf_nosend_in <= _T_1377 @[el2_lsu_bus_buffer.scala 371:18] - node _T_1378 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 373:60] + node _T_1358 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 373:34] + node _T_1359 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 373:52] + node _T_1360 = eq(_T_1358, _T_1359) @[el2_lsu_bus_buffer.scala 373:40] + node _T_1361 = and(_T_1360, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 373:60] + node _T_1362 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:80] + node _T_1363 = and(_T_1361, _T_1362) @[el2_lsu_bus_buffer.scala 373:78] + node _T_1364 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:99] + node _T_1365 = and(_T_1363, _T_1364) @[el2_lsu_bus_buffer.scala 373:97] + node _T_1366 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:113] + node _T_1367 = and(_T_1365, _T_1366) @[el2_lsu_bus_buffer.scala 373:111] + node _T_1368 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:130] + node _T_1369 = and(_T_1367, _T_1368) @[el2_lsu_bus_buffer.scala 373:128] + node _T_1370 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:20] + node _T_1371 = and(obuf_valid, _T_1370) @[el2_lsu_bus_buffer.scala 374:18] + node _T_1372 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 374:90] + node _T_1373 = and(bus_rsp_read, _T_1372) @[el2_lsu_bus_buffer.scala 374:70] + node _T_1374 = eq(_T_1373, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:55] + node _T_1375 = and(obuf_rdrsp_pend, _T_1374) @[el2_lsu_bus_buffer.scala 374:53] + node _T_1376 = or(_T_1371, _T_1375) @[el2_lsu_bus_buffer.scala 374:34] + node _T_1377 = and(_T_1369, _T_1376) @[el2_lsu_bus_buffer.scala 373:165] + obuf_nosend_in <= _T_1377 @[el2_lsu_bus_buffer.scala 373:18] + node _T_1378 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 375:60] node _T_1379 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1380 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1381 = mux(_T_1378, _T_1379, _T_1380) @[el2_lsu_bus_buffer.scala 373:46] + node _T_1381 = mux(_T_1378, _T_1379, _T_1380) @[el2_lsu_bus_buffer.scala 375:46] node _T_1382 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1383 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1384 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2106,8 +2106,8 @@ circuit el2_lsu_bus_buffer : node _T_1392 = or(_T_1391, _T_1389) @[Mux.scala 27:72] wire _T_1393 : UInt<32> @[Mux.scala 27:72] _T_1393 <= _T_1392 @[Mux.scala 27:72] - node _T_1394 = bits(_T_1393, 2, 2) @[el2_lsu_bus_buffer.scala 374:36] - node _T_1395 = bits(_T_1394, 0, 0) @[el2_lsu_bus_buffer.scala 374:46] + node _T_1394 = bits(_T_1393, 2, 2) @[el2_lsu_bus_buffer.scala 376:36] + node _T_1395 = bits(_T_1394, 0, 0) @[el2_lsu_bus_buffer.scala 376:46] node _T_1396 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1397 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1398 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2136,12 +2136,12 @@ circuit el2_lsu_bus_buffer : wire _T_1420 : UInt<4> @[Mux.scala 27:72] _T_1420 <= _T_1419 @[Mux.scala 27:72] node _T_1421 = cat(UInt<4>("h00"), _T_1420) @[Cat.scala 29:58] - node _T_1422 = mux(_T_1395, _T_1408, _T_1421) @[el2_lsu_bus_buffer.scala 374:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1381, _T_1422) @[el2_lsu_bus_buffer.scala 373:28] - node _T_1423 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 375:60] + node _T_1422 = mux(_T_1395, _T_1408, _T_1421) @[el2_lsu_bus_buffer.scala 376:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1381, _T_1422) @[el2_lsu_bus_buffer.scala 375:28] + node _T_1423 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 377:60] node _T_1424 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1425 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1426 = mux(_T_1423, _T_1424, _T_1425) @[el2_lsu_bus_buffer.scala 375:46] + node _T_1426 = mux(_T_1423, _T_1424, _T_1425) @[el2_lsu_bus_buffer.scala 377:46] node _T_1427 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1428 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1429 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2155,8 +2155,8 @@ circuit el2_lsu_bus_buffer : node _T_1437 = or(_T_1436, _T_1434) @[Mux.scala 27:72] wire _T_1438 : UInt<32> @[Mux.scala 27:72] _T_1438 <= _T_1437 @[Mux.scala 27:72] - node _T_1439 = bits(_T_1438, 2, 2) @[el2_lsu_bus_buffer.scala 376:36] - node _T_1440 = bits(_T_1439, 0, 0) @[el2_lsu_bus_buffer.scala 376:46] + node _T_1439 = bits(_T_1438, 2, 2) @[el2_lsu_bus_buffer.scala 378:36] + node _T_1440 = bits(_T_1439, 0, 0) @[el2_lsu_bus_buffer.scala 378:46] node _T_1441 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1442 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1443 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2185,12 +2185,12 @@ circuit el2_lsu_bus_buffer : wire _T_1465 : UInt<4> @[Mux.scala 27:72] _T_1465 <= _T_1464 @[Mux.scala 27:72] node _T_1466 = cat(UInt<4>("h00"), _T_1465) @[Cat.scala 29:58] - node _T_1467 = mux(_T_1440, _T_1453, _T_1466) @[el2_lsu_bus_buffer.scala 376:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1426, _T_1467) @[el2_lsu_bus_buffer.scala 375:28] - node _T_1468 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 378:58] + node _T_1467 = mux(_T_1440, _T_1453, _T_1466) @[el2_lsu_bus_buffer.scala 378:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1426, _T_1467) @[el2_lsu_bus_buffer.scala 377:28] + node _T_1468 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 380:58] node _T_1469 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1470 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1471 = mux(_T_1468, _T_1469, _T_1470) @[el2_lsu_bus_buffer.scala 378:44] + node _T_1471 = mux(_T_1468, _T_1469, _T_1470) @[el2_lsu_bus_buffer.scala 380:44] node _T_1472 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1473 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1474 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2204,8 +2204,8 @@ circuit el2_lsu_bus_buffer : node _T_1482 = or(_T_1481, _T_1479) @[Mux.scala 27:72] wire _T_1483 : UInt<32> @[Mux.scala 27:72] _T_1483 <= _T_1482 @[Mux.scala 27:72] - node _T_1484 = bits(_T_1483, 2, 2) @[el2_lsu_bus_buffer.scala 379:36] - node _T_1485 = bits(_T_1484, 0, 0) @[el2_lsu_bus_buffer.scala 379:46] + node _T_1484 = bits(_T_1483, 2, 2) @[el2_lsu_bus_buffer.scala 381:36] + node _T_1485 = bits(_T_1484, 0, 0) @[el2_lsu_bus_buffer.scala 381:46] node _T_1486 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1487 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1488 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2234,12 +2234,12 @@ circuit el2_lsu_bus_buffer : wire _T_1510 : UInt<32> @[Mux.scala 27:72] _T_1510 <= _T_1509 @[Mux.scala 27:72] node _T_1511 = cat(UInt<32>("h00"), _T_1510) @[Cat.scala 29:58] - node _T_1512 = mux(_T_1485, _T_1498, _T_1511) @[el2_lsu_bus_buffer.scala 379:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1471, _T_1512) @[el2_lsu_bus_buffer.scala 378:26] - node _T_1513 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 380:58] + node _T_1512 = mux(_T_1485, _T_1498, _T_1511) @[el2_lsu_bus_buffer.scala 381:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1471, _T_1512) @[el2_lsu_bus_buffer.scala 380:26] + node _T_1513 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 382:58] node _T_1514 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1515 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1516 = mux(_T_1513, _T_1514, _T_1515) @[el2_lsu_bus_buffer.scala 380:44] + node _T_1516 = mux(_T_1513, _T_1514, _T_1515) @[el2_lsu_bus_buffer.scala 382:44] node _T_1517 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1518 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1519 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2253,8 +2253,8 @@ circuit el2_lsu_bus_buffer : node _T_1527 = or(_T_1526, _T_1524) @[Mux.scala 27:72] wire _T_1528 : UInt<32> @[Mux.scala 27:72] _T_1528 <= _T_1527 @[Mux.scala 27:72] - node _T_1529 = bits(_T_1528, 2, 2) @[el2_lsu_bus_buffer.scala 381:36] - node _T_1530 = bits(_T_1529, 0, 0) @[el2_lsu_bus_buffer.scala 381:46] + node _T_1529 = bits(_T_1528, 2, 2) @[el2_lsu_bus_buffer.scala 383:36] + node _T_1530 = bits(_T_1529, 0, 0) @[el2_lsu_bus_buffer.scala 383:46] node _T_1531 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1532 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1533 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2283,40 +2283,40 @@ circuit el2_lsu_bus_buffer : wire _T_1555 : UInt<32> @[Mux.scala 27:72] _T_1555 <= _T_1554 @[Mux.scala 27:72] node _T_1556 = cat(UInt<32>("h00"), _T_1555) @[Cat.scala 29:58] - node _T_1557 = mux(_T_1530, _T_1543, _T_1556) @[el2_lsu_bus_buffer.scala 381:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1516, _T_1557) @[el2_lsu_bus_buffer.scala 380:26] - node _T_1558 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 382:59] - node _T_1559 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 382:97] - node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 382:80] - node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 382:63] - node _T_1562 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 382:59] - node _T_1563 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 382:97] - node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 382:80] - node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 382:63] - node _T_1566 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 382:59] - node _T_1567 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 382:97] - node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 382:80] - node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 382:63] - node _T_1570 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 382:59] - node _T_1571 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 382:97] - node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 382:80] - node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 382:63] - node _T_1574 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 382:59] - node _T_1575 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 382:97] - node _T_1576 = and(obuf_merge_en, _T_1575) @[el2_lsu_bus_buffer.scala 382:80] - node _T_1577 = or(_T_1574, _T_1576) @[el2_lsu_bus_buffer.scala 382:63] - node _T_1578 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 382:59] - node _T_1579 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 382:97] - node _T_1580 = and(obuf_merge_en, _T_1579) @[el2_lsu_bus_buffer.scala 382:80] - node _T_1581 = or(_T_1578, _T_1580) @[el2_lsu_bus_buffer.scala 382:63] - node _T_1582 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 382:59] - node _T_1583 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 382:97] - node _T_1584 = and(obuf_merge_en, _T_1583) @[el2_lsu_bus_buffer.scala 382:80] - node _T_1585 = or(_T_1582, _T_1584) @[el2_lsu_bus_buffer.scala 382:63] - node _T_1586 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 382:59] - node _T_1587 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 382:97] - node _T_1588 = and(obuf_merge_en, _T_1587) @[el2_lsu_bus_buffer.scala 382:80] - node _T_1589 = or(_T_1586, _T_1588) @[el2_lsu_bus_buffer.scala 382:63] + node _T_1557 = mux(_T_1530, _T_1543, _T_1556) @[el2_lsu_bus_buffer.scala 383:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1516, _T_1557) @[el2_lsu_bus_buffer.scala 382:26] + node _T_1558 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 384:59] + node _T_1559 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 384:97] + node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 384:80] + node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 384:63] + node _T_1562 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 384:59] + node _T_1563 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 384:97] + node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 384:80] + node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 384:63] + node _T_1566 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 384:59] + node _T_1567 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 384:97] + node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 384:80] + node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 384:63] + node _T_1570 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 384:59] + node _T_1571 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 384:97] + node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 384:80] + node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 384:63] + node _T_1574 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 384:59] + node _T_1575 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 384:97] + node _T_1576 = and(obuf_merge_en, _T_1575) @[el2_lsu_bus_buffer.scala 384:80] + node _T_1577 = or(_T_1574, _T_1576) @[el2_lsu_bus_buffer.scala 384:63] + node _T_1578 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 384:59] + node _T_1579 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 384:97] + node _T_1580 = and(obuf_merge_en, _T_1579) @[el2_lsu_bus_buffer.scala 384:80] + node _T_1581 = or(_T_1578, _T_1580) @[el2_lsu_bus_buffer.scala 384:63] + node _T_1582 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 384:59] + node _T_1583 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 384:97] + node _T_1584 = and(obuf_merge_en, _T_1583) @[el2_lsu_bus_buffer.scala 384:80] + node _T_1585 = or(_T_1582, _T_1584) @[el2_lsu_bus_buffer.scala 384:63] + node _T_1586 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 384:59] + node _T_1587 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 384:97] + node _T_1588 = and(obuf_merge_en, _T_1587) @[el2_lsu_bus_buffer.scala 384:80] + node _T_1589 = or(_T_1586, _T_1588) @[el2_lsu_bus_buffer.scala 384:63] node _T_1590 = cat(_T_1589, _T_1585) @[Cat.scala 29:58] node _T_1591 = cat(_T_1590, _T_1581) @[Cat.scala 29:58] node _T_1592 = cat(_T_1591, _T_1577) @[Cat.scala 29:58] @@ -2324,46 +2324,46 @@ circuit el2_lsu_bus_buffer : node _T_1594 = cat(_T_1593, _T_1569) @[Cat.scala 29:58] node _T_1595 = cat(_T_1594, _T_1565) @[Cat.scala 29:58] node obuf_byteen_in = cat(_T_1595, _T_1561) @[Cat.scala 29:58] - node _T_1596 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 383:76] - node _T_1597 = and(obuf_merge_en, _T_1596) @[el2_lsu_bus_buffer.scala 383:59] - node _T_1598 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 383:94] - node _T_1599 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 383:123] - node _T_1600 = mux(_T_1597, _T_1598, _T_1599) @[el2_lsu_bus_buffer.scala 383:44] - node _T_1601 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 383:76] - node _T_1602 = and(obuf_merge_en, _T_1601) @[el2_lsu_bus_buffer.scala 383:59] - node _T_1603 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 383:94] - node _T_1604 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 383:123] - node _T_1605 = mux(_T_1602, _T_1603, _T_1604) @[el2_lsu_bus_buffer.scala 383:44] - node _T_1606 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 383:76] - node _T_1607 = and(obuf_merge_en, _T_1606) @[el2_lsu_bus_buffer.scala 383:59] - node _T_1608 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 383:94] - node _T_1609 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 383:123] - node _T_1610 = mux(_T_1607, _T_1608, _T_1609) @[el2_lsu_bus_buffer.scala 383:44] - node _T_1611 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 383:76] - node _T_1612 = and(obuf_merge_en, _T_1611) @[el2_lsu_bus_buffer.scala 383:59] - node _T_1613 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 383:94] - node _T_1614 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 383:123] - node _T_1615 = mux(_T_1612, _T_1613, _T_1614) @[el2_lsu_bus_buffer.scala 383:44] - node _T_1616 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 383:76] - node _T_1617 = and(obuf_merge_en, _T_1616) @[el2_lsu_bus_buffer.scala 383:59] - node _T_1618 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 383:94] - node _T_1619 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 383:123] - node _T_1620 = mux(_T_1617, _T_1618, _T_1619) @[el2_lsu_bus_buffer.scala 383:44] - node _T_1621 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 383:76] - node _T_1622 = and(obuf_merge_en, _T_1621) @[el2_lsu_bus_buffer.scala 383:59] - node _T_1623 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 383:94] - node _T_1624 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 383:123] - node _T_1625 = mux(_T_1622, _T_1623, _T_1624) @[el2_lsu_bus_buffer.scala 383:44] - node _T_1626 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 383:76] - node _T_1627 = and(obuf_merge_en, _T_1626) @[el2_lsu_bus_buffer.scala 383:59] - node _T_1628 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 383:94] - node _T_1629 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 383:123] - node _T_1630 = mux(_T_1627, _T_1628, _T_1629) @[el2_lsu_bus_buffer.scala 383:44] - node _T_1631 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 383:76] - node _T_1632 = and(obuf_merge_en, _T_1631) @[el2_lsu_bus_buffer.scala 383:59] - node _T_1633 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 383:94] - node _T_1634 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 383:123] - node _T_1635 = mux(_T_1632, _T_1633, _T_1634) @[el2_lsu_bus_buffer.scala 383:44] + node _T_1596 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 385:76] + node _T_1597 = and(obuf_merge_en, _T_1596) @[el2_lsu_bus_buffer.scala 385:59] + node _T_1598 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 385:94] + node _T_1599 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 385:123] + node _T_1600 = mux(_T_1597, _T_1598, _T_1599) @[el2_lsu_bus_buffer.scala 385:44] + node _T_1601 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 385:76] + node _T_1602 = and(obuf_merge_en, _T_1601) @[el2_lsu_bus_buffer.scala 385:59] + node _T_1603 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 385:94] + node _T_1604 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 385:123] + node _T_1605 = mux(_T_1602, _T_1603, _T_1604) @[el2_lsu_bus_buffer.scala 385:44] + node _T_1606 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 385:76] + node _T_1607 = and(obuf_merge_en, _T_1606) @[el2_lsu_bus_buffer.scala 385:59] + node _T_1608 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 385:94] + node _T_1609 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 385:123] + node _T_1610 = mux(_T_1607, _T_1608, _T_1609) @[el2_lsu_bus_buffer.scala 385:44] + node _T_1611 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 385:76] + node _T_1612 = and(obuf_merge_en, _T_1611) @[el2_lsu_bus_buffer.scala 385:59] + node _T_1613 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 385:94] + node _T_1614 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 385:123] + node _T_1615 = mux(_T_1612, _T_1613, _T_1614) @[el2_lsu_bus_buffer.scala 385:44] + node _T_1616 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 385:76] + node _T_1617 = and(obuf_merge_en, _T_1616) @[el2_lsu_bus_buffer.scala 385:59] + node _T_1618 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 385:94] + node _T_1619 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 385:123] + node _T_1620 = mux(_T_1617, _T_1618, _T_1619) @[el2_lsu_bus_buffer.scala 385:44] + node _T_1621 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 385:76] + node _T_1622 = and(obuf_merge_en, _T_1621) @[el2_lsu_bus_buffer.scala 385:59] + node _T_1623 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 385:94] + node _T_1624 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 385:123] + node _T_1625 = mux(_T_1622, _T_1623, _T_1624) @[el2_lsu_bus_buffer.scala 385:44] + node _T_1626 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 385:76] + node _T_1627 = and(obuf_merge_en, _T_1626) @[el2_lsu_bus_buffer.scala 385:59] + node _T_1628 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 385:94] + node _T_1629 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 385:123] + node _T_1630 = mux(_T_1627, _T_1628, _T_1629) @[el2_lsu_bus_buffer.scala 385:44] + node _T_1631 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 385:76] + node _T_1632 = and(obuf_merge_en, _T_1631) @[el2_lsu_bus_buffer.scala 385:59] + node _T_1633 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 385:94] + node _T_1634 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 385:123] + node _T_1635 = mux(_T_1632, _T_1633, _T_1634) @[el2_lsu_bus_buffer.scala 385:44] node _T_1636 = cat(_T_1635, _T_1630) @[Cat.scala 29:58] node _T_1637 = cat(_T_1636, _T_1625) @[Cat.scala 29:58] node _T_1638 = cat(_T_1637, _T_1620) @[Cat.scala 29:58] @@ -2371,14 +2371,14 @@ circuit el2_lsu_bus_buffer : node _T_1640 = cat(_T_1639, _T_1610) @[Cat.scala 29:58] node _T_1641 = cat(_T_1640, _T_1605) @[Cat.scala 29:58] node obuf_data_in = cat(_T_1641, _T_1600) @[Cat.scala 29:58] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 385:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 386:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 386:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 386:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 386:14] - node _T_1642 = neq(CmdPtr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 387:30] - node _T_1643 = and(_T_1642, found_cmdptr0) @[el2_lsu_bus_buffer.scala 387:43] - node _T_1644 = and(_T_1643, found_cmdptr1) @[el2_lsu_bus_buffer.scala 387:59] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 387:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 388:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 388:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 388:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 388:14] + node _T_1642 = neq(CmdPtr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 389:30] + node _T_1643 = and(_T_1642, found_cmdptr0) @[el2_lsu_bus_buffer.scala 389:43] + node _T_1644 = and(_T_1643, found_cmdptr1) @[el2_lsu_bus_buffer.scala 389:59] node _T_1645 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1646 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1647 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2392,8 +2392,8 @@ circuit el2_lsu_bus_buffer : node _T_1655 = or(_T_1654, _T_1652) @[Mux.scala 27:72] wire _T_1656 : UInt<3> @[Mux.scala 27:72] _T_1656 <= _T_1655 @[Mux.scala 27:72] - node _T_1657 = eq(_T_1656, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 387:107] - node _T_1658 = and(_T_1644, _T_1657) @[el2_lsu_bus_buffer.scala 387:75] + node _T_1657 = eq(_T_1656, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 389:107] + node _T_1658 = and(_T_1644, _T_1657) @[el2_lsu_bus_buffer.scala 389:75] node _T_1659 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1660 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1661 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2407,8 +2407,8 @@ circuit el2_lsu_bus_buffer : node _T_1669 = or(_T_1668, _T_1666) @[Mux.scala 27:72] wire _T_1670 : UInt<3> @[Mux.scala 27:72] _T_1670 <= _T_1669 @[Mux.scala 27:72] - node _T_1671 = eq(_T_1670, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 387:150] - node _T_1672 = and(_T_1658, _T_1671) @[el2_lsu_bus_buffer.scala 387:118] + node _T_1671 = eq(_T_1670, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 389:150] + node _T_1672 = and(_T_1658, _T_1671) @[el2_lsu_bus_buffer.scala 389:118] node _T_1673 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1674 = cat(_T_1673, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1675 = cat(_T_1674, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] @@ -2429,8 +2429,8 @@ circuit el2_lsu_bus_buffer : node _T_1690 = or(_T_1689, _T_1687) @[Mux.scala 27:72] wire _T_1691 : UInt<1> @[Mux.scala 27:72] _T_1691 <= _T_1690 @[Mux.scala 27:72] - node _T_1692 = eq(_T_1691, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 388:3] - node _T_1693 = and(_T_1672, _T_1692) @[el2_lsu_bus_buffer.scala 387:161] + node _T_1692 = eq(_T_1691, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 390:3] + node _T_1693 = and(_T_1672, _T_1692) @[el2_lsu_bus_buffer.scala 389:161] node _T_1694 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] node _T_1695 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] node _T_1696 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] @@ -2448,8 +2448,8 @@ circuit el2_lsu_bus_buffer : node _T_1708 = or(_T_1707, _T_1705) @[Mux.scala 27:72] wire _T_1709 : UInt<1> @[Mux.scala 27:72] _T_1709 <= _T_1708 @[Mux.scala 27:72] - node _T_1710 = eq(_T_1709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 388:85] - node _T_1711 = and(_T_1693, _T_1710) @[el2_lsu_bus_buffer.scala 388:83] + node _T_1710 = eq(_T_1709, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 390:85] + node _T_1711 = and(_T_1693, _T_1710) @[el2_lsu_bus_buffer.scala 390:83] node _T_1712 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] node _T_1713 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] node _T_1714 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] @@ -2484,7 +2484,7 @@ circuit el2_lsu_bus_buffer : node _T_1742 = or(_T_1741, _T_1739) @[Mux.scala 27:72] wire _T_1743 : UInt<1> @[Mux.scala 27:72] _T_1743 <= _T_1742 @[Mux.scala 27:72] - node _T_1744 = and(_T_1727, _T_1743) @[el2_lsu_bus_buffer.scala 389:36] + node _T_1744 = and(_T_1727, _T_1743) @[el2_lsu_bus_buffer.scala 391:36] node _T_1745 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1746 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1747 = eq(CmdPtr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2498,7 +2498,7 @@ circuit el2_lsu_bus_buffer : node _T_1755 = or(_T_1754, _T_1752) @[Mux.scala 27:72] wire _T_1756 : UInt<32> @[Mux.scala 27:72] _T_1756 <= _T_1755 @[Mux.scala 27:72] - node _T_1757 = bits(_T_1756, 31, 3) @[el2_lsu_bus_buffer.scala 390:33] + node _T_1757 = bits(_T_1756, 31, 3) @[el2_lsu_bus_buffer.scala 392:33] node _T_1758 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1759 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_1760 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -2512,13 +2512,13 @@ circuit el2_lsu_bus_buffer : node _T_1768 = or(_T_1767, _T_1765) @[Mux.scala 27:72] wire _T_1769 : UInt<32> @[Mux.scala 27:72] _T_1769 <= _T_1768 @[Mux.scala 27:72] - node _T_1770 = bits(_T_1769, 31, 3) @[el2_lsu_bus_buffer.scala 390:69] - node _T_1771 = eq(_T_1757, _T_1770) @[el2_lsu_bus_buffer.scala 390:39] - node _T_1772 = and(_T_1744, _T_1771) @[el2_lsu_bus_buffer.scala 389:67] - node _T_1773 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 390:79] - node _T_1774 = and(_T_1772, _T_1773) @[el2_lsu_bus_buffer.scala 390:77] - node _T_1775 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 390:105] - node _T_1776 = and(_T_1774, _T_1775) @[el2_lsu_bus_buffer.scala 390:103] + node _T_1770 = bits(_T_1769, 31, 3) @[el2_lsu_bus_buffer.scala 392:69] + node _T_1771 = eq(_T_1757, _T_1770) @[el2_lsu_bus_buffer.scala 392:39] + node _T_1772 = and(_T_1744, _T_1771) @[el2_lsu_bus_buffer.scala 391:67] + node _T_1773 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:79] + node _T_1774 = and(_T_1772, _T_1773) @[el2_lsu_bus_buffer.scala 392:77] + node _T_1775 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:105] + node _T_1776 = and(_T_1774, _T_1775) @[el2_lsu_bus_buffer.scala 392:103] node _T_1777 = eq(CmdPtr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] node _T_1778 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] node _T_1779 = eq(CmdPtr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] @@ -2536,7 +2536,7 @@ circuit el2_lsu_bus_buffer : node _T_1791 = or(_T_1790, _T_1788) @[Mux.scala 27:72] wire _T_1792 : UInt<1> @[Mux.scala 27:72] _T_1792 <= _T_1791 @[Mux.scala 27:72] - node _T_1793 = eq(_T_1792, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 391:6] + node _T_1793 = eq(_T_1792, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 393:6] node _T_1794 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1795 = cat(_T_1794, buf_dual[1]) @[Cat.scala 29:58] node _T_1796 = cat(_T_1795, buf_dual[0]) @[Cat.scala 29:58] @@ -2557,7 +2557,7 @@ circuit el2_lsu_bus_buffer : node _T_1811 = or(_T_1810, _T_1808) @[Mux.scala 27:72] wire _T_1812 : UInt<1> @[Mux.scala 27:72] _T_1812 <= _T_1811 @[Mux.scala 27:72] - node _T_1813 = and(_T_1793, _T_1812) @[el2_lsu_bus_buffer.scala 391:36] + node _T_1813 = and(_T_1793, _T_1812) @[el2_lsu_bus_buffer.scala 393:36] node _T_1814 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1815 = cat(_T_1814, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1816 = cat(_T_1815, buf_dualhi[0]) @[Cat.scala 29:58] @@ -2578,8 +2578,8 @@ circuit el2_lsu_bus_buffer : node _T_1831 = or(_T_1830, _T_1828) @[Mux.scala 27:72] wire _T_1832 : UInt<1> @[Mux.scala 27:72] _T_1832 <= _T_1831 @[Mux.scala 27:72] - node _T_1833 = eq(_T_1832, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 391:107] - node _T_1834 = and(_T_1813, _T_1833) @[el2_lsu_bus_buffer.scala 391:105] + node _T_1833 = eq(_T_1832, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 393:107] + node _T_1834 = and(_T_1813, _T_1833) @[el2_lsu_bus_buffer.scala 393:105] node _T_1835 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1836 = cat(_T_1835, buf_samedw[1]) @[Cat.scala 29:58] node _T_1837 = cat(_T_1836, buf_samedw[0]) @[Cat.scala 29:58] @@ -2600,43 +2600,43 @@ circuit el2_lsu_bus_buffer : node _T_1852 = or(_T_1851, _T_1849) @[Mux.scala 27:72] wire _T_1853 : UInt<1> @[Mux.scala 27:72] _T_1853 <= _T_1852 @[Mux.scala 27:72] - node _T_1854 = and(_T_1834, _T_1853) @[el2_lsu_bus_buffer.scala 391:177] - node _T_1855 = or(_T_1776, _T_1854) @[el2_lsu_bus_buffer.scala 390:126] - node _T_1856 = and(_T_1711, _T_1855) @[el2_lsu_bus_buffer.scala 388:120] - node _T_1857 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 392:19] - node _T_1858 = and(_T_1857, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 392:35] - node _T_1859 = or(_T_1856, _T_1858) @[el2_lsu_bus_buffer.scala 391:251] - obuf_merge_en <= _T_1859 @[el2_lsu_bus_buffer.scala 387:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 394:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 394:55] - node _T_1860 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 395:58] - node _T_1861 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:93] - node _T_1862 = and(_T_1860, _T_1861) @[el2_lsu_bus_buffer.scala 395:91] - reg _T_1863 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 395:54] - _T_1863 <= _T_1862 @[el2_lsu_bus_buffer.scala 395:54] - obuf_valid <= _T_1863 @[el2_lsu_bus_buffer.scala 395:14] + node _T_1854 = and(_T_1834, _T_1853) @[el2_lsu_bus_buffer.scala 393:177] + node _T_1855 = or(_T_1776, _T_1854) @[el2_lsu_bus_buffer.scala 392:126] + node _T_1856 = and(_T_1711, _T_1855) @[el2_lsu_bus_buffer.scala 390:120] + node _T_1857 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 394:19] + node _T_1858 = and(_T_1857, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 394:35] + node _T_1859 = or(_T_1856, _T_1858) @[el2_lsu_bus_buffer.scala 393:251] + obuf_merge_en <= _T_1859 @[el2_lsu_bus_buffer.scala 389:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 396:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 396:55] + node _T_1860 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 397:58] + node _T_1861 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:93] + node _T_1862 = and(_T_1860, _T_1861) @[el2_lsu_bus_buffer.scala 397:91] + reg _T_1863 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 397:54] + _T_1863 <= _T_1862 @[el2_lsu_bus_buffer.scala 397:54] + obuf_valid <= _T_1863 @[el2_lsu_bus_buffer.scala 397:14] reg _T_1864 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1864 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1864 @[el2_lsu_bus_buffer.scala 396:15] - reg _T_1865 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 397:54] - _T_1865 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 397:54] - obuf_cmd_done <= _T_1865 @[el2_lsu_bus_buffer.scala 397:17] - reg _T_1866 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 398:55] - _T_1866 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 398:55] - obuf_data_done <= _T_1866 @[el2_lsu_bus_buffer.scala 398:18] - reg _T_1867 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 399:56] - _T_1867 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 399:56] - obuf_rdrsp_pend <= _T_1867 @[el2_lsu_bus_buffer.scala 399:19] - reg _T_1868 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 400:55] - _T_1868 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 400:55] - obuf_rdrsp_tag <= _T_1868 @[el2_lsu_bus_buffer.scala 400:18] + obuf_nosend <= _T_1864 @[el2_lsu_bus_buffer.scala 398:15] + reg _T_1865 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 399:54] + _T_1865 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 399:54] + obuf_cmd_done <= _T_1865 @[el2_lsu_bus_buffer.scala 399:17] + reg _T_1866 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 400:55] + _T_1866 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 400:55] + obuf_data_done <= _T_1866 @[el2_lsu_bus_buffer.scala 400:18] + reg _T_1867 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 401:56] + _T_1867 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 401:56] + obuf_rdrsp_pend <= _T_1867 @[el2_lsu_bus_buffer.scala 401:19] + reg _T_1868 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 402:55] + _T_1868 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 402:55] + obuf_rdrsp_tag <= _T_1868 @[el2_lsu_bus_buffer.scala 402:18] reg _T_1869 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1869 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1869 @[el2_lsu_bus_buffer.scala 401:13] + obuf_tag0 <= _T_1869 @[el2_lsu_bus_buffer.scala 403:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2649,12 +2649,12 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] _T_1870 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1870 @[el2_lsu_bus_buffer.scala 404:14] + obuf_write <= _T_1870 @[el2_lsu_bus_buffer.scala 406:14] reg _T_1871 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] _T_1871 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1871 @[el2_lsu_bus_buffer.scala 405:19] + obuf_sideeffect <= _T_1871 @[el2_lsu_bus_buffer.scala 407:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2667,7 +2667,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_1872 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_1872 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1872 @[el2_lsu_bus_buffer.scala 407:13] + obuf_addr <= _T_1872 @[el2_lsu_bus_buffer.scala 409:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2680,1903 +2680,1903 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1873 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 410:54] - _T_1873 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 410:54] - obuf_wr_timer <= _T_1873 @[el2_lsu_bus_buffer.scala 410:17] + reg _T_1873 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 412:54] + _T_1873 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 412:54] + obuf_wr_timer <= _T_1873 @[el2_lsu_bus_buffer.scala 412:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1874 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 413:65] - node _T_1875 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:30] - node _T_1876 = and(ibuf_valid, _T_1875) @[el2_lsu_bus_buffer.scala 414:19] - node _T_1877 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 415:18] - node _T_1878 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 415:57] - node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 415:45] - node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 415:27] - node _T_1881 = and(io.lsu_busreq_r, _T_1880) @[el2_lsu_bus_buffer.scala 414:58] - node _T_1882 = or(_T_1876, _T_1881) @[el2_lsu_bus_buffer.scala 414:39] - node _T_1883 = eq(_T_1882, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:5] - node _T_1884 = and(_T_1874, _T_1883) @[el2_lsu_bus_buffer.scala 413:76] - node _T_1885 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 413:65] - node _T_1886 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 414:30] - node _T_1887 = and(ibuf_valid, _T_1886) @[el2_lsu_bus_buffer.scala 414:19] - node _T_1888 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 415:18] - node _T_1889 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 415:57] - node _T_1890 = and(io.ldst_dual_r, _T_1889) @[el2_lsu_bus_buffer.scala 415:45] - node _T_1891 = or(_T_1888, _T_1890) @[el2_lsu_bus_buffer.scala 415:27] - node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[el2_lsu_bus_buffer.scala 414:58] - node _T_1893 = or(_T_1887, _T_1892) @[el2_lsu_bus_buffer.scala 414:39] - node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:5] - node _T_1895 = and(_T_1885, _T_1894) @[el2_lsu_bus_buffer.scala 413:76] - node _T_1896 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 413:65] - node _T_1897 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 414:30] - node _T_1898 = and(ibuf_valid, _T_1897) @[el2_lsu_bus_buffer.scala 414:19] - node _T_1899 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 415:18] - node _T_1900 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 415:57] - node _T_1901 = and(io.ldst_dual_r, _T_1900) @[el2_lsu_bus_buffer.scala 415:45] - node _T_1902 = or(_T_1899, _T_1901) @[el2_lsu_bus_buffer.scala 415:27] - node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 414:58] - node _T_1904 = or(_T_1898, _T_1903) @[el2_lsu_bus_buffer.scala 414:39] - node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:5] - node _T_1906 = and(_T_1896, _T_1905) @[el2_lsu_bus_buffer.scala 413:76] - node _T_1907 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 413:65] - node _T_1908 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 414:30] - node _T_1909 = and(ibuf_valid, _T_1908) @[el2_lsu_bus_buffer.scala 414:19] - node _T_1910 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 415:18] - node _T_1911 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 415:57] - node _T_1912 = and(io.ldst_dual_r, _T_1911) @[el2_lsu_bus_buffer.scala 415:45] - node _T_1913 = or(_T_1910, _T_1912) @[el2_lsu_bus_buffer.scala 415:27] - node _T_1914 = and(io.lsu_busreq_r, _T_1913) @[el2_lsu_bus_buffer.scala 414:58] - node _T_1915 = or(_T_1909, _T_1914) @[el2_lsu_bus_buffer.scala 414:39] - node _T_1916 = eq(_T_1915, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 414:5] - node _T_1917 = and(_T_1907, _T_1916) @[el2_lsu_bus_buffer.scala 413:76] + node _T_1874 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 415:65] + node _T_1875 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 416:30] + node _T_1876 = and(ibuf_valid, _T_1875) @[el2_lsu_bus_buffer.scala 416:19] + node _T_1877 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 417:18] + node _T_1878 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 417:57] + node _T_1879 = and(io.ldst_dual_r, _T_1878) @[el2_lsu_bus_buffer.scala 417:45] + node _T_1880 = or(_T_1877, _T_1879) @[el2_lsu_bus_buffer.scala 417:27] + node _T_1881 = and(io.lsu_busreq_r, _T_1880) @[el2_lsu_bus_buffer.scala 416:58] + node _T_1882 = or(_T_1876, _T_1881) @[el2_lsu_bus_buffer.scala 416:39] + node _T_1883 = eq(_T_1882, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 416:5] + node _T_1884 = and(_T_1874, _T_1883) @[el2_lsu_bus_buffer.scala 415:76] + node _T_1885 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 415:65] + node _T_1886 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 416:30] + node _T_1887 = and(ibuf_valid, _T_1886) @[el2_lsu_bus_buffer.scala 416:19] + node _T_1888 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 417:18] + node _T_1889 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 417:57] + node _T_1890 = and(io.ldst_dual_r, _T_1889) @[el2_lsu_bus_buffer.scala 417:45] + node _T_1891 = or(_T_1888, _T_1890) @[el2_lsu_bus_buffer.scala 417:27] + node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[el2_lsu_bus_buffer.scala 416:58] + node _T_1893 = or(_T_1887, _T_1892) @[el2_lsu_bus_buffer.scala 416:39] + node _T_1894 = eq(_T_1893, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 416:5] + node _T_1895 = and(_T_1885, _T_1894) @[el2_lsu_bus_buffer.scala 415:76] + node _T_1896 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 415:65] + node _T_1897 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 416:30] + node _T_1898 = and(ibuf_valid, _T_1897) @[el2_lsu_bus_buffer.scala 416:19] + node _T_1899 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 417:18] + node _T_1900 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 417:57] + node _T_1901 = and(io.ldst_dual_r, _T_1900) @[el2_lsu_bus_buffer.scala 417:45] + node _T_1902 = or(_T_1899, _T_1901) @[el2_lsu_bus_buffer.scala 417:27] + node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 416:58] + node _T_1904 = or(_T_1898, _T_1903) @[el2_lsu_bus_buffer.scala 416:39] + node _T_1905 = eq(_T_1904, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 416:5] + node _T_1906 = and(_T_1896, _T_1905) @[el2_lsu_bus_buffer.scala 415:76] + node _T_1907 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 415:65] + node _T_1908 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 416:30] + node _T_1909 = and(ibuf_valid, _T_1908) @[el2_lsu_bus_buffer.scala 416:19] + node _T_1910 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 417:18] + node _T_1911 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 417:57] + node _T_1912 = and(io.ldst_dual_r, _T_1911) @[el2_lsu_bus_buffer.scala 417:45] + node _T_1913 = or(_T_1910, _T_1912) @[el2_lsu_bus_buffer.scala 417:27] + node _T_1914 = and(io.lsu_busreq_r, _T_1913) @[el2_lsu_bus_buffer.scala 416:58] + node _T_1915 = or(_T_1909, _T_1914) @[el2_lsu_bus_buffer.scala 416:39] + node _T_1916 = eq(_T_1915, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 416:5] + node _T_1917 = and(_T_1907, _T_1916) @[el2_lsu_bus_buffer.scala 415:76] node _T_1918 = mux(_T_1917, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1919 = mux(_T_1906, UInt<2>("h02"), _T_1918) @[Mux.scala 98:16] node _T_1920 = mux(_T_1895, UInt<1>("h01"), _T_1919) @[Mux.scala 98:16] node _T_1921 = mux(_T_1884, UInt<1>("h00"), _T_1920) @[Mux.scala 98:16] - WrPtr0_m <= _T_1921 @[el2_lsu_bus_buffer.scala 413:12] + WrPtr0_m <= _T_1921 @[el2_lsu_bus_buffer.scala 415:12] wire WrPtr1_m : UInt<2> WrPtr1_m <= UInt<1>("h00") - node _T_1922 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:65] - node _T_1923 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:103] - node _T_1924 = and(ibuf_valid, _T_1923) @[el2_lsu_bus_buffer.scala 419:92] - node _T_1925 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1926 = and(io.lsu_busreq_m, _T_1925) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1927 = or(_T_1924, _T_1926) @[el2_lsu_bus_buffer.scala 419:112] - node _T_1928 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:36] - node _T_1929 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:34] - node _T_1930 = and(io.ldst_dual_r, _T_1929) @[el2_lsu_bus_buffer.scala 422:23] - node _T_1931 = or(_T_1928, _T_1930) @[el2_lsu_bus_buffer.scala 421:46] - node _T_1932 = and(io.lsu_busreq_r, _T_1931) @[el2_lsu_bus_buffer.scala 421:22] - node _T_1933 = or(_T_1927, _T_1932) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1934 = eq(_T_1933, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:78] - node _T_1935 = and(_T_1922, _T_1934) @[el2_lsu_bus_buffer.scala 419:76] - node _T_1936 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:65] - node _T_1937 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 419:103] - node _T_1938 = and(ibuf_valid, _T_1937) @[el2_lsu_bus_buffer.scala 419:92] - node _T_1939 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1940 = and(io.lsu_busreq_m, _T_1939) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1941 = or(_T_1938, _T_1940) @[el2_lsu_bus_buffer.scala 419:112] - node _T_1942 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 421:36] - node _T_1943 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:34] - node _T_1944 = and(io.ldst_dual_r, _T_1943) @[el2_lsu_bus_buffer.scala 422:23] - node _T_1945 = or(_T_1942, _T_1944) @[el2_lsu_bus_buffer.scala 421:46] - node _T_1946 = and(io.lsu_busreq_r, _T_1945) @[el2_lsu_bus_buffer.scala 421:22] - node _T_1947 = or(_T_1941, _T_1946) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:78] - node _T_1949 = and(_T_1936, _T_1948) @[el2_lsu_bus_buffer.scala 419:76] - node _T_1950 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:65] - node _T_1951 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 419:103] - node _T_1952 = and(ibuf_valid, _T_1951) @[el2_lsu_bus_buffer.scala 419:92] - node _T_1953 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1954 = and(io.lsu_busreq_m, _T_1953) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1955 = or(_T_1952, _T_1954) @[el2_lsu_bus_buffer.scala 419:112] - node _T_1956 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 421:36] - node _T_1957 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:34] - node _T_1958 = and(io.ldst_dual_r, _T_1957) @[el2_lsu_bus_buffer.scala 422:23] - node _T_1959 = or(_T_1956, _T_1958) @[el2_lsu_bus_buffer.scala 421:46] - node _T_1960 = and(io.lsu_busreq_r, _T_1959) @[el2_lsu_bus_buffer.scala 421:22] - node _T_1961 = or(_T_1955, _T_1960) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:78] - node _T_1963 = and(_T_1950, _T_1962) @[el2_lsu_bus_buffer.scala 419:76] - node _T_1964 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:65] - node _T_1965 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 419:103] - node _T_1966 = and(ibuf_valid, _T_1965) @[el2_lsu_bus_buffer.scala 419:92] - node _T_1967 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1968 = and(io.lsu_busreq_m, _T_1967) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1969 = or(_T_1966, _T_1968) @[el2_lsu_bus_buffer.scala 419:112] - node _T_1970 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 421:36] - node _T_1971 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:34] - node _T_1972 = and(io.ldst_dual_r, _T_1971) @[el2_lsu_bus_buffer.scala 422:23] - node _T_1973 = or(_T_1970, _T_1972) @[el2_lsu_bus_buffer.scala 421:46] - node _T_1974 = and(io.lsu_busreq_r, _T_1973) @[el2_lsu_bus_buffer.scala 421:22] - node _T_1975 = or(_T_1969, _T_1974) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1976 = eq(_T_1975, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:78] - node _T_1977 = and(_T_1964, _T_1976) @[el2_lsu_bus_buffer.scala 419:76] + node _T_1922 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 421:65] + node _T_1923 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:103] + node _T_1924 = and(ibuf_valid, _T_1923) @[el2_lsu_bus_buffer.scala 421:92] + node _T_1925 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:33] + node _T_1926 = and(io.lsu_busreq_m, _T_1925) @[el2_lsu_bus_buffer.scala 422:22] + node _T_1927 = or(_T_1924, _T_1926) @[el2_lsu_bus_buffer.scala 421:112] + node _T_1928 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:36] + node _T_1929 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:34] + node _T_1930 = and(io.ldst_dual_r, _T_1929) @[el2_lsu_bus_buffer.scala 424:23] + node _T_1931 = or(_T_1928, _T_1930) @[el2_lsu_bus_buffer.scala 423:46] + node _T_1932 = and(io.lsu_busreq_r, _T_1931) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1933 = or(_T_1927, _T_1932) @[el2_lsu_bus_buffer.scala 422:42] + node _T_1934 = eq(_T_1933, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:78] + node _T_1935 = and(_T_1922, _T_1934) @[el2_lsu_bus_buffer.scala 421:76] + node _T_1936 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 421:65] + node _T_1937 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 421:103] + node _T_1938 = and(ibuf_valid, _T_1937) @[el2_lsu_bus_buffer.scala 421:92] + node _T_1939 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:33] + node _T_1940 = and(io.lsu_busreq_m, _T_1939) @[el2_lsu_bus_buffer.scala 422:22] + node _T_1941 = or(_T_1938, _T_1940) @[el2_lsu_bus_buffer.scala 421:112] + node _T_1942 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:36] + node _T_1943 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:34] + node _T_1944 = and(io.ldst_dual_r, _T_1943) @[el2_lsu_bus_buffer.scala 424:23] + node _T_1945 = or(_T_1942, _T_1944) @[el2_lsu_bus_buffer.scala 423:46] + node _T_1946 = and(io.lsu_busreq_r, _T_1945) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1947 = or(_T_1941, _T_1946) @[el2_lsu_bus_buffer.scala 422:42] + node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:78] + node _T_1949 = and(_T_1936, _T_1948) @[el2_lsu_bus_buffer.scala 421:76] + node _T_1950 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 421:65] + node _T_1951 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 421:103] + node _T_1952 = and(ibuf_valid, _T_1951) @[el2_lsu_bus_buffer.scala 421:92] + node _T_1953 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:33] + node _T_1954 = and(io.lsu_busreq_m, _T_1953) @[el2_lsu_bus_buffer.scala 422:22] + node _T_1955 = or(_T_1952, _T_1954) @[el2_lsu_bus_buffer.scala 421:112] + node _T_1956 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:36] + node _T_1957 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:34] + node _T_1958 = and(io.ldst_dual_r, _T_1957) @[el2_lsu_bus_buffer.scala 424:23] + node _T_1959 = or(_T_1956, _T_1958) @[el2_lsu_bus_buffer.scala 423:46] + node _T_1960 = and(io.lsu_busreq_r, _T_1959) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1961 = or(_T_1955, _T_1960) @[el2_lsu_bus_buffer.scala 422:42] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:78] + node _T_1963 = and(_T_1950, _T_1962) @[el2_lsu_bus_buffer.scala 421:76] + node _T_1964 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 421:65] + node _T_1965 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 421:103] + node _T_1966 = and(ibuf_valid, _T_1965) @[el2_lsu_bus_buffer.scala 421:92] + node _T_1967 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:33] + node _T_1968 = and(io.lsu_busreq_m, _T_1967) @[el2_lsu_bus_buffer.scala 422:22] + node _T_1969 = or(_T_1966, _T_1968) @[el2_lsu_bus_buffer.scala 421:112] + node _T_1970 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:36] + node _T_1971 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:34] + node _T_1972 = and(io.ldst_dual_r, _T_1971) @[el2_lsu_bus_buffer.scala 424:23] + node _T_1973 = or(_T_1970, _T_1972) @[el2_lsu_bus_buffer.scala 423:46] + node _T_1974 = and(io.lsu_busreq_r, _T_1973) @[el2_lsu_bus_buffer.scala 423:22] + node _T_1975 = or(_T_1969, _T_1974) @[el2_lsu_bus_buffer.scala 422:42] + node _T_1976 = eq(_T_1975, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:78] + node _T_1977 = and(_T_1964, _T_1976) @[el2_lsu_bus_buffer.scala 421:76] node _T_1978 = mux(_T_1977, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] node _T_1979 = mux(_T_1963, UInt<2>("h02"), _T_1978) @[Mux.scala 98:16] node _T_1980 = mux(_T_1949, UInt<1>("h01"), _T_1979) @[Mux.scala 98:16] node _T_1981 = mux(_T_1935, UInt<1>("h00"), _T_1980) @[Mux.scala 98:16] - WrPtr1_m <= _T_1981 @[el2_lsu_bus_buffer.scala 419:12] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 424:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 425:11] - node _T_1982 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 427:58] - node _T_1983 = eq(_T_1982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:45] - node _T_1984 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 427:78] - node _T_1985 = and(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 427:63] - node _T_1986 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:90] - node _T_1987 = and(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 427:88] - node _T_1988 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 427:58] - node _T_1989 = eq(_T_1988, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:45] - node _T_1990 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 427:78] - node _T_1991 = and(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 427:63] - node _T_1992 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:90] - node _T_1993 = and(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 427:88] - node _T_1994 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 427:58] - node _T_1995 = eq(_T_1994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:45] - node _T_1996 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 427:78] - node _T_1997 = and(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 427:63] - node _T_1998 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:90] - node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 427:88] - node _T_2000 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 427:58] - node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:45] - node _T_2002 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 427:78] - node _T_2003 = and(_T_2001, _T_2002) @[el2_lsu_bus_buffer.scala 427:63] - node _T_2004 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 427:90] - node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 427:88] + WrPtr1_m <= _T_1981 @[el2_lsu_bus_buffer.scala 421:12] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 426:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] + node _T_1982 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 429:58] + node _T_1983 = eq(_T_1982, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] + node _T_1984 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] + node _T_1985 = and(_T_1983, _T_1984) @[el2_lsu_bus_buffer.scala 429:63] + node _T_1986 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] + node _T_1987 = and(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 429:88] + node _T_1988 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 429:58] + node _T_1989 = eq(_T_1988, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] + node _T_1990 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] + node _T_1991 = and(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 429:63] + node _T_1992 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] + node _T_1993 = and(_T_1991, _T_1992) @[el2_lsu_bus_buffer.scala 429:88] + node _T_1994 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 429:58] + node _T_1995 = eq(_T_1994, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] + node _T_1996 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] + node _T_1997 = and(_T_1995, _T_1996) @[el2_lsu_bus_buffer.scala 429:63] + node _T_1998 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] + node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 429:88] + node _T_2000 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 429:58] + node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] + node _T_2002 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2003 = and(_T_2001, _T_2002) @[el2_lsu_bus_buffer.scala 429:63] + node _T_2004 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] + node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 429:88] node _T_2006 = cat(_T_2005, _T_1999) @[Cat.scala 29:58] node _T_2007 = cat(_T_2006, _T_1993) @[Cat.scala 29:58] node CmdPtr0Dec = cat(_T_2007, _T_1987) @[Cat.scala 29:58] - node _T_2008 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 428:62] - node _T_2009 = and(buf_age[0], _T_2008) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2010 = orr(_T_2009) @[el2_lsu_bus_buffer.scala 428:76] - node _T_2011 = eq(_T_2010, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:45] - node _T_2012 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2013 = eq(_T_2012, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:83] - node _T_2014 = and(_T_2011, _T_2013) @[el2_lsu_bus_buffer.scala 428:81] - node _T_2015 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:113] - node _T_2016 = and(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 428:98] - node _T_2017 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:125] - node _T_2018 = and(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 428:123] - node _T_2019 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 428:62] - node _T_2020 = and(buf_age[1], _T_2019) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2021 = orr(_T_2020) @[el2_lsu_bus_buffer.scala 428:76] - node _T_2022 = eq(_T_2021, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:45] - node _T_2023 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2024 = eq(_T_2023, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:83] - node _T_2025 = and(_T_2022, _T_2024) @[el2_lsu_bus_buffer.scala 428:81] - node _T_2026 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:113] - node _T_2027 = and(_T_2025, _T_2026) @[el2_lsu_bus_buffer.scala 428:98] - node _T_2028 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:125] - node _T_2029 = and(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 428:123] - node _T_2030 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 428:62] - node _T_2031 = and(buf_age[2], _T_2030) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2032 = orr(_T_2031) @[el2_lsu_bus_buffer.scala 428:76] - node _T_2033 = eq(_T_2032, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:45] - node _T_2034 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2035 = eq(_T_2034, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:83] - node _T_2036 = and(_T_2033, _T_2035) @[el2_lsu_bus_buffer.scala 428:81] - node _T_2037 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:113] - node _T_2038 = and(_T_2036, _T_2037) @[el2_lsu_bus_buffer.scala 428:98] - node _T_2039 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:125] - node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 428:123] - node _T_2041 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 428:62] - node _T_2042 = and(buf_age[3], _T_2041) @[el2_lsu_bus_buffer.scala 428:59] - node _T_2043 = orr(_T_2042) @[el2_lsu_bus_buffer.scala 428:76] - node _T_2044 = eq(_T_2043, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:45] - node _T_2045 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 428:94] - node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:83] - node _T_2047 = and(_T_2044, _T_2046) @[el2_lsu_bus_buffer.scala 428:81] - node _T_2048 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 428:113] - node _T_2049 = and(_T_2047, _T_2048) @[el2_lsu_bus_buffer.scala 428:98] - node _T_2050 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 428:125] - node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 428:123] + node _T_2008 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] + node _T_2009 = and(buf_age[0], _T_2008) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2010 = orr(_T_2009) @[el2_lsu_bus_buffer.scala 430:76] + node _T_2011 = eq(_T_2010, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_2012 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2013 = eq(_T_2012, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2014 = and(_T_2011, _T_2013) @[el2_lsu_bus_buffer.scala 430:81] + node _T_2015 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2016 = and(_T_2014, _T_2015) @[el2_lsu_bus_buffer.scala 430:98] + node _T_2017 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] + node _T_2018 = and(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 430:123] + node _T_2019 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] + node _T_2020 = and(buf_age[1], _T_2019) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2021 = orr(_T_2020) @[el2_lsu_bus_buffer.scala 430:76] + node _T_2022 = eq(_T_2021, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_2023 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2024 = eq(_T_2023, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2025 = and(_T_2022, _T_2024) @[el2_lsu_bus_buffer.scala 430:81] + node _T_2026 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2027 = and(_T_2025, _T_2026) @[el2_lsu_bus_buffer.scala 430:98] + node _T_2028 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] + node _T_2029 = and(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 430:123] + node _T_2030 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] + node _T_2031 = and(buf_age[2], _T_2030) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2032 = orr(_T_2031) @[el2_lsu_bus_buffer.scala 430:76] + node _T_2033 = eq(_T_2032, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_2034 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2035 = eq(_T_2034, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2036 = and(_T_2033, _T_2035) @[el2_lsu_bus_buffer.scala 430:81] + node _T_2037 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2038 = and(_T_2036, _T_2037) @[el2_lsu_bus_buffer.scala 430:98] + node _T_2039 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] + node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 430:123] + node _T_2041 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] + node _T_2042 = and(buf_age[3], _T_2041) @[el2_lsu_bus_buffer.scala 430:59] + node _T_2043 = orr(_T_2042) @[el2_lsu_bus_buffer.scala 430:76] + node _T_2044 = eq(_T_2043, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_2045 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 430:94] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] + node _T_2047 = and(_T_2044, _T_2046) @[el2_lsu_bus_buffer.scala 430:81] + node _T_2048 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] + node _T_2049 = and(_T_2047, _T_2048) @[el2_lsu_bus_buffer.scala 430:98] + node _T_2050 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] + node _T_2051 = and(_T_2049, _T_2050) @[el2_lsu_bus_buffer.scala 430:123] node _T_2052 = cat(_T_2051, _T_2040) @[Cat.scala 29:58] node _T_2053 = cat(_T_2052, _T_2029) @[Cat.scala 29:58] node CmdPtr1Dec = cat(_T_2053, _T_2018) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 429:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 430:19] - node _T_2054 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 431:65] - node _T_2055 = eq(_T_2054, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:44] - node _T_2056 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 431:70] - node _T_2058 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 431:65] - node _T_2059 = eq(_T_2058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:44] - node _T_2060 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2061 = and(_T_2059, _T_2060) @[el2_lsu_bus_buffer.scala 431:70] - node _T_2062 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 431:65] - node _T_2063 = eq(_T_2062, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:44] - node _T_2064 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2065 = and(_T_2063, _T_2064) @[el2_lsu_bus_buffer.scala 431:70] - node _T_2066 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 431:65] - node _T_2067 = eq(_T_2066, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:44] - node _T_2068 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2069 = and(_T_2067, _T_2068) @[el2_lsu_bus_buffer.scala 431:70] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 431:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] + node _T_2054 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 433:65] + node _T_2055 = eq(_T_2054, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] + node _T_2056 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 433:70] + node _T_2058 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 433:65] + node _T_2059 = eq(_T_2058, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] + node _T_2060 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2061 = and(_T_2059, _T_2060) @[el2_lsu_bus_buffer.scala 433:70] + node _T_2062 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 433:65] + node _T_2063 = eq(_T_2062, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] + node _T_2064 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2065 = and(_T_2063, _T_2064) @[el2_lsu_bus_buffer.scala 433:70] + node _T_2066 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 433:65] + node _T_2067 = eq(_T_2066, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] + node _T_2068 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] + node _T_2069 = and(_T_2067, _T_2068) @[el2_lsu_bus_buffer.scala 433:70] node _T_2070 = cat(_T_2069, _T_2065) @[Cat.scala 29:58] node _T_2071 = cat(_T_2070, _T_2061) @[Cat.scala 29:58] node RspPtrDec = cat(_T_2071, _T_2057) @[Cat.scala 29:58] - node _T_2072 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 432:31] - found_cmdptr0 <= _T_2072 @[el2_lsu_bus_buffer.scala 432:17] - node _T_2073 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 433:31] - found_cmdptr1 <= _T_2073 @[el2_lsu_bus_buffer.scala 433:17] + node _T_2072 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 434:31] + found_cmdptr0 <= _T_2072 @[el2_lsu_bus_buffer.scala 434:17] + node _T_2073 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 435:31] + found_cmdptr1 <= _T_2073 @[el2_lsu_bus_buffer.scala 435:17] wire CmdPtr1 : UInt<2> CmdPtr1 <= UInt<1>("h00") wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") node _T_2074 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2075 = cat(_T_2074, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_2076 = bits(_T_2075, 4, 4) @[el2_lsu_bus_buffer.scala 435:39] - node _T_2077 = bits(_T_2075, 5, 5) @[el2_lsu_bus_buffer.scala 435:45] - node _T_2078 = or(_T_2076, _T_2077) @[el2_lsu_bus_buffer.scala 435:42] - node _T_2079 = bits(_T_2075, 6, 6) @[el2_lsu_bus_buffer.scala 435:51] - node _T_2080 = or(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 435:48] - node _T_2081 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 435:57] - node _T_2082 = or(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2083 = bits(_T_2075, 2, 2) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2084 = bits(_T_2075, 3, 3) @[el2_lsu_bus_buffer.scala 435:70] - node _T_2085 = or(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 435:67] - node _T_2086 = bits(_T_2075, 6, 6) @[el2_lsu_bus_buffer.scala 435:76] - node _T_2087 = or(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2088 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 435:82] - node _T_2089 = or(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 435:79] - node _T_2090 = bits(_T_2075, 1, 1) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2091 = bits(_T_2075, 3, 3) @[el2_lsu_bus_buffer.scala 435:95] - node _T_2092 = or(_T_2090, _T_2091) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2093 = bits(_T_2075, 5, 5) @[el2_lsu_bus_buffer.scala 435:101] - node _T_2094 = or(_T_2092, _T_2093) @[el2_lsu_bus_buffer.scala 435:98] - node _T_2095 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 435:107] - node _T_2096 = or(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2076 = bits(_T_2075, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] + node _T_2077 = bits(_T_2075, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2078 = or(_T_2076, _T_2077) @[el2_lsu_bus_buffer.scala 437:42] + node _T_2079 = bits(_T_2075, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] + node _T_2080 = or(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 437:48] + node _T_2081 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] + node _T_2082 = or(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2083 = bits(_T_2075, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] + node _T_2084 = bits(_T_2075, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] + node _T_2085 = or(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 437:67] + node _T_2086 = bits(_T_2075, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2087 = or(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2088 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] + node _T_2089 = or(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 437:79] + node _T_2090 = bits(_T_2075, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2091 = bits(_T_2075, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] + node _T_2092 = or(_T_2090, _T_2091) @[el2_lsu_bus_buffer.scala 437:92] + node _T_2093 = bits(_T_2075, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] + node _T_2094 = or(_T_2092, _T_2093) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2095 = bits(_T_2075, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] + node _T_2096 = or(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 437:104] node _T_2097 = cat(_T_2082, _T_2089) @[Cat.scala 29:58] node _T_2098 = cat(_T_2097, _T_2096) @[Cat.scala 29:58] - CmdPtr0 <= _T_2098 @[el2_lsu_bus_buffer.scala 440:11] + CmdPtr0 <= _T_2098 @[el2_lsu_bus_buffer.scala 442:11] node _T_2099 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2100 = cat(_T_2099, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2101 = bits(_T_2100, 4, 4) @[el2_lsu_bus_buffer.scala 435:39] - node _T_2102 = bits(_T_2100, 5, 5) @[el2_lsu_bus_buffer.scala 435:45] - node _T_2103 = or(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 435:42] - node _T_2104 = bits(_T_2100, 6, 6) @[el2_lsu_bus_buffer.scala 435:51] - node _T_2105 = or(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 435:48] - node _T_2106 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 435:57] - node _T_2107 = or(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2108 = bits(_T_2100, 2, 2) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2109 = bits(_T_2100, 3, 3) @[el2_lsu_bus_buffer.scala 435:70] - node _T_2110 = or(_T_2108, _T_2109) @[el2_lsu_bus_buffer.scala 435:67] - node _T_2111 = bits(_T_2100, 6, 6) @[el2_lsu_bus_buffer.scala 435:76] - node _T_2112 = or(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2113 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 435:82] - node _T_2114 = or(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 435:79] - node _T_2115 = bits(_T_2100, 1, 1) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2116 = bits(_T_2100, 3, 3) @[el2_lsu_bus_buffer.scala 435:95] - node _T_2117 = or(_T_2115, _T_2116) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2118 = bits(_T_2100, 5, 5) @[el2_lsu_bus_buffer.scala 435:101] - node _T_2119 = or(_T_2117, _T_2118) @[el2_lsu_bus_buffer.scala 435:98] - node _T_2120 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 435:107] - node _T_2121 = or(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2101 = bits(_T_2100, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] + node _T_2102 = bits(_T_2100, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2103 = or(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 437:42] + node _T_2104 = bits(_T_2100, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] + node _T_2105 = or(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 437:48] + node _T_2106 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] + node _T_2107 = or(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2108 = bits(_T_2100, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] + node _T_2109 = bits(_T_2100, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] + node _T_2110 = or(_T_2108, _T_2109) @[el2_lsu_bus_buffer.scala 437:67] + node _T_2111 = bits(_T_2100, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2112 = or(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2113 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] + node _T_2114 = or(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 437:79] + node _T_2115 = bits(_T_2100, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2116 = bits(_T_2100, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] + node _T_2117 = or(_T_2115, _T_2116) @[el2_lsu_bus_buffer.scala 437:92] + node _T_2118 = bits(_T_2100, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] + node _T_2119 = or(_T_2117, _T_2118) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2120 = bits(_T_2100, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] + node _T_2121 = or(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 437:104] node _T_2122 = cat(_T_2107, _T_2114) @[Cat.scala 29:58] node _T_2123 = cat(_T_2122, _T_2121) @[Cat.scala 29:58] - CmdPtr1 <= _T_2123 @[el2_lsu_bus_buffer.scala 442:11] + CmdPtr1 <= _T_2123 @[el2_lsu_bus_buffer.scala 444:11] node _T_2124 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] node _T_2125 = cat(_T_2124, RspPtrDec) @[Cat.scala 29:58] - node _T_2126 = bits(_T_2125, 4, 4) @[el2_lsu_bus_buffer.scala 435:39] - node _T_2127 = bits(_T_2125, 5, 5) @[el2_lsu_bus_buffer.scala 435:45] - node _T_2128 = or(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 435:42] - node _T_2129 = bits(_T_2125, 6, 6) @[el2_lsu_bus_buffer.scala 435:51] - node _T_2130 = or(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 435:48] - node _T_2131 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 435:57] - node _T_2132 = or(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 435:54] - node _T_2133 = bits(_T_2125, 2, 2) @[el2_lsu_bus_buffer.scala 435:64] - node _T_2134 = bits(_T_2125, 3, 3) @[el2_lsu_bus_buffer.scala 435:70] - node _T_2135 = or(_T_2133, _T_2134) @[el2_lsu_bus_buffer.scala 435:67] - node _T_2136 = bits(_T_2125, 6, 6) @[el2_lsu_bus_buffer.scala 435:76] - node _T_2137 = or(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2138 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 435:82] - node _T_2139 = or(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 435:79] - node _T_2140 = bits(_T_2125, 1, 1) @[el2_lsu_bus_buffer.scala 435:89] - node _T_2141 = bits(_T_2125, 3, 3) @[el2_lsu_bus_buffer.scala 435:95] - node _T_2142 = or(_T_2140, _T_2141) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2143 = bits(_T_2125, 5, 5) @[el2_lsu_bus_buffer.scala 435:101] - node _T_2144 = or(_T_2142, _T_2143) @[el2_lsu_bus_buffer.scala 435:98] - node _T_2145 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 435:107] - node _T_2146 = or(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 435:104] + node _T_2126 = bits(_T_2125, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] + node _T_2127 = bits(_T_2125, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] + node _T_2128 = or(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 437:42] + node _T_2129 = bits(_T_2125, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] + node _T_2130 = or(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 437:48] + node _T_2131 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] + node _T_2132 = or(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 437:54] + node _T_2133 = bits(_T_2125, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] + node _T_2134 = bits(_T_2125, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] + node _T_2135 = or(_T_2133, _T_2134) @[el2_lsu_bus_buffer.scala 437:67] + node _T_2136 = bits(_T_2125, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] + node _T_2137 = or(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 437:73] + node _T_2138 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] + node _T_2139 = or(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 437:79] + node _T_2140 = bits(_T_2125, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] + node _T_2141 = bits(_T_2125, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] + node _T_2142 = or(_T_2140, _T_2141) @[el2_lsu_bus_buffer.scala 437:92] + node _T_2143 = bits(_T_2125, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] + node _T_2144 = or(_T_2142, _T_2143) @[el2_lsu_bus_buffer.scala 437:98] + node _T_2145 = bits(_T_2125, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] + node _T_2146 = or(_T_2144, _T_2145) @[el2_lsu_bus_buffer.scala 437:104] node _T_2147 = cat(_T_2132, _T_2139) @[Cat.scala 29:58] node _T_2148 = cat(_T_2147, _T_2146) @[Cat.scala 29:58] - RspPtr <= _T_2148 @[el2_lsu_bus_buffer.scala 443:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 444:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 445:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 445:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 445:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 445:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 446:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 448:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 450:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 452:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:14] - node _T_2149 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2150 = and(_T_2149, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2151 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2152 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2153 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2155 = or(_T_2151, _T_2154) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2159 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2161 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2162 = and(_T_2160, _T_2161) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2163 = or(_T_2155, _T_2162) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2165 = and(_T_2164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2166 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2167 = and(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2168 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2169 = and(_T_2167, _T_2168) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2170 = or(_T_2163, _T_2169) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2171 = and(_T_2150, _T_2170) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2172 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2173 = or(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2174 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2175 = and(_T_2174, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2176 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2177 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2178 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2180 = or(_T_2176, _T_2179) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2181 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2182 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2184 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2186 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2187 = and(_T_2185, _T_2186) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2188 = or(_T_2180, _T_2187) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2189 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2190 = and(_T_2189, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2191 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2192 = and(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2193 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2194 = and(_T_2192, _T_2193) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2195 = or(_T_2188, _T_2194) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2196 = and(_T_2175, _T_2195) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2197 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2198 = or(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2199 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2200 = and(_T_2199, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2201 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2202 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2203 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2205 = or(_T_2201, _T_2204) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2206 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2207 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2209 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2211 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2212 = and(_T_2210, _T_2211) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2213 = or(_T_2205, _T_2212) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2214 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2215 = and(_T_2214, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2216 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2217 = and(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2218 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2219 = and(_T_2217, _T_2218) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2220 = or(_T_2213, _T_2219) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2221 = and(_T_2200, _T_2220) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2222 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2223 = or(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2224 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2225 = and(_T_2224, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2226 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2227 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2228 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2230 = or(_T_2226, _T_2229) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2231 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2232 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2234 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2236 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2237 = and(_T_2235, _T_2236) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2238 = or(_T_2230, _T_2237) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2239 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2240 = and(_T_2239, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2241 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2242 = and(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2243 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2244 = and(_T_2242, _T_2243) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2245 = or(_T_2238, _T_2244) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2246 = and(_T_2225, _T_2245) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2247 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2248 = or(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 458:97] + RspPtr <= _T_2148 @[el2_lsu_bus_buffer.scala 445:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 446:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 448:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 450:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 452:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 454:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] + node _T_2149 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2150 = and(_T_2149, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2151 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2152 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2153 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2155 = or(_T_2151, _T_2154) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2156 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2157 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2159 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2161 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2162 = and(_T_2160, _T_2161) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2163 = or(_T_2155, _T_2162) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2164 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2165 = and(_T_2164, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2166 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2167 = and(_T_2165, _T_2166) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2168 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2169 = and(_T_2167, _T_2168) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2170 = or(_T_2163, _T_2169) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2171 = and(_T_2150, _T_2170) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2172 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2173 = or(_T_2171, _T_2172) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2174 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2175 = and(_T_2174, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2176 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2177 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2178 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2180 = or(_T_2176, _T_2179) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2181 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2182 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2184 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2186 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2187 = and(_T_2185, _T_2186) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2188 = or(_T_2180, _T_2187) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2189 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2190 = and(_T_2189, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2191 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2192 = and(_T_2190, _T_2191) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2193 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2194 = and(_T_2192, _T_2193) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2195 = or(_T_2188, _T_2194) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2196 = and(_T_2175, _T_2195) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2197 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2198 = or(_T_2196, _T_2197) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2199 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2200 = and(_T_2199, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2201 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2202 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2203 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2205 = or(_T_2201, _T_2204) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2206 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2207 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2209 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2211 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2212 = and(_T_2210, _T_2211) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2213 = or(_T_2205, _T_2212) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2214 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2215 = and(_T_2214, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2216 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2217 = and(_T_2215, _T_2216) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2218 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2219 = and(_T_2217, _T_2218) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2220 = or(_T_2213, _T_2219) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2221 = and(_T_2200, _T_2220) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2222 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2223 = or(_T_2221, _T_2222) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2224 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2225 = and(_T_2224, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2226 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2227 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2228 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2229 = and(_T_2227, _T_2228) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2230 = or(_T_2226, _T_2229) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2231 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2232 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2234 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2236 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2237 = and(_T_2235, _T_2236) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2238 = or(_T_2230, _T_2237) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2239 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2240 = and(_T_2239, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2241 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2242 = and(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2243 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2244 = and(_T_2242, _T_2243) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2245 = or(_T_2238, _T_2244) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2246 = and(_T_2225, _T_2245) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2247 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2248 = or(_T_2246, _T_2247) @[el2_lsu_bus_buffer.scala 460:97] node _T_2249 = cat(_T_2248, _T_2223) @[Cat.scala 29:58] node _T_2250 = cat(_T_2249, _T_2198) @[Cat.scala 29:58] node buf_age_in_0 = cat(_T_2250, _T_2173) @[Cat.scala 29:58] - node _T_2251 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2252 = and(_T_2251, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2253 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2254 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2255 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2257 = or(_T_2253, _T_2256) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2258 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2259 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2261 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2263 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2264 = and(_T_2262, _T_2263) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2265 = or(_T_2257, _T_2264) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2266 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2267 = and(_T_2266, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2268 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2269 = and(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2270 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2271 = and(_T_2269, _T_2270) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2272 = or(_T_2265, _T_2271) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2273 = and(_T_2252, _T_2272) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2274 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2275 = or(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2276 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2277 = and(_T_2276, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2278 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2279 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2280 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2282 = or(_T_2278, _T_2281) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2283 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2284 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2286 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2288 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2289 = and(_T_2287, _T_2288) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2290 = or(_T_2282, _T_2289) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2291 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2292 = and(_T_2291, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2293 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2294 = and(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2295 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2296 = and(_T_2294, _T_2295) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2297 = or(_T_2290, _T_2296) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2298 = and(_T_2277, _T_2297) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2299 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2300 = or(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2301 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2302 = and(_T_2301, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2303 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2304 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2305 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2307 = or(_T_2303, _T_2306) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2308 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2309 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2311 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2313 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2314 = and(_T_2312, _T_2313) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2315 = or(_T_2307, _T_2314) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2316 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2317 = and(_T_2316, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2318 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2319 = and(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2320 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2321 = and(_T_2319, _T_2320) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2322 = or(_T_2315, _T_2321) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2323 = and(_T_2302, _T_2322) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2324 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2325 = or(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2326 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2327 = and(_T_2326, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2328 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2329 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2330 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2332 = or(_T_2328, _T_2331) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2333 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2334 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2336 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2338 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2339 = and(_T_2337, _T_2338) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2340 = or(_T_2332, _T_2339) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2341 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2342 = and(_T_2341, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2343 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2344 = and(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2345 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2346 = and(_T_2344, _T_2345) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2347 = or(_T_2340, _T_2346) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2348 = and(_T_2327, _T_2347) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2349 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2350 = or(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2251 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2252 = and(_T_2251, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2253 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2254 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2255 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2257 = or(_T_2253, _T_2256) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2258 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2259 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2261 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2263 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2264 = and(_T_2262, _T_2263) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2265 = or(_T_2257, _T_2264) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2266 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2267 = and(_T_2266, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2268 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2269 = and(_T_2267, _T_2268) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2270 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2271 = and(_T_2269, _T_2270) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2272 = or(_T_2265, _T_2271) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2273 = and(_T_2252, _T_2272) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2274 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2275 = or(_T_2273, _T_2274) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2276 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2277 = and(_T_2276, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2278 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2279 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2280 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2282 = or(_T_2278, _T_2281) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2283 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2284 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2286 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2288 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2289 = and(_T_2287, _T_2288) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2290 = or(_T_2282, _T_2289) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2291 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2292 = and(_T_2291, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2293 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2294 = and(_T_2292, _T_2293) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2295 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2296 = and(_T_2294, _T_2295) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2297 = or(_T_2290, _T_2296) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2298 = and(_T_2277, _T_2297) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2299 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2300 = or(_T_2298, _T_2299) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2301 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2302 = and(_T_2301, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2303 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2304 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2305 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2307 = or(_T_2303, _T_2306) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2308 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2309 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2311 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2313 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2314 = and(_T_2312, _T_2313) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2315 = or(_T_2307, _T_2314) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2316 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2317 = and(_T_2316, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2318 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2319 = and(_T_2317, _T_2318) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2320 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2321 = and(_T_2319, _T_2320) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2322 = or(_T_2315, _T_2321) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2323 = and(_T_2302, _T_2322) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2324 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2325 = or(_T_2323, _T_2324) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2326 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2327 = and(_T_2326, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2328 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2329 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2330 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2331 = and(_T_2329, _T_2330) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2332 = or(_T_2328, _T_2331) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2333 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2334 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2336 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2338 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2339 = and(_T_2337, _T_2338) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2340 = or(_T_2332, _T_2339) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2341 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2342 = and(_T_2341, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2343 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2344 = and(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2345 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2346 = and(_T_2344, _T_2345) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2347 = or(_T_2340, _T_2346) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2348 = and(_T_2327, _T_2347) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2349 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2350 = or(_T_2348, _T_2349) @[el2_lsu_bus_buffer.scala 460:97] node _T_2351 = cat(_T_2350, _T_2325) @[Cat.scala 29:58] node _T_2352 = cat(_T_2351, _T_2300) @[Cat.scala 29:58] node buf_age_in_1 = cat(_T_2352, _T_2275) @[Cat.scala 29:58] - node _T_2353 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2354 = and(_T_2353, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2355 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2356 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2357 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2359 = or(_T_2355, _T_2358) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2360 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2361 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2363 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2365 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2366 = and(_T_2364, _T_2365) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2367 = or(_T_2359, _T_2366) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2368 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2369 = and(_T_2368, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2370 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2371 = and(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2372 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2373 = and(_T_2371, _T_2372) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2374 = or(_T_2367, _T_2373) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2375 = and(_T_2354, _T_2374) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2376 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2377 = or(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2378 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2379 = and(_T_2378, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2380 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2381 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2382 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2384 = or(_T_2380, _T_2383) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2385 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2386 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2388 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2390 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2391 = and(_T_2389, _T_2390) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2392 = or(_T_2384, _T_2391) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2393 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2394 = and(_T_2393, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2395 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2396 = and(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2397 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2398 = and(_T_2396, _T_2397) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2399 = or(_T_2392, _T_2398) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2400 = and(_T_2379, _T_2399) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2401 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2402 = or(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2403 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2404 = and(_T_2403, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2405 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2406 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2407 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2409 = or(_T_2405, _T_2408) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2410 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2411 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2413 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2415 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2416 = and(_T_2414, _T_2415) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2417 = or(_T_2409, _T_2416) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2418 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2419 = and(_T_2418, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2420 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2421 = and(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2422 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2423 = and(_T_2421, _T_2422) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2424 = or(_T_2417, _T_2423) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2425 = and(_T_2404, _T_2424) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2426 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2427 = or(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2428 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2429 = and(_T_2428, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2430 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2431 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2432 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2434 = or(_T_2430, _T_2433) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2435 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2436 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2437 = and(_T_2435, _T_2436) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2438 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2440 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2441 = and(_T_2439, _T_2440) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2442 = or(_T_2434, _T_2441) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2443 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2444 = and(_T_2443, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2445 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2446 = and(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2447 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2448 = and(_T_2446, _T_2447) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2449 = or(_T_2442, _T_2448) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2450 = and(_T_2429, _T_2449) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2451 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2452 = or(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2353 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2354 = and(_T_2353, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2355 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2356 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2357 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2359 = or(_T_2355, _T_2358) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2360 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2361 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2363 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2365 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2366 = and(_T_2364, _T_2365) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2367 = or(_T_2359, _T_2366) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2368 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2369 = and(_T_2368, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2370 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2371 = and(_T_2369, _T_2370) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2372 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2373 = and(_T_2371, _T_2372) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2374 = or(_T_2367, _T_2373) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2375 = and(_T_2354, _T_2374) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2376 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2377 = or(_T_2375, _T_2376) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2378 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2379 = and(_T_2378, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2380 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2381 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2382 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2384 = or(_T_2380, _T_2383) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2385 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2386 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2388 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2390 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2391 = and(_T_2389, _T_2390) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2392 = or(_T_2384, _T_2391) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2393 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2394 = and(_T_2393, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2395 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2396 = and(_T_2394, _T_2395) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2397 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2398 = and(_T_2396, _T_2397) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2399 = or(_T_2392, _T_2398) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2400 = and(_T_2379, _T_2399) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2401 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2402 = or(_T_2400, _T_2401) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2403 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2404 = and(_T_2403, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2405 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2406 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2407 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2409 = or(_T_2405, _T_2408) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2410 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2411 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2413 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2415 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2416 = and(_T_2414, _T_2415) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2417 = or(_T_2409, _T_2416) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2418 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2419 = and(_T_2418, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2420 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2421 = and(_T_2419, _T_2420) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2422 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2423 = and(_T_2421, _T_2422) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2424 = or(_T_2417, _T_2423) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2425 = and(_T_2404, _T_2424) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2426 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2427 = or(_T_2425, _T_2426) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2428 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2429 = and(_T_2428, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2430 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2431 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2432 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2433 = and(_T_2431, _T_2432) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2434 = or(_T_2430, _T_2433) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2435 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2436 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2437 = and(_T_2435, _T_2436) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2438 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2440 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2441 = and(_T_2439, _T_2440) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2442 = or(_T_2434, _T_2441) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2443 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2444 = and(_T_2443, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2445 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2446 = and(_T_2444, _T_2445) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2447 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2448 = and(_T_2446, _T_2447) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2449 = or(_T_2442, _T_2448) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2450 = and(_T_2429, _T_2449) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2451 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2452 = or(_T_2450, _T_2451) @[el2_lsu_bus_buffer.scala 460:97] node _T_2453 = cat(_T_2452, _T_2427) @[Cat.scala 29:58] node _T_2454 = cat(_T_2453, _T_2402) @[Cat.scala 29:58] node buf_age_in_2 = cat(_T_2454, _T_2377) @[Cat.scala 29:58] - node _T_2455 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2456 = and(_T_2455, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2457 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2458 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2459 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2460 = and(_T_2458, _T_2459) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2461 = or(_T_2457, _T_2460) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2462 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2463 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2464 = and(_T_2462, _T_2463) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2465 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2466 = and(_T_2464, _T_2465) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2467 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2468 = and(_T_2466, _T_2467) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2469 = or(_T_2461, _T_2468) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2470 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2471 = and(_T_2470, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2472 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2473 = and(_T_2471, _T_2472) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2474 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2475 = and(_T_2473, _T_2474) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2476 = or(_T_2469, _T_2475) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2477 = and(_T_2456, _T_2476) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2478 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2479 = or(_T_2477, _T_2478) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2480 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2481 = and(_T_2480, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2482 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2483 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2484 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2485 = and(_T_2483, _T_2484) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2486 = or(_T_2482, _T_2485) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2487 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2488 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2489 = and(_T_2487, _T_2488) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2490 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2491 = and(_T_2489, _T_2490) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2492 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2493 = and(_T_2491, _T_2492) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2494 = or(_T_2486, _T_2493) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2495 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2496 = and(_T_2495, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2497 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2498 = and(_T_2496, _T_2497) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2499 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2500 = and(_T_2498, _T_2499) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2501 = or(_T_2494, _T_2500) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2502 = and(_T_2481, _T_2501) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2503 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2504 = or(_T_2502, _T_2503) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2505 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2506 = and(_T_2505, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2507 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2509 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2510 = and(_T_2508, _T_2509) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2511 = or(_T_2507, _T_2510) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2512 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2513 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2514 = and(_T_2512, _T_2513) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2515 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2516 = and(_T_2514, _T_2515) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2517 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2518 = and(_T_2516, _T_2517) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2519 = or(_T_2511, _T_2518) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2520 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2521 = and(_T_2520, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2522 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2523 = and(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2524 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2525 = and(_T_2523, _T_2524) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2526 = or(_T_2519, _T_2525) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2527 = and(_T_2506, _T_2526) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2528 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2529 = or(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 458:97] - node _T_2530 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 455:83] - node _T_2531 = and(_T_2530, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 455:94] - node _T_2532 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 456:20] - node _T_2533 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 456:47] - node _T_2534 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 456:59] - node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 456:57] - node _T_2536 = or(_T_2532, _T_2535) @[el2_lsu_bus_buffer.scala 456:31] - node _T_2537 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 457:23] - node _T_2538 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 457:53] - node _T_2539 = and(_T_2537, _T_2538) @[el2_lsu_bus_buffer.scala 457:41] - node _T_2540 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 457:71] - node _T_2542 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 457:104] - node _T_2543 = and(_T_2541, _T_2542) @[el2_lsu_bus_buffer.scala 457:92] - node _T_2544 = or(_T_2536, _T_2543) @[el2_lsu_bus_buffer.scala 456:86] - node _T_2545 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 458:17] - node _T_2546 = and(_T_2545, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 458:35] - node _T_2547 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:64] - node _T_2548 = and(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 458:52] - node _T_2549 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 458:85] - node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 458:73] - node _T_2551 = or(_T_2544, _T_2550) @[el2_lsu_bus_buffer.scala 457:114] - node _T_2552 = and(_T_2531, _T_2551) @[el2_lsu_bus_buffer.scala 455:113] - node _T_2553 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 458:109] - node _T_2554 = or(_T_2552, _T_2553) @[el2_lsu_bus_buffer.scala 458:97] + node _T_2455 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2456 = and(_T_2455, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2457 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2458 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2459 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2460 = and(_T_2458, _T_2459) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2461 = or(_T_2457, _T_2460) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2462 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2463 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2464 = and(_T_2462, _T_2463) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2465 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2466 = and(_T_2464, _T_2465) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2467 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2468 = and(_T_2466, _T_2467) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2469 = or(_T_2461, _T_2468) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2470 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2471 = and(_T_2470, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2472 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2473 = and(_T_2471, _T_2472) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2474 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2475 = and(_T_2473, _T_2474) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2476 = or(_T_2469, _T_2475) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2477 = and(_T_2456, _T_2476) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2478 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2479 = or(_T_2477, _T_2478) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2480 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2481 = and(_T_2480, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2482 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2483 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2484 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2485 = and(_T_2483, _T_2484) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2486 = or(_T_2482, _T_2485) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2487 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2488 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2489 = and(_T_2487, _T_2488) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2490 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2491 = and(_T_2489, _T_2490) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2492 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2493 = and(_T_2491, _T_2492) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2494 = or(_T_2486, _T_2493) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2495 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2496 = and(_T_2495, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2497 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2498 = and(_T_2496, _T_2497) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2499 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2500 = and(_T_2498, _T_2499) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2501 = or(_T_2494, _T_2500) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2502 = and(_T_2481, _T_2501) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2503 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2504 = or(_T_2502, _T_2503) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2505 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2506 = and(_T_2505, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2507 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2508 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2509 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2510 = and(_T_2508, _T_2509) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2511 = or(_T_2507, _T_2510) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2512 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2513 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2514 = and(_T_2512, _T_2513) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2515 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2516 = and(_T_2514, _T_2515) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2517 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2518 = and(_T_2516, _T_2517) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2519 = or(_T_2511, _T_2518) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2520 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2521 = and(_T_2520, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2522 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2523 = and(_T_2521, _T_2522) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2524 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2525 = and(_T_2523, _T_2524) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2526 = or(_T_2519, _T_2525) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2527 = and(_T_2506, _T_2526) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2528 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2529 = or(_T_2527, _T_2528) @[el2_lsu_bus_buffer.scala 460:97] + node _T_2530 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] + node _T_2531 = and(_T_2530, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] + node _T_2532 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] + node _T_2533 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] + node _T_2534 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] + node _T_2535 = and(_T_2533, _T_2534) @[el2_lsu_bus_buffer.scala 458:57] + node _T_2536 = or(_T_2532, _T_2535) @[el2_lsu_bus_buffer.scala 458:31] + node _T_2537 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] + node _T_2538 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] + node _T_2539 = and(_T_2537, _T_2538) @[el2_lsu_bus_buffer.scala 459:41] + node _T_2540 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 459:71] + node _T_2542 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] + node _T_2543 = and(_T_2541, _T_2542) @[el2_lsu_bus_buffer.scala 459:92] + node _T_2544 = or(_T_2536, _T_2543) @[el2_lsu_bus_buffer.scala 458:86] + node _T_2545 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] + node _T_2546 = and(_T_2545, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] + node _T_2547 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] + node _T_2548 = and(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 460:52] + node _T_2549 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] + node _T_2550 = and(_T_2548, _T_2549) @[el2_lsu_bus_buffer.scala 460:73] + node _T_2551 = or(_T_2544, _T_2550) @[el2_lsu_bus_buffer.scala 459:114] + node _T_2552 = and(_T_2531, _T_2551) @[el2_lsu_bus_buffer.scala 457:113] + node _T_2553 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] + node _T_2554 = or(_T_2552, _T_2553) @[el2_lsu_bus_buffer.scala 460:97] node _T_2555 = cat(_T_2554, _T_2529) @[Cat.scala 29:58] node _T_2556 = cat(_T_2555, _T_2504) @[Cat.scala 29:58] node buf_age_in_3 = cat(_T_2556, _T_2479) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 459:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 460:12] - node _T_2557 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2558 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2559 = and(_T_2558, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2560 = eq(_T_2559, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2561 = and(_T_2557, _T_2560) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2562 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2563 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2564 = and(_T_2563, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2565 = eq(_T_2564, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2566 = and(_T_2562, _T_2565) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2567 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2568 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2569 = and(_T_2568, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2570 = eq(_T_2569, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2571 = and(_T_2567, _T_2570) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2572 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2573 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2574 = and(_T_2573, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2576 = and(_T_2572, _T_2575) @[el2_lsu_bus_buffer.scala 461:78] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 461:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] + node _T_2557 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2558 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2559 = and(_T_2558, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2560 = eq(_T_2559, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2561 = and(_T_2557, _T_2560) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2562 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2563 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2564 = and(_T_2563, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2565 = eq(_T_2564, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2566 = and(_T_2562, _T_2565) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2567 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2568 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2569 = and(_T_2568, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2570 = eq(_T_2569, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2571 = and(_T_2567, _T_2570) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2572 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2573 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2574 = and(_T_2573, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2575 = eq(_T_2574, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2576 = and(_T_2572, _T_2575) @[el2_lsu_bus_buffer.scala 463:78] node _T_2577 = cat(_T_2576, _T_2571) @[Cat.scala 29:58] node _T_2578 = cat(_T_2577, _T_2566) @[Cat.scala 29:58] node _T_2579 = cat(_T_2578, _T_2561) @[Cat.scala 29:58] - node _T_2580 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2581 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2582 = and(_T_2581, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2583 = eq(_T_2582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2584 = and(_T_2580, _T_2583) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2585 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2586 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2587 = and(_T_2586, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2588 = eq(_T_2587, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2589 = and(_T_2585, _T_2588) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2590 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2591 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2592 = and(_T_2591, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2594 = and(_T_2590, _T_2593) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2595 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2596 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2597 = and(_T_2596, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2598 = eq(_T_2597, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2599 = and(_T_2595, _T_2598) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2580 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2581 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2582 = and(_T_2581, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2583 = eq(_T_2582, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2584 = and(_T_2580, _T_2583) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2585 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2586 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2587 = and(_T_2586, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2588 = eq(_T_2587, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2589 = and(_T_2585, _T_2588) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2590 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2591 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2592 = and(_T_2591, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2593 = eq(_T_2592, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2594 = and(_T_2590, _T_2593) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2595 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2596 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2597 = and(_T_2596, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2598 = eq(_T_2597, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2599 = and(_T_2595, _T_2598) @[el2_lsu_bus_buffer.scala 463:78] node _T_2600 = cat(_T_2599, _T_2594) @[Cat.scala 29:58] node _T_2601 = cat(_T_2600, _T_2589) @[Cat.scala 29:58] node _T_2602 = cat(_T_2601, _T_2584) @[Cat.scala 29:58] - node _T_2603 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2604 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2605 = and(_T_2604, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2606 = eq(_T_2605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2607 = and(_T_2603, _T_2606) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2608 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2609 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2610 = and(_T_2609, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2612 = and(_T_2608, _T_2611) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2613 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2614 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2615 = and(_T_2614, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2616 = eq(_T_2615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2617 = and(_T_2613, _T_2616) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2618 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2619 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2620 = and(_T_2619, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2621 = eq(_T_2620, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2622 = and(_T_2618, _T_2621) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2603 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2604 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2605 = and(_T_2604, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2606 = eq(_T_2605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2607 = and(_T_2603, _T_2606) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2608 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2609 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2610 = and(_T_2609, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2611 = eq(_T_2610, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2612 = and(_T_2608, _T_2611) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2613 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2614 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2615 = and(_T_2614, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2616 = eq(_T_2615, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2617 = and(_T_2613, _T_2616) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2618 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2619 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2620 = and(_T_2619, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2621 = eq(_T_2620, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2622 = and(_T_2618, _T_2621) @[el2_lsu_bus_buffer.scala 463:78] node _T_2623 = cat(_T_2622, _T_2617) @[Cat.scala 29:58] node _T_2624 = cat(_T_2623, _T_2612) @[Cat.scala 29:58] node _T_2625 = cat(_T_2624, _T_2607) @[Cat.scala 29:58] - node _T_2626 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2627 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2628 = and(_T_2627, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2629 = eq(_T_2628, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2630 = and(_T_2626, _T_2629) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2631 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2632 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2633 = and(_T_2632, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2634 = eq(_T_2633, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2635 = and(_T_2631, _T_2634) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2636 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2637 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2638 = and(_T_2637, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2639 = eq(_T_2638, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2640 = and(_T_2636, _T_2639) @[el2_lsu_bus_buffer.scala 461:78] - node _T_2641 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 461:74] - node _T_2642 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 461:95] - node _T_2643 = and(_T_2642, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 461:105] - node _T_2644 = eq(_T_2643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:80] - node _T_2645 = and(_T_2641, _T_2644) @[el2_lsu_bus_buffer.scala 461:78] + node _T_2626 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2627 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2628 = and(_T_2627, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2629 = eq(_T_2628, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2630 = and(_T_2626, _T_2629) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2631 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2632 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2633 = and(_T_2632, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2634 = eq(_T_2633, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2635 = and(_T_2631, _T_2634) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2636 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2637 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2638 = and(_T_2637, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2639 = eq(_T_2638, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2640 = and(_T_2636, _T_2639) @[el2_lsu_bus_buffer.scala 463:78] + node _T_2641 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] + node _T_2642 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:95] + node _T_2643 = and(_T_2642, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:105] + node _T_2644 = eq(_T_2643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 463:80] + node _T_2645 = and(_T_2641, _T_2644) @[el2_lsu_bus_buffer.scala 463:78] node _T_2646 = cat(_T_2645, _T_2640) @[Cat.scala 29:58] node _T_2647 = cat(_T_2646, _T_2635) @[Cat.scala 29:58] node _T_2648 = cat(_T_2647, _T_2630) @[Cat.scala 29:58] - buf_age[0] <= _T_2579 @[el2_lsu_bus_buffer.scala 461:13] - buf_age[1] <= _T_2602 @[el2_lsu_bus_buffer.scala 461:13] - buf_age[2] <= _T_2625 @[el2_lsu_bus_buffer.scala 461:13] - buf_age[3] <= _T_2648 @[el2_lsu_bus_buffer.scala 461:13] - node _T_2649 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2650 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2652 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2655 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2656 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2658 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2661 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2662 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2664 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2667 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2668 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2670 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[el2_lsu_bus_buffer.scala 462:74] + buf_age[0] <= _T_2579 @[el2_lsu_bus_buffer.scala 463:13] + buf_age[1] <= _T_2602 @[el2_lsu_bus_buffer.scala 463:13] + buf_age[2] <= _T_2625 @[el2_lsu_bus_buffer.scala 463:13] + buf_age[3] <= _T_2648 @[el2_lsu_bus_buffer.scala 463:13] + node _T_2649 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2650 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2652 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2655 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2656 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2658 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2661 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2662 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2664 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2667 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2668 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2670 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2671 = and(_T_2669, _T_2670) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[el2_lsu_bus_buffer.scala 464:74] node _T_2673 = cat(_T_2672, _T_2666) @[Cat.scala 29:58] node _T_2674 = cat(_T_2673, _T_2660) @[Cat.scala 29:58] node _T_2675 = cat(_T_2674, _T_2654) @[Cat.scala 29:58] - node _T_2676 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2677 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2679 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2682 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2683 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2685 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2688 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2689 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2691 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2694 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2695 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2697 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2676 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2677 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2679 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2682 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2683 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2685 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2688 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2689 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2691 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2694 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2695 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2697 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[el2_lsu_bus_buffer.scala 464:74] node _T_2700 = cat(_T_2699, _T_2693) @[Cat.scala 29:58] node _T_2701 = cat(_T_2700, _T_2687) @[Cat.scala 29:58] node _T_2702 = cat(_T_2701, _T_2681) @[Cat.scala 29:58] - node _T_2703 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2704 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2706 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2709 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2710 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2712 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2715 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2716 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2718 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2721 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2722 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2724 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2725 = and(_T_2723, _T_2724) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2703 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2704 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2706 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2709 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2710 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2712 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2715 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2716 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2718 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2721 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2722 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2724 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2725 = and(_T_2723, _T_2724) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[el2_lsu_bus_buffer.scala 464:74] node _T_2727 = cat(_T_2726, _T_2720) @[Cat.scala 29:58] node _T_2728 = cat(_T_2727, _T_2714) @[Cat.scala 29:58] node _T_2729 = cat(_T_2728, _T_2708) @[Cat.scala 29:58] - node _T_2730 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2731 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2733 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2735 = mux(_T_2730, UInt<1>("h00"), _T_2734) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2736 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2737 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2738 = eq(_T_2737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2739 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2741 = mux(_T_2736, UInt<1>("h00"), _T_2740) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2742 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2743 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2745 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2747 = mux(_T_2742, UInt<1>("h00"), _T_2746) @[el2_lsu_bus_buffer.scala 462:74] - node _T_2748 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 462:78] - node _T_2749 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 462:102] - node _T_2750 = eq(_T_2749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 462:91] - node _T_2751 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 462:121] - node _T_2752 = and(_T_2750, _T_2751) @[el2_lsu_bus_buffer.scala 462:106] - node _T_2753 = mux(_T_2748, UInt<1>("h00"), _T_2752) @[el2_lsu_bus_buffer.scala 462:74] + node _T_2730 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2731 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2732 = eq(_T_2731, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2733 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2735 = mux(_T_2730, UInt<1>("h00"), _T_2734) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2736 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2737 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2738 = eq(_T_2737, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2739 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2741 = mux(_T_2736, UInt<1>("h00"), _T_2740) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2742 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2743 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2744 = eq(_T_2743, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2745 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2747 = mux(_T_2742, UInt<1>("h00"), _T_2746) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2748 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2749 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] + node _T_2750 = eq(_T_2749, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] + node _T_2751 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] + node _T_2752 = and(_T_2750, _T_2751) @[el2_lsu_bus_buffer.scala 464:106] + node _T_2753 = mux(_T_2748, UInt<1>("h00"), _T_2752) @[el2_lsu_bus_buffer.scala 464:74] node _T_2754 = cat(_T_2753, _T_2747) @[Cat.scala 29:58] node _T_2755 = cat(_T_2754, _T_2741) @[Cat.scala 29:58] node _T_2756 = cat(_T_2755, _T_2735) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2675 @[el2_lsu_bus_buffer.scala 462:21] - buf_age_younger[1] <= _T_2702 @[el2_lsu_bus_buffer.scala 462:21] - buf_age_younger[2] <= _T_2729 @[el2_lsu_bus_buffer.scala 462:21] - buf_age_younger[3] <= _T_2756 @[el2_lsu_bus_buffer.scala 462:21] - node _T_2757 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2758 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2760 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2761 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2763 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2764 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2766 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2767 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 463:89] + buf_age_younger[0] <= _T_2675 @[el2_lsu_bus_buffer.scala 464:21] + buf_age_younger[1] <= _T_2702 @[el2_lsu_bus_buffer.scala 464:21] + buf_age_younger[2] <= _T_2729 @[el2_lsu_bus_buffer.scala 464:21] + buf_age_younger[3] <= _T_2756 @[el2_lsu_bus_buffer.scala 464:21] + node _T_2757 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2758 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2760 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2761 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2763 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2764 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2766 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2767 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2768 = and(_T_2766, _T_2767) @[el2_lsu_bus_buffer.scala 465:89] node _T_2769 = cat(_T_2768, _T_2765) @[Cat.scala 29:58] node _T_2770 = cat(_T_2769, _T_2762) @[Cat.scala 29:58] node _T_2771 = cat(_T_2770, _T_2759) @[Cat.scala 29:58] - node _T_2772 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2773 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2775 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2776 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2778 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2779 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2781 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2782 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2772 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2773 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2775 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2776 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2778 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2779 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2781 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2782 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2783 = and(_T_2781, _T_2782) @[el2_lsu_bus_buffer.scala 465:89] node _T_2784 = cat(_T_2783, _T_2780) @[Cat.scala 29:58] node _T_2785 = cat(_T_2784, _T_2777) @[Cat.scala 29:58] node _T_2786 = cat(_T_2785, _T_2774) @[Cat.scala 29:58] - node _T_2787 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2788 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2790 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2791 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2793 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2794 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2796 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2797 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2787 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2788 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2790 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2791 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2793 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2794 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2796 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2797 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 465:89] node _T_2799 = cat(_T_2798, _T_2795) @[Cat.scala 29:58] node _T_2800 = cat(_T_2799, _T_2792) @[Cat.scala 29:58] node _T_2801 = cat(_T_2800, _T_2789) @[Cat.scala 29:58] - node _T_2802 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2803 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2805 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2806 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2808 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2809 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2810 = and(_T_2808, _T_2809) @[el2_lsu_bus_buffer.scala 463:89] - node _T_2811 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 463:85] - node _T_2812 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 463:89] + node _T_2802 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2803 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2805 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2806 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2807 = and(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2808 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2809 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2810 = and(_T_2808, _T_2809) @[el2_lsu_bus_buffer.scala 465:89] + node _T_2811 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] + node _T_2812 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 465:89] node _T_2814 = cat(_T_2813, _T_2810) @[Cat.scala 29:58] node _T_2815 = cat(_T_2814, _T_2807) @[Cat.scala 29:58] node _T_2816 = cat(_T_2815, _T_2804) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2771 @[el2_lsu_bus_buffer.scala 463:21] - buf_rsp_pickage[1] <= _T_2786 @[el2_lsu_bus_buffer.scala 463:21] - buf_rsp_pickage[2] <= _T_2801 @[el2_lsu_bus_buffer.scala 463:21] - buf_rsp_pickage[3] <= _T_2816 @[el2_lsu_bus_buffer.scala 463:21] - node _T_2817 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_2818 = and(_T_2817, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2819 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_2820 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_2821 = or(_T_2819, _T_2820) @[el2_lsu_bus_buffer.scala 466:34] - node _T_2822 = eq(_T_2821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_2823 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_2824 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 467:43] - node _T_2826 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2827 = and(_T_2825, _T_2826) @[el2_lsu_bus_buffer.scala 467:73] - node _T_2828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_2829 = and(_T_2827, _T_2828) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2830 = or(_T_2822, _T_2829) @[el2_lsu_bus_buffer.scala 466:61] - node _T_2831 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_2832 = and(_T_2831, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_2833 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_2834 = and(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 468:54] - node _T_2835 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2836 = and(_T_2834, _T_2835) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2837 = or(_T_2830, _T_2836) @[el2_lsu_bus_buffer.scala 467:112] - node _T_2838 = and(_T_2818, _T_2837) @[el2_lsu_bus_buffer.scala 465:114] - node _T_2839 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_2840 = and(_T_2839, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_2842 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_2843 = or(_T_2841, _T_2842) @[el2_lsu_bus_buffer.scala 466:34] - node _T_2844 = eq(_T_2843, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_2845 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_2846 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 467:43] - node _T_2848 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 467:73] - node _T_2850 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_2851 = and(_T_2849, _T_2850) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2852 = or(_T_2844, _T_2851) @[el2_lsu_bus_buffer.scala 466:61] - node _T_2853 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_2854 = and(_T_2853, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_2855 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_2856 = and(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 468:54] - node _T_2857 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2859 = or(_T_2852, _T_2858) @[el2_lsu_bus_buffer.scala 467:112] - node _T_2860 = and(_T_2840, _T_2859) @[el2_lsu_bus_buffer.scala 465:114] - node _T_2861 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_2862 = and(_T_2861, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2863 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_2864 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_2865 = or(_T_2863, _T_2864) @[el2_lsu_bus_buffer.scala 466:34] - node _T_2866 = eq(_T_2865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_2867 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_2868 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 467:43] - node _T_2870 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 467:73] - node _T_2872 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_2873 = and(_T_2871, _T_2872) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2874 = or(_T_2866, _T_2873) @[el2_lsu_bus_buffer.scala 466:61] - node _T_2875 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_2876 = and(_T_2875, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_2877 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_2878 = and(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 468:54] - node _T_2879 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2880 = and(_T_2878, _T_2879) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2881 = or(_T_2874, _T_2880) @[el2_lsu_bus_buffer.scala 467:112] - node _T_2882 = and(_T_2862, _T_2881) @[el2_lsu_bus_buffer.scala 465:114] - node _T_2883 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_2884 = and(_T_2883, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2885 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_2886 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_2887 = or(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 466:34] - node _T_2888 = eq(_T_2887, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_2889 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_2890 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 467:43] - node _T_2892 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 467:73] - node _T_2894 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2896 = or(_T_2888, _T_2895) @[el2_lsu_bus_buffer.scala 466:61] - node _T_2897 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_2898 = and(_T_2897, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_2899 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_2900 = and(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 468:54] - node _T_2901 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2903 = or(_T_2896, _T_2902) @[el2_lsu_bus_buffer.scala 467:112] - node _T_2904 = and(_T_2884, _T_2903) @[el2_lsu_bus_buffer.scala 465:114] + buf_rsp_pickage[0] <= _T_2771 @[el2_lsu_bus_buffer.scala 465:21] + buf_rsp_pickage[1] <= _T_2786 @[el2_lsu_bus_buffer.scala 465:21] + buf_rsp_pickage[2] <= _T_2801 @[el2_lsu_bus_buffer.scala 465:21] + buf_rsp_pickage[3] <= _T_2816 @[el2_lsu_bus_buffer.scala 465:21] + node _T_2817 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2818 = and(_T_2817, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2819 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2820 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2821 = or(_T_2819, _T_2820) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2822 = eq(_T_2821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2823 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2824 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2826 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2827 = and(_T_2825, _T_2826) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2828 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2829 = and(_T_2827, _T_2828) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2830 = or(_T_2822, _T_2829) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2831 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2832 = and(_T_2831, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2833 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2834 = and(_T_2832, _T_2833) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2835 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2836 = and(_T_2834, _T_2835) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2837 = or(_T_2830, _T_2836) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2838 = and(_T_2818, _T_2837) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2839 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2840 = and(_T_2839, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2841 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2842 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2843 = or(_T_2841, _T_2842) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2844 = eq(_T_2843, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2845 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2846 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2848 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2849 = and(_T_2847, _T_2848) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2850 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2851 = and(_T_2849, _T_2850) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2852 = or(_T_2844, _T_2851) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2853 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2854 = and(_T_2853, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2855 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2856 = and(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2857 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2859 = or(_T_2852, _T_2858) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2860 = and(_T_2840, _T_2859) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2861 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2862 = and(_T_2861, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2863 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2864 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2865 = or(_T_2863, _T_2864) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2866 = eq(_T_2865, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2867 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2868 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2870 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2872 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2873 = and(_T_2871, _T_2872) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2874 = or(_T_2866, _T_2873) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2875 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2876 = and(_T_2875, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2877 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2878 = and(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2879 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2880 = and(_T_2878, _T_2879) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2881 = or(_T_2874, _T_2880) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2882 = and(_T_2862, _T_2881) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2883 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2884 = and(_T_2883, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2885 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2886 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2887 = or(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2888 = eq(_T_2887, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2889 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2890 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2892 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2894 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2896 = or(_T_2888, _T_2895) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2897 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2898 = and(_T_2897, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2899 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2900 = and(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2901 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2903 = or(_T_2896, _T_2902) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2904 = and(_T_2884, _T_2903) @[el2_lsu_bus_buffer.scala 467:114] node _T_2905 = cat(_T_2904, _T_2882) @[Cat.scala 29:58] node _T_2906 = cat(_T_2905, _T_2860) @[Cat.scala 29:58] node _T_2907 = cat(_T_2906, _T_2838) @[Cat.scala 29:58] - node _T_2908 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_2909 = and(_T_2908, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2910 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_2911 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_2912 = or(_T_2910, _T_2911) @[el2_lsu_bus_buffer.scala 466:34] - node _T_2913 = eq(_T_2912, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_2914 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_2915 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 467:43] - node _T_2917 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2918 = and(_T_2916, _T_2917) @[el2_lsu_bus_buffer.scala 467:73] - node _T_2919 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_2920 = and(_T_2918, _T_2919) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2921 = or(_T_2913, _T_2920) @[el2_lsu_bus_buffer.scala 466:61] - node _T_2922 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_2923 = and(_T_2922, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_2924 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_2925 = and(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 468:54] - node _T_2926 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2927 = and(_T_2925, _T_2926) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2928 = or(_T_2921, _T_2927) @[el2_lsu_bus_buffer.scala 467:112] - node _T_2929 = and(_T_2909, _T_2928) @[el2_lsu_bus_buffer.scala 465:114] - node _T_2930 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_2931 = and(_T_2930, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2932 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_2933 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_2934 = or(_T_2932, _T_2933) @[el2_lsu_bus_buffer.scala 466:34] - node _T_2935 = eq(_T_2934, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_2936 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_2937 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 467:43] - node _T_2939 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 467:73] - node _T_2941 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_2942 = and(_T_2940, _T_2941) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2943 = or(_T_2935, _T_2942) @[el2_lsu_bus_buffer.scala 466:61] - node _T_2944 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_2945 = and(_T_2944, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_2946 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_2947 = and(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 468:54] - node _T_2948 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2950 = or(_T_2943, _T_2949) @[el2_lsu_bus_buffer.scala 467:112] - node _T_2951 = and(_T_2931, _T_2950) @[el2_lsu_bus_buffer.scala 465:114] - node _T_2952 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_2953 = and(_T_2952, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2954 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_2955 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_2956 = or(_T_2954, _T_2955) @[el2_lsu_bus_buffer.scala 466:34] - node _T_2957 = eq(_T_2956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_2958 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_2959 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 467:43] - node _T_2961 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 467:73] - node _T_2963 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_2964 = and(_T_2962, _T_2963) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2965 = or(_T_2957, _T_2964) @[el2_lsu_bus_buffer.scala 466:61] - node _T_2966 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_2967 = and(_T_2966, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_2968 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_2969 = and(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 468:54] - node _T_2970 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2971 = and(_T_2969, _T_2970) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2972 = or(_T_2965, _T_2971) @[el2_lsu_bus_buffer.scala 467:112] - node _T_2973 = and(_T_2953, _T_2972) @[el2_lsu_bus_buffer.scala 465:114] - node _T_2974 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_2975 = and(_T_2974, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_2976 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_2977 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_2978 = or(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 466:34] - node _T_2979 = eq(_T_2978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_2980 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_2981 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 467:43] - node _T_2983 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 467:73] - node _T_2985 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 467:92] - node _T_2987 = or(_T_2979, _T_2986) @[el2_lsu_bus_buffer.scala 466:61] - node _T_2988 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_2989 = and(_T_2988, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_2990 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_2991 = and(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 468:54] - node _T_2992 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 468:73] - node _T_2994 = or(_T_2987, _T_2993) @[el2_lsu_bus_buffer.scala 467:112] - node _T_2995 = and(_T_2975, _T_2994) @[el2_lsu_bus_buffer.scala 465:114] + node _T_2908 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2909 = and(_T_2908, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2910 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2911 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2912 = or(_T_2910, _T_2911) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2913 = eq(_T_2912, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2914 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2915 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2917 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2918 = and(_T_2916, _T_2917) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2919 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2920 = and(_T_2918, _T_2919) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2921 = or(_T_2913, _T_2920) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2922 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2923 = and(_T_2922, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2924 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2925 = and(_T_2923, _T_2924) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2926 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2927 = and(_T_2925, _T_2926) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2928 = or(_T_2921, _T_2927) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2929 = and(_T_2909, _T_2928) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2930 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2931 = and(_T_2930, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2932 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2933 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2934 = or(_T_2932, _T_2933) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2935 = eq(_T_2934, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2936 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2937 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2939 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2940 = and(_T_2938, _T_2939) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2941 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2942 = and(_T_2940, _T_2941) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2943 = or(_T_2935, _T_2942) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2944 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2945 = and(_T_2944, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2946 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2947 = and(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2948 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2950 = or(_T_2943, _T_2949) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2951 = and(_T_2931, _T_2950) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2952 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2953 = and(_T_2952, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2954 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2955 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2956 = or(_T_2954, _T_2955) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2957 = eq(_T_2956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2958 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2959 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2961 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2963 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2964 = and(_T_2962, _T_2963) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2965 = or(_T_2957, _T_2964) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2966 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2967 = and(_T_2966, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2968 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2969 = and(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2970 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2971 = and(_T_2969, _T_2970) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2972 = or(_T_2965, _T_2971) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2973 = and(_T_2953, _T_2972) @[el2_lsu_bus_buffer.scala 467:114] + node _T_2974 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_2975 = and(_T_2974, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_2976 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_2977 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_2978 = or(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 468:34] + node _T_2979 = eq(_T_2978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_2980 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_2981 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 469:43] + node _T_2983 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 469:73] + node _T_2985 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 469:92] + node _T_2987 = or(_T_2979, _T_2986) @[el2_lsu_bus_buffer.scala 468:61] + node _T_2988 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_2989 = and(_T_2988, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_2990 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_2991 = and(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 470:54] + node _T_2992 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2994 = or(_T_2987, _T_2993) @[el2_lsu_bus_buffer.scala 469:112] + node _T_2995 = and(_T_2975, _T_2994) @[el2_lsu_bus_buffer.scala 467:114] node _T_2996 = cat(_T_2995, _T_2973) @[Cat.scala 29:58] node _T_2997 = cat(_T_2996, _T_2951) @[Cat.scala 29:58] node _T_2998 = cat(_T_2997, _T_2929) @[Cat.scala 29:58] - node _T_2999 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_3000 = and(_T_2999, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_3001 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_3002 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_3003 = or(_T_3001, _T_3002) @[el2_lsu_bus_buffer.scala 466:34] - node _T_3004 = eq(_T_3003, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_3005 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_3006 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 467:43] - node _T_3008 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3009 = and(_T_3007, _T_3008) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3010 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_3011 = and(_T_3009, _T_3010) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3012 = or(_T_3004, _T_3011) @[el2_lsu_bus_buffer.scala 466:61] - node _T_3013 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_3014 = and(_T_3013, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_3015 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_3016 = and(_T_3014, _T_3015) @[el2_lsu_bus_buffer.scala 468:54] - node _T_3017 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3018 = and(_T_3016, _T_3017) @[el2_lsu_bus_buffer.scala 468:73] - node _T_3019 = or(_T_3012, _T_3018) @[el2_lsu_bus_buffer.scala 467:112] - node _T_3020 = and(_T_3000, _T_3019) @[el2_lsu_bus_buffer.scala 465:114] - node _T_3021 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_3022 = and(_T_3021, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_3023 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_3024 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_3025 = or(_T_3023, _T_3024) @[el2_lsu_bus_buffer.scala 466:34] - node _T_3026 = eq(_T_3025, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_3027 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_3028 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 467:43] - node _T_3030 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3032 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_3033 = and(_T_3031, _T_3032) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3034 = or(_T_3026, _T_3033) @[el2_lsu_bus_buffer.scala 466:61] - node _T_3035 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_3036 = and(_T_3035, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_3037 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_3038 = and(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 468:54] - node _T_3039 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3040 = and(_T_3038, _T_3039) @[el2_lsu_bus_buffer.scala 468:73] - node _T_3041 = or(_T_3034, _T_3040) @[el2_lsu_bus_buffer.scala 467:112] - node _T_3042 = and(_T_3022, _T_3041) @[el2_lsu_bus_buffer.scala 465:114] - node _T_3043 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_3044 = and(_T_3043, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_3045 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_3046 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_3047 = or(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 466:34] - node _T_3048 = eq(_T_3047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_3049 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_3050 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 467:43] - node _T_3052 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3054 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_3055 = and(_T_3053, _T_3054) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3056 = or(_T_3048, _T_3055) @[el2_lsu_bus_buffer.scala 466:61] - node _T_3057 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_3058 = and(_T_3057, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_3059 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_3060 = and(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 468:54] - node _T_3061 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3062 = and(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 468:73] - node _T_3063 = or(_T_3056, _T_3062) @[el2_lsu_bus_buffer.scala 467:112] - node _T_3064 = and(_T_3044, _T_3063) @[el2_lsu_bus_buffer.scala 465:114] - node _T_3065 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_3066 = and(_T_3065, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_3067 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_3068 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_3069 = or(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 466:34] - node _T_3070 = eq(_T_3069, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_3071 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_3072 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_3073 = and(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 467:43] - node _T_3074 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3076 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_3077 = and(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3078 = or(_T_3070, _T_3077) @[el2_lsu_bus_buffer.scala 466:61] - node _T_3079 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_3080 = and(_T_3079, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_3081 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_3082 = and(_T_3080, _T_3081) @[el2_lsu_bus_buffer.scala 468:54] - node _T_3083 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3084 = and(_T_3082, _T_3083) @[el2_lsu_bus_buffer.scala 468:73] - node _T_3085 = or(_T_3078, _T_3084) @[el2_lsu_bus_buffer.scala 467:112] - node _T_3086 = and(_T_3066, _T_3085) @[el2_lsu_bus_buffer.scala 465:114] + node _T_2999 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3000 = and(_T_2999, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3001 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3002 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3003 = or(_T_3001, _T_3002) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3004 = eq(_T_3003, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3005 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3006 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3008 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3009 = and(_T_3007, _T_3008) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3010 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3011 = and(_T_3009, _T_3010) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3012 = or(_T_3004, _T_3011) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3013 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3014 = and(_T_3013, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3015 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3016 = and(_T_3014, _T_3015) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3017 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3018 = and(_T_3016, _T_3017) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3019 = or(_T_3012, _T_3018) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3020 = and(_T_3000, _T_3019) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3021 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3022 = and(_T_3021, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3023 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3024 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3025 = or(_T_3023, _T_3024) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3026 = eq(_T_3025, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3027 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3028 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3030 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3031 = and(_T_3029, _T_3030) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3032 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3033 = and(_T_3031, _T_3032) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3034 = or(_T_3026, _T_3033) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3035 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3036 = and(_T_3035, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3037 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3038 = and(_T_3036, _T_3037) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3039 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3040 = and(_T_3038, _T_3039) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3041 = or(_T_3034, _T_3040) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3042 = and(_T_3022, _T_3041) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3043 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3044 = and(_T_3043, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3045 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3046 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3047 = or(_T_3045, _T_3046) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3048 = eq(_T_3047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3049 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3050 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3052 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3053 = and(_T_3051, _T_3052) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3054 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3055 = and(_T_3053, _T_3054) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3056 = or(_T_3048, _T_3055) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3057 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3058 = and(_T_3057, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3059 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3060 = and(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3061 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3062 = and(_T_3060, _T_3061) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3063 = or(_T_3056, _T_3062) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3064 = and(_T_3044, _T_3063) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3065 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3066 = and(_T_3065, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3067 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3068 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3069 = or(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3070 = eq(_T_3069, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3071 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3072 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3073 = and(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3074 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3076 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3077 = and(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3078 = or(_T_3070, _T_3077) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3079 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3080 = and(_T_3079, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3081 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3082 = and(_T_3080, _T_3081) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3083 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3084 = and(_T_3082, _T_3083) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3085 = or(_T_3078, _T_3084) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3086 = and(_T_3066, _T_3085) @[el2_lsu_bus_buffer.scala 467:114] node _T_3087 = cat(_T_3086, _T_3064) @[Cat.scala 29:58] node _T_3088 = cat(_T_3087, _T_3042) @[Cat.scala 29:58] node _T_3089 = cat(_T_3088, _T_3020) @[Cat.scala 29:58] - node _T_3090 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_3091 = and(_T_3090, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_3092 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_3093 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_3094 = or(_T_3092, _T_3093) @[el2_lsu_bus_buffer.scala 466:34] - node _T_3095 = eq(_T_3094, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_3096 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_3097 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_3098 = and(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 467:43] - node _T_3099 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3100 = and(_T_3098, _T_3099) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3101 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_3102 = and(_T_3100, _T_3101) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3103 = or(_T_3095, _T_3102) @[el2_lsu_bus_buffer.scala 466:61] - node _T_3104 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_3105 = and(_T_3104, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_3106 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_3107 = and(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 468:54] - node _T_3108 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3109 = and(_T_3107, _T_3108) @[el2_lsu_bus_buffer.scala 468:73] - node _T_3110 = or(_T_3103, _T_3109) @[el2_lsu_bus_buffer.scala 467:112] - node _T_3111 = and(_T_3091, _T_3110) @[el2_lsu_bus_buffer.scala 465:114] - node _T_3112 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_3113 = and(_T_3112, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_3114 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_3115 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 466:34] - node _T_3117 = eq(_T_3116, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_3118 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_3119 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_3120 = and(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 467:43] - node _T_3121 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3122 = and(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3123 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_3124 = and(_T_3122, _T_3123) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3125 = or(_T_3117, _T_3124) @[el2_lsu_bus_buffer.scala 466:61] - node _T_3126 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_3127 = and(_T_3126, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_3128 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_3129 = and(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 468:54] - node _T_3130 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3131 = and(_T_3129, _T_3130) @[el2_lsu_bus_buffer.scala 468:73] - node _T_3132 = or(_T_3125, _T_3131) @[el2_lsu_bus_buffer.scala 467:112] - node _T_3133 = and(_T_3113, _T_3132) @[el2_lsu_bus_buffer.scala 465:114] - node _T_3134 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_3135 = and(_T_3134, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_3136 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_3137 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 466:34] - node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_3140 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_3141 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_3142 = and(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 467:43] - node _T_3143 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3144 = and(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3145 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_3146 = and(_T_3144, _T_3145) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3147 = or(_T_3139, _T_3146) @[el2_lsu_bus_buffer.scala 466:61] - node _T_3148 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_3149 = and(_T_3148, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_3150 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_3151 = and(_T_3149, _T_3150) @[el2_lsu_bus_buffer.scala 468:54] - node _T_3152 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3153 = and(_T_3151, _T_3152) @[el2_lsu_bus_buffer.scala 468:73] - node _T_3154 = or(_T_3147, _T_3153) @[el2_lsu_bus_buffer.scala 467:112] - node _T_3155 = and(_T_3135, _T_3154) @[el2_lsu_bus_buffer.scala 465:114] - node _T_3156 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:84] - node _T_3157 = and(_T_3156, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 465:95] - node _T_3158 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 466:23] - node _T_3159 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 466:49] - node _T_3160 = or(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 466:34] - node _T_3161 = eq(_T_3160, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 466:8] - node _T_3162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 467:25] - node _T_3163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 467:55] - node _T_3164 = and(_T_3162, _T_3163) @[el2_lsu_bus_buffer.scala 467:43] - node _T_3165 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3166 = and(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 467:73] - node _T_3167 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 467:103] - node _T_3168 = and(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 467:92] - node _T_3169 = or(_T_3161, _T_3168) @[el2_lsu_bus_buffer.scala 466:61] - node _T_3170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 468:19] - node _T_3171 = and(_T_3170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 468:37] - node _T_3172 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:65] - node _T_3173 = and(_T_3171, _T_3172) @[el2_lsu_bus_buffer.scala 468:54] - node _T_3174 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 468:84] - node _T_3175 = and(_T_3173, _T_3174) @[el2_lsu_bus_buffer.scala 468:73] - node _T_3176 = or(_T_3169, _T_3175) @[el2_lsu_bus_buffer.scala 467:112] - node _T_3177 = and(_T_3157, _T_3176) @[el2_lsu_bus_buffer.scala 465:114] + node _T_3090 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3091 = and(_T_3090, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3092 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3093 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3094 = or(_T_3092, _T_3093) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3095 = eq(_T_3094, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3096 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3097 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3098 = and(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3099 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3100 = and(_T_3098, _T_3099) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3101 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3102 = and(_T_3100, _T_3101) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3103 = or(_T_3095, _T_3102) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3104 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3105 = and(_T_3104, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3106 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3107 = and(_T_3105, _T_3106) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3108 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3109 = and(_T_3107, _T_3108) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3110 = or(_T_3103, _T_3109) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3111 = and(_T_3091, _T_3110) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3112 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3113 = and(_T_3112, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3114 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3115 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3116 = or(_T_3114, _T_3115) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3117 = eq(_T_3116, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3118 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3119 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3120 = and(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3121 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3122 = and(_T_3120, _T_3121) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3123 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3124 = and(_T_3122, _T_3123) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3125 = or(_T_3117, _T_3124) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3126 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3127 = and(_T_3126, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3128 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3129 = and(_T_3127, _T_3128) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3130 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3131 = and(_T_3129, _T_3130) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3132 = or(_T_3125, _T_3131) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3133 = and(_T_3113, _T_3132) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3134 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3135 = and(_T_3134, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3136 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3137 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3138 = or(_T_3136, _T_3137) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3139 = eq(_T_3138, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3140 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3141 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3142 = and(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3143 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3144 = and(_T_3142, _T_3143) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3145 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3146 = and(_T_3144, _T_3145) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3147 = or(_T_3139, _T_3146) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3148 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3149 = and(_T_3148, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3150 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3151 = and(_T_3149, _T_3150) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3152 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3153 = and(_T_3151, _T_3152) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3154 = or(_T_3147, _T_3153) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3155 = and(_T_3135, _T_3154) @[el2_lsu_bus_buffer.scala 467:114] + node _T_3156 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] + node _T_3157 = and(_T_3156, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] + node _T_3158 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] + node _T_3159 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] + node _T_3160 = or(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 468:34] + node _T_3161 = eq(_T_3160, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] + node _T_3162 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] + node _T_3163 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] + node _T_3164 = and(_T_3162, _T_3163) @[el2_lsu_bus_buffer.scala 469:43] + node _T_3165 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] + node _T_3166 = and(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 469:73] + node _T_3167 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] + node _T_3168 = and(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 469:92] + node _T_3169 = or(_T_3161, _T_3168) @[el2_lsu_bus_buffer.scala 468:61] + node _T_3170 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] + node _T_3171 = and(_T_3170, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] + node _T_3172 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] + node _T_3173 = and(_T_3171, _T_3172) @[el2_lsu_bus_buffer.scala 470:54] + node _T_3174 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3175 = and(_T_3173, _T_3174) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3176 = or(_T_3169, _T_3175) @[el2_lsu_bus_buffer.scala 469:112] + node _T_3177 = and(_T_3157, _T_3176) @[el2_lsu_bus_buffer.scala 467:114] node _T_3178 = cat(_T_3177, _T_3155) @[Cat.scala 29:58] node _T_3179 = cat(_T_3178, _T_3133) @[Cat.scala 29:58] node _T_3180 = cat(_T_3179, _T_3111) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2907 @[el2_lsu_bus_buffer.scala 465:20] - buf_rspage_set[1] <= _T_2998 @[el2_lsu_bus_buffer.scala 465:20] - buf_rspage_set[2] <= _T_3089 @[el2_lsu_bus_buffer.scala 465:20] - buf_rspage_set[3] <= _T_3180 @[el2_lsu_bus_buffer.scala 465:20] - node _T_3181 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3182 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3184 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3185 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3187 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3188 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3190 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3191 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 469:90] + buf_rspage_set[0] <= _T_2907 @[el2_lsu_bus_buffer.scala 467:20] + buf_rspage_set[1] <= _T_2998 @[el2_lsu_bus_buffer.scala 467:20] + buf_rspage_set[2] <= _T_3089 @[el2_lsu_bus_buffer.scala 467:20] + buf_rspage_set[3] <= _T_3180 @[el2_lsu_bus_buffer.scala 467:20] + node _T_3181 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3182 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3184 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3185 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3187 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3188 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3190 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3191 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3192 = or(_T_3190, _T_3191) @[el2_lsu_bus_buffer.scala 471:90] node _T_3193 = cat(_T_3192, _T_3189) @[Cat.scala 29:58] node _T_3194 = cat(_T_3193, _T_3186) @[Cat.scala 29:58] node _T_3195 = cat(_T_3194, _T_3183) @[Cat.scala 29:58] - node _T_3196 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3197 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3199 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3200 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3202 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3203 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3205 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3206 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3196 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3197 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3199 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3200 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3202 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3203 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3205 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3206 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3207 = or(_T_3205, _T_3206) @[el2_lsu_bus_buffer.scala 471:90] node _T_3208 = cat(_T_3207, _T_3204) @[Cat.scala 29:58] node _T_3209 = cat(_T_3208, _T_3201) @[Cat.scala 29:58] node _T_3210 = cat(_T_3209, _T_3198) @[Cat.scala 29:58] - node _T_3211 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3212 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3214 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3215 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3217 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3218 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3220 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3221 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3211 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3212 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3213 = or(_T_3211, _T_3212) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3214 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3215 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3216 = or(_T_3214, _T_3215) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3217 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3218 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3220 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3221 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3222 = or(_T_3220, _T_3221) @[el2_lsu_bus_buffer.scala 471:90] node _T_3223 = cat(_T_3222, _T_3219) @[Cat.scala 29:58] node _T_3224 = cat(_T_3223, _T_3216) @[Cat.scala 29:58] node _T_3225 = cat(_T_3224, _T_3213) @[Cat.scala 29:58] - node _T_3226 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3227 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3229 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3230 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3232 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3233 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3234 = or(_T_3232, _T_3233) @[el2_lsu_bus_buffer.scala 469:90] - node _T_3235 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 469:86] - node _T_3236 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 469:105] - node _T_3237 = or(_T_3235, _T_3236) @[el2_lsu_bus_buffer.scala 469:90] + node _T_3226 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3227 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3228 = or(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3229 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3230 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3232 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3233 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3234 = or(_T_3232, _T_3233) @[el2_lsu_bus_buffer.scala 471:90] + node _T_3235 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] + node _T_3236 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] + node _T_3237 = or(_T_3235, _T_3236) @[el2_lsu_bus_buffer.scala 471:90] node _T_3238 = cat(_T_3237, _T_3234) @[Cat.scala 29:58] node _T_3239 = cat(_T_3238, _T_3231) @[Cat.scala 29:58] node _T_3240 = cat(_T_3239, _T_3228) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3195 @[el2_lsu_bus_buffer.scala 469:19] - buf_rspage_in[1] <= _T_3210 @[el2_lsu_bus_buffer.scala 469:19] - buf_rspage_in[2] <= _T_3225 @[el2_lsu_bus_buffer.scala 469:19] - buf_rspage_in[3] <= _T_3240 @[el2_lsu_bus_buffer.scala 469:19] - node _T_3241 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3242 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3243 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3247 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3248 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3249 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3253 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3254 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3255 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3259 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3260 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3261 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3262 = or(_T_3260, _T_3261) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3264 = and(_T_3259, _T_3263) @[el2_lsu_bus_buffer.scala 470:84] + buf_rspage_in[0] <= _T_3195 @[el2_lsu_bus_buffer.scala 471:19] + buf_rspage_in[1] <= _T_3210 @[el2_lsu_bus_buffer.scala 471:19] + buf_rspage_in[2] <= _T_3225 @[el2_lsu_bus_buffer.scala 471:19] + buf_rspage_in[3] <= _T_3240 @[el2_lsu_bus_buffer.scala 471:19] + node _T_3241 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3242 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3243 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3247 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3248 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3249 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3253 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3254 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3255 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3259 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3260 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3261 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3262 = or(_T_3260, _T_3261) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3264 = and(_T_3259, _T_3263) @[el2_lsu_bus_buffer.scala 472:84] node _T_3265 = cat(_T_3264, _T_3258) @[Cat.scala 29:58] node _T_3266 = cat(_T_3265, _T_3252) @[Cat.scala 29:58] node _T_3267 = cat(_T_3266, _T_3246) @[Cat.scala 29:58] - node _T_3268 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3269 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3270 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3274 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3275 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3276 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3280 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3281 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3286 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3287 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3288 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3289 = or(_T_3287, _T_3288) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3291 = and(_T_3286, _T_3290) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3268 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3269 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3270 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3274 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3275 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3276 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3280 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3281 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3282 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3286 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3287 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3288 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3289 = or(_T_3287, _T_3288) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3291 = and(_T_3286, _T_3290) @[el2_lsu_bus_buffer.scala 472:84] node _T_3292 = cat(_T_3291, _T_3285) @[Cat.scala 29:58] node _T_3293 = cat(_T_3292, _T_3279) @[Cat.scala 29:58] node _T_3294 = cat(_T_3293, _T_3273) @[Cat.scala 29:58] - node _T_3295 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3296 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3297 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3301 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3302 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3303 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3307 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3308 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3309 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3313 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3314 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3315 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3316 = or(_T_3314, _T_3315) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3318 = and(_T_3313, _T_3317) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3295 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3296 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3297 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3301 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3302 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3303 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3307 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3308 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3309 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3313 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3314 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3315 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3316 = or(_T_3314, _T_3315) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3318 = and(_T_3313, _T_3317) @[el2_lsu_bus_buffer.scala 472:84] node _T_3319 = cat(_T_3318, _T_3312) @[Cat.scala 29:58] node _T_3320 = cat(_T_3319, _T_3306) @[Cat.scala 29:58] node _T_3321 = cat(_T_3320, _T_3300) @[Cat.scala 29:58] - node _T_3322 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3323 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3324 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3325 = or(_T_3323, _T_3324) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3326 = eq(_T_3325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3327 = and(_T_3322, _T_3326) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3328 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3329 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3330 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3331 = or(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3332 = eq(_T_3331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3333 = and(_T_3328, _T_3332) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3334 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3335 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3336 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3337 = or(_T_3335, _T_3336) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3338 = eq(_T_3337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3339 = and(_T_3334, _T_3338) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3340 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 470:80] - node _T_3341 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 470:101] - node _T_3342 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 470:127] - node _T_3343 = or(_T_3341, _T_3342) @[el2_lsu_bus_buffer.scala 470:112] - node _T_3344 = eq(_T_3343, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:86] - node _T_3345 = and(_T_3340, _T_3344) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3322 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3323 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3324 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3325 = or(_T_3323, _T_3324) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3326 = eq(_T_3325, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3327 = and(_T_3322, _T_3326) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3328 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3329 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3330 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3331 = or(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3332 = eq(_T_3331, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3333 = and(_T_3328, _T_3332) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3334 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3335 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3336 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3337 = or(_T_3335, _T_3336) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3338 = eq(_T_3337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3339 = and(_T_3334, _T_3338) @[el2_lsu_bus_buffer.scala 472:84] + node _T_3340 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] + node _T_3341 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] + node _T_3342 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] + node _T_3343 = or(_T_3341, _T_3342) @[el2_lsu_bus_buffer.scala 472:112] + node _T_3344 = eq(_T_3343, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3345 = and(_T_3340, _T_3344) @[el2_lsu_bus_buffer.scala 472:84] node _T_3346 = cat(_T_3345, _T_3339) @[Cat.scala 29:58] node _T_3347 = cat(_T_3346, _T_3333) @[Cat.scala 29:58] node _T_3348 = cat(_T_3347, _T_3327) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3267 @[el2_lsu_bus_buffer.scala 470:16] - buf_rspage[1] <= _T_3294 @[el2_lsu_bus_buffer.scala 470:16] - buf_rspage[2] <= _T_3321 @[el2_lsu_bus_buffer.scala 470:16] - buf_rspage[3] <= _T_3348 @[el2_lsu_bus_buffer.scala 470:16] - node _T_3349 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3350 = and(ibuf_drain_vld, _T_3349) @[el2_lsu_bus_buffer.scala 475:65] - node _T_3351 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3352 = and(ibuf_drain_vld, _T_3351) @[el2_lsu_bus_buffer.scala 475:65] - node _T_3353 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3354 = and(ibuf_drain_vld, _T_3353) @[el2_lsu_bus_buffer.scala 475:65] - node _T_3355 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 475:77] - node _T_3356 = and(ibuf_drain_vld, _T_3355) @[el2_lsu_bus_buffer.scala 475:65] + buf_rspage[0] <= _T_3267 @[el2_lsu_bus_buffer.scala 472:16] + buf_rspage[1] <= _T_3294 @[el2_lsu_bus_buffer.scala 472:16] + buf_rspage[2] <= _T_3321 @[el2_lsu_bus_buffer.scala 472:16] + buf_rspage[3] <= _T_3348 @[el2_lsu_bus_buffer.scala 472:16] + node _T_3349 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3350 = and(ibuf_drain_vld, _T_3349) @[el2_lsu_bus_buffer.scala 477:65] + node _T_3351 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3352 = and(ibuf_drain_vld, _T_3351) @[el2_lsu_bus_buffer.scala 477:65] + node _T_3353 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3354 = and(ibuf_drain_vld, _T_3353) @[el2_lsu_bus_buffer.scala 477:65] + node _T_3355 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:77] + node _T_3356 = and(ibuf_drain_vld, _T_3355) @[el2_lsu_bus_buffer.scala 477:65] node _T_3357 = cat(_T_3356, _T_3354) @[Cat.scala 29:58] node _T_3358 = cat(_T_3357, _T_3352) @[Cat.scala 29:58] node _T_3359 = cat(_T_3358, _T_3350) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3359 @[el2_lsu_bus_buffer.scala 475:23] - node _T_3360 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 476:66] - node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 476:86] - node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:20] - node _T_3363 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:48] - node _T_3364 = and(_T_3362, _T_3363) @[el2_lsu_bus_buffer.scala 477:37] - node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:96] - node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 477:10] - node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[el2_lsu_bus_buffer.scala 476:48] - node _T_3369 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 476:66] - node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 476:86] - node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:20] - node _T_3372 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:48] - node _T_3373 = and(_T_3371, _T_3372) @[el2_lsu_bus_buffer.scala 477:37] - node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:96] - node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 477:10] - node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[el2_lsu_bus_buffer.scala 476:48] - node _T_3378 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 476:66] - node _T_3379 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 476:86] - node _T_3380 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:20] - node _T_3381 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:48] - node _T_3382 = and(_T_3380, _T_3381) @[el2_lsu_bus_buffer.scala 477:37] - node _T_3383 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3384 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:96] - node _T_3385 = mux(_T_3382, _T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 477:10] - node _T_3386 = mux(_T_3378, _T_3379, _T_3385) @[el2_lsu_bus_buffer.scala 476:48] - node _T_3387 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 476:66] - node _T_3388 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 476:86] - node _T_3389 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 477:20] - node _T_3390 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:48] - node _T_3391 = and(_T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 477:37] - node _T_3392 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:73] - node _T_3393 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 477:96] - node _T_3394 = mux(_T_3391, _T_3392, _T_3393) @[el2_lsu_bus_buffer.scala 477:10] - node _T_3395 = mux(_T_3387, _T_3388, _T_3394) @[el2_lsu_bus_buffer.scala 476:48] - buf_byteen_in[0] <= _T_3368 @[el2_lsu_bus_buffer.scala 476:19] - buf_byteen_in[1] <= _T_3377 @[el2_lsu_bus_buffer.scala 476:19] - buf_byteen_in[2] <= _T_3386 @[el2_lsu_bus_buffer.scala 476:19] - buf_byteen_in[3] <= _T_3395 @[el2_lsu_bus_buffer.scala 476:19] - node _T_3396 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 478:64] - node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:93] - node _T_3398 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:121] - node _T_3399 = and(_T_3397, _T_3398) @[el2_lsu_bus_buffer.scala 478:110] - node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 478:83] - node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[el2_lsu_bus_buffer.scala 478:46] - node _T_3402 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 478:64] - node _T_3403 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:93] - node _T_3404 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:121] - node _T_3405 = and(_T_3403, _T_3404) @[el2_lsu_bus_buffer.scala 478:110] - node _T_3406 = mux(_T_3405, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 478:83] - node _T_3407 = mux(_T_3402, ibuf_addr, _T_3406) @[el2_lsu_bus_buffer.scala 478:46] - node _T_3408 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 478:64] - node _T_3409 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:93] - node _T_3410 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:121] - node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 478:110] - node _T_3412 = mux(_T_3411, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 478:83] - node _T_3413 = mux(_T_3408, ibuf_addr, _T_3412) @[el2_lsu_bus_buffer.scala 478:46] - node _T_3414 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 478:64] - node _T_3415 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:93] - node _T_3416 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:121] - node _T_3417 = and(_T_3415, _T_3416) @[el2_lsu_bus_buffer.scala 478:110] - node _T_3418 = mux(_T_3417, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 478:83] - node _T_3419 = mux(_T_3414, ibuf_addr, _T_3418) @[el2_lsu_bus_buffer.scala 478:46] - buf_addr_in[0] <= _T_3401 @[el2_lsu_bus_buffer.scala 478:17] - buf_addr_in[1] <= _T_3407 @[el2_lsu_bus_buffer.scala 478:17] - buf_addr_in[2] <= _T_3413 @[el2_lsu_bus_buffer.scala 478:17] - buf_addr_in[3] <= _T_3419 @[el2_lsu_bus_buffer.scala 478:17] - node _T_3420 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3421 = mux(_T_3420, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:47] - node _T_3422 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3423 = mux(_T_3422, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:47] - node _T_3424 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3425 = mux(_T_3424, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:47] - node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 479:65] - node _T_3427 = mux(_T_3426, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:47] + ibuf_drainvec_vld <= _T_3359 @[el2_lsu_bus_buffer.scala 477:23] + node _T_3360 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 478:66] + node _T_3361 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] + node _T_3362 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:20] + node _T_3363 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3364 = and(_T_3362, _T_3363) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3365 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3366 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3367 = mux(_T_3364, _T_3365, _T_3366) @[el2_lsu_bus_buffer.scala 479:10] + node _T_3368 = mux(_T_3360, _T_3361, _T_3367) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3369 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 478:66] + node _T_3370 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] + node _T_3371 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:20] + node _T_3372 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3373 = and(_T_3371, _T_3372) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3374 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3375 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3376 = mux(_T_3373, _T_3374, _T_3375) @[el2_lsu_bus_buffer.scala 479:10] + node _T_3377 = mux(_T_3369, _T_3370, _T_3376) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3378 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 478:66] + node _T_3379 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] + node _T_3380 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:20] + node _T_3381 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3382 = and(_T_3380, _T_3381) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3383 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3384 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3385 = mux(_T_3382, _T_3383, _T_3384) @[el2_lsu_bus_buffer.scala 479:10] + node _T_3386 = mux(_T_3378, _T_3379, _T_3385) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3387 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 478:66] + node _T_3388 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] + node _T_3389 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:20] + node _T_3390 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3391 = and(_T_3389, _T_3390) @[el2_lsu_bus_buffer.scala 479:37] + node _T_3392 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3393 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3394 = mux(_T_3391, _T_3392, _T_3393) @[el2_lsu_bus_buffer.scala 479:10] + node _T_3395 = mux(_T_3387, _T_3388, _T_3394) @[el2_lsu_bus_buffer.scala 478:48] + buf_byteen_in[0] <= _T_3368 @[el2_lsu_bus_buffer.scala 478:19] + buf_byteen_in[1] <= _T_3377 @[el2_lsu_bus_buffer.scala 478:19] + buf_byteen_in[2] <= _T_3386 @[el2_lsu_bus_buffer.scala 478:19] + buf_byteen_in[3] <= _T_3395 @[el2_lsu_bus_buffer.scala 478:19] + node _T_3396 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3397 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3398 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3399 = and(_T_3397, _T_3398) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3400 = mux(_T_3399, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3401 = mux(_T_3396, ibuf_addr, _T_3400) @[el2_lsu_bus_buffer.scala 480:46] + node _T_3402 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3403 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3404 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3405 = and(_T_3403, _T_3404) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3406 = mux(_T_3405, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3407 = mux(_T_3402, ibuf_addr, _T_3406) @[el2_lsu_bus_buffer.scala 480:46] + node _T_3408 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3409 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3410 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3411 = and(_T_3409, _T_3410) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3412 = mux(_T_3411, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3413 = mux(_T_3408, ibuf_addr, _T_3412) @[el2_lsu_bus_buffer.scala 480:46] + node _T_3414 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3415 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3416 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3417 = and(_T_3415, _T_3416) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3418 = mux(_T_3417, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3419 = mux(_T_3414, ibuf_addr, _T_3418) @[el2_lsu_bus_buffer.scala 480:46] + buf_addr_in[0] <= _T_3401 @[el2_lsu_bus_buffer.scala 480:17] + buf_addr_in[1] <= _T_3407 @[el2_lsu_bus_buffer.scala 480:17] + buf_addr_in[2] <= _T_3413 @[el2_lsu_bus_buffer.scala 480:17] + buf_addr_in[3] <= _T_3419 @[el2_lsu_bus_buffer.scala 480:17] + node _T_3420 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3421 = mux(_T_3420, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3422 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3423 = mux(_T_3422, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3424 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3425 = mux(_T_3424, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3427 = mux(_T_3426, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] node _T_3428 = cat(_T_3427, _T_3425) @[Cat.scala 29:58] node _T_3429 = cat(_T_3428, _T_3423) @[Cat.scala 29:58] node _T_3430 = cat(_T_3429, _T_3421) @[Cat.scala 29:58] - buf_dual_in <= _T_3430 @[el2_lsu_bus_buffer.scala 479:17] - node _T_3431 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 480:67] - node _T_3432 = mux(_T_3431, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 480:49] - node _T_3433 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 480:67] - node _T_3434 = mux(_T_3433, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 480:49] - node _T_3435 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 480:67] - node _T_3436 = mux(_T_3435, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 480:49] - node _T_3437 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 480:67] - node _T_3438 = mux(_T_3437, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 480:49] + buf_dual_in <= _T_3430 @[el2_lsu_bus_buffer.scala 481:17] + node _T_3431 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3432 = mux(_T_3431, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3433 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3434 = mux(_T_3433, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3435 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3436 = mux(_T_3435, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3437 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3438 = mux(_T_3437, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] node _T_3439 = cat(_T_3438, _T_3436) @[Cat.scala 29:58] node _T_3440 = cat(_T_3439, _T_3434) @[Cat.scala 29:58] node _T_3441 = cat(_T_3440, _T_3432) @[Cat.scala 29:58] - buf_samedw_in <= _T_3441 @[el2_lsu_bus_buffer.scala 480:19] - node _T_3442 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:68] - node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 481:50] - node _T_3445 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:68] - node _T_3446 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3447 = mux(_T_3445, _T_3446, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 481:50] - node _T_3448 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:68] - node _T_3449 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3450 = mux(_T_3448, _T_3449, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 481:50] - node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:68] - node _T_3452 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 481:86] - node _T_3453 = mux(_T_3451, _T_3452, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 481:50] + buf_samedw_in <= _T_3441 @[el2_lsu_bus_buffer.scala 482:19] + node _T_3442 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3443 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3444 = mux(_T_3442, _T_3443, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + node _T_3445 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3446 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3447 = mux(_T_3445, _T_3446, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + node _T_3448 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3449 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3450 = mux(_T_3448, _T_3449, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3452 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3453 = mux(_T_3451, _T_3452, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] node _T_3454 = cat(_T_3453, _T_3450) @[Cat.scala 29:58] node _T_3455 = cat(_T_3454, _T_3447) @[Cat.scala 29:58] node _T_3456 = cat(_T_3455, _T_3444) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3456 @[el2_lsu_bus_buffer.scala 481:20] - node _T_3457 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3458 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:92] - node _T_3459 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:120] - node _T_3460 = and(_T_3458, _T_3459) @[el2_lsu_bus_buffer.scala 482:109] - node _T_3461 = mux(_T_3457, ibuf_dual, _T_3460) @[el2_lsu_bus_buffer.scala 482:49] - node _T_3462 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3463 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:92] - node _T_3464 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 482:120] - node _T_3465 = and(_T_3463, _T_3464) @[el2_lsu_bus_buffer.scala 482:109] - node _T_3466 = mux(_T_3462, ibuf_dual, _T_3465) @[el2_lsu_bus_buffer.scala 482:49] - node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:92] - node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 482:120] - node _T_3470 = and(_T_3468, _T_3469) @[el2_lsu_bus_buffer.scala 482:109] - node _T_3471 = mux(_T_3467, ibuf_dual, _T_3470) @[el2_lsu_bus_buffer.scala 482:49] - node _T_3472 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3473 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 482:92] - node _T_3474 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 482:120] - node _T_3475 = and(_T_3473, _T_3474) @[el2_lsu_bus_buffer.scala 482:109] - node _T_3476 = mux(_T_3472, ibuf_dual, _T_3475) @[el2_lsu_bus_buffer.scala 482:49] + buf_nomerge_in <= _T_3456 @[el2_lsu_bus_buffer.scala 483:20] + node _T_3457 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3458 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3459 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3460 = and(_T_3458, _T_3459) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3461 = mux(_T_3457, ibuf_dual, _T_3460) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3462 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3463 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3464 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3465 = and(_T_3463, _T_3464) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3466 = mux(_T_3462, ibuf_dual, _T_3465) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3470 = and(_T_3468, _T_3469) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3471 = mux(_T_3467, ibuf_dual, _T_3470) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3472 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3473 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3474 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3475 = and(_T_3473, _T_3474) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3476 = mux(_T_3472, ibuf_dual, _T_3475) @[el2_lsu_bus_buffer.scala 484:49] node _T_3477 = cat(_T_3476, _T_3471) @[Cat.scala 29:58] node _T_3478 = cat(_T_3477, _T_3466) @[Cat.scala 29:58] node _T_3479 = cat(_T_3478, _T_3461) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3479 @[el2_lsu_bus_buffer.scala 482:19] - node _T_3480 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:99] - node _T_3482 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3483 = and(_T_3481, _T_3482) @[el2_lsu_bus_buffer.scala 483:116] - node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 483:89] - node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3486 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:99] - node _T_3488 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 483:116] - node _T_3490 = mux(_T_3489, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 483:89] - node _T_3491 = mux(_T_3486, ibuf_dualtag, _T_3490) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3492 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3493 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:99] - node _T_3494 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3495 = and(_T_3493, _T_3494) @[el2_lsu_bus_buffer.scala 483:116] - node _T_3496 = mux(_T_3495, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 483:89] - node _T_3497 = mux(_T_3492, ibuf_dualtag, _T_3496) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3498 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3499 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:99] - node _T_3500 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 483:127] - node _T_3501 = and(_T_3499, _T_3500) @[el2_lsu_bus_buffer.scala 483:116] - node _T_3502 = mux(_T_3501, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 483:89] - node _T_3503 = mux(_T_3498, ibuf_dualtag, _T_3502) @[el2_lsu_bus_buffer.scala 483:49] - buf_dualtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 483:20] - buf_dualtag_in[1] <= _T_3491 @[el2_lsu_bus_buffer.scala 483:20] - buf_dualtag_in[2] <= _T_3497 @[el2_lsu_bus_buffer.scala 483:20] - buf_dualtag_in[3] <= _T_3503 @[el2_lsu_bus_buffer.scala 483:20] - node _T_3504 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:71] - node _T_3505 = mux(_T_3504, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3506 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:71] - node _T_3507 = mux(_T_3506, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3508 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:71] - node _T_3509 = mux(_T_3508, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:71] - node _T_3511 = mux(_T_3510, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 484:53] + buf_dualhi_in <= _T_3479 @[el2_lsu_bus_buffer.scala 484:19] + node _T_3480 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3481 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3482 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3483 = and(_T_3481, _T_3482) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3484 = mux(_T_3483, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3485 = mux(_T_3480, ibuf_dualtag, _T_3484) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3486 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3487 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3488 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3490 = mux(_T_3489, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3491 = mux(_T_3486, ibuf_dualtag, _T_3490) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3492 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3493 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3494 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3495 = and(_T_3493, _T_3494) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3496 = mux(_T_3495, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3497 = mux(_T_3492, ibuf_dualtag, _T_3496) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3498 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3499 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3500 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3501 = and(_T_3499, _T_3500) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3502 = mux(_T_3501, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3503 = mux(_T_3498, ibuf_dualtag, _T_3502) @[el2_lsu_bus_buffer.scala 485:49] + buf_dualtag_in[0] <= _T_3485 @[el2_lsu_bus_buffer.scala 485:20] + buf_dualtag_in[1] <= _T_3491 @[el2_lsu_bus_buffer.scala 485:20] + buf_dualtag_in[2] <= _T_3497 @[el2_lsu_bus_buffer.scala 485:20] + buf_dualtag_in[3] <= _T_3503 @[el2_lsu_bus_buffer.scala 485:20] + node _T_3504 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3505 = mux(_T_3504, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + node _T_3506 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3507 = mux(_T_3506, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + node _T_3508 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3509 = mux(_T_3508, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3511 = mux(_T_3510, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] node _T_3512 = cat(_T_3511, _T_3509) @[Cat.scala 29:58] node _T_3513 = cat(_T_3512, _T_3507) @[Cat.scala 29:58] node _T_3514 = cat(_T_3513, _T_3505) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3514 @[el2_lsu_bus_buffer.scala 484:23] - node _T_3515 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3516 = mux(_T_3515, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3517 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3518 = mux(_T_3517, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3519 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3520 = mux(_T_3519, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 485:49] - node _T_3521 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:67] - node _T_3522 = mux(_T_3521, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 485:49] + buf_sideeffect_in <= _T_3514 @[el2_lsu_bus_buffer.scala 486:23] + node _T_3515 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3516 = mux(_T_3515, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3517 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3518 = mux(_T_3517, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3519 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3520 = mux(_T_3519, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3521 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3522 = mux(_T_3521, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] node _T_3523 = cat(_T_3522, _T_3520) @[Cat.scala 29:58] node _T_3524 = cat(_T_3523, _T_3518) @[Cat.scala 29:58] node _T_3525 = cat(_T_3524, _T_3516) @[Cat.scala 29:58] - buf_unsign_in <= _T_3525 @[el2_lsu_bus_buffer.scala 485:19] - node _T_3526 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:62] + buf_unsign_in <= _T_3525 @[el2_lsu_bus_buffer.scala 487:19] + node _T_3526 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:62] node _T_3527 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 486:44] - node _T_3529 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:62] + node _T_3528 = mux(_T_3526, ibuf_sz, _T_3527) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3529 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:62] node _T_3530 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3531 = mux(_T_3529, ibuf_sz, _T_3530) @[el2_lsu_bus_buffer.scala 486:44] - node _T_3532 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:62] + node _T_3531 = mux(_T_3529, ibuf_sz, _T_3530) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3532 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:62] node _T_3533 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3534 = mux(_T_3532, ibuf_sz, _T_3533) @[el2_lsu_bus_buffer.scala 486:44] - node _T_3535 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:62] + node _T_3534 = mux(_T_3532, ibuf_sz, _T_3533) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3535 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:62] node _T_3536 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3537 = mux(_T_3535, ibuf_sz, _T_3536) @[el2_lsu_bus_buffer.scala 486:44] - buf_sz_in[0] <= _T_3528 @[el2_lsu_bus_buffer.scala 486:15] - buf_sz_in[1] <= _T_3531 @[el2_lsu_bus_buffer.scala 486:15] - buf_sz_in[2] <= _T_3534 @[el2_lsu_bus_buffer.scala 486:15] - buf_sz_in[3] <= _T_3537 @[el2_lsu_bus_buffer.scala 486:15] - node _T_3538 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:66] - node _T_3539 = mux(_T_3538, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3540 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:66] - node _T_3541 = mux(_T_3540, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3542 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:66] - node _T_3543 = mux(_T_3542, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 487:48] - node _T_3544 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:66] - node _T_3545 = mux(_T_3544, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 487:48] + node _T_3537 = mux(_T_3535, ibuf_sz, _T_3536) @[el2_lsu_bus_buffer.scala 488:44] + buf_sz_in[0] <= _T_3528 @[el2_lsu_bus_buffer.scala 488:15] + buf_sz_in[1] <= _T_3531 @[el2_lsu_bus_buffer.scala 488:15] + buf_sz_in[2] <= _T_3534 @[el2_lsu_bus_buffer.scala 488:15] + buf_sz_in[3] <= _T_3537 @[el2_lsu_bus_buffer.scala 488:15] + node _T_3538 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3539 = mux(_T_3538, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3540 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3541 = mux(_T_3540, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3542 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3543 = mux(_T_3542, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3544 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3545 = mux(_T_3544, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] node _T_3546 = cat(_T_3545, _T_3543) @[Cat.scala 29:58] node _T_3547 = cat(_T_3546, _T_3541) @[Cat.scala 29:58] node _T_3548 = cat(_T_3547, _T_3539) @[Cat.scala 29:58] - buf_write_in <= _T_3548 @[el2_lsu_bus_buffer.scala 487:18] + buf_write_in <= _T_3548 @[el2_lsu_bus_buffer.scala 489:18] node _T_3549 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] when _T_3549 : @[Conditional.scala 40:58] - node _T_3550 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 492:56] - node _T_3551 = mux(_T_3550, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[0] <= _T_3551 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3552 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 493:45] - node _T_3553 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:77] - node _T_3554 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:97] - node _T_3555 = and(_T_3553, _T_3554) @[el2_lsu_bus_buffer.scala 493:95] - node _T_3556 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 493:117] - node _T_3557 = and(_T_3555, _T_3556) @[el2_lsu_bus_buffer.scala 493:112] - node _T_3558 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:144] - node _T_3559 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:166] - node _T_3560 = and(_T_3558, _T_3559) @[el2_lsu_bus_buffer.scala 493:161] - node _T_3561 = or(_T_3557, _T_3560) @[el2_lsu_bus_buffer.scala 493:132] - node _T_3562 = and(_T_3552, _T_3561) @[el2_lsu_bus_buffer.scala 493:63] - node _T_3563 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 493:206] - node _T_3564 = and(ibuf_drain_vld, _T_3563) @[el2_lsu_bus_buffer.scala 493:201] - node _T_3565 = or(_T_3562, _T_3564) @[el2_lsu_bus_buffer.scala 493:183] - buf_state_en[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 493:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 494:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 495:24] - node _T_3566 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:52] - node _T_3567 = and(ibuf_drain_vld, _T_3566) @[el2_lsu_bus_buffer.scala 496:47] - node _T_3568 = bits(_T_3567, 0, 0) @[el2_lsu_bus_buffer.scala 496:73] - node _T_3569 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 496:90] - node _T_3570 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 496:114] - node _T_3571 = mux(_T_3568, _T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[0] <= _T_3571 @[el2_lsu_bus_buffer.scala 496:24] + node _T_3550 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_3551 = mux(_T_3550, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[0] <= _T_3551 @[el2_lsu_bus_buffer.scala 494:25] + node _T_3552 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_3553 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3554 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_3555 = and(_T_3553, _T_3554) @[el2_lsu_bus_buffer.scala 495:95] + node _T_3556 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_3557 = and(_T_3555, _T_3556) @[el2_lsu_bus_buffer.scala 495:112] + node _T_3558 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_3559 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_3560 = and(_T_3558, _T_3559) @[el2_lsu_bus_buffer.scala 495:161] + node _T_3561 = or(_T_3557, _T_3560) @[el2_lsu_bus_buffer.scala 495:132] + node _T_3562 = and(_T_3552, _T_3561) @[el2_lsu_bus_buffer.scala 495:63] + node _T_3563 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_3564 = and(ibuf_drain_vld, _T_3563) @[el2_lsu_bus_buffer.scala 495:201] + node _T_3565 = or(_T_3562, _T_3564) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 497:24] + node _T_3566 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_3567 = and(ibuf_drain_vld, _T_3566) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3568 = bits(_T_3567, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_3569 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3570 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_3571 = mux(_T_3568, _T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[0] <= _T_3571 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3572 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] when _T_3572 : @[Conditional.scala 39:67] - node _T_3573 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_3574 = mux(_T_3573, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[0] <= _T_3574 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3575 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:46] - buf_state_en[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3573 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_3574 = mux(_T_3573, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[0] <= _T_3574 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3575 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3576 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] when _T_3576 : @[Conditional.scala 39:67] - node _T_3577 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_3578 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 503:89] - node _T_3579 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 503:124] - node _T_3580 = and(_T_3578, _T_3579) @[el2_lsu_bus_buffer.scala 503:104] - node _T_3581 = mux(_T_3580, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:75] - node _T_3582 = mux(_T_3577, UInt<3>("h00"), _T_3581) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[0] <= _T_3582 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3583 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 504:48] - node _T_3584 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 504:104] - node _T_3585 = and(obuf_merge, _T_3584) @[el2_lsu_bus_buffer.scala 504:91] - node _T_3586 = or(_T_3583, _T_3585) @[el2_lsu_bus_buffer.scala 504:77] - node _T_3587 = and(_T_3586, obuf_valid) @[el2_lsu_bus_buffer.scala 504:135] - node _T_3588 = and(_T_3587, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 504:148] - buf_cmd_state_bus_en[0] <= _T_3588 @[el2_lsu_bus_buffer.scala 504:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 505:29] - node _T_3589 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:49] - node _T_3590 = or(_T_3589, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:70] - buf_state_en[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 506:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 507:25] - node _T_3591 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 508:56] - node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:46] - node _T_3593 = and(buf_state_en[0], _T_3592) @[el2_lsu_bus_buffer.scala 508:44] - node _T_3594 = and(_T_3593, obuf_nosend) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3595 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:76] - node _T_3596 = and(_T_3594, _T_3595) @[el2_lsu_bus_buffer.scala 508:74] - buf_ldfwd_en[0] <= _T_3596 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3597 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 509:46] - buf_ldfwdtag_in[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 509:28] - node _T_3598 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:47] - node _T_3599 = and(_T_3598, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:67] - node _T_3600 = and(_T_3599, bus_rsp_read) @[el2_lsu_bus_buffer.scala 510:81] - buf_data_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 510:24] - node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:48] - node _T_3602 = and(_T_3601, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:68] - node _T_3603 = and(_T_3602, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 511:82] - buf_error_en[0] <= _T_3603 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3604 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:61] - node _T_3605 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 512:85] - node _T_3606 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 512:103] - node _T_3607 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:126] - node _T_3608 = mux(_T_3605, _T_3606, _T_3607) @[el2_lsu_bus_buffer.scala 512:73] - node _T_3609 = mux(buf_error_en[0], _T_3604, _T_3608) @[el2_lsu_bus_buffer.scala 512:30] - buf_data_in[0] <= _T_3609 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3577 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3578 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_3579 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_3580 = and(_T_3578, _T_3579) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3581 = mux(_T_3580, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3582 = mux(_T_3577, UInt<3>("h00"), _T_3581) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[0] <= _T_3582 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3583 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_3584 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3585 = and(obuf_merge, _T_3584) @[el2_lsu_bus_buffer.scala 506:91] + node _T_3586 = or(_T_3583, _T_3585) @[el2_lsu_bus_buffer.scala 506:77] + node _T_3587 = and(_T_3586, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_3588 = and(_T_3587, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[0] <= _T_3588 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3589 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3590 = or(_T_3589, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[0] <= _T_3590 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_3591 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 510:56] + node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_3593 = and(buf_state_en[0], _T_3592) @[el2_lsu_bus_buffer.scala 510:44] + node _T_3594 = and(_T_3593, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3595 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_3596 = and(_T_3594, _T_3595) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[0] <= _T_3596 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3597 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[0] <= _T_3597 @[el2_lsu_bus_buffer.scala 511:28] + node _T_3598 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_3599 = and(_T_3598, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_3600 = and(_T_3599, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[0] <= _T_3600 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3601 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3602 = and(_T_3601, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_3603 = and(_T_3602, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[0] <= _T_3603 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3604 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_3605 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_3606 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_3607 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_3608 = mux(_T_3605, _T_3606, _T_3607) @[el2_lsu_bus_buffer.scala 514:73] + node _T_3609 = mux(buf_error_en[0], _T_3604, _T_3608) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[0] <= _T_3609 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3610 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] when _T_3610 : @[Conditional.scala 39:67] - node _T_3611 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 515:67] - node _T_3612 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 515:94] - node _T_3613 = eq(_T_3612, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 515:73] - node _T_3614 = and(_T_3611, _T_3613) @[el2_lsu_bus_buffer.scala 515:71] - node _T_3615 = or(io.dec_tlu_force_halt, _T_3614) @[el2_lsu_bus_buffer.scala 515:55] - node _T_3616 = bits(_T_3615, 0, 0) @[el2_lsu_bus_buffer.scala 515:125] - node _T_3617 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:30] - node _T_3618 = and(buf_dual[0], _T_3617) @[el2_lsu_bus_buffer.scala 516:28] - node _T_3619 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 516:57] - node _T_3620 = eq(_T_3619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:47] - node _T_3621 = and(_T_3618, _T_3620) @[el2_lsu_bus_buffer.scala 516:45] - node _T_3622 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 516:90] - node _T_3623 = and(_T_3621, _T_3622) @[el2_lsu_bus_buffer.scala 516:61] - node _T_3624 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 517:27] - node _T_3625 = or(_T_3624, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:31] - node _T_3626 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:70] - node _T_3627 = and(buf_dual[0], _T_3626) @[el2_lsu_bus_buffer.scala 517:68] - node _T_3628 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 517:97] - node _T_3629 = eq(_T_3628, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:87] - node _T_3630 = and(_T_3627, _T_3629) @[el2_lsu_bus_buffer.scala 517:85] + node _T_3611 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 517:67] + node _T_3612 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_3613 = eq(_T_3612, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_3614 = and(_T_3611, _T_3613) @[el2_lsu_bus_buffer.scala 517:71] + node _T_3615 = or(io.dec_tlu_force_halt, _T_3614) @[el2_lsu_bus_buffer.scala 517:55] + node _T_3616 = bits(_T_3615, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_3617 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_3618 = and(buf_dual[0], _T_3617) @[el2_lsu_bus_buffer.scala 518:28] + node _T_3619 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:57] + node _T_3620 = eq(_T_3619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_3621 = and(_T_3618, _T_3620) @[el2_lsu_bus_buffer.scala 518:45] + node _T_3622 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_3623 = and(_T_3621, _T_3622) @[el2_lsu_bus_buffer.scala 518:61] + node _T_3624 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 519:27] + node _T_3625 = or(_T_3624, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_3626 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_3627 = and(buf_dual[0], _T_3626) @[el2_lsu_bus_buffer.scala 519:68] + node _T_3628 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:97] + node _T_3629 = eq(_T_3628, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_3630 = and(_T_3627, _T_3629) @[el2_lsu_bus_buffer.scala 519:85] node _T_3631 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] node _T_3632 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] node _T_3633 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] @@ -4594,265 +4594,265 @@ circuit el2_lsu_bus_buffer : node _T_3645 = or(_T_3644, _T_3642) @[Mux.scala 27:72] wire _T_3646 : UInt<1> @[Mux.scala 27:72] _T_3646 <= _T_3645 @[Mux.scala 27:72] - node _T_3647 = and(_T_3630, _T_3646) @[el2_lsu_bus_buffer.scala 517:101] - node _T_3648 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:167] - node _T_3649 = and(_T_3647, _T_3648) @[el2_lsu_bus_buffer.scala 517:138] - node _T_3650 = and(_T_3649, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:187] - node _T_3651 = or(_T_3625, _T_3650) @[el2_lsu_bus_buffer.scala 517:53] - node _T_3652 = mux(_T_3651, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 517:16] - node _T_3653 = mux(_T_3623, UInt<3>("h04"), _T_3652) @[el2_lsu_bus_buffer.scala 516:14] - node _T_3654 = mux(_T_3616, UInt<3>("h00"), _T_3653) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[0] <= _T_3654 @[el2_lsu_bus_buffer.scala 515:25] - node _T_3655 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_3656 = and(bus_rsp_write, _T_3655) @[el2_lsu_bus_buffer.scala 518:52] - node _T_3657 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 519:46] - node _T_3658 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 520:23] - node _T_3659 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 520:47] - node _T_3660 = and(_T_3658, _T_3659) @[el2_lsu_bus_buffer.scala 520:27] - node _T_3661 = or(_T_3657, _T_3660) @[el2_lsu_bus_buffer.scala 519:77] - node _T_3662 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 521:26] - node _T_3663 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 521:54] - node _T_3664 = not(_T_3663) @[el2_lsu_bus_buffer.scala 521:44] - node _T_3665 = and(_T_3662, _T_3664) @[el2_lsu_bus_buffer.scala 521:42] - node _T_3666 = and(_T_3665, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 521:58] - node _T_3667 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 521:94] - node _T_3668 = and(_T_3666, _T_3667) @[el2_lsu_bus_buffer.scala 521:74] - node _T_3669 = or(_T_3661, _T_3668) @[el2_lsu_bus_buffer.scala 520:71] - node _T_3670 = and(bus_rsp_read, _T_3669) @[el2_lsu_bus_buffer.scala 519:25] - node _T_3671 = or(_T_3656, _T_3670) @[el2_lsu_bus_buffer.scala 518:105] - buf_resp_state_bus_en[0] <= _T_3671 @[el2_lsu_bus_buffer.scala 518:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 522:29] - node _T_3672 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:49] - node _T_3673 = or(_T_3672, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:70] - buf_state_en[0] <= _T_3673 @[el2_lsu_bus_buffer.scala 523:25] - node _T_3674 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 524:47] - node _T_3675 = and(_T_3674, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:62] - buf_data_en[0] <= _T_3675 @[el2_lsu_bus_buffer.scala 524:24] - node _T_3676 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:48] - node _T_3677 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 525:111] - node _T_3678 = and(bus_rsp_read_error, _T_3677) @[el2_lsu_bus_buffer.scala 525:91] - node _T_3679 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 526:42] - node _T_3680 = and(bus_rsp_read_error, _T_3679) @[el2_lsu_bus_buffer.scala 526:31] - node _T_3681 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 526:66] - node _T_3682 = and(_T_3680, _T_3681) @[el2_lsu_bus_buffer.scala 526:46] - node _T_3683 = or(_T_3678, _T_3682) @[el2_lsu_bus_buffer.scala 525:143] - node _T_3684 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 527:32] - node _T_3685 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 527:74] - node _T_3686 = and(_T_3684, _T_3685) @[el2_lsu_bus_buffer.scala 527:53] - node _T_3687 = or(_T_3683, _T_3686) @[el2_lsu_bus_buffer.scala 526:88] - node _T_3688 = and(_T_3676, _T_3687) @[el2_lsu_bus_buffer.scala 525:68] - buf_error_en[0] <= _T_3688 @[el2_lsu_bus_buffer.scala 525:25] - node _T_3689 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:50] - node _T_3690 = and(buf_state_en[0], _T_3689) @[el2_lsu_bus_buffer.scala 528:48] - node _T_3691 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 528:84] - node _T_3692 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 528:102] - node _T_3693 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:125] - node _T_3694 = mux(_T_3691, _T_3692, _T_3693) @[el2_lsu_bus_buffer.scala 528:72] - node _T_3695 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:148] - node _T_3696 = mux(_T_3690, _T_3694, _T_3695) @[el2_lsu_bus_buffer.scala 528:30] - buf_data_in[0] <= _T_3696 @[el2_lsu_bus_buffer.scala 528:24] + node _T_3647 = and(_T_3630, _T_3646) @[el2_lsu_bus_buffer.scala 519:101] + node _T_3648 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_3649 = and(_T_3647, _T_3648) @[el2_lsu_bus_buffer.scala 519:138] + node _T_3650 = and(_T_3649, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_3651 = or(_T_3625, _T_3650) @[el2_lsu_bus_buffer.scala 519:53] + node _T_3652 = mux(_T_3651, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_3653 = mux(_T_3623, UInt<3>("h04"), _T_3652) @[el2_lsu_bus_buffer.scala 518:14] + node _T_3654 = mux(_T_3616, UInt<3>("h00"), _T_3653) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[0] <= _T_3654 @[el2_lsu_bus_buffer.scala 517:25] + node _T_3655 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_3656 = and(bus_rsp_write, _T_3655) @[el2_lsu_bus_buffer.scala 520:52] + node _T_3657 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_3658 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 522:23] + node _T_3659 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_3660 = and(_T_3658, _T_3659) @[el2_lsu_bus_buffer.scala 522:27] + node _T_3661 = or(_T_3657, _T_3660) @[el2_lsu_bus_buffer.scala 521:77] + node _T_3662 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_3663 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 523:54] + node _T_3664 = not(_T_3663) @[el2_lsu_bus_buffer.scala 523:44] + node _T_3665 = and(_T_3662, _T_3664) @[el2_lsu_bus_buffer.scala 523:42] + node _T_3666 = and(_T_3665, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_3667 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_3668 = and(_T_3666, _T_3667) @[el2_lsu_bus_buffer.scala 523:74] + node _T_3669 = or(_T_3661, _T_3668) @[el2_lsu_bus_buffer.scala 522:71] + node _T_3670 = and(bus_rsp_read, _T_3669) @[el2_lsu_bus_buffer.scala 521:25] + node _T_3671 = or(_T_3656, _T_3670) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[0] <= _T_3671 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 524:29] + node _T_3672 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_3673 = or(_T_3672, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[0] <= _T_3673 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3674 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_3675 = and(_T_3674, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[0] <= _T_3675 @[el2_lsu_bus_buffer.scala 526:24] + node _T_3676 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_3677 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_3678 = and(bus_rsp_read_error, _T_3677) @[el2_lsu_bus_buffer.scala 527:91] + node _T_3679 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 528:42] + node _T_3680 = and(bus_rsp_read_error, _T_3679) @[el2_lsu_bus_buffer.scala 528:31] + node _T_3681 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_3682 = and(_T_3680, _T_3681) @[el2_lsu_bus_buffer.scala 528:46] + node _T_3683 = or(_T_3678, _T_3682) @[el2_lsu_bus_buffer.scala 527:143] + node _T_3684 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_3685 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_3686 = and(_T_3684, _T_3685) @[el2_lsu_bus_buffer.scala 529:53] + node _T_3687 = or(_T_3683, _T_3686) @[el2_lsu_bus_buffer.scala 528:88] + node _T_3688 = and(_T_3676, _T_3687) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[0] <= _T_3688 @[el2_lsu_bus_buffer.scala 527:25] + node _T_3689 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_3690 = and(buf_state_en[0], _T_3689) @[el2_lsu_bus_buffer.scala 530:48] + node _T_3691 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_3692 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_3693 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_3694 = mux(_T_3691, _T_3692, _T_3693) @[el2_lsu_bus_buffer.scala 530:72] + node _T_3695 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_3696 = mux(_T_3690, _T_3694, _T_3695) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[0] <= _T_3696 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3697 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] when _T_3697 : @[Conditional.scala 39:67] - node _T_3698 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 531:60] - node _T_3699 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 531:86] - node _T_3700 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 531:101] - node _T_3701 = bits(_T_3700, 0, 0) @[el2_lsu_bus_buffer.scala 531:101] - node _T_3702 = or(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 531:90] - node _T_3703 = or(_T_3702, any_done_wait_state) @[el2_lsu_bus_buffer.scala 531:118] - node _T_3704 = mux(_T_3703, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 531:75] - node _T_3705 = mux(_T_3698, UInt<3>("h00"), _T_3704) @[el2_lsu_bus_buffer.scala 531:31] - buf_nxtstate[0] <= _T_3705 @[el2_lsu_bus_buffer.scala 531:25] - node _T_3706 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 532:66] - node _T_3707 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:21] - node _T_3708 = bits(_T_3707, 0, 0) @[el2_lsu_bus_buffer.scala 533:21] - node _T_3709 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 533:38] - node _T_3711 = or(_T_3706, _T_3710) @[el2_lsu_bus_buffer.scala 532:95] - node _T_3712 = and(bus_rsp_read, _T_3711) @[el2_lsu_bus_buffer.scala 532:45] - buf_state_bus_en[0] <= _T_3712 @[el2_lsu_bus_buffer.scala 532:29] - node _T_3713 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] - node _T_3714 = or(_T_3713, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] - buf_state_en[0] <= _T_3714 @[el2_lsu_bus_buffer.scala 534:25] + node _T_3698 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_3699 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 533:86] + node _T_3700 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3701 = bits(_T_3700, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3702 = or(_T_3699, _T_3701) @[el2_lsu_bus_buffer.scala 533:90] + node _T_3703 = or(_T_3702, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_3704 = mux(_T_3703, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_3705 = mux(_T_3698, UInt<3>("h00"), _T_3704) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[0] <= _T_3705 @[el2_lsu_bus_buffer.scala 533:25] + node _T_3706 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_3707 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3708 = bits(_T_3707, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3709 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_3710 = and(_T_3708, _T_3709) @[el2_lsu_bus_buffer.scala 535:38] + node _T_3711 = or(_T_3706, _T_3710) @[el2_lsu_bus_buffer.scala 534:95] + node _T_3712 = and(bus_rsp_read, _T_3711) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[0] <= _T_3712 @[el2_lsu_bus_buffer.scala 534:29] + node _T_3713 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_3714 = or(_T_3713, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[0] <= _T_3714 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3715 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] when _T_3715 : @[Conditional.scala 39:67] - node _T_3716 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] - node _T_3717 = mux(_T_3716, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 537:31] - buf_nxtstate[0] <= _T_3717 @[el2_lsu_bus_buffer.scala 537:25] - node _T_3718 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 538:37] - node _T_3719 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 538:98] - node _T_3720 = and(buf_dual[0], _T_3719) @[el2_lsu_bus_buffer.scala 538:80] - node _T_3721 = or(_T_3718, _T_3720) @[el2_lsu_bus_buffer.scala 538:65] - node _T_3722 = or(_T_3721, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:112] - buf_state_en[0] <= _T_3722 @[el2_lsu_bus_buffer.scala 538:25] + node _T_3716 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_3717 = mux(_T_3716, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[0] <= _T_3717 @[el2_lsu_bus_buffer.scala 539:25] + node _T_3718 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_3719 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_3720 = and(buf_dual[0], _T_3719) @[el2_lsu_bus_buffer.scala 540:80] + node _T_3721 = or(_T_3718, _T_3720) @[el2_lsu_bus_buffer.scala 540:65] + node _T_3722 = or(_T_3721, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[0] <= _T_3722 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3723 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] when _T_3723 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 541:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 542:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 545:25] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_3724 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 548:108] + node _T_3724 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] reg _T_3725 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3724 : @[Reg.scala 28:19] _T_3725 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3725 @[el2_lsu_bus_buffer.scala 548:18] - reg _T_3726 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:60] - _T_3726 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 549:60] - buf_ageQ[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 549:17] - reg _T_3727 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:63] - _T_3727 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 550:63] - buf_rspageQ[0] <= _T_3727 @[el2_lsu_bus_buffer.scala 550:20] - node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 551:109] + buf_state[0] <= _T_3725 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_3726 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_3726 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[0] <= _T_3726 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_3727 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_3727 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[0] <= _T_3727 @[el2_lsu_bus_buffer.scala 552:20] + node _T_3728 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] reg _T_3729 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3728 : @[Reg.scala 28:19] _T_3729 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 551:20] - node _T_3730 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 552:74] - node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 552:107] + buf_dualtag[0] <= _T_3729 @[el2_lsu_bus_buffer.scala 553:20] + node _T_3730 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 554:74] + node _T_3731 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] reg _T_3732 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3731 : @[Reg.scala 28:19] _T_3732 <= _T_3730 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 552:17] - node _T_3733 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 553:78] - node _T_3734 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 553:111] + buf_dual[0] <= _T_3732 @[el2_lsu_bus_buffer.scala 554:17] + node _T_3733 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:78] + node _T_3734 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] reg _T_3735 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3734 : @[Reg.scala 28:19] _T_3735 <= _T_3733 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3735 @[el2_lsu_bus_buffer.scala 553:19] - node _T_3736 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 554:80] - node _T_3737 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:113] + buf_samedw[0] <= _T_3735 @[el2_lsu_bus_buffer.scala 555:19] + node _T_3736 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:80] + node _T_3737 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] reg _T_3738 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3737 : @[Reg.scala 28:19] _T_3738 <= _T_3736 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3738 @[el2_lsu_bus_buffer.scala 554:20] - node _T_3739 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:78] - node _T_3740 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + buf_nomerge[0] <= _T_3738 @[el2_lsu_bus_buffer.scala 556:20] + node _T_3739 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 557:78] + node _T_3740 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] reg _T_3741 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3740 : @[Reg.scala 28:19] _T_3741 <= _T_3739 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3741 @[el2_lsu_bus_buffer.scala 555:19] + buf_dualhi[0] <= _T_3741 @[el2_lsu_bus_buffer.scala 557:19] node _T_3742 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] when _T_3742 : @[Conditional.scala 40:58] - node _T_3743 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 492:56] - node _T_3744 = mux(_T_3743, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[1] <= _T_3744 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3745 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 493:45] - node _T_3746 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:77] - node _T_3747 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:97] - node _T_3748 = and(_T_3746, _T_3747) @[el2_lsu_bus_buffer.scala 493:95] - node _T_3749 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 493:117] - node _T_3750 = and(_T_3748, _T_3749) @[el2_lsu_bus_buffer.scala 493:112] - node _T_3751 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:144] - node _T_3752 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:166] - node _T_3753 = and(_T_3751, _T_3752) @[el2_lsu_bus_buffer.scala 493:161] - node _T_3754 = or(_T_3750, _T_3753) @[el2_lsu_bus_buffer.scala 493:132] - node _T_3755 = and(_T_3745, _T_3754) @[el2_lsu_bus_buffer.scala 493:63] - node _T_3756 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 493:206] - node _T_3757 = and(ibuf_drain_vld, _T_3756) @[el2_lsu_bus_buffer.scala 493:201] - node _T_3758 = or(_T_3755, _T_3757) @[el2_lsu_bus_buffer.scala 493:183] - buf_state_en[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 493:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 494:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 495:24] - node _T_3759 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:52] - node _T_3760 = and(ibuf_drain_vld, _T_3759) @[el2_lsu_bus_buffer.scala 496:47] - node _T_3761 = bits(_T_3760, 0, 0) @[el2_lsu_bus_buffer.scala 496:73] - node _T_3762 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 496:90] - node _T_3763 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 496:114] - node _T_3764 = mux(_T_3761, _T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[1] <= _T_3764 @[el2_lsu_bus_buffer.scala 496:24] + node _T_3743 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_3744 = mux(_T_3743, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[1] <= _T_3744 @[el2_lsu_bus_buffer.scala 494:25] + node _T_3745 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_3746 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3747 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_3748 = and(_T_3746, _T_3747) @[el2_lsu_bus_buffer.scala 495:95] + node _T_3749 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_3750 = and(_T_3748, _T_3749) @[el2_lsu_bus_buffer.scala 495:112] + node _T_3751 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_3752 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_3753 = and(_T_3751, _T_3752) @[el2_lsu_bus_buffer.scala 495:161] + node _T_3754 = or(_T_3750, _T_3753) @[el2_lsu_bus_buffer.scala 495:132] + node _T_3755 = and(_T_3745, _T_3754) @[el2_lsu_bus_buffer.scala 495:63] + node _T_3756 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_3757 = and(ibuf_drain_vld, _T_3756) @[el2_lsu_bus_buffer.scala 495:201] + node _T_3758 = or(_T_3755, _T_3757) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 497:24] + node _T_3759 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_3760 = and(ibuf_drain_vld, _T_3759) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3761 = bits(_T_3760, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_3762 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3763 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_3764 = mux(_T_3761, _T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[1] <= _T_3764 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3765 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] when _T_3765 : @[Conditional.scala 39:67] - node _T_3766 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_3767 = mux(_T_3766, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[1] <= _T_3767 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3768 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:46] - buf_state_en[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3766 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_3767 = mux(_T_3766, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[1] <= _T_3767 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3768 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3769 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] when _T_3769 : @[Conditional.scala 39:67] - node _T_3770 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_3771 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 503:89] - node _T_3772 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 503:124] - node _T_3773 = and(_T_3771, _T_3772) @[el2_lsu_bus_buffer.scala 503:104] - node _T_3774 = mux(_T_3773, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:75] - node _T_3775 = mux(_T_3770, UInt<3>("h00"), _T_3774) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[1] <= _T_3775 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3776 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:48] - node _T_3777 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 504:104] - node _T_3778 = and(obuf_merge, _T_3777) @[el2_lsu_bus_buffer.scala 504:91] - node _T_3779 = or(_T_3776, _T_3778) @[el2_lsu_bus_buffer.scala 504:77] - node _T_3780 = and(_T_3779, obuf_valid) @[el2_lsu_bus_buffer.scala 504:135] - node _T_3781 = and(_T_3780, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 504:148] - buf_cmd_state_bus_en[1] <= _T_3781 @[el2_lsu_bus_buffer.scala 504:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 505:29] - node _T_3782 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:49] - node _T_3783 = or(_T_3782, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:70] - buf_state_en[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 506:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 507:25] - node _T_3784 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 508:56] - node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:46] - node _T_3786 = and(buf_state_en[1], _T_3785) @[el2_lsu_bus_buffer.scala 508:44] - node _T_3787 = and(_T_3786, obuf_nosend) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3788 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:76] - node _T_3789 = and(_T_3787, _T_3788) @[el2_lsu_bus_buffer.scala 508:74] - buf_ldfwd_en[1] <= _T_3789 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3790 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 509:46] - buf_ldfwdtag_in[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 509:28] - node _T_3791 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:47] - node _T_3792 = and(_T_3791, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:67] - node _T_3793 = and(_T_3792, bus_rsp_read) @[el2_lsu_bus_buffer.scala 510:81] - buf_data_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 510:24] - node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:48] - node _T_3795 = and(_T_3794, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:68] - node _T_3796 = and(_T_3795, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 511:82] - buf_error_en[1] <= _T_3796 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3797 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:61] - node _T_3798 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 512:85] - node _T_3799 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 512:103] - node _T_3800 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:126] - node _T_3801 = mux(_T_3798, _T_3799, _T_3800) @[el2_lsu_bus_buffer.scala 512:73] - node _T_3802 = mux(buf_error_en[1], _T_3797, _T_3801) @[el2_lsu_bus_buffer.scala 512:30] - buf_data_in[1] <= _T_3802 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3770 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3771 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_3772 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_3773 = and(_T_3771, _T_3772) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3774 = mux(_T_3773, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3775 = mux(_T_3770, UInt<3>("h00"), _T_3774) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[1] <= _T_3775 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3776 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_3777 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3778 = and(obuf_merge, _T_3777) @[el2_lsu_bus_buffer.scala 506:91] + node _T_3779 = or(_T_3776, _T_3778) @[el2_lsu_bus_buffer.scala 506:77] + node _T_3780 = and(_T_3779, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_3781 = and(_T_3780, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[1] <= _T_3781 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3782 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3783 = or(_T_3782, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[1] <= _T_3783 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_3784 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 510:56] + node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_3786 = and(buf_state_en[1], _T_3785) @[el2_lsu_bus_buffer.scala 510:44] + node _T_3787 = and(_T_3786, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3788 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_3789 = and(_T_3787, _T_3788) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[1] <= _T_3789 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3790 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[1] <= _T_3790 @[el2_lsu_bus_buffer.scala 511:28] + node _T_3791 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_3792 = and(_T_3791, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_3793 = and(_T_3792, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[1] <= _T_3793 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3794 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3795 = and(_T_3794, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_3796 = and(_T_3795, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[1] <= _T_3796 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3797 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_3798 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_3799 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_3800 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_3801 = mux(_T_3798, _T_3799, _T_3800) @[el2_lsu_bus_buffer.scala 514:73] + node _T_3802 = mux(buf_error_en[1], _T_3797, _T_3801) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[1] <= _T_3802 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3803 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] when _T_3803 : @[Conditional.scala 39:67] - node _T_3804 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 515:67] - node _T_3805 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 515:94] - node _T_3806 = eq(_T_3805, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 515:73] - node _T_3807 = and(_T_3804, _T_3806) @[el2_lsu_bus_buffer.scala 515:71] - node _T_3808 = or(io.dec_tlu_force_halt, _T_3807) @[el2_lsu_bus_buffer.scala 515:55] - node _T_3809 = bits(_T_3808, 0, 0) @[el2_lsu_bus_buffer.scala 515:125] - node _T_3810 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:30] - node _T_3811 = and(buf_dual[1], _T_3810) @[el2_lsu_bus_buffer.scala 516:28] - node _T_3812 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 516:57] - node _T_3813 = eq(_T_3812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:47] - node _T_3814 = and(_T_3811, _T_3813) @[el2_lsu_bus_buffer.scala 516:45] - node _T_3815 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 516:90] - node _T_3816 = and(_T_3814, _T_3815) @[el2_lsu_bus_buffer.scala 516:61] - node _T_3817 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 517:27] - node _T_3818 = or(_T_3817, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:31] - node _T_3819 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:70] - node _T_3820 = and(buf_dual[1], _T_3819) @[el2_lsu_bus_buffer.scala 517:68] - node _T_3821 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 517:97] - node _T_3822 = eq(_T_3821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:87] - node _T_3823 = and(_T_3820, _T_3822) @[el2_lsu_bus_buffer.scala 517:85] + node _T_3804 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 517:67] + node _T_3805 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_3806 = eq(_T_3805, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_3807 = and(_T_3804, _T_3806) @[el2_lsu_bus_buffer.scala 517:71] + node _T_3808 = or(io.dec_tlu_force_halt, _T_3807) @[el2_lsu_bus_buffer.scala 517:55] + node _T_3809 = bits(_T_3808, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_3810 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_3811 = and(buf_dual[1], _T_3810) @[el2_lsu_bus_buffer.scala 518:28] + node _T_3812 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:57] + node _T_3813 = eq(_T_3812, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_3814 = and(_T_3811, _T_3813) @[el2_lsu_bus_buffer.scala 518:45] + node _T_3815 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_3816 = and(_T_3814, _T_3815) @[el2_lsu_bus_buffer.scala 518:61] + node _T_3817 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 519:27] + node _T_3818 = or(_T_3817, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_3819 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_3820 = and(buf_dual[1], _T_3819) @[el2_lsu_bus_buffer.scala 519:68] + node _T_3821 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:97] + node _T_3822 = eq(_T_3821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_3823 = and(_T_3820, _T_3822) @[el2_lsu_bus_buffer.scala 519:85] node _T_3824 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] node _T_3825 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] node _T_3826 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] @@ -4870,265 +4870,265 @@ circuit el2_lsu_bus_buffer : node _T_3838 = or(_T_3837, _T_3835) @[Mux.scala 27:72] wire _T_3839 : UInt<1> @[Mux.scala 27:72] _T_3839 <= _T_3838 @[Mux.scala 27:72] - node _T_3840 = and(_T_3823, _T_3839) @[el2_lsu_bus_buffer.scala 517:101] - node _T_3841 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:167] - node _T_3842 = and(_T_3840, _T_3841) @[el2_lsu_bus_buffer.scala 517:138] - node _T_3843 = and(_T_3842, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:187] - node _T_3844 = or(_T_3818, _T_3843) @[el2_lsu_bus_buffer.scala 517:53] - node _T_3845 = mux(_T_3844, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 517:16] - node _T_3846 = mux(_T_3816, UInt<3>("h04"), _T_3845) @[el2_lsu_bus_buffer.scala 516:14] - node _T_3847 = mux(_T_3809, UInt<3>("h00"), _T_3846) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[1] <= _T_3847 @[el2_lsu_bus_buffer.scala 515:25] - node _T_3848 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_3849 = and(bus_rsp_write, _T_3848) @[el2_lsu_bus_buffer.scala 518:52] - node _T_3850 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 519:46] - node _T_3851 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 520:23] - node _T_3852 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 520:47] - node _T_3853 = and(_T_3851, _T_3852) @[el2_lsu_bus_buffer.scala 520:27] - node _T_3854 = or(_T_3850, _T_3853) @[el2_lsu_bus_buffer.scala 519:77] - node _T_3855 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 521:26] - node _T_3856 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 521:54] - node _T_3857 = not(_T_3856) @[el2_lsu_bus_buffer.scala 521:44] - node _T_3858 = and(_T_3855, _T_3857) @[el2_lsu_bus_buffer.scala 521:42] - node _T_3859 = and(_T_3858, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 521:58] - node _T_3860 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 521:94] - node _T_3861 = and(_T_3859, _T_3860) @[el2_lsu_bus_buffer.scala 521:74] - node _T_3862 = or(_T_3854, _T_3861) @[el2_lsu_bus_buffer.scala 520:71] - node _T_3863 = and(bus_rsp_read, _T_3862) @[el2_lsu_bus_buffer.scala 519:25] - node _T_3864 = or(_T_3849, _T_3863) @[el2_lsu_bus_buffer.scala 518:105] - buf_resp_state_bus_en[1] <= _T_3864 @[el2_lsu_bus_buffer.scala 518:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 522:29] - node _T_3865 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:49] - node _T_3866 = or(_T_3865, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:70] - buf_state_en[1] <= _T_3866 @[el2_lsu_bus_buffer.scala 523:25] - node _T_3867 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 524:47] - node _T_3868 = and(_T_3867, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:62] - buf_data_en[1] <= _T_3868 @[el2_lsu_bus_buffer.scala 524:24] - node _T_3869 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:48] - node _T_3870 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 525:111] - node _T_3871 = and(bus_rsp_read_error, _T_3870) @[el2_lsu_bus_buffer.scala 525:91] - node _T_3872 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 526:42] - node _T_3873 = and(bus_rsp_read_error, _T_3872) @[el2_lsu_bus_buffer.scala 526:31] - node _T_3874 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 526:66] - node _T_3875 = and(_T_3873, _T_3874) @[el2_lsu_bus_buffer.scala 526:46] - node _T_3876 = or(_T_3871, _T_3875) @[el2_lsu_bus_buffer.scala 525:143] - node _T_3877 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 527:32] - node _T_3878 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 527:74] - node _T_3879 = and(_T_3877, _T_3878) @[el2_lsu_bus_buffer.scala 527:53] - node _T_3880 = or(_T_3876, _T_3879) @[el2_lsu_bus_buffer.scala 526:88] - node _T_3881 = and(_T_3869, _T_3880) @[el2_lsu_bus_buffer.scala 525:68] - buf_error_en[1] <= _T_3881 @[el2_lsu_bus_buffer.scala 525:25] - node _T_3882 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:50] - node _T_3883 = and(buf_state_en[1], _T_3882) @[el2_lsu_bus_buffer.scala 528:48] - node _T_3884 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 528:84] - node _T_3885 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 528:102] - node _T_3886 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:125] - node _T_3887 = mux(_T_3884, _T_3885, _T_3886) @[el2_lsu_bus_buffer.scala 528:72] - node _T_3888 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:148] - node _T_3889 = mux(_T_3883, _T_3887, _T_3888) @[el2_lsu_bus_buffer.scala 528:30] - buf_data_in[1] <= _T_3889 @[el2_lsu_bus_buffer.scala 528:24] + node _T_3840 = and(_T_3823, _T_3839) @[el2_lsu_bus_buffer.scala 519:101] + node _T_3841 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_3842 = and(_T_3840, _T_3841) @[el2_lsu_bus_buffer.scala 519:138] + node _T_3843 = and(_T_3842, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_3844 = or(_T_3818, _T_3843) @[el2_lsu_bus_buffer.scala 519:53] + node _T_3845 = mux(_T_3844, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_3846 = mux(_T_3816, UInt<3>("h04"), _T_3845) @[el2_lsu_bus_buffer.scala 518:14] + node _T_3847 = mux(_T_3809, UInt<3>("h00"), _T_3846) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[1] <= _T_3847 @[el2_lsu_bus_buffer.scala 517:25] + node _T_3848 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_3849 = and(bus_rsp_write, _T_3848) @[el2_lsu_bus_buffer.scala 520:52] + node _T_3850 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 522:23] + node _T_3852 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_3853 = and(_T_3851, _T_3852) @[el2_lsu_bus_buffer.scala 522:27] + node _T_3854 = or(_T_3850, _T_3853) @[el2_lsu_bus_buffer.scala 521:77] + node _T_3855 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_3856 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 523:54] + node _T_3857 = not(_T_3856) @[el2_lsu_bus_buffer.scala 523:44] + node _T_3858 = and(_T_3855, _T_3857) @[el2_lsu_bus_buffer.scala 523:42] + node _T_3859 = and(_T_3858, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_3860 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_3861 = and(_T_3859, _T_3860) @[el2_lsu_bus_buffer.scala 523:74] + node _T_3862 = or(_T_3854, _T_3861) @[el2_lsu_bus_buffer.scala 522:71] + node _T_3863 = and(bus_rsp_read, _T_3862) @[el2_lsu_bus_buffer.scala 521:25] + node _T_3864 = or(_T_3849, _T_3863) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[1] <= _T_3864 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 524:29] + node _T_3865 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_3866 = or(_T_3865, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[1] <= _T_3866 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3867 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_3868 = and(_T_3867, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[1] <= _T_3868 @[el2_lsu_bus_buffer.scala 526:24] + node _T_3869 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_3870 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_3871 = and(bus_rsp_read_error, _T_3870) @[el2_lsu_bus_buffer.scala 527:91] + node _T_3872 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 528:42] + node _T_3873 = and(bus_rsp_read_error, _T_3872) @[el2_lsu_bus_buffer.scala 528:31] + node _T_3874 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_3875 = and(_T_3873, _T_3874) @[el2_lsu_bus_buffer.scala 528:46] + node _T_3876 = or(_T_3871, _T_3875) @[el2_lsu_bus_buffer.scala 527:143] + node _T_3877 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_3878 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_3879 = and(_T_3877, _T_3878) @[el2_lsu_bus_buffer.scala 529:53] + node _T_3880 = or(_T_3876, _T_3879) @[el2_lsu_bus_buffer.scala 528:88] + node _T_3881 = and(_T_3869, _T_3880) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[1] <= _T_3881 @[el2_lsu_bus_buffer.scala 527:25] + node _T_3882 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_3883 = and(buf_state_en[1], _T_3882) @[el2_lsu_bus_buffer.scala 530:48] + node _T_3884 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_3885 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_3886 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_3887 = mux(_T_3884, _T_3885, _T_3886) @[el2_lsu_bus_buffer.scala 530:72] + node _T_3888 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_3889 = mux(_T_3883, _T_3887, _T_3888) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[1] <= _T_3889 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3890 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] when _T_3890 : @[Conditional.scala 39:67] - node _T_3891 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 531:60] - node _T_3892 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 531:86] - node _T_3893 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 531:101] - node _T_3894 = bits(_T_3893, 0, 0) @[el2_lsu_bus_buffer.scala 531:101] - node _T_3895 = or(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 531:90] - node _T_3896 = or(_T_3895, any_done_wait_state) @[el2_lsu_bus_buffer.scala 531:118] - node _T_3897 = mux(_T_3896, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 531:75] - node _T_3898 = mux(_T_3891, UInt<3>("h00"), _T_3897) @[el2_lsu_bus_buffer.scala 531:31] - buf_nxtstate[1] <= _T_3898 @[el2_lsu_bus_buffer.scala 531:25] - node _T_3899 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 532:66] - node _T_3900 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:21] - node _T_3901 = bits(_T_3900, 0, 0) @[el2_lsu_bus_buffer.scala 533:21] - node _T_3902 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 533:38] - node _T_3904 = or(_T_3899, _T_3903) @[el2_lsu_bus_buffer.scala 532:95] - node _T_3905 = and(bus_rsp_read, _T_3904) @[el2_lsu_bus_buffer.scala 532:45] - buf_state_bus_en[1] <= _T_3905 @[el2_lsu_bus_buffer.scala 532:29] - node _T_3906 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] - node _T_3907 = or(_T_3906, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] - buf_state_en[1] <= _T_3907 @[el2_lsu_bus_buffer.scala 534:25] + node _T_3891 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_3892 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 533:86] + node _T_3893 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3894 = bits(_T_3893, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3895 = or(_T_3892, _T_3894) @[el2_lsu_bus_buffer.scala 533:90] + node _T_3896 = or(_T_3895, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_3897 = mux(_T_3896, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_3898 = mux(_T_3891, UInt<3>("h00"), _T_3897) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[1] <= _T_3898 @[el2_lsu_bus_buffer.scala 533:25] + node _T_3899 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_3900 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3901 = bits(_T_3900, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3902 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_3903 = and(_T_3901, _T_3902) @[el2_lsu_bus_buffer.scala 535:38] + node _T_3904 = or(_T_3899, _T_3903) @[el2_lsu_bus_buffer.scala 534:95] + node _T_3905 = and(bus_rsp_read, _T_3904) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[1] <= _T_3905 @[el2_lsu_bus_buffer.scala 534:29] + node _T_3906 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_3907 = or(_T_3906, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[1] <= _T_3907 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3908 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] when _T_3908 : @[Conditional.scala 39:67] - node _T_3909 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] - node _T_3910 = mux(_T_3909, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 537:31] - buf_nxtstate[1] <= _T_3910 @[el2_lsu_bus_buffer.scala 537:25] - node _T_3911 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 538:37] - node _T_3912 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 538:98] - node _T_3913 = and(buf_dual[1], _T_3912) @[el2_lsu_bus_buffer.scala 538:80] - node _T_3914 = or(_T_3911, _T_3913) @[el2_lsu_bus_buffer.scala 538:65] - node _T_3915 = or(_T_3914, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:112] - buf_state_en[1] <= _T_3915 @[el2_lsu_bus_buffer.scala 538:25] + node _T_3909 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_3910 = mux(_T_3909, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[1] <= _T_3910 @[el2_lsu_bus_buffer.scala 539:25] + node _T_3911 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_3912 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_3913 = and(buf_dual[1], _T_3912) @[el2_lsu_bus_buffer.scala 540:80] + node _T_3914 = or(_T_3911, _T_3913) @[el2_lsu_bus_buffer.scala 540:65] + node _T_3915 = or(_T_3914, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[1] <= _T_3915 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3916 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] when _T_3916 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 541:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 542:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 545:25] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_3917 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 548:108] + node _T_3917 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] reg _T_3918 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3917 : @[Reg.scala 28:19] _T_3918 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3918 @[el2_lsu_bus_buffer.scala 548:18] - reg _T_3919 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:60] - _T_3919 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 549:60] - buf_ageQ[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 549:17] - reg _T_3920 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:63] - _T_3920 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 550:63] - buf_rspageQ[1] <= _T_3920 @[el2_lsu_bus_buffer.scala 550:20] - node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 551:109] + buf_state[1] <= _T_3918 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_3919 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_3919 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[1] <= _T_3919 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_3920 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_3920 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[1] <= _T_3920 @[el2_lsu_bus_buffer.scala 552:20] + node _T_3921 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] reg _T_3922 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3921 : @[Reg.scala 28:19] _T_3922 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 551:20] - node _T_3923 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 552:74] - node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 552:107] + buf_dualtag[1] <= _T_3922 @[el2_lsu_bus_buffer.scala 553:20] + node _T_3923 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 554:74] + node _T_3924 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] reg _T_3925 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3924 : @[Reg.scala 28:19] _T_3925 <= _T_3923 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 552:17] - node _T_3926 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 553:78] - node _T_3927 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 553:111] + buf_dual[1] <= _T_3925 @[el2_lsu_bus_buffer.scala 554:17] + node _T_3926 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:78] + node _T_3927 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] reg _T_3928 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3927 : @[Reg.scala 28:19] _T_3928 <= _T_3926 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3928 @[el2_lsu_bus_buffer.scala 553:19] - node _T_3929 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 554:80] - node _T_3930 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:113] + buf_samedw[1] <= _T_3928 @[el2_lsu_bus_buffer.scala 555:19] + node _T_3929 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:80] + node _T_3930 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] reg _T_3931 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3930 : @[Reg.scala 28:19] _T_3931 <= _T_3929 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3931 @[el2_lsu_bus_buffer.scala 554:20] - node _T_3932 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:78] - node _T_3933 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + buf_nomerge[1] <= _T_3931 @[el2_lsu_bus_buffer.scala 556:20] + node _T_3932 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 557:78] + node _T_3933 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] reg _T_3934 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3933 : @[Reg.scala 28:19] _T_3934 <= _T_3932 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3934 @[el2_lsu_bus_buffer.scala 555:19] + buf_dualhi[1] <= _T_3934 @[el2_lsu_bus_buffer.scala 557:19] node _T_3935 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] when _T_3935 : @[Conditional.scala 40:58] - node _T_3936 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 492:56] - node _T_3937 = mux(_T_3936, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[2] <= _T_3937 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3938 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 493:45] - node _T_3939 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:77] - node _T_3940 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:97] - node _T_3941 = and(_T_3939, _T_3940) @[el2_lsu_bus_buffer.scala 493:95] - node _T_3942 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 493:117] - node _T_3943 = and(_T_3941, _T_3942) @[el2_lsu_bus_buffer.scala 493:112] - node _T_3944 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:144] - node _T_3945 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:166] - node _T_3946 = and(_T_3944, _T_3945) @[el2_lsu_bus_buffer.scala 493:161] - node _T_3947 = or(_T_3943, _T_3946) @[el2_lsu_bus_buffer.scala 493:132] - node _T_3948 = and(_T_3938, _T_3947) @[el2_lsu_bus_buffer.scala 493:63] - node _T_3949 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 493:206] - node _T_3950 = and(ibuf_drain_vld, _T_3949) @[el2_lsu_bus_buffer.scala 493:201] - node _T_3951 = or(_T_3948, _T_3950) @[el2_lsu_bus_buffer.scala 493:183] - buf_state_en[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 493:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 494:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 495:24] - node _T_3952 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:52] - node _T_3953 = and(ibuf_drain_vld, _T_3952) @[el2_lsu_bus_buffer.scala 496:47] - node _T_3954 = bits(_T_3953, 0, 0) @[el2_lsu_bus_buffer.scala 496:73] - node _T_3955 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 496:90] - node _T_3956 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 496:114] - node _T_3957 = mux(_T_3954, _T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[2] <= _T_3957 @[el2_lsu_bus_buffer.scala 496:24] + node _T_3936 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_3937 = mux(_T_3936, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[2] <= _T_3937 @[el2_lsu_bus_buffer.scala 494:25] + node _T_3938 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_3939 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3940 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_3941 = and(_T_3939, _T_3940) @[el2_lsu_bus_buffer.scala 495:95] + node _T_3942 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_3943 = and(_T_3941, _T_3942) @[el2_lsu_bus_buffer.scala 495:112] + node _T_3944 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_3945 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_3946 = and(_T_3944, _T_3945) @[el2_lsu_bus_buffer.scala 495:161] + node _T_3947 = or(_T_3943, _T_3946) @[el2_lsu_bus_buffer.scala 495:132] + node _T_3948 = and(_T_3938, _T_3947) @[el2_lsu_bus_buffer.scala 495:63] + node _T_3949 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_3950 = and(ibuf_drain_vld, _T_3949) @[el2_lsu_bus_buffer.scala 495:201] + node _T_3951 = or(_T_3948, _T_3950) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 497:24] + node _T_3952 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_3953 = and(ibuf_drain_vld, _T_3952) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3954 = bits(_T_3953, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_3955 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3956 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_3957 = mux(_T_3954, _T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[2] <= _T_3957 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_3958 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] when _T_3958 : @[Conditional.scala 39:67] - node _T_3959 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_3960 = mux(_T_3959, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[2] <= _T_3960 @[el2_lsu_bus_buffer.scala 499:25] - node _T_3961 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:46] - buf_state_en[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 500:25] + node _T_3959 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_3960 = mux(_T_3959, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[2] <= _T_3960 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3961 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3962 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] when _T_3962 : @[Conditional.scala 39:67] - node _T_3963 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_3964 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 503:89] - node _T_3965 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 503:124] - node _T_3966 = and(_T_3964, _T_3965) @[el2_lsu_bus_buffer.scala 503:104] - node _T_3967 = mux(_T_3966, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:75] - node _T_3968 = mux(_T_3963, UInt<3>("h00"), _T_3967) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[2] <= _T_3968 @[el2_lsu_bus_buffer.scala 503:25] - node _T_3969 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 504:48] - node _T_3970 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 504:104] - node _T_3971 = and(obuf_merge, _T_3970) @[el2_lsu_bus_buffer.scala 504:91] - node _T_3972 = or(_T_3969, _T_3971) @[el2_lsu_bus_buffer.scala 504:77] - node _T_3973 = and(_T_3972, obuf_valid) @[el2_lsu_bus_buffer.scala 504:135] - node _T_3974 = and(_T_3973, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 504:148] - buf_cmd_state_bus_en[2] <= _T_3974 @[el2_lsu_bus_buffer.scala 504:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 505:29] - node _T_3975 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:49] - node _T_3976 = or(_T_3975, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:70] - buf_state_en[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 506:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 507:25] - node _T_3977 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 508:56] - node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:46] - node _T_3979 = and(buf_state_en[2], _T_3978) @[el2_lsu_bus_buffer.scala 508:44] - node _T_3980 = and(_T_3979, obuf_nosend) @[el2_lsu_bus_buffer.scala 508:60] - node _T_3981 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:76] - node _T_3982 = and(_T_3980, _T_3981) @[el2_lsu_bus_buffer.scala 508:74] - buf_ldfwd_en[2] <= _T_3982 @[el2_lsu_bus_buffer.scala 508:25] - node _T_3983 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 509:46] - buf_ldfwdtag_in[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 509:28] - node _T_3984 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:47] - node _T_3985 = and(_T_3984, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:67] - node _T_3986 = and(_T_3985, bus_rsp_read) @[el2_lsu_bus_buffer.scala 510:81] - buf_data_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 510:24] - node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:48] - node _T_3988 = and(_T_3987, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:68] - node _T_3989 = and(_T_3988, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 511:82] - buf_error_en[2] <= _T_3989 @[el2_lsu_bus_buffer.scala 511:25] - node _T_3990 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:61] - node _T_3991 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 512:85] - node _T_3992 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 512:103] - node _T_3993 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:126] - node _T_3994 = mux(_T_3991, _T_3992, _T_3993) @[el2_lsu_bus_buffer.scala 512:73] - node _T_3995 = mux(buf_error_en[2], _T_3990, _T_3994) @[el2_lsu_bus_buffer.scala 512:30] - buf_data_in[2] <= _T_3995 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3963 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3964 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_3965 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_3966 = and(_T_3964, _T_3965) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3967 = mux(_T_3966, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3968 = mux(_T_3963, UInt<3>("h00"), _T_3967) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[2] <= _T_3968 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3969 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_3970 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3971 = and(obuf_merge, _T_3970) @[el2_lsu_bus_buffer.scala 506:91] + node _T_3972 = or(_T_3969, _T_3971) @[el2_lsu_bus_buffer.scala 506:77] + node _T_3973 = and(_T_3972, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_3974 = and(_T_3973, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[2] <= _T_3974 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3975 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3976 = or(_T_3975, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[2] <= _T_3976 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_3977 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 510:56] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_3979 = and(buf_state_en[2], _T_3978) @[el2_lsu_bus_buffer.scala 510:44] + node _T_3980 = and(_T_3979, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3981 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_3982 = and(_T_3980, _T_3981) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[2] <= _T_3982 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3983 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[2] <= _T_3983 @[el2_lsu_bus_buffer.scala 511:28] + node _T_3984 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_3985 = and(_T_3984, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_3986 = and(_T_3985, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[2] <= _T_3986 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3987 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3988 = and(_T_3987, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_3989 = and(_T_3988, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[2] <= _T_3989 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3990 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_3991 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_3992 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_3993 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_3994 = mux(_T_3991, _T_3992, _T_3993) @[el2_lsu_bus_buffer.scala 514:73] + node _T_3995 = mux(buf_error_en[2], _T_3990, _T_3994) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[2] <= _T_3995 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_3996 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] when _T_3996 : @[Conditional.scala 39:67] - node _T_3997 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 515:67] - node _T_3998 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 515:94] - node _T_3999 = eq(_T_3998, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 515:73] - node _T_4000 = and(_T_3997, _T_3999) @[el2_lsu_bus_buffer.scala 515:71] - node _T_4001 = or(io.dec_tlu_force_halt, _T_4000) @[el2_lsu_bus_buffer.scala 515:55] - node _T_4002 = bits(_T_4001, 0, 0) @[el2_lsu_bus_buffer.scala 515:125] - node _T_4003 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:30] - node _T_4004 = and(buf_dual[2], _T_4003) @[el2_lsu_bus_buffer.scala 516:28] - node _T_4005 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 516:57] - node _T_4006 = eq(_T_4005, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:47] - node _T_4007 = and(_T_4004, _T_4006) @[el2_lsu_bus_buffer.scala 516:45] - node _T_4008 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 516:90] - node _T_4009 = and(_T_4007, _T_4008) @[el2_lsu_bus_buffer.scala 516:61] - node _T_4010 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 517:27] - node _T_4011 = or(_T_4010, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:31] - node _T_4012 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:70] - node _T_4013 = and(buf_dual[2], _T_4012) @[el2_lsu_bus_buffer.scala 517:68] - node _T_4014 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 517:97] - node _T_4015 = eq(_T_4014, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:87] - node _T_4016 = and(_T_4013, _T_4015) @[el2_lsu_bus_buffer.scala 517:85] + node _T_3997 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 517:67] + node _T_3998 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_3999 = eq(_T_3998, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_4000 = and(_T_3997, _T_3999) @[el2_lsu_bus_buffer.scala 517:71] + node _T_4001 = or(io.dec_tlu_force_halt, _T_4000) @[el2_lsu_bus_buffer.scala 517:55] + node _T_4002 = bits(_T_4001, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_4003 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_4004 = and(buf_dual[2], _T_4003) @[el2_lsu_bus_buffer.scala 518:28] + node _T_4005 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:57] + node _T_4006 = eq(_T_4005, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_4007 = and(_T_4004, _T_4006) @[el2_lsu_bus_buffer.scala 518:45] + node _T_4008 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_4009 = and(_T_4007, _T_4008) @[el2_lsu_bus_buffer.scala 518:61] + node _T_4010 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 519:27] + node _T_4011 = or(_T_4010, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_4012 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_4013 = and(buf_dual[2], _T_4012) @[el2_lsu_bus_buffer.scala 519:68] + node _T_4014 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:97] + node _T_4015 = eq(_T_4014, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_4016 = and(_T_4013, _T_4015) @[el2_lsu_bus_buffer.scala 519:85] node _T_4017 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] node _T_4018 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] node _T_4019 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] @@ -5146,265 +5146,265 @@ circuit el2_lsu_bus_buffer : node _T_4031 = or(_T_4030, _T_4028) @[Mux.scala 27:72] wire _T_4032 : UInt<1> @[Mux.scala 27:72] _T_4032 <= _T_4031 @[Mux.scala 27:72] - node _T_4033 = and(_T_4016, _T_4032) @[el2_lsu_bus_buffer.scala 517:101] - node _T_4034 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:167] - node _T_4035 = and(_T_4033, _T_4034) @[el2_lsu_bus_buffer.scala 517:138] - node _T_4036 = and(_T_4035, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:187] - node _T_4037 = or(_T_4011, _T_4036) @[el2_lsu_bus_buffer.scala 517:53] - node _T_4038 = mux(_T_4037, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 517:16] - node _T_4039 = mux(_T_4009, UInt<3>("h04"), _T_4038) @[el2_lsu_bus_buffer.scala 516:14] - node _T_4040 = mux(_T_4002, UInt<3>("h00"), _T_4039) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[2] <= _T_4040 @[el2_lsu_bus_buffer.scala 515:25] - node _T_4041 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_4042 = and(bus_rsp_write, _T_4041) @[el2_lsu_bus_buffer.scala 518:52] - node _T_4043 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 519:46] - node _T_4044 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 520:23] - node _T_4045 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 520:47] - node _T_4046 = and(_T_4044, _T_4045) @[el2_lsu_bus_buffer.scala 520:27] - node _T_4047 = or(_T_4043, _T_4046) @[el2_lsu_bus_buffer.scala 519:77] - node _T_4048 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 521:26] - node _T_4049 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 521:54] - node _T_4050 = not(_T_4049) @[el2_lsu_bus_buffer.scala 521:44] - node _T_4051 = and(_T_4048, _T_4050) @[el2_lsu_bus_buffer.scala 521:42] - node _T_4052 = and(_T_4051, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 521:58] - node _T_4053 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 521:94] - node _T_4054 = and(_T_4052, _T_4053) @[el2_lsu_bus_buffer.scala 521:74] - node _T_4055 = or(_T_4047, _T_4054) @[el2_lsu_bus_buffer.scala 520:71] - node _T_4056 = and(bus_rsp_read, _T_4055) @[el2_lsu_bus_buffer.scala 519:25] - node _T_4057 = or(_T_4042, _T_4056) @[el2_lsu_bus_buffer.scala 518:105] - buf_resp_state_bus_en[2] <= _T_4057 @[el2_lsu_bus_buffer.scala 518:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 522:29] - node _T_4058 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:49] - node _T_4059 = or(_T_4058, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:70] - buf_state_en[2] <= _T_4059 @[el2_lsu_bus_buffer.scala 523:25] - node _T_4060 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 524:47] - node _T_4061 = and(_T_4060, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:62] - buf_data_en[2] <= _T_4061 @[el2_lsu_bus_buffer.scala 524:24] - node _T_4062 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:48] - node _T_4063 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 525:111] - node _T_4064 = and(bus_rsp_read_error, _T_4063) @[el2_lsu_bus_buffer.scala 525:91] - node _T_4065 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 526:42] - node _T_4066 = and(bus_rsp_read_error, _T_4065) @[el2_lsu_bus_buffer.scala 526:31] - node _T_4067 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 526:66] - node _T_4068 = and(_T_4066, _T_4067) @[el2_lsu_bus_buffer.scala 526:46] - node _T_4069 = or(_T_4064, _T_4068) @[el2_lsu_bus_buffer.scala 525:143] - node _T_4070 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 527:32] - node _T_4071 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 527:74] - node _T_4072 = and(_T_4070, _T_4071) @[el2_lsu_bus_buffer.scala 527:53] - node _T_4073 = or(_T_4069, _T_4072) @[el2_lsu_bus_buffer.scala 526:88] - node _T_4074 = and(_T_4062, _T_4073) @[el2_lsu_bus_buffer.scala 525:68] - buf_error_en[2] <= _T_4074 @[el2_lsu_bus_buffer.scala 525:25] - node _T_4075 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:50] - node _T_4076 = and(buf_state_en[2], _T_4075) @[el2_lsu_bus_buffer.scala 528:48] - node _T_4077 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 528:84] - node _T_4078 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 528:102] - node _T_4079 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:125] - node _T_4080 = mux(_T_4077, _T_4078, _T_4079) @[el2_lsu_bus_buffer.scala 528:72] - node _T_4081 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:148] - node _T_4082 = mux(_T_4076, _T_4080, _T_4081) @[el2_lsu_bus_buffer.scala 528:30] - buf_data_in[2] <= _T_4082 @[el2_lsu_bus_buffer.scala 528:24] + node _T_4033 = and(_T_4016, _T_4032) @[el2_lsu_bus_buffer.scala 519:101] + node _T_4034 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_4035 = and(_T_4033, _T_4034) @[el2_lsu_bus_buffer.scala 519:138] + node _T_4036 = and(_T_4035, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_4037 = or(_T_4011, _T_4036) @[el2_lsu_bus_buffer.scala 519:53] + node _T_4038 = mux(_T_4037, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_4039 = mux(_T_4009, UInt<3>("h04"), _T_4038) @[el2_lsu_bus_buffer.scala 518:14] + node _T_4040 = mux(_T_4002, UInt<3>("h00"), _T_4039) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[2] <= _T_4040 @[el2_lsu_bus_buffer.scala 517:25] + node _T_4041 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_4042 = and(bus_rsp_write, _T_4041) @[el2_lsu_bus_buffer.scala 520:52] + node _T_4043 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_4044 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 522:23] + node _T_4045 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_4046 = and(_T_4044, _T_4045) @[el2_lsu_bus_buffer.scala 522:27] + node _T_4047 = or(_T_4043, _T_4046) @[el2_lsu_bus_buffer.scala 521:77] + node _T_4048 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_4049 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 523:54] + node _T_4050 = not(_T_4049) @[el2_lsu_bus_buffer.scala 523:44] + node _T_4051 = and(_T_4048, _T_4050) @[el2_lsu_bus_buffer.scala 523:42] + node _T_4052 = and(_T_4051, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_4053 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_4054 = and(_T_4052, _T_4053) @[el2_lsu_bus_buffer.scala 523:74] + node _T_4055 = or(_T_4047, _T_4054) @[el2_lsu_bus_buffer.scala 522:71] + node _T_4056 = and(bus_rsp_read, _T_4055) @[el2_lsu_bus_buffer.scala 521:25] + node _T_4057 = or(_T_4042, _T_4056) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[2] <= _T_4057 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 524:29] + node _T_4058 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_4059 = or(_T_4058, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[2] <= _T_4059 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4060 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_4061 = and(_T_4060, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[2] <= _T_4061 @[el2_lsu_bus_buffer.scala 526:24] + node _T_4062 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_4063 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_4064 = and(bus_rsp_read_error, _T_4063) @[el2_lsu_bus_buffer.scala 527:91] + node _T_4065 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 528:42] + node _T_4066 = and(bus_rsp_read_error, _T_4065) @[el2_lsu_bus_buffer.scala 528:31] + node _T_4067 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_4068 = and(_T_4066, _T_4067) @[el2_lsu_bus_buffer.scala 528:46] + node _T_4069 = or(_T_4064, _T_4068) @[el2_lsu_bus_buffer.scala 527:143] + node _T_4070 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_4071 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_4072 = and(_T_4070, _T_4071) @[el2_lsu_bus_buffer.scala 529:53] + node _T_4073 = or(_T_4069, _T_4072) @[el2_lsu_bus_buffer.scala 528:88] + node _T_4074 = and(_T_4062, _T_4073) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[2] <= _T_4074 @[el2_lsu_bus_buffer.scala 527:25] + node _T_4075 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_4076 = and(buf_state_en[2], _T_4075) @[el2_lsu_bus_buffer.scala 530:48] + node _T_4077 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_4078 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_4079 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_4080 = mux(_T_4077, _T_4078, _T_4079) @[el2_lsu_bus_buffer.scala 530:72] + node _T_4081 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_4082 = mux(_T_4076, _T_4080, _T_4081) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[2] <= _T_4082 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4083 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] when _T_4083 : @[Conditional.scala 39:67] - node _T_4084 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 531:60] - node _T_4085 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 531:86] - node _T_4086 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 531:101] - node _T_4087 = bits(_T_4086, 0, 0) @[el2_lsu_bus_buffer.scala 531:101] - node _T_4088 = or(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 531:90] - node _T_4089 = or(_T_4088, any_done_wait_state) @[el2_lsu_bus_buffer.scala 531:118] - node _T_4090 = mux(_T_4089, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 531:75] - node _T_4091 = mux(_T_4084, UInt<3>("h00"), _T_4090) @[el2_lsu_bus_buffer.scala 531:31] - buf_nxtstate[2] <= _T_4091 @[el2_lsu_bus_buffer.scala 531:25] - node _T_4092 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 532:66] - node _T_4093 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:21] - node _T_4094 = bits(_T_4093, 0, 0) @[el2_lsu_bus_buffer.scala 533:21] - node _T_4095 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 533:38] - node _T_4097 = or(_T_4092, _T_4096) @[el2_lsu_bus_buffer.scala 532:95] - node _T_4098 = and(bus_rsp_read, _T_4097) @[el2_lsu_bus_buffer.scala 532:45] - buf_state_bus_en[2] <= _T_4098 @[el2_lsu_bus_buffer.scala 532:29] - node _T_4099 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] - node _T_4100 = or(_T_4099, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] - buf_state_en[2] <= _T_4100 @[el2_lsu_bus_buffer.scala 534:25] + node _T_4084 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_4085 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 533:86] + node _T_4086 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4087 = bits(_T_4086, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4088 = or(_T_4085, _T_4087) @[el2_lsu_bus_buffer.scala 533:90] + node _T_4089 = or(_T_4088, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_4090 = mux(_T_4089, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_4091 = mux(_T_4084, UInt<3>("h00"), _T_4090) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[2] <= _T_4091 @[el2_lsu_bus_buffer.scala 533:25] + node _T_4092 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_4093 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4094 = bits(_T_4093, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4095 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_4096 = and(_T_4094, _T_4095) @[el2_lsu_bus_buffer.scala 535:38] + node _T_4097 = or(_T_4092, _T_4096) @[el2_lsu_bus_buffer.scala 534:95] + node _T_4098 = and(bus_rsp_read, _T_4097) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[2] <= _T_4098 @[el2_lsu_bus_buffer.scala 534:29] + node _T_4099 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_4100 = or(_T_4099, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[2] <= _T_4100 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4101 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] when _T_4101 : @[Conditional.scala 39:67] - node _T_4102 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4103 = mux(_T_4102, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 537:31] - buf_nxtstate[2] <= _T_4103 @[el2_lsu_bus_buffer.scala 537:25] - node _T_4104 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 538:37] - node _T_4105 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 538:98] - node _T_4106 = and(buf_dual[2], _T_4105) @[el2_lsu_bus_buffer.scala 538:80] - node _T_4107 = or(_T_4104, _T_4106) @[el2_lsu_bus_buffer.scala 538:65] - node _T_4108 = or(_T_4107, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:112] - buf_state_en[2] <= _T_4108 @[el2_lsu_bus_buffer.scala 538:25] + node _T_4102 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4103 = mux(_T_4102, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[2] <= _T_4103 @[el2_lsu_bus_buffer.scala 539:25] + node _T_4104 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_4105 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_4106 = and(buf_dual[2], _T_4105) @[el2_lsu_bus_buffer.scala 540:80] + node _T_4107 = or(_T_4104, _T_4106) @[el2_lsu_bus_buffer.scala 540:65] + node _T_4108 = or(_T_4107, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[2] <= _T_4108 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4109 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] when _T_4109 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 541:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 542:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 545:25] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_4110 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 548:108] + node _T_4110 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] reg _T_4111 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4110 : @[Reg.scala 28:19] _T_4111 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4111 @[el2_lsu_bus_buffer.scala 548:18] - reg _T_4112 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:60] - _T_4112 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 549:60] - buf_ageQ[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 549:17] - reg _T_4113 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:63] - _T_4113 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 550:63] - buf_rspageQ[2] <= _T_4113 @[el2_lsu_bus_buffer.scala 550:20] - node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 551:109] + buf_state[2] <= _T_4111 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_4112 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_4112 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[2] <= _T_4112 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_4113 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_4113 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[2] <= _T_4113 @[el2_lsu_bus_buffer.scala 552:20] + node _T_4114 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] reg _T_4115 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4114 : @[Reg.scala 28:19] _T_4115 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 551:20] - node _T_4116 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 552:74] - node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 552:107] + buf_dualtag[2] <= _T_4115 @[el2_lsu_bus_buffer.scala 553:20] + node _T_4116 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 554:74] + node _T_4117 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] reg _T_4118 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4117 : @[Reg.scala 28:19] _T_4118 <= _T_4116 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 552:17] - node _T_4119 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4120 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 553:111] + buf_dual[2] <= _T_4118 @[el2_lsu_bus_buffer.scala 554:17] + node _T_4119 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4120 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] reg _T_4121 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4120 : @[Reg.scala 28:19] _T_4121 <= _T_4119 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4121 @[el2_lsu_bus_buffer.scala 553:19] - node _T_4122 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 554:80] - node _T_4123 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:113] + buf_samedw[2] <= _T_4121 @[el2_lsu_bus_buffer.scala 555:19] + node _T_4122 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4123 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] reg _T_4124 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4123 : @[Reg.scala 28:19] _T_4124 <= _T_4122 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4124 @[el2_lsu_bus_buffer.scala 554:20] - node _T_4125 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4126 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + buf_nomerge[2] <= _T_4124 @[el2_lsu_bus_buffer.scala 556:20] + node _T_4125 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 557:78] + node _T_4126 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] reg _T_4127 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4126 : @[Reg.scala 28:19] _T_4127 <= _T_4125 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4127 @[el2_lsu_bus_buffer.scala 555:19] + buf_dualhi[2] <= _T_4127 @[el2_lsu_bus_buffer.scala 557:19] node _T_4128 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] when _T_4128 : @[Conditional.scala 40:58] - node _T_4129 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 492:56] - node _T_4130 = mux(_T_4129, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:31] - buf_nxtstate[3] <= _T_4130 @[el2_lsu_bus_buffer.scala 492:25] - node _T_4131 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 493:45] - node _T_4132 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:77] - node _T_4133 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 493:97] - node _T_4134 = and(_T_4132, _T_4133) @[el2_lsu_bus_buffer.scala 493:95] - node _T_4135 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 493:117] - node _T_4136 = and(_T_4134, _T_4135) @[el2_lsu_bus_buffer.scala 493:112] - node _T_4137 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 493:144] - node _T_4138 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 493:166] - node _T_4139 = and(_T_4137, _T_4138) @[el2_lsu_bus_buffer.scala 493:161] - node _T_4140 = or(_T_4136, _T_4139) @[el2_lsu_bus_buffer.scala 493:132] - node _T_4141 = and(_T_4131, _T_4140) @[el2_lsu_bus_buffer.scala 493:63] - node _T_4142 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 493:206] - node _T_4143 = and(ibuf_drain_vld, _T_4142) @[el2_lsu_bus_buffer.scala 493:201] - node _T_4144 = or(_T_4141, _T_4143) @[el2_lsu_bus_buffer.scala 493:183] - buf_state_en[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 493:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 494:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 495:24] - node _T_4145 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 496:52] - node _T_4146 = and(ibuf_drain_vld, _T_4145) @[el2_lsu_bus_buffer.scala 496:47] - node _T_4147 = bits(_T_4146, 0, 0) @[el2_lsu_bus_buffer.scala 496:73] - node _T_4148 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 496:90] - node _T_4149 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 496:114] - node _T_4150 = mux(_T_4147, _T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 496:30] - buf_data_in[3] <= _T_4150 @[el2_lsu_bus_buffer.scala 496:24] + node _T_4129 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_4130 = mux(_T_4129, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[3] <= _T_4130 @[el2_lsu_bus_buffer.scala 494:25] + node _T_4131 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_4132 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_4133 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_4134 = and(_T_4132, _T_4133) @[el2_lsu_bus_buffer.scala 495:95] + node _T_4135 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_4136 = and(_T_4134, _T_4135) @[el2_lsu_bus_buffer.scala 495:112] + node _T_4137 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_4138 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_4139 = and(_T_4137, _T_4138) @[el2_lsu_bus_buffer.scala 495:161] + node _T_4140 = or(_T_4136, _T_4139) @[el2_lsu_bus_buffer.scala 495:132] + node _T_4141 = and(_T_4131, _T_4140) @[el2_lsu_bus_buffer.scala 495:63] + node _T_4142 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_4143 = and(ibuf_drain_vld, _T_4142) @[el2_lsu_bus_buffer.scala 495:201] + node _T_4144 = or(_T_4141, _T_4143) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 497:24] + node _T_4145 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_4146 = and(ibuf_drain_vld, _T_4145) @[el2_lsu_bus_buffer.scala 498:47] + node _T_4147 = bits(_T_4146, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_4148 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_4149 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_4150 = mux(_T_4147, _T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[3] <= _T_4150 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_4151 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] when _T_4151 : @[Conditional.scala 39:67] - node _T_4152 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 499:60] - node _T_4153 = mux(_T_4152, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 499:31] - buf_nxtstate[3] <= _T_4153 @[el2_lsu_bus_buffer.scala 499:25] - node _T_4154 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 500:46] - buf_state_en[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 500:25] + node _T_4152 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_4153 = mux(_T_4152, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[3] <= _T_4153 @[el2_lsu_bus_buffer.scala 501:25] + node _T_4154 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4155 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] when _T_4155 : @[Conditional.scala 39:67] - node _T_4156 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 503:60] - node _T_4157 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 503:89] - node _T_4158 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 503:124] - node _T_4159 = and(_T_4157, _T_4158) @[el2_lsu_bus_buffer.scala 503:104] - node _T_4160 = mux(_T_4159, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 503:75] - node _T_4161 = mux(_T_4156, UInt<3>("h00"), _T_4160) @[el2_lsu_bus_buffer.scala 503:31] - buf_nxtstate[3] <= _T_4161 @[el2_lsu_bus_buffer.scala 503:25] - node _T_4162 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:48] - node _T_4163 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:104] - node _T_4164 = and(obuf_merge, _T_4163) @[el2_lsu_bus_buffer.scala 504:91] - node _T_4165 = or(_T_4162, _T_4164) @[el2_lsu_bus_buffer.scala 504:77] - node _T_4166 = and(_T_4165, obuf_valid) @[el2_lsu_bus_buffer.scala 504:135] - node _T_4167 = and(_T_4166, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 504:148] - buf_cmd_state_bus_en[3] <= _T_4167 @[el2_lsu_bus_buffer.scala 504:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 505:29] - node _T_4168 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 506:49] - node _T_4169 = or(_T_4168, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 506:70] - buf_state_en[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 506:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 507:25] - node _T_4170 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 508:56] - node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:46] - node _T_4172 = and(buf_state_en[3], _T_4171) @[el2_lsu_bus_buffer.scala 508:44] - node _T_4173 = and(_T_4172, obuf_nosend) @[el2_lsu_bus_buffer.scala 508:60] - node _T_4174 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 508:76] - node _T_4175 = and(_T_4173, _T_4174) @[el2_lsu_bus_buffer.scala 508:74] - buf_ldfwd_en[3] <= _T_4175 @[el2_lsu_bus_buffer.scala 508:25] - node _T_4176 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 509:46] - buf_ldfwdtag_in[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 509:28] - node _T_4177 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 510:47] - node _T_4178 = and(_T_4177, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:67] - node _T_4179 = and(_T_4178, bus_rsp_read) @[el2_lsu_bus_buffer.scala 510:81] - buf_data_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 510:24] - node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:48] - node _T_4181 = and(_T_4180, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:68] - node _T_4182 = and(_T_4181, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 511:82] - buf_error_en[3] <= _T_4182 @[el2_lsu_bus_buffer.scala 511:25] - node _T_4183 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:61] - node _T_4184 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 512:85] - node _T_4185 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 512:103] - node _T_4186 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 512:126] - node _T_4187 = mux(_T_4184, _T_4185, _T_4186) @[el2_lsu_bus_buffer.scala 512:73] - node _T_4188 = mux(buf_error_en[3], _T_4183, _T_4187) @[el2_lsu_bus_buffer.scala 512:30] - buf_data_in[3] <= _T_4188 @[el2_lsu_bus_buffer.scala 512:24] + node _T_4156 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_4157 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_4158 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_4159 = and(_T_4157, _T_4158) @[el2_lsu_bus_buffer.scala 505:104] + node _T_4160 = mux(_T_4159, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_4161 = mux(_T_4156, UInt<3>("h00"), _T_4160) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[3] <= _T_4161 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4162 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_4163 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_4164 = and(obuf_merge, _T_4163) @[el2_lsu_bus_buffer.scala 506:91] + node _T_4165 = or(_T_4162, _T_4164) @[el2_lsu_bus_buffer.scala 506:77] + node _T_4166 = and(_T_4165, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_4167 = and(_T_4166, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[3] <= _T_4167 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 507:29] + node _T_4168 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_4169 = or(_T_4168, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[3] <= _T_4169 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_4170 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 510:56] + node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_4172 = and(buf_state_en[3], _T_4171) @[el2_lsu_bus_buffer.scala 510:44] + node _T_4173 = and(_T_4172, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_4174 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_4175 = and(_T_4173, _T_4174) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[3] <= _T_4175 @[el2_lsu_bus_buffer.scala 510:25] + node _T_4176 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[3] <= _T_4176 @[el2_lsu_bus_buffer.scala 511:28] + node _T_4177 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_4178 = and(_T_4177, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_4179 = and(_T_4178, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[3] <= _T_4179 @[el2_lsu_bus_buffer.scala 512:24] + node _T_4180 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_4181 = and(_T_4180, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_4182 = and(_T_4181, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[3] <= _T_4182 @[el2_lsu_bus_buffer.scala 513:25] + node _T_4183 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_4184 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_4185 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_4186 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_4187 = mux(_T_4184, _T_4185, _T_4186) @[el2_lsu_bus_buffer.scala 514:73] + node _T_4188 = mux(buf_error_en[3], _T_4183, _T_4187) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[3] <= _T_4188 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4189 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] when _T_4189 : @[Conditional.scala 39:67] - node _T_4190 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 515:67] - node _T_4191 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 515:94] - node _T_4192 = eq(_T_4191, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 515:73] - node _T_4193 = and(_T_4190, _T_4192) @[el2_lsu_bus_buffer.scala 515:71] - node _T_4194 = or(io.dec_tlu_force_halt, _T_4193) @[el2_lsu_bus_buffer.scala 515:55] - node _T_4195 = bits(_T_4194, 0, 0) @[el2_lsu_bus_buffer.scala 515:125] - node _T_4196 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:30] - node _T_4197 = and(buf_dual[3], _T_4196) @[el2_lsu_bus_buffer.scala 516:28] - node _T_4198 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 516:57] - node _T_4199 = eq(_T_4198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:47] - node _T_4200 = and(_T_4197, _T_4199) @[el2_lsu_bus_buffer.scala 516:45] - node _T_4201 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 516:90] - node _T_4202 = and(_T_4200, _T_4201) @[el2_lsu_bus_buffer.scala 516:61] - node _T_4203 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 517:27] - node _T_4204 = or(_T_4203, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:31] - node _T_4205 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:70] - node _T_4206 = and(buf_dual[3], _T_4205) @[el2_lsu_bus_buffer.scala 517:68] - node _T_4207 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 517:97] - node _T_4208 = eq(_T_4207, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:87] - node _T_4209 = and(_T_4206, _T_4208) @[el2_lsu_bus_buffer.scala 517:85] + node _T_4190 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 517:67] + node _T_4191 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_4192 = eq(_T_4191, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_4193 = and(_T_4190, _T_4192) @[el2_lsu_bus_buffer.scala 517:71] + node _T_4194 = or(io.dec_tlu_force_halt, _T_4193) @[el2_lsu_bus_buffer.scala 517:55] + node _T_4195 = bits(_T_4194, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_4196 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_4197 = and(buf_dual[3], _T_4196) @[el2_lsu_bus_buffer.scala 518:28] + node _T_4198 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:57] + node _T_4199 = eq(_T_4198, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_4200 = and(_T_4197, _T_4199) @[el2_lsu_bus_buffer.scala 518:45] + node _T_4201 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_4202 = and(_T_4200, _T_4201) @[el2_lsu_bus_buffer.scala 518:61] + node _T_4203 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 519:27] + node _T_4204 = or(_T_4203, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_4205 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_4206 = and(buf_dual[3], _T_4205) @[el2_lsu_bus_buffer.scala 519:68] + node _T_4207 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:97] + node _T_4208 = eq(_T_4207, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_4209 = and(_T_4206, _T_4208) @[el2_lsu_bus_buffer.scala 519:85] node _T_4210 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 109:118] node _T_4211 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 109:129] node _T_4212 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 109:118] @@ -5422,172 +5422,172 @@ circuit el2_lsu_bus_buffer : node _T_4224 = or(_T_4223, _T_4221) @[Mux.scala 27:72] wire _T_4225 : UInt<1> @[Mux.scala 27:72] _T_4225 <= _T_4224 @[Mux.scala 27:72] - node _T_4226 = and(_T_4209, _T_4225) @[el2_lsu_bus_buffer.scala 517:101] - node _T_4227 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:167] - node _T_4228 = and(_T_4226, _T_4227) @[el2_lsu_bus_buffer.scala 517:138] - node _T_4229 = and(_T_4228, any_done_wait_state) @[el2_lsu_bus_buffer.scala 517:187] - node _T_4230 = or(_T_4204, _T_4229) @[el2_lsu_bus_buffer.scala 517:53] - node _T_4231 = mux(_T_4230, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 517:16] - node _T_4232 = mux(_T_4202, UInt<3>("h04"), _T_4231) @[el2_lsu_bus_buffer.scala 516:14] - node _T_4233 = mux(_T_4195, UInt<3>("h00"), _T_4232) @[el2_lsu_bus_buffer.scala 515:31] - buf_nxtstate[3] <= _T_4233 @[el2_lsu_bus_buffer.scala 515:25] - node _T_4234 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 518:73] - node _T_4235 = and(bus_rsp_write, _T_4234) @[el2_lsu_bus_buffer.scala 518:52] - node _T_4236 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 519:46] - node _T_4237 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 520:23] - node _T_4238 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 520:47] - node _T_4239 = and(_T_4237, _T_4238) @[el2_lsu_bus_buffer.scala 520:27] - node _T_4240 = or(_T_4236, _T_4239) @[el2_lsu_bus_buffer.scala 519:77] - node _T_4241 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 521:26] - node _T_4242 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 521:54] - node _T_4243 = not(_T_4242) @[el2_lsu_bus_buffer.scala 521:44] - node _T_4244 = and(_T_4241, _T_4243) @[el2_lsu_bus_buffer.scala 521:42] - node _T_4245 = and(_T_4244, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 521:58] - node _T_4246 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 521:94] - node _T_4247 = and(_T_4245, _T_4246) @[el2_lsu_bus_buffer.scala 521:74] - node _T_4248 = or(_T_4240, _T_4247) @[el2_lsu_bus_buffer.scala 520:71] - node _T_4249 = and(bus_rsp_read, _T_4248) @[el2_lsu_bus_buffer.scala 519:25] - node _T_4250 = or(_T_4235, _T_4249) @[el2_lsu_bus_buffer.scala 518:105] - buf_resp_state_bus_en[3] <= _T_4250 @[el2_lsu_bus_buffer.scala 518:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 522:29] - node _T_4251 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 523:49] - node _T_4252 = or(_T_4251, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 523:70] - buf_state_en[3] <= _T_4252 @[el2_lsu_bus_buffer.scala 523:25] - node _T_4253 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 524:47] - node _T_4254 = and(_T_4253, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:62] - buf_data_en[3] <= _T_4254 @[el2_lsu_bus_buffer.scala 524:24] - node _T_4255 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:48] - node _T_4256 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 525:111] - node _T_4257 = and(bus_rsp_read_error, _T_4256) @[el2_lsu_bus_buffer.scala 525:91] - node _T_4258 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 526:42] - node _T_4259 = and(bus_rsp_read_error, _T_4258) @[el2_lsu_bus_buffer.scala 526:31] - node _T_4260 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 526:66] - node _T_4261 = and(_T_4259, _T_4260) @[el2_lsu_bus_buffer.scala 526:46] - node _T_4262 = or(_T_4257, _T_4261) @[el2_lsu_bus_buffer.scala 525:143] - node _T_4263 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 527:32] - node _T_4264 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 527:74] - node _T_4265 = and(_T_4263, _T_4264) @[el2_lsu_bus_buffer.scala 527:53] - node _T_4266 = or(_T_4262, _T_4265) @[el2_lsu_bus_buffer.scala 526:88] - node _T_4267 = and(_T_4255, _T_4266) @[el2_lsu_bus_buffer.scala 525:68] - buf_error_en[3] <= _T_4267 @[el2_lsu_bus_buffer.scala 525:25] - node _T_4268 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 528:50] - node _T_4269 = and(buf_state_en[3], _T_4268) @[el2_lsu_bus_buffer.scala 528:48] - node _T_4270 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 528:84] - node _T_4271 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 528:102] - node _T_4272 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:125] - node _T_4273 = mux(_T_4270, _T_4271, _T_4272) @[el2_lsu_bus_buffer.scala 528:72] - node _T_4274 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 528:148] - node _T_4275 = mux(_T_4269, _T_4273, _T_4274) @[el2_lsu_bus_buffer.scala 528:30] - buf_data_in[3] <= _T_4275 @[el2_lsu_bus_buffer.scala 528:24] + node _T_4226 = and(_T_4209, _T_4225) @[el2_lsu_bus_buffer.scala 519:101] + node _T_4227 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_4228 = and(_T_4226, _T_4227) @[el2_lsu_bus_buffer.scala 519:138] + node _T_4229 = and(_T_4228, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_4230 = or(_T_4204, _T_4229) @[el2_lsu_bus_buffer.scala 519:53] + node _T_4231 = mux(_T_4230, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_4232 = mux(_T_4202, UInt<3>("h04"), _T_4231) @[el2_lsu_bus_buffer.scala 518:14] + node _T_4233 = mux(_T_4195, UInt<3>("h00"), _T_4232) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[3] <= _T_4233 @[el2_lsu_bus_buffer.scala 517:25] + node _T_4234 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_4235 = and(bus_rsp_write, _T_4234) @[el2_lsu_bus_buffer.scala 520:52] + node _T_4236 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_4237 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 522:23] + node _T_4238 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_4239 = and(_T_4237, _T_4238) @[el2_lsu_bus_buffer.scala 522:27] + node _T_4240 = or(_T_4236, _T_4239) @[el2_lsu_bus_buffer.scala 521:77] + node _T_4241 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_4242 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 523:54] + node _T_4243 = not(_T_4242) @[el2_lsu_bus_buffer.scala 523:44] + node _T_4244 = and(_T_4241, _T_4243) @[el2_lsu_bus_buffer.scala 523:42] + node _T_4245 = and(_T_4244, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_4246 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_4247 = and(_T_4245, _T_4246) @[el2_lsu_bus_buffer.scala 523:74] + node _T_4248 = or(_T_4240, _T_4247) @[el2_lsu_bus_buffer.scala 522:71] + node _T_4249 = and(bus_rsp_read, _T_4248) @[el2_lsu_bus_buffer.scala 521:25] + node _T_4250 = or(_T_4235, _T_4249) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[3] <= _T_4250 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 524:29] + node _T_4251 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_4252 = or(_T_4251, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[3] <= _T_4252 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4253 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_4254 = and(_T_4253, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[3] <= _T_4254 @[el2_lsu_bus_buffer.scala 526:24] + node _T_4255 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_4256 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_4257 = and(bus_rsp_read_error, _T_4256) @[el2_lsu_bus_buffer.scala 527:91] + node _T_4258 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 528:42] + node _T_4259 = and(bus_rsp_read_error, _T_4258) @[el2_lsu_bus_buffer.scala 528:31] + node _T_4260 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_4261 = and(_T_4259, _T_4260) @[el2_lsu_bus_buffer.scala 528:46] + node _T_4262 = or(_T_4257, _T_4261) @[el2_lsu_bus_buffer.scala 527:143] + node _T_4263 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_4264 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_4265 = and(_T_4263, _T_4264) @[el2_lsu_bus_buffer.scala 529:53] + node _T_4266 = or(_T_4262, _T_4265) @[el2_lsu_bus_buffer.scala 528:88] + node _T_4267 = and(_T_4255, _T_4266) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[3] <= _T_4267 @[el2_lsu_bus_buffer.scala 527:25] + node _T_4268 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_4269 = and(buf_state_en[3], _T_4268) @[el2_lsu_bus_buffer.scala 530:48] + node _T_4270 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_4271 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_4272 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_4273 = mux(_T_4270, _T_4271, _T_4272) @[el2_lsu_bus_buffer.scala 530:72] + node _T_4274 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_4275 = mux(_T_4269, _T_4273, _T_4274) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[3] <= _T_4275 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4276 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] when _T_4276 : @[Conditional.scala 39:67] - node _T_4277 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 531:60] - node _T_4278 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 531:86] - node _T_4279 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 531:101] - node _T_4280 = bits(_T_4279, 0, 0) @[el2_lsu_bus_buffer.scala 531:101] - node _T_4281 = or(_T_4278, _T_4280) @[el2_lsu_bus_buffer.scala 531:90] - node _T_4282 = or(_T_4281, any_done_wait_state) @[el2_lsu_bus_buffer.scala 531:118] - node _T_4283 = mux(_T_4282, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 531:75] - node _T_4284 = mux(_T_4277, UInt<3>("h00"), _T_4283) @[el2_lsu_bus_buffer.scala 531:31] - buf_nxtstate[3] <= _T_4284 @[el2_lsu_bus_buffer.scala 531:25] - node _T_4285 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 532:66] - node _T_4286 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:21] - node _T_4287 = bits(_T_4286, 0, 0) @[el2_lsu_bus_buffer.scala 533:21] - node _T_4288 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 533:58] - node _T_4289 = and(_T_4287, _T_4288) @[el2_lsu_bus_buffer.scala 533:38] - node _T_4290 = or(_T_4285, _T_4289) @[el2_lsu_bus_buffer.scala 532:95] - node _T_4291 = and(bus_rsp_read, _T_4290) @[el2_lsu_bus_buffer.scala 532:45] - buf_state_bus_en[3] <= _T_4291 @[el2_lsu_bus_buffer.scala 532:29] - node _T_4292 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 534:49] - node _T_4293 = or(_T_4292, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 534:70] - buf_state_en[3] <= _T_4293 @[el2_lsu_bus_buffer.scala 534:25] + node _T_4277 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_4278 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 533:86] + node _T_4279 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4280 = bits(_T_4279, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4281 = or(_T_4278, _T_4280) @[el2_lsu_bus_buffer.scala 533:90] + node _T_4282 = or(_T_4281, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_4283 = mux(_T_4282, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_4284 = mux(_T_4277, UInt<3>("h00"), _T_4283) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[3] <= _T_4284 @[el2_lsu_bus_buffer.scala 533:25] + node _T_4285 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_4286 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4287 = bits(_T_4286, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4288 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_4289 = and(_T_4287, _T_4288) @[el2_lsu_bus_buffer.scala 535:38] + node _T_4290 = or(_T_4285, _T_4289) @[el2_lsu_bus_buffer.scala 534:95] + node _T_4291 = and(bus_rsp_read, _T_4290) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[3] <= _T_4291 @[el2_lsu_bus_buffer.scala 534:29] + node _T_4292 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_4293 = or(_T_4292, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[3] <= _T_4293 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4294 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] when _T_4294 : @[Conditional.scala 39:67] - node _T_4295 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4296 = mux(_T_4295, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 537:31] - buf_nxtstate[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 537:25] - node _T_4297 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 538:37] - node _T_4298 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 538:98] - node _T_4299 = and(buf_dual[3], _T_4298) @[el2_lsu_bus_buffer.scala 538:80] - node _T_4300 = or(_T_4297, _T_4299) @[el2_lsu_bus_buffer.scala 538:65] - node _T_4301 = or(_T_4300, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 538:112] - buf_state_en[3] <= _T_4301 @[el2_lsu_bus_buffer.scala 538:25] + node _T_4295 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4296 = mux(_T_4295, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[3] <= _T_4296 @[el2_lsu_bus_buffer.scala 539:25] + node _T_4297 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_4298 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_4299 = and(buf_dual[3], _T_4298) @[el2_lsu_bus_buffer.scala 540:80] + node _T_4300 = or(_T_4297, _T_4299) @[el2_lsu_bus_buffer.scala 540:65] + node _T_4301 = or(_T_4300, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[3] <= _T_4301 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_4302 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] when _T_4302 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 541:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 542:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 545:25] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_4303 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 548:108] + node _T_4303 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] reg _T_4304 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4303 : @[Reg.scala 28:19] _T_4304 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4304 @[el2_lsu_bus_buffer.scala 548:18] - reg _T_4305 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 549:60] - _T_4305 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 549:60] - buf_ageQ[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 549:17] - reg _T_4306 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:63] - _T_4306 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 550:63] - buf_rspageQ[3] <= _T_4306 @[el2_lsu_bus_buffer.scala 550:20] - node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 551:109] + buf_state[3] <= _T_4304 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_4305 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_4305 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[3] <= _T_4305 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_4306 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_4306 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[3] <= _T_4306 @[el2_lsu_bus_buffer.scala 552:20] + node _T_4307 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] reg _T_4308 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4307 : @[Reg.scala 28:19] _T_4308 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 551:20] - node _T_4309 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 552:74] - node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 552:107] + buf_dualtag[3] <= _T_4308 @[el2_lsu_bus_buffer.scala 553:20] + node _T_4309 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 554:74] + node _T_4310 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] reg _T_4311 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4310 : @[Reg.scala 28:19] _T_4311 <= _T_4309 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 552:17] - node _T_4312 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4313 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 553:111] + buf_dual[3] <= _T_4311 @[el2_lsu_bus_buffer.scala 554:17] + node _T_4312 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4313 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] reg _T_4314 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4313 : @[Reg.scala 28:19] _T_4314 <= _T_4312 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 553:19] - node _T_4315 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 554:80] - node _T_4316 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:113] + buf_samedw[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 555:19] + node _T_4315 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4316 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] reg _T_4317 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4316 : @[Reg.scala 28:19] _T_4317 <= _T_4315 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4317 @[el2_lsu_bus_buffer.scala 554:20] - node _T_4318 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:78] - node _T_4319 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + buf_nomerge[3] <= _T_4317 @[el2_lsu_bus_buffer.scala 556:20] + node _T_4318 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 557:78] + node _T_4319 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4319 : @[Reg.scala 28:19] _T_4320 <= _T_4318 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4320 @[el2_lsu_bus_buffer.scala 555:19] - node _T_4321 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 558:133] + buf_dualhi[3] <= _T_4320 @[el2_lsu_bus_buffer.scala 557:19] + node _T_4321 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] reg _T_4322 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4321 : @[Reg.scala 28:19] _T_4322 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4323 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 558:133] + node _T_4323 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4323 : @[Reg.scala 28:19] _T_4324 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4325 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 558:133] + node _T_4325 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] reg _T_4326 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4325 : @[Reg.scala 28:19] _T_4326 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4327 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 558:133] + node _T_4327 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] reg _T_4328 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4327 : @[Reg.scala 28:19] _T_4328 <= buf_ldfwd_in[3] @[Reg.scala 28:23] @@ -5595,51 +5595,51 @@ circuit el2_lsu_bus_buffer : node _T_4329 = cat(_T_4328, _T_4326) @[Cat.scala 29:58] node _T_4330 = cat(_T_4329, _T_4324) @[Cat.scala 29:58] node _T_4331 = cat(_T_4330, _T_4322) @[Cat.scala 29:58] - buf_ldfwd <= _T_4331 @[el2_lsu_bus_buffer.scala 558:15] - node _T_4332 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 559:134] + buf_ldfwd <= _T_4331 @[el2_lsu_bus_buffer.scala 560:15] + node _T_4332 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] reg _T_4333 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4332 : @[Reg.scala 28:19] _T_4333 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4334 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 559:134] + node _T_4334 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] reg _T_4335 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4334 : @[Reg.scala 28:19] _T_4335 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4336 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 559:134] + node _T_4336 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] reg _T_4337 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4336 : @[Reg.scala 28:19] _T_4337 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4338 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 559:134] + node _T_4338 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] reg _T_4339 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4338 : @[Reg.scala 28:19] _T_4339 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4333 @[el2_lsu_bus_buffer.scala 559:18] - buf_ldfwdtag[1] <= _T_4335 @[el2_lsu_bus_buffer.scala 559:18] - buf_ldfwdtag[2] <= _T_4337 @[el2_lsu_bus_buffer.scala 559:18] - buf_ldfwdtag[3] <= _T_4339 @[el2_lsu_bus_buffer.scala 559:18] - node _T_4340 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 560:107] - node _T_4341 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:140] + buf_ldfwdtag[0] <= _T_4333 @[el2_lsu_bus_buffer.scala 561:18] + buf_ldfwdtag[1] <= _T_4335 @[el2_lsu_bus_buffer.scala 561:18] + buf_ldfwdtag[2] <= _T_4337 @[el2_lsu_bus_buffer.scala 561:18] + buf_ldfwdtag[3] <= _T_4339 @[el2_lsu_bus_buffer.scala 561:18] + node _T_4340 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4341 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4341 : @[Reg.scala 28:19] _T_4342 <= _T_4340 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4343 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 560:107] - node _T_4344 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:140] + node _T_4343 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4344 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4344 : @[Reg.scala 28:19] _T_4345 <= _T_4343 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4346 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 560:107] - node _T_4347 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:140] + node _T_4346 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4347 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] reg _T_4348 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4347 : @[Reg.scala 28:19] _T_4348 <= _T_4346 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4349 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 560:107] - node _T_4350 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:140] + node _T_4349 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4350 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4350 : @[Reg.scala 28:19] _T_4351 <= _T_4349 @[Reg.scala 28:23] @@ -5647,27 +5647,27 @@ circuit el2_lsu_bus_buffer : node _T_4352 = cat(_T_4351, _T_4348) @[Cat.scala 29:58] node _T_4353 = cat(_T_4352, _T_4345) @[Cat.scala 29:58] node _T_4354 = cat(_T_4353, _T_4342) @[Cat.scala 29:58] - buf_sideeffect <= _T_4354 @[el2_lsu_bus_buffer.scala 560:20] - node _T_4355 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 561:99] - node _T_4356 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:132] + buf_sideeffect <= _T_4354 @[el2_lsu_bus_buffer.scala 562:20] + node _T_4355 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4356 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4356 : @[Reg.scala 28:19] _T_4357 <= _T_4355 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4358 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 561:99] - node _T_4359 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:132] + node _T_4358 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4359 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4359 : @[Reg.scala 28:19] _T_4360 <= _T_4358 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4361 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 561:99] - node _T_4362 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:132] + node _T_4361 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4362 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] reg _T_4363 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4362 : @[Reg.scala 28:19] _T_4363 <= _T_4361 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4364 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 561:99] - node _T_4365 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:132] + node _T_4364 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4365 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] reg _T_4366 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= _T_4364 @[Reg.scala 28:23] @@ -5675,27 +5675,27 @@ circuit el2_lsu_bus_buffer : node _T_4367 = cat(_T_4366, _T_4363) @[Cat.scala 29:58] node _T_4368 = cat(_T_4367, _T_4360) @[Cat.scala 29:58] node _T_4369 = cat(_T_4368, _T_4357) @[Cat.scala 29:58] - buf_unsign <= _T_4369 @[el2_lsu_bus_buffer.scala 561:16] - node _T_4370 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:97] - node _T_4371 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:130] + buf_unsign <= _T_4369 @[el2_lsu_bus_buffer.scala 563:16] + node _T_4370 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4371 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] reg _T_4372 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4371 : @[Reg.scala 28:19] _T_4372 <= _T_4370 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4373 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:97] - node _T_4374 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:130] + node _T_4373 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4374 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] reg _T_4375 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4374 : @[Reg.scala 28:19] _T_4375 <= _T_4373 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4376 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:97] - node _T_4377 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:130] + node _T_4376 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4377 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] reg _T_4378 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4377 : @[Reg.scala 28:19] _T_4378 <= _T_4376 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4379 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:97] - node _T_4380 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:130] + node _T_4379 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4380 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] reg _T_4381 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4380 : @[Reg.scala 28:19] _T_4381 <= _T_4379 @[Reg.scala 28:23] @@ -5703,32 +5703,32 @@ circuit el2_lsu_bus_buffer : node _T_4382 = cat(_T_4381, _T_4378) @[Cat.scala 29:58] node _T_4383 = cat(_T_4382, _T_4375) @[Cat.scala 29:58] node _T_4384 = cat(_T_4383, _T_4372) @[Cat.scala 29:58] - buf_write <= _T_4384 @[el2_lsu_bus_buffer.scala 562:15] - node _T_4385 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:119] + buf_write <= _T_4384 @[el2_lsu_bus_buffer.scala 564:15] + node _T_4385 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] reg _T_4386 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4385 : @[Reg.scala 28:19] _T_4386 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4387 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:119] + node _T_4387 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] reg _T_4388 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4387 : @[Reg.scala 28:19] _T_4388 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4389 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:119] + node _T_4389 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] reg _T_4390 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4389 : @[Reg.scala 28:19] _T_4390 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4391 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:119] + node _T_4391 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] reg _T_4392 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4391 : @[Reg.scala 28:19] _T_4392 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4386 @[el2_lsu_bus_buffer.scala 563:12] - buf_sz[1] <= _T_4388 @[el2_lsu_bus_buffer.scala 563:12] - buf_sz[2] <= _T_4390 @[el2_lsu_bus_buffer.scala 563:12] - buf_sz[3] <= _T_4392 @[el2_lsu_bus_buffer.scala 563:12] - node _T_4393 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:82] + buf_sz[0] <= _T_4386 @[el2_lsu_bus_buffer.scala 565:12] + buf_sz[1] <= _T_4388 @[el2_lsu_bus_buffer.scala 565:12] + buf_sz[2] <= _T_4390 @[el2_lsu_bus_buffer.scala 565:12] + buf_sz[3] <= _T_4392 @[el2_lsu_bus_buffer.scala 565:12] + node _T_4393 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -5737,7 +5737,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4394 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4394 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4395 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:82] + node _T_4395 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -5746,7 +5746,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4396 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4396 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4397 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:82] + node _T_4397 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -5755,7 +5755,7 @@ circuit el2_lsu_bus_buffer : rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4398 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4398 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4399 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:82] + node _T_4399 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset @@ -5764,34 +5764,34 @@ circuit el2_lsu_bus_buffer : rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4400 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4400 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4394 @[el2_lsu_bus_buffer.scala 564:14] - buf_addr[1] <= _T_4396 @[el2_lsu_bus_buffer.scala 564:14] - buf_addr[2] <= _T_4398 @[el2_lsu_bus_buffer.scala 564:14] - buf_addr[3] <= _T_4400 @[el2_lsu_bus_buffer.scala 564:14] - node _T_4401 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:127] + buf_addr[0] <= _T_4394 @[el2_lsu_bus_buffer.scala 566:14] + buf_addr[1] <= _T_4396 @[el2_lsu_bus_buffer.scala 566:14] + buf_addr[2] <= _T_4398 @[el2_lsu_bus_buffer.scala 566:14] + buf_addr[3] <= _T_4400 @[el2_lsu_bus_buffer.scala 566:14] + node _T_4401 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] reg _T_4402 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4401 : @[Reg.scala 28:19] _T_4402 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4403 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:127] + node _T_4403 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] reg _T_4404 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4403 : @[Reg.scala 28:19] _T_4404 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4405 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:127] + node _T_4405 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] reg _T_4406 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4405 : @[Reg.scala 28:19] _T_4406 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4407 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:127] + node _T_4407 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] reg _T_4408 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4407 : @[Reg.scala 28:19] _T_4408 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4402 @[el2_lsu_bus_buffer.scala 565:16] - buf_byteen[1] <= _T_4404 @[el2_lsu_bus_buffer.scala 565:16] - buf_byteen[2] <= _T_4406 @[el2_lsu_bus_buffer.scala 565:16] - buf_byteen[3] <= _T_4408 @[el2_lsu_bus_buffer.scala 565:16] + buf_byteen[0] <= _T_4402 @[el2_lsu_bus_buffer.scala 567:16] + buf_byteen[1] <= _T_4404 @[el2_lsu_bus_buffer.scala 567:16] + buf_byteen[2] <= _T_4406 @[el2_lsu_bus_buffer.scala 567:16] + buf_byteen[3] <= _T_4408 @[el2_lsu_bus_buffer.scala 567:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -5824,175 +5824,175 @@ circuit el2_lsu_bus_buffer : rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg _T_4412 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] _T_4412 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4409 @[el2_lsu_bus_buffer.scala 566:14] - buf_data[1] <= _T_4410 @[el2_lsu_bus_buffer.scala 566:14] - buf_data[2] <= _T_4411 @[el2_lsu_bus_buffer.scala 566:14] - buf_data[3] <= _T_4412 @[el2_lsu_bus_buffer.scala 566:14] - node _T_4413 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 567:121] - node _T_4414 = mux(buf_error_en[0], UInt<1>("h01"), _T_4413) @[el2_lsu_bus_buffer.scala 567:86] - node _T_4415 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:128] - node _T_4416 = and(_T_4414, _T_4415) @[el2_lsu_bus_buffer.scala 567:126] - reg _T_4417 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 567:82] - _T_4417 <= _T_4416 @[el2_lsu_bus_buffer.scala 567:82] - node _T_4418 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 567:121] - node _T_4419 = mux(buf_error_en[1], UInt<1>("h01"), _T_4418) @[el2_lsu_bus_buffer.scala 567:86] - node _T_4420 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:128] - node _T_4421 = and(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 567:126] - reg _T_4422 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 567:82] - _T_4422 <= _T_4421 @[el2_lsu_bus_buffer.scala 567:82] - node _T_4423 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 567:121] - node _T_4424 = mux(buf_error_en[2], UInt<1>("h01"), _T_4423) @[el2_lsu_bus_buffer.scala 567:86] - node _T_4425 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:128] - node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 567:126] - reg _T_4427 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 567:82] - _T_4427 <= _T_4426 @[el2_lsu_bus_buffer.scala 567:82] - node _T_4428 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 567:121] - node _T_4429 = mux(buf_error_en[3], UInt<1>("h01"), _T_4428) @[el2_lsu_bus_buffer.scala 567:86] - node _T_4430 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 567:128] - node _T_4431 = and(_T_4429, _T_4430) @[el2_lsu_bus_buffer.scala 567:126] - reg _T_4432 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 567:82] - _T_4432 <= _T_4431 @[el2_lsu_bus_buffer.scala 567:82] + buf_data[0] <= _T_4409 @[el2_lsu_bus_buffer.scala 568:14] + buf_data[1] <= _T_4410 @[el2_lsu_bus_buffer.scala 568:14] + buf_data[2] <= _T_4411 @[el2_lsu_bus_buffer.scala 568:14] + buf_data[3] <= _T_4412 @[el2_lsu_bus_buffer.scala 568:14] + node _T_4413 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4414 = mux(buf_error_en[0], UInt<1>("h01"), _T_4413) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4415 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4416 = and(_T_4414, _T_4415) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4417 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4417 <= _T_4416 @[el2_lsu_bus_buffer.scala 569:82] + node _T_4418 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4419 = mux(buf_error_en[1], UInt<1>("h01"), _T_4418) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4420 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4421 = and(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4422 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4422 <= _T_4421 @[el2_lsu_bus_buffer.scala 569:82] + node _T_4423 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4424 = mux(buf_error_en[2], UInt<1>("h01"), _T_4423) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4425 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4427 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4427 <= _T_4426 @[el2_lsu_bus_buffer.scala 569:82] + node _T_4428 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4429 = mux(buf_error_en[3], UInt<1>("h01"), _T_4428) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4430 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4431 = and(_T_4429, _T_4430) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4432 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4432 <= _T_4431 @[el2_lsu_bus_buffer.scala 569:82] node _T_4433 = cat(_T_4432, _T_4427) @[Cat.scala 29:58] node _T_4434 = cat(_T_4433, _T_4422) @[Cat.scala 29:58] node _T_4435 = cat(_T_4434, _T_4417) @[Cat.scala 29:58] - buf_error <= _T_4435 @[el2_lsu_bus_buffer.scala 567:15] + buf_error <= _T_4435 @[el2_lsu_bus_buffer.scala 569:15] node _T_4436 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4437 = mux(io.ldst_dual_m, _T_4436, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 570:28] + node _T_4437 = mux(io.ldst_dual_m, _T_4436, io.lsu_busreq_m) @[el2_lsu_bus_buffer.scala 572:28] node _T_4438 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_4439 = mux(io.ldst_dual_r, _T_4438, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 570:94] - node _T_4440 = add(_T_4437, _T_4439) @[el2_lsu_bus_buffer.scala 570:88] - node _T_4441 = add(_T_4440, ibuf_valid) @[el2_lsu_bus_buffer.scala 570:154] - node _T_4442 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 570:190] - node _T_4443 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 570:190] - node _T_4444 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 570:190] - node _T_4445 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 570:190] - node _T_4446 = add(_T_4442, _T_4443) @[el2_lsu_bus_buffer.scala 570:217] - node _T_4447 = add(_T_4446, _T_4444) @[el2_lsu_bus_buffer.scala 570:217] - node _T_4448 = add(_T_4447, _T_4445) @[el2_lsu_bus_buffer.scala 570:217] - node _T_4449 = add(_T_4441, _T_4448) @[el2_lsu_bus_buffer.scala 570:169] - node buf_numvld_any = tail(_T_4449, 1) @[el2_lsu_bus_buffer.scala 570:169] - node _T_4450 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4451 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 571:79] - node _T_4452 = and(_T_4450, _T_4451) @[el2_lsu_bus_buffer.scala 571:64] - node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:91] - node _T_4454 = and(_T_4452, _T_4453) @[el2_lsu_bus_buffer.scala 571:89] - node _T_4455 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4456 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 571:79] - node _T_4457 = and(_T_4455, _T_4456) @[el2_lsu_bus_buffer.scala 571:64] - node _T_4458 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:91] - node _T_4459 = and(_T_4457, _T_4458) @[el2_lsu_bus_buffer.scala 571:89] - node _T_4460 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4461 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 571:79] - node _T_4462 = and(_T_4460, _T_4461) @[el2_lsu_bus_buffer.scala 571:64] - node _T_4463 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:91] - node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 571:89] - node _T_4465 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4466 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 571:79] - node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 571:64] - node _T_4468 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 571:91] - node _T_4469 = and(_T_4467, _T_4468) @[el2_lsu_bus_buffer.scala 571:89] - node _T_4470 = add(_T_4469, _T_4464) @[el2_lsu_bus_buffer.scala 571:142] - node _T_4471 = add(_T_4470, _T_4459) @[el2_lsu_bus_buffer.scala 571:142] - node _T_4472 = add(_T_4471, _T_4454) @[el2_lsu_bus_buffer.scala 571:142] - buf_numvld_wrcmd_any <= _T_4472 @[el2_lsu_bus_buffer.scala 571:24] - node _T_4473 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:63] - node _T_4474 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:75] - node _T_4475 = and(_T_4473, _T_4474) @[el2_lsu_bus_buffer.scala 572:73] - node _T_4476 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:63] - node _T_4477 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:75] - node _T_4478 = and(_T_4476, _T_4477) @[el2_lsu_bus_buffer.scala 572:73] - node _T_4479 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:63] - node _T_4480 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:75] - node _T_4481 = and(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 572:73] - node _T_4482 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:63] - node _T_4483 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:75] - node _T_4484 = and(_T_4482, _T_4483) @[el2_lsu_bus_buffer.scala 572:73] - node _T_4485 = add(_T_4484, _T_4481) @[el2_lsu_bus_buffer.scala 572:126] - node _T_4486 = add(_T_4485, _T_4478) @[el2_lsu_bus_buffer.scala 572:126] - node _T_4487 = add(_T_4486, _T_4475) @[el2_lsu_bus_buffer.scala 572:126] - buf_numvld_cmd_any <= _T_4487 @[el2_lsu_bus_buffer.scala 572:22] - node _T_4488 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4489 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:90] - node _T_4490 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:102] - node _T_4491 = and(_T_4489, _T_4490) @[el2_lsu_bus_buffer.scala 573:100] - node _T_4492 = or(_T_4488, _T_4491) @[el2_lsu_bus_buffer.scala 573:74] - node _T_4493 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4494 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:90] - node _T_4495 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:102] - node _T_4496 = and(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 573:100] - node _T_4497 = or(_T_4493, _T_4496) @[el2_lsu_bus_buffer.scala 573:74] - node _T_4498 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4499 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:90] - node _T_4500 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:102] - node _T_4501 = and(_T_4499, _T_4500) @[el2_lsu_bus_buffer.scala 573:100] - node _T_4502 = or(_T_4498, _T_4501) @[el2_lsu_bus_buffer.scala 573:74] - node _T_4503 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4504 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:90] - node _T_4505 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:102] - node _T_4506 = and(_T_4504, _T_4505) @[el2_lsu_bus_buffer.scala 573:100] - node _T_4507 = or(_T_4503, _T_4506) @[el2_lsu_bus_buffer.scala 573:74] - node _T_4508 = add(_T_4507, _T_4502) @[el2_lsu_bus_buffer.scala 573:154] - node _T_4509 = add(_T_4508, _T_4497) @[el2_lsu_bus_buffer.scala 573:154] - node _T_4510 = add(_T_4509, _T_4492) @[el2_lsu_bus_buffer.scala 573:154] - buf_numvld_pend_any <= _T_4510 @[el2_lsu_bus_buffer.scala 573:23] - node _T_4511 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 574:61] - node _T_4512 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 574:61] - node _T_4513 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 574:61] - node _T_4514 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 574:61] - node _T_4515 = or(_T_4514, _T_4513) @[el2_lsu_bus_buffer.scala 574:93] - node _T_4516 = or(_T_4515, _T_4512) @[el2_lsu_bus_buffer.scala 574:93] - node _T_4517 = or(_T_4516, _T_4511) @[el2_lsu_bus_buffer.scala 574:93] - any_done_wait_state <= _T_4517 @[el2_lsu_bus_buffer.scala 574:23] - node _T_4518 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 575:53] - io.lsu_bus_buffer_pend_any <= _T_4518 @[el2_lsu_bus_buffer.scala 575:30] - node _T_4519 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 576:52] - node _T_4520 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 576:92] - node _T_4521 = eq(buf_numvld_any, UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 576:121] - node _T_4522 = mux(_T_4519, _T_4520, _T_4521) @[el2_lsu_bus_buffer.scala 576:36] - io.lsu_bus_buffer_full_any <= _T_4522 @[el2_lsu_bus_buffer.scala 576:30] - node _T_4523 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 577:52] - node _T_4524 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 577:52] - node _T_4525 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 577:52] - node _T_4526 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 577:52] - node _T_4527 = or(_T_4523, _T_4524) @[el2_lsu_bus_buffer.scala 577:65] - node _T_4528 = or(_T_4527, _T_4525) @[el2_lsu_bus_buffer.scala 577:65] - node _T_4529 = or(_T_4528, _T_4526) @[el2_lsu_bus_buffer.scala 577:65] - node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:34] - node _T_4531 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:72] - node _T_4532 = and(_T_4530, _T_4531) @[el2_lsu_bus_buffer.scala 577:70] - node _T_4533 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 577:86] - node _T_4534 = and(_T_4532, _T_4533) @[el2_lsu_bus_buffer.scala 577:84] - io.lsu_bus_buffer_empty_any <= _T_4534 @[el2_lsu_bus_buffer.scala 577:31] - node _T_4535 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 579:51] - node _T_4536 = and(_T_4535, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 579:72] - node _T_4537 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:94] - node _T_4538 = and(_T_4536, _T_4537) @[el2_lsu_bus_buffer.scala 579:92] - node _T_4539 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:111] - node _T_4540 = and(_T_4538, _T_4539) @[el2_lsu_bus_buffer.scala 579:109] - io.lsu_nonblock_load_valid_m <= _T_4540 @[el2_lsu_bus_buffer.scala 579:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 580:30] + node _T_4439 = mux(io.ldst_dual_r, _T_4438, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 572:94] + node _T_4440 = add(_T_4437, _T_4439) @[el2_lsu_bus_buffer.scala 572:88] + node _T_4441 = add(_T_4440, ibuf_valid) @[el2_lsu_bus_buffer.scala 572:154] + node _T_4442 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:190] + node _T_4443 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:190] + node _T_4444 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:190] + node _T_4445 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:190] + node _T_4446 = add(_T_4442, _T_4443) @[el2_lsu_bus_buffer.scala 572:217] + node _T_4447 = add(_T_4446, _T_4444) @[el2_lsu_bus_buffer.scala 572:217] + node _T_4448 = add(_T_4447, _T_4445) @[el2_lsu_bus_buffer.scala 572:217] + node _T_4449 = add(_T_4441, _T_4448) @[el2_lsu_bus_buffer.scala 572:169] + node buf_numvld_any = tail(_T_4449, 1) @[el2_lsu_bus_buffer.scala 572:169] + node _T_4450 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4451 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4452 = and(_T_4450, _T_4451) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4454 = and(_T_4452, _T_4453) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4455 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4456 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4457 = and(_T_4455, _T_4456) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4458 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4459 = and(_T_4457, _T_4458) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4460 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4461 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4462 = and(_T_4460, _T_4461) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4463 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4464 = and(_T_4462, _T_4463) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4465 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4466 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4467 = and(_T_4465, _T_4466) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4468 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4469 = and(_T_4467, _T_4468) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4470 = add(_T_4469, _T_4464) @[el2_lsu_bus_buffer.scala 573:142] + node _T_4471 = add(_T_4470, _T_4459) @[el2_lsu_bus_buffer.scala 573:142] + node _T_4472 = add(_T_4471, _T_4454) @[el2_lsu_bus_buffer.scala 573:142] + buf_numvld_wrcmd_any <= _T_4472 @[el2_lsu_bus_buffer.scala 573:24] + node _T_4473 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4474 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4475 = and(_T_4473, _T_4474) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4476 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4477 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4478 = and(_T_4476, _T_4477) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4479 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4480 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4481 = and(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4482 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4483 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4484 = and(_T_4482, _T_4483) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4485 = add(_T_4484, _T_4481) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4486 = add(_T_4485, _T_4478) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4487 = add(_T_4486, _T_4475) @[el2_lsu_bus_buffer.scala 574:126] + buf_numvld_cmd_any <= _T_4487 @[el2_lsu_bus_buffer.scala 574:22] + node _T_4488 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4489 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4490 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4491 = and(_T_4489, _T_4490) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4492 = or(_T_4488, _T_4491) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4493 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4494 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4495 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4496 = and(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4497 = or(_T_4493, _T_4496) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4498 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4499 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4500 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4501 = and(_T_4499, _T_4500) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4502 = or(_T_4498, _T_4501) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4503 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4504 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4505 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4506 = and(_T_4504, _T_4505) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4507 = or(_T_4503, _T_4506) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4508 = add(_T_4507, _T_4502) @[el2_lsu_bus_buffer.scala 575:154] + node _T_4509 = add(_T_4508, _T_4497) @[el2_lsu_bus_buffer.scala 575:154] + node _T_4510 = add(_T_4509, _T_4492) @[el2_lsu_bus_buffer.scala 575:154] + buf_numvld_pend_any <= _T_4510 @[el2_lsu_bus_buffer.scala 575:23] + node _T_4511 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4512 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4513 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4514 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4515 = or(_T_4514, _T_4513) @[el2_lsu_bus_buffer.scala 576:93] + node _T_4516 = or(_T_4515, _T_4512) @[el2_lsu_bus_buffer.scala 576:93] + node _T_4517 = or(_T_4516, _T_4511) @[el2_lsu_bus_buffer.scala 576:93] + any_done_wait_state <= _T_4517 @[el2_lsu_bus_buffer.scala 576:23] + node _T_4518 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 577:53] + io.lsu_bus_buffer_pend_any <= _T_4518 @[el2_lsu_bus_buffer.scala 577:30] + node _T_4519 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 578:52] + node _T_4520 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 578:92] + node _T_4521 = eq(buf_numvld_any, UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 578:121] + node _T_4522 = mux(_T_4519, _T_4520, _T_4521) @[el2_lsu_bus_buffer.scala 578:36] + io.lsu_bus_buffer_full_any <= _T_4522 @[el2_lsu_bus_buffer.scala 578:30] + node _T_4523 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4524 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4525 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4526 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4527 = or(_T_4523, _T_4524) @[el2_lsu_bus_buffer.scala 579:65] + node _T_4528 = or(_T_4527, _T_4525) @[el2_lsu_bus_buffer.scala 579:65] + node _T_4529 = or(_T_4528, _T_4526) @[el2_lsu_bus_buffer.scala 579:65] + node _T_4530 = eq(_T_4529, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:34] + node _T_4531 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:72] + node _T_4532 = and(_T_4530, _T_4531) @[el2_lsu_bus_buffer.scala 579:70] + node _T_4533 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:86] + node _T_4534 = and(_T_4532, _T_4533) @[el2_lsu_bus_buffer.scala 579:84] + io.lsu_bus_buffer_empty_any <= _T_4534 @[el2_lsu_bus_buffer.scala 579:31] + node _T_4535 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 581:51] + node _T_4536 = and(_T_4535, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 581:72] + node _T_4537 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:94] + node _T_4538 = and(_T_4536, _T_4537) @[el2_lsu_bus_buffer.scala 581:92] + node _T_4539 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:111] + node _T_4540 = and(_T_4538, _T_4539) @[el2_lsu_bus_buffer.scala 581:109] + io.lsu_nonblock_load_valid_m <= _T_4540 @[el2_lsu_bus_buffer.scala 581:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 582:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4541 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 582:61] - node _T_4542 = and(lsu_nonblock_load_valid_r, _T_4541) @[el2_lsu_bus_buffer.scala 582:59] - io.lsu_nonblock_load_inv_r <= _T_4542 @[el2_lsu_bus_buffer.scala 582:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 583:34] - node _T_4543 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 584:80] - node _T_4544 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 584:127] - node _T_4545 = and(UInt<1>("h01"), _T_4544) @[el2_lsu_bus_buffer.scala 584:116] - node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:95] - node _T_4547 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 584:80] - node _T_4548 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 584:127] - node _T_4549 = and(UInt<1>("h01"), _T_4548) @[el2_lsu_bus_buffer.scala 584:116] - node _T_4550 = eq(_T_4549, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:95] - node _T_4551 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 584:80] - node _T_4552 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 584:127] - node _T_4553 = and(UInt<1>("h01"), _T_4552) @[el2_lsu_bus_buffer.scala 584:116] - node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:95] - node _T_4555 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 584:80] - node _T_4556 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 584:127] - node _T_4557 = and(UInt<1>("h01"), _T_4556) @[el2_lsu_bus_buffer.scala 584:116] - node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:95] + node _T_4541 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:61] + node _T_4542 = and(lsu_nonblock_load_valid_r, _T_4541) @[el2_lsu_bus_buffer.scala 584:59] + io.lsu_nonblock_load_inv_r <= _T_4542 @[el2_lsu_bus_buffer.scala 584:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 585:34] + node _T_4543 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4544 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4545 = and(UInt<1>("h01"), _T_4544) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4547 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4548 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4549 = and(UInt<1>("h01"), _T_4548) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4550 = eq(_T_4549, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4551 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4552 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4553 = and(UInt<1>("h01"), _T_4552) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4555 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4556 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4557 = and(UInt<1>("h01"), _T_4556) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] node _T_4559 = mux(_T_4543, _T_4546, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4560 = mux(_T_4547, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4561 = mux(_T_4551, _T_4554, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6002,26 +6002,26 @@ circuit el2_lsu_bus_buffer : node _T_4565 = or(_T_4564, _T_4562) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] lsu_nonblock_load_data_ready <= _T_4565 @[Mux.scala 27:72] - node _T_4566 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4567 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 585:104] - node _T_4568 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 585:120] - node _T_4569 = eq(_T_4568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:110] - node _T_4570 = and(_T_4567, _T_4569) @[el2_lsu_bus_buffer.scala 585:108] - node _T_4571 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4572 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 585:104] - node _T_4573 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 585:120] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:110] - node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 585:108] - node _T_4576 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4577 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 585:104] - node _T_4578 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 585:120] - node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:110] - node _T_4580 = and(_T_4577, _T_4579) @[el2_lsu_bus_buffer.scala 585:108] - node _T_4581 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4582 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 585:104] - node _T_4583 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 585:120] - node _T_4584 = eq(_T_4583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:110] - node _T_4585 = and(_T_4582, _T_4584) @[el2_lsu_bus_buffer.scala 585:108] + node _T_4566 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4567 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4568 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4569 = eq(_T_4568, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4570 = and(_T_4567, _T_4569) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4571 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4572 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4573 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4576 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4577 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4578 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4579 = eq(_T_4578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4580 = and(_T_4577, _T_4579) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4581 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4582 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4583 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4584 = eq(_T_4583, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4585 = and(_T_4582, _T_4584) @[el2_lsu_bus_buffer.scala 587:108] node _T_4586 = mux(_T_4566, _T_4570, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4587 = mux(_T_4571, _T_4575, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4588 = mux(_T_4576, _T_4580, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6031,39 +6031,39 @@ circuit el2_lsu_bus_buffer : node _T_4592 = or(_T_4591, _T_4589) @[Mux.scala 27:72] wire _T_4593 : UInt<1> @[Mux.scala 27:72] _T_4593 <= _T_4592 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4593 @[el2_lsu_bus_buffer.scala 585:35] - node _T_4594 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:79] - node _T_4595 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 586:102] - node _T_4596 = eq(_T_4595, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:92] - node _T_4597 = and(_T_4594, _T_4596) @[el2_lsu_bus_buffer.scala 586:90] - node _T_4598 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:109] - node _T_4599 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:124] - node _T_4600 = or(_T_4598, _T_4599) @[el2_lsu_bus_buffer.scala 586:122] - node _T_4601 = and(_T_4597, _T_4600) @[el2_lsu_bus_buffer.scala 586:106] - node _T_4602 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:79] - node _T_4603 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 586:102] - node _T_4604 = eq(_T_4603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:92] - node _T_4605 = and(_T_4602, _T_4604) @[el2_lsu_bus_buffer.scala 586:90] - node _T_4606 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:109] - node _T_4607 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:124] - node _T_4608 = or(_T_4606, _T_4607) @[el2_lsu_bus_buffer.scala 586:122] - node _T_4609 = and(_T_4605, _T_4608) @[el2_lsu_bus_buffer.scala 586:106] - node _T_4610 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:79] - node _T_4611 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 586:102] - node _T_4612 = eq(_T_4611, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:92] - node _T_4613 = and(_T_4610, _T_4612) @[el2_lsu_bus_buffer.scala 586:90] - node _T_4614 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:109] - node _T_4615 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:124] - node _T_4616 = or(_T_4614, _T_4615) @[el2_lsu_bus_buffer.scala 586:122] - node _T_4617 = and(_T_4613, _T_4616) @[el2_lsu_bus_buffer.scala 586:106] - node _T_4618 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:79] - node _T_4619 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 586:102] - node _T_4620 = eq(_T_4619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:92] - node _T_4621 = and(_T_4618, _T_4620) @[el2_lsu_bus_buffer.scala 586:90] - node _T_4622 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:109] - node _T_4623 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:124] - node _T_4624 = or(_T_4622, _T_4623) @[el2_lsu_bus_buffer.scala 586:122] - node _T_4625 = and(_T_4621, _T_4624) @[el2_lsu_bus_buffer.scala 586:106] + io.lsu_nonblock_load_data_error <= _T_4593 @[el2_lsu_bus_buffer.scala 587:35] + node _T_4594 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4595 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4596 = eq(_T_4595, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4597 = and(_T_4594, _T_4596) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4598 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4599 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4600 = or(_T_4598, _T_4599) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4601 = and(_T_4597, _T_4600) @[el2_lsu_bus_buffer.scala 588:106] + node _T_4602 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4603 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4604 = eq(_T_4603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4605 = and(_T_4602, _T_4604) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4606 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4607 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4608 = or(_T_4606, _T_4607) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4609 = and(_T_4605, _T_4608) @[el2_lsu_bus_buffer.scala 588:106] + node _T_4610 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4611 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4612 = eq(_T_4611, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4613 = and(_T_4610, _T_4612) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4614 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4615 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4616 = or(_T_4614, _T_4615) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4617 = and(_T_4613, _T_4616) @[el2_lsu_bus_buffer.scala 588:106] + node _T_4618 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4619 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4620 = eq(_T_4619, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4621 = and(_T_4618, _T_4620) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4622 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4623 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4624 = or(_T_4622, _T_4623) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4625 = and(_T_4621, _T_4624) @[el2_lsu_bus_buffer.scala 588:106] node _T_4626 = mux(_T_4601, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4627 = mux(_T_4609, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4628 = mux(_T_4617, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -6073,39 +6073,39 @@ circuit el2_lsu_bus_buffer : node _T_4632 = or(_T_4631, _T_4629) @[Mux.scala 27:72] wire _T_4633 : UInt<2> @[Mux.scala 27:72] _T_4633 <= _T_4632 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4633 @[el2_lsu_bus_buffer.scala 586:33] - node _T_4634 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:78] - node _T_4635 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:101] - node _T_4636 = eq(_T_4635, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:91] - node _T_4637 = and(_T_4634, _T_4636) @[el2_lsu_bus_buffer.scala 587:89] - node _T_4638 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:108] - node _T_4639 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:123] - node _T_4640 = or(_T_4638, _T_4639) @[el2_lsu_bus_buffer.scala 587:121] - node _T_4641 = and(_T_4637, _T_4640) @[el2_lsu_bus_buffer.scala 587:105] - node _T_4642 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:78] - node _T_4643 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:101] - node _T_4644 = eq(_T_4643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:91] - node _T_4645 = and(_T_4642, _T_4644) @[el2_lsu_bus_buffer.scala 587:89] - node _T_4646 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:108] - node _T_4647 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:123] - node _T_4648 = or(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 587:121] - node _T_4649 = and(_T_4645, _T_4648) @[el2_lsu_bus_buffer.scala 587:105] - node _T_4650 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:78] - node _T_4651 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:101] - node _T_4652 = eq(_T_4651, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:91] - node _T_4653 = and(_T_4650, _T_4652) @[el2_lsu_bus_buffer.scala 587:89] - node _T_4654 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:108] - node _T_4655 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:123] - node _T_4656 = or(_T_4654, _T_4655) @[el2_lsu_bus_buffer.scala 587:121] - node _T_4657 = and(_T_4653, _T_4656) @[el2_lsu_bus_buffer.scala 587:105] - node _T_4658 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:78] - node _T_4659 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:101] - node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:91] - node _T_4661 = and(_T_4658, _T_4660) @[el2_lsu_bus_buffer.scala 587:89] - node _T_4662 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:108] - node _T_4663 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:123] - node _T_4664 = or(_T_4662, _T_4663) @[el2_lsu_bus_buffer.scala 587:121] - node _T_4665 = and(_T_4661, _T_4664) @[el2_lsu_bus_buffer.scala 587:105] + io.lsu_nonblock_load_data_tag <= _T_4633 @[el2_lsu_bus_buffer.scala 588:33] + node _T_4634 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4635 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4636 = eq(_T_4635, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4637 = and(_T_4634, _T_4636) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4638 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4639 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4640 = or(_T_4638, _T_4639) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4641 = and(_T_4637, _T_4640) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4642 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4643 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4644 = eq(_T_4643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4645 = and(_T_4642, _T_4644) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4646 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4647 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4648 = or(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4649 = and(_T_4645, _T_4648) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4650 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4651 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4652 = eq(_T_4651, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4653 = and(_T_4650, _T_4652) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4654 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4655 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4656 = or(_T_4654, _T_4655) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4657 = and(_T_4653, _T_4656) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4658 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4659 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4661 = and(_T_4658, _T_4660) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4662 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4663 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4664 = or(_T_4662, _T_4663) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4665 = and(_T_4661, _T_4664) @[el2_lsu_bus_buffer.scala 589:105] node _T_4666 = mux(_T_4641, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4667 = mux(_T_4649, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4668 = mux(_T_4657, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6115,30 +6115,30 @@ circuit el2_lsu_bus_buffer : node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_lo <= _T_4672 @[Mux.scala 27:72] - node _T_4673 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4674 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4675 = eq(_T_4674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4676 = and(_T_4673, _T_4675) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4677 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4678 = and(_T_4676, _T_4677) @[el2_lsu_bus_buffer.scala 588:105] - node _T_4679 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4680 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4681 = eq(_T_4680, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4682 = and(_T_4679, _T_4681) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4683 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4684 = and(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 588:105] - node _T_4685 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4686 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4687 = eq(_T_4686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4688 = and(_T_4685, _T_4687) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4689 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4690 = and(_T_4688, _T_4689) @[el2_lsu_bus_buffer.scala 588:105] - node _T_4691 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4692 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4693 = eq(_T_4692, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4694 = and(_T_4691, _T_4693) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4695 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 588:120] - node _T_4696 = and(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 588:105] + node _T_4673 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4674 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4675 = eq(_T_4674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4676 = and(_T_4673, _T_4675) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4677 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4678 = and(_T_4676, _T_4677) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4679 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4680 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4681 = eq(_T_4680, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4682 = and(_T_4679, _T_4681) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4683 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4684 = and(_T_4682, _T_4683) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4685 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4686 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4687 = eq(_T_4686, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4688 = and(_T_4685, _T_4687) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4689 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4690 = and(_T_4688, _T_4689) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4691 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4692 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4693 = eq(_T_4692, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4694 = and(_T_4691, _T_4693) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4695 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4696 = and(_T_4694, _T_4695) @[el2_lsu_bus_buffer.scala 590:105] node _T_4697 = mux(_T_4678, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4698 = mux(_T_4684, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4699 = mux(_T_4690, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6161,7 +6161,7 @@ circuit el2_lsu_bus_buffer : node _T_4714 = or(_T_4713, _T_4711) @[Mux.scala 27:72] wire _T_4715 : UInt<32> @[Mux.scala 27:72] _T_4715 <= _T_4714 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4715, 1, 0) @[el2_lsu_bus_buffer.scala 589:83] + node lsu_nonblock_addr_offset = bits(_T_4715, 1, 0) @[el2_lsu_bus_buffer.scala 591:83] node _T_4716 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_4717 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_4718 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:123] @@ -6213,36 +6213,36 @@ circuit el2_lsu_bus_buffer : wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] lsu_nonblock_dual <= _T_4759 @[Mux.scala 27:72] node _T_4760 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4761 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 593:121] - node lsu_nonblock_data_unalgn = dshr(_T_4760, _T_4761) @[el2_lsu_bus_buffer.scala 593:92] - node _T_4762 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:69] - node _T_4763 = and(lsu_nonblock_load_data_ready, _T_4762) @[el2_lsu_bus_buffer.scala 595:67] - io.lsu_nonblock_load_data_valid <= _T_4763 @[el2_lsu_bus_buffer.scala 595:35] - node _T_4764 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 596:81] - node _T_4765 = and(lsu_nonblock_unsign, _T_4764) @[el2_lsu_bus_buffer.scala 596:63] - node _T_4766 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 596:131] + node _T_4761 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 595:121] + node lsu_nonblock_data_unalgn = dshr(_T_4760, _T_4761) @[el2_lsu_bus_buffer.scala 595:92] + node _T_4762 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:69] + node _T_4763 = and(lsu_nonblock_load_data_ready, _T_4762) @[el2_lsu_bus_buffer.scala 597:67] + io.lsu_nonblock_load_data_valid <= _T_4763 @[el2_lsu_bus_buffer.scala 597:35] + node _T_4764 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:81] + node _T_4765 = and(lsu_nonblock_unsign, _T_4764) @[el2_lsu_bus_buffer.scala 598:63] + node _T_4766 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 598:131] node _T_4767 = cat(UInt<24>("h00"), _T_4766) @[Cat.scala 29:58] - node _T_4768 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 597:45] - node _T_4769 = and(lsu_nonblock_unsign, _T_4768) @[el2_lsu_bus_buffer.scala 597:26] - node _T_4770 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 597:95] + node _T_4768 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 599:45] + node _T_4769 = and(lsu_nonblock_unsign, _T_4768) @[el2_lsu_bus_buffer.scala 599:26] + node _T_4770 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 599:95] node _T_4771 = cat(UInt<16>("h00"), _T_4770) @[Cat.scala 29:58] - node _T_4772 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:6] - node _T_4773 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:45] - node _T_4774 = and(_T_4772, _T_4773) @[el2_lsu_bus_buffer.scala 598:27] - node _T_4775 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 598:93] + node _T_4772 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:6] + node _T_4773 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:45] + node _T_4774 = and(_T_4772, _T_4773) @[el2_lsu_bus_buffer.scala 600:27] + node _T_4775 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 600:93] node _T_4776 = bits(_T_4775, 0, 0) @[Bitwise.scala 72:15] node _T_4777 = mux(_T_4776, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4778 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 598:123] + node _T_4778 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 600:123] node _T_4779 = cat(_T_4777, _T_4778) @[Cat.scala 29:58] - node _T_4780 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:6] - node _T_4781 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 599:45] - node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 599:27] - node _T_4783 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 599:93] + node _T_4780 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:6] + node _T_4781 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 601:45] + node _T_4782 = and(_T_4780, _T_4781) @[el2_lsu_bus_buffer.scala 601:27] + node _T_4783 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 601:93] node _T_4784 = bits(_T_4783, 0, 0) @[Bitwise.scala 72:15] node _T_4785 = mux(_T_4784, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4786 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 599:124] + node _T_4786 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 601:124] node _T_4787 = cat(_T_4785, _T_4786) @[Cat.scala 29:58] - node _T_4788 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 600:21] + node _T_4788 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 602:21] node _T_4789 = mux(_T_4765, _T_4767, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4790 = mux(_T_4769, _T_4771, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4791 = mux(_T_4774, _T_4779, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6254,75 +6254,75 @@ circuit el2_lsu_bus_buffer : node _T_4797 = or(_T_4796, _T_4793) @[Mux.scala 27:72] wire _T_4798 : UInt<64> @[Mux.scala 27:72] _T_4798 <= _T_4797 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4798 @[el2_lsu_bus_buffer.scala 596:29] - node _T_4799 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 601:62] - node _T_4800 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 601:89] - node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 601:73] - node _T_4802 = and(_T_4801, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 601:93] - node _T_4803 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 601:62] - node _T_4804 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 601:89] - node _T_4805 = and(_T_4803, _T_4804) @[el2_lsu_bus_buffer.scala 601:73] - node _T_4806 = and(_T_4805, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 601:93] - node _T_4807 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 601:62] - node _T_4808 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 601:89] - node _T_4809 = and(_T_4807, _T_4808) @[el2_lsu_bus_buffer.scala 601:73] - node _T_4810 = and(_T_4809, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 601:93] - node _T_4811 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 601:62] - node _T_4812 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 601:89] - node _T_4813 = and(_T_4811, _T_4812) @[el2_lsu_bus_buffer.scala 601:73] - node _T_4814 = and(_T_4813, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 601:93] - node _T_4815 = or(_T_4802, _T_4806) @[el2_lsu_bus_buffer.scala 601:141] - node _T_4816 = or(_T_4815, _T_4810) @[el2_lsu_bus_buffer.scala 601:141] - node _T_4817 = or(_T_4816, _T_4814) @[el2_lsu_bus_buffer.scala 601:141] - bus_sideeffect_pend <= _T_4817 @[el2_lsu_bus_buffer.scala 601:23] - node _T_4818 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 602:71] - node _T_4819 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 603:25] - node _T_4820 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:50] - node _T_4821 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 603:70] - node _T_4822 = eq(_T_4820, _T_4821) @[el2_lsu_bus_buffer.scala 603:56] - node _T_4823 = and(_T_4819, _T_4822) @[el2_lsu_bus_buffer.scala 603:38] - node _T_4824 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:92] - node _T_4825 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:126] - node _T_4826 = and(obuf_merge, _T_4825) @[el2_lsu_bus_buffer.scala 603:114] - node _T_4827 = or(_T_4824, _T_4826) @[el2_lsu_bus_buffer.scala 603:100] - node _T_4828 = eq(_T_4827, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:80] - node _T_4829 = and(_T_4823, _T_4828) @[el2_lsu_bus_buffer.scala 603:78] - node _T_4830 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 602:71] - node _T_4831 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 603:25] - node _T_4832 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:50] - node _T_4833 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 603:70] - node _T_4834 = eq(_T_4832, _T_4833) @[el2_lsu_bus_buffer.scala 603:56] - node _T_4835 = and(_T_4831, _T_4834) @[el2_lsu_bus_buffer.scala 603:38] - node _T_4836 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 603:92] - node _T_4837 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 603:126] - node _T_4838 = and(obuf_merge, _T_4837) @[el2_lsu_bus_buffer.scala 603:114] - node _T_4839 = or(_T_4836, _T_4838) @[el2_lsu_bus_buffer.scala 603:100] - node _T_4840 = eq(_T_4839, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:80] - node _T_4841 = and(_T_4835, _T_4840) @[el2_lsu_bus_buffer.scala 603:78] - node _T_4842 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 602:71] - node _T_4843 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 603:25] - node _T_4844 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:50] - node _T_4845 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 603:70] - node _T_4846 = eq(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 603:56] - node _T_4847 = and(_T_4843, _T_4846) @[el2_lsu_bus_buffer.scala 603:38] - node _T_4848 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 603:92] - node _T_4849 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 603:126] - node _T_4850 = and(obuf_merge, _T_4849) @[el2_lsu_bus_buffer.scala 603:114] - node _T_4851 = or(_T_4848, _T_4850) @[el2_lsu_bus_buffer.scala 603:100] - node _T_4852 = eq(_T_4851, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:80] - node _T_4853 = and(_T_4847, _T_4852) @[el2_lsu_bus_buffer.scala 603:78] - node _T_4854 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 602:71] - node _T_4855 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 603:25] - node _T_4856 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 603:50] - node _T_4857 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 603:70] - node _T_4858 = eq(_T_4856, _T_4857) @[el2_lsu_bus_buffer.scala 603:56] - node _T_4859 = and(_T_4855, _T_4858) @[el2_lsu_bus_buffer.scala 603:38] - node _T_4860 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 603:92] - node _T_4861 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 603:126] - node _T_4862 = and(obuf_merge, _T_4861) @[el2_lsu_bus_buffer.scala 603:114] - node _T_4863 = or(_T_4860, _T_4862) @[el2_lsu_bus_buffer.scala 603:100] - node _T_4864 = eq(_T_4863, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 603:80] - node _T_4865 = and(_T_4859, _T_4864) @[el2_lsu_bus_buffer.scala 603:78] + io.lsu_nonblock_load_data <= _T_4798 @[el2_lsu_bus_buffer.scala 598:29] + node _T_4799 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] + node _T_4800 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 603:89] + node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 603:73] + node _T_4802 = and(_T_4801, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] + node _T_4803 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] + node _T_4804 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 603:89] + node _T_4805 = and(_T_4803, _T_4804) @[el2_lsu_bus_buffer.scala 603:73] + node _T_4806 = and(_T_4805, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] + node _T_4807 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] + node _T_4808 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 603:89] + node _T_4809 = and(_T_4807, _T_4808) @[el2_lsu_bus_buffer.scala 603:73] + node _T_4810 = and(_T_4809, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] + node _T_4811 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] + node _T_4812 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 603:89] + node _T_4813 = and(_T_4811, _T_4812) @[el2_lsu_bus_buffer.scala 603:73] + node _T_4814 = and(_T_4813, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] + node _T_4815 = or(_T_4802, _T_4806) @[el2_lsu_bus_buffer.scala 603:141] + node _T_4816 = or(_T_4815, _T_4810) @[el2_lsu_bus_buffer.scala 603:141] + node _T_4817 = or(_T_4816, _T_4814) @[el2_lsu_bus_buffer.scala 603:141] + bus_sideeffect_pend <= _T_4817 @[el2_lsu_bus_buffer.scala 603:23] + node _T_4818 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] + node _T_4819 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] + node _T_4820 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] + node _T_4821 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] + node _T_4822 = eq(_T_4820, _T_4821) @[el2_lsu_bus_buffer.scala 605:56] + node _T_4823 = and(_T_4819, _T_4822) @[el2_lsu_bus_buffer.scala 605:38] + node _T_4824 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:92] + node _T_4825 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:126] + node _T_4826 = and(obuf_merge, _T_4825) @[el2_lsu_bus_buffer.scala 605:114] + node _T_4827 = or(_T_4824, _T_4826) @[el2_lsu_bus_buffer.scala 605:100] + node _T_4828 = eq(_T_4827, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] + node _T_4829 = and(_T_4823, _T_4828) @[el2_lsu_bus_buffer.scala 605:78] + node _T_4830 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] + node _T_4831 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] + node _T_4832 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] + node _T_4833 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] + node _T_4834 = eq(_T_4832, _T_4833) @[el2_lsu_bus_buffer.scala 605:56] + node _T_4835 = and(_T_4831, _T_4834) @[el2_lsu_bus_buffer.scala 605:38] + node _T_4836 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 605:92] + node _T_4837 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 605:126] + node _T_4838 = and(obuf_merge, _T_4837) @[el2_lsu_bus_buffer.scala 605:114] + node _T_4839 = or(_T_4836, _T_4838) @[el2_lsu_bus_buffer.scala 605:100] + node _T_4840 = eq(_T_4839, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] + node _T_4841 = and(_T_4835, _T_4840) @[el2_lsu_bus_buffer.scala 605:78] + node _T_4842 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] + node _T_4843 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] + node _T_4844 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] + node _T_4845 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] + node _T_4846 = eq(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 605:56] + node _T_4847 = and(_T_4843, _T_4846) @[el2_lsu_bus_buffer.scala 605:38] + node _T_4848 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 605:92] + node _T_4849 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 605:126] + node _T_4850 = and(obuf_merge, _T_4849) @[el2_lsu_bus_buffer.scala 605:114] + node _T_4851 = or(_T_4848, _T_4850) @[el2_lsu_bus_buffer.scala 605:100] + node _T_4852 = eq(_T_4851, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] + node _T_4853 = and(_T_4847, _T_4852) @[el2_lsu_bus_buffer.scala 605:78] + node _T_4854 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] + node _T_4855 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] + node _T_4856 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] + node _T_4857 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] + node _T_4858 = eq(_T_4856, _T_4857) @[el2_lsu_bus_buffer.scala 605:56] + node _T_4859 = and(_T_4855, _T_4858) @[el2_lsu_bus_buffer.scala 605:38] + node _T_4860 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 605:92] + node _T_4861 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 605:126] + node _T_4862 = and(obuf_merge, _T_4861) @[el2_lsu_bus_buffer.scala 605:114] + node _T_4863 = or(_T_4860, _T_4862) @[el2_lsu_bus_buffer.scala 605:100] + node _T_4864 = eq(_T_4863, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] + node _T_4865 = and(_T_4859, _T_4864) @[el2_lsu_bus_buffer.scala 605:78] node _T_4866 = mux(_T_4818, _T_4829, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4867 = mux(_T_4830, _T_4841, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4868 = mux(_T_4842, _T_4853, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6332,117 +6332,117 @@ circuit el2_lsu_bus_buffer : node _T_4872 = or(_T_4871, _T_4869) @[Mux.scala 27:72] wire _T_4873 : UInt<1> @[Mux.scala 27:72] _T_4873 <= _T_4872 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4873 @[el2_lsu_bus_buffer.scala 602:26] - node _T_4874 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 605:54] - node _T_4875 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 605:75] - node _T_4876 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 605:150] - node _T_4877 = mux(_T_4874, _T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 605:39] - node _T_4878 = mux(obuf_write, _T_4877, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 605:23] - bus_cmd_ready <= _T_4878 @[el2_lsu_bus_buffer.scala 605:17] - node _T_4879 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 606:39] - bus_wcmd_sent <= _T_4879 @[el2_lsu_bus_buffer.scala 606:17] - node _T_4880 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 607:39] - bus_wdata_sent <= _T_4880 @[el2_lsu_bus_buffer.scala 607:18] - node _T_4881 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 608:35] - node _T_4882 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 608:70] - node _T_4883 = and(_T_4881, _T_4882) @[el2_lsu_bus_buffer.scala 608:52] - node _T_4884 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 608:111] - node _T_4885 = or(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 608:89] - bus_cmd_sent <= _T_4885 @[el2_lsu_bus_buffer.scala 608:16] - node _T_4886 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 609:37] - bus_rsp_read <= _T_4886 @[el2_lsu_bus_buffer.scala 609:16] - node _T_4887 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 610:38] - bus_rsp_write <= _T_4887 @[el2_lsu_bus_buffer.scala 610:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 611:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 612:21] - node _T_4888 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 613:60] - node _T_4889 = and(bus_rsp_write, _T_4888) @[el2_lsu_bus_buffer.scala 613:40] - bus_rsp_write_error <= _T_4889 @[el2_lsu_bus_buffer.scala 613:23] - node _T_4890 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 614:58] - node _T_4891 = and(bus_rsp_read, _T_4890) @[el2_lsu_bus_buffer.scala 614:38] - bus_rsp_read_error <= _T_4891 @[el2_lsu_bus_buffer.scala 614:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 615:17] - node _T_4892 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 618:36] - node _T_4893 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:51] - node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 618:49] - node _T_4895 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 618:68] - node _T_4896 = and(_T_4894, _T_4895) @[el2_lsu_bus_buffer.scala 618:66] - io.lsu_axi_awvalid <= _T_4896 @[el2_lsu_bus_buffer.scala 618:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 619:19] - node _T_4897 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 620:69] + bus_addr_match_pending <= _T_4873 @[el2_lsu_bus_buffer.scala 604:26] + node _T_4874 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 607:54] + node _T_4875 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 607:75] + node _T_4876 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 607:150] + node _T_4877 = mux(_T_4874, _T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 607:39] + node _T_4878 = mux(obuf_write, _T_4877, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 607:23] + bus_cmd_ready <= _T_4878 @[el2_lsu_bus_buffer.scala 607:17] + node _T_4879 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 608:39] + bus_wcmd_sent <= _T_4879 @[el2_lsu_bus_buffer.scala 608:17] + node _T_4880 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 609:39] + bus_wdata_sent <= _T_4880 @[el2_lsu_bus_buffer.scala 609:18] + node _T_4881 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 610:35] + node _T_4882 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 610:70] + node _T_4883 = and(_T_4881, _T_4882) @[el2_lsu_bus_buffer.scala 610:52] + node _T_4884 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 610:111] + node _T_4885 = or(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 610:89] + bus_cmd_sent <= _T_4885 @[el2_lsu_bus_buffer.scala 610:16] + node _T_4886 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 611:37] + bus_rsp_read <= _T_4886 @[el2_lsu_bus_buffer.scala 611:16] + node _T_4887 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 612:38] + bus_rsp_write <= _T_4887 @[el2_lsu_bus_buffer.scala 612:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 613:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 614:21] + node _T_4888 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:60] + node _T_4889 = and(bus_rsp_write, _T_4888) @[el2_lsu_bus_buffer.scala 615:40] + bus_rsp_write_error <= _T_4889 @[el2_lsu_bus_buffer.scala 615:23] + node _T_4890 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:58] + node _T_4891 = and(bus_rsp_read, _T_4890) @[el2_lsu_bus_buffer.scala 616:38] + bus_rsp_read_error <= _T_4891 @[el2_lsu_bus_buffer.scala 616:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 617:17] + node _T_4892 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 620:36] + node _T_4893 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 620:51] + node _T_4894 = and(_T_4892, _T_4893) @[el2_lsu_bus_buffer.scala 620:49] + node _T_4895 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 620:68] + node _T_4896 = and(_T_4894, _T_4895) @[el2_lsu_bus_buffer.scala 620:66] + io.lsu_axi_awvalid <= _T_4896 @[el2_lsu_bus_buffer.scala 620:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 621:19] + node _T_4897 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 622:69] node _T_4898 = cat(_T_4897, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4899 = mux(obuf_sideeffect, obuf_addr, _T_4898) @[el2_lsu_bus_buffer.scala 620:27] - io.lsu_axi_awaddr <= _T_4899 @[el2_lsu_bus_buffer.scala 620:21] + node _T_4899 = mux(obuf_sideeffect, obuf_addr, _T_4898) @[el2_lsu_bus_buffer.scala 622:27] + io.lsu_axi_awaddr <= _T_4899 @[el2_lsu_bus_buffer.scala 622:21] node _T_4900 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4901 = mux(obuf_sideeffect, _T_4900, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 621:27] - io.lsu_axi_awsize <= _T_4901 @[el2_lsu_bus_buffer.scala 621:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 622:21] - node _T_4902 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 623:28] - io.lsu_axi_awcache <= _T_4902 @[el2_lsu_bus_buffer.scala 623:22] - node _T_4903 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 624:35] - io.lsu_axi_awregion <= _T_4903 @[el2_lsu_bus_buffer.scala 624:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 626:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 627:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 628:21] - node _T_4904 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 630:35] - node _T_4905 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:50] - node _T_4906 = and(_T_4904, _T_4905) @[el2_lsu_bus_buffer.scala 630:48] - node _T_4907 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 630:68] - node _T_4908 = and(_T_4906, _T_4907) @[el2_lsu_bus_buffer.scala 630:66] - io.lsu_axi_wvalid <= _T_4908 @[el2_lsu_bus_buffer.scala 630:21] + node _T_4901 = mux(obuf_sideeffect, _T_4900, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 623:27] + io.lsu_axi_awsize <= _T_4901 @[el2_lsu_bus_buffer.scala 623:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 624:21] + node _T_4902 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 625:28] + io.lsu_axi_awcache <= _T_4902 @[el2_lsu_bus_buffer.scala 625:22] + node _T_4903 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 626:35] + io.lsu_axi_awregion <= _T_4903 @[el2_lsu_bus_buffer.scala 626:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 627:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 628:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 629:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:21] + node _T_4904 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 632:35] + node _T_4905 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:50] + node _T_4906 = and(_T_4904, _T_4905) @[el2_lsu_bus_buffer.scala 632:48] + node _T_4907 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:68] + node _T_4908 = and(_T_4906, _T_4907) @[el2_lsu_bus_buffer.scala 632:66] + io.lsu_axi_wvalid <= _T_4908 @[el2_lsu_bus_buffer.scala 632:21] node _T_4909 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] node _T_4910 = mux(_T_4909, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4911 = and(obuf_byteen, _T_4910) @[el2_lsu_bus_buffer.scala 631:35] - io.lsu_axi_wstrb <= _T_4911 @[el2_lsu_bus_buffer.scala 631:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 632:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 633:20] - node _T_4912 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:38] - node _T_4913 = and(obuf_valid, _T_4912) @[el2_lsu_bus_buffer.scala 635:36] - node _T_4914 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:52] - node _T_4915 = and(_T_4913, _T_4914) @[el2_lsu_bus_buffer.scala 635:50] - node _T_4916 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 635:67] - node _T_4917 = and(_T_4915, _T_4916) @[el2_lsu_bus_buffer.scala 635:65] - io.lsu_axi_arvalid <= _T_4917 @[el2_lsu_bus_buffer.scala 635:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 636:19] - node _T_4918 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 637:69] + node _T_4911 = and(obuf_byteen, _T_4910) @[el2_lsu_bus_buffer.scala 633:35] + io.lsu_axi_wstrb <= _T_4911 @[el2_lsu_bus_buffer.scala 633:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 634:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 635:20] + node _T_4912 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:38] + node _T_4913 = and(obuf_valid, _T_4912) @[el2_lsu_bus_buffer.scala 637:36] + node _T_4914 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:52] + node _T_4915 = and(_T_4913, _T_4914) @[el2_lsu_bus_buffer.scala 637:50] + node _T_4916 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:67] + node _T_4917 = and(_T_4915, _T_4916) @[el2_lsu_bus_buffer.scala 637:65] + io.lsu_axi_arvalid <= _T_4917 @[el2_lsu_bus_buffer.scala 637:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 638:19] + node _T_4918 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 639:69] node _T_4919 = cat(_T_4918, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4920 = mux(obuf_sideeffect, obuf_addr, _T_4919) @[el2_lsu_bus_buffer.scala 637:27] - io.lsu_axi_araddr <= _T_4920 @[el2_lsu_bus_buffer.scala 637:21] + node _T_4920 = mux(obuf_sideeffect, obuf_addr, _T_4919) @[el2_lsu_bus_buffer.scala 639:27] + io.lsu_axi_araddr <= _T_4920 @[el2_lsu_bus_buffer.scala 639:21] node _T_4921 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4922 = mux(obuf_sideeffect, _T_4921, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 638:27] - io.lsu_axi_arsize <= _T_4922 @[el2_lsu_bus_buffer.scala 638:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 639:21] - node _T_4923 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 640:28] - io.lsu_axi_arcache <= _T_4923 @[el2_lsu_bus_buffer.scala 640:22] - node _T_4924 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 641:35] - io.lsu_axi_arregion <= _T_4924 @[el2_lsu_bus_buffer.scala 641:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 642:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 643:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 644:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 645:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 646:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 647:21] - node _T_4925 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 648:81] - node _T_4926 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 648:125] - node _T_4927 = and(io.lsu_bus_clk_en_q, _T_4926) @[el2_lsu_bus_buffer.scala 648:114] - node _T_4928 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 648:140] - node _T_4929 = and(_T_4927, _T_4928) @[el2_lsu_bus_buffer.scala 648:129] - node _T_4930 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 648:81] - node _T_4931 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 648:125] - node _T_4932 = and(io.lsu_bus_clk_en_q, _T_4931) @[el2_lsu_bus_buffer.scala 648:114] - node _T_4933 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 648:140] - node _T_4934 = and(_T_4932, _T_4933) @[el2_lsu_bus_buffer.scala 648:129] - node _T_4935 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 648:81] - node _T_4936 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 648:125] - node _T_4937 = and(io.lsu_bus_clk_en_q, _T_4936) @[el2_lsu_bus_buffer.scala 648:114] - node _T_4938 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 648:140] - node _T_4939 = and(_T_4937, _T_4938) @[el2_lsu_bus_buffer.scala 648:129] - node _T_4940 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 648:81] - node _T_4941 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 648:125] - node _T_4942 = and(io.lsu_bus_clk_en_q, _T_4941) @[el2_lsu_bus_buffer.scala 648:114] - node _T_4943 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 648:140] - node _T_4944 = and(_T_4942, _T_4943) @[el2_lsu_bus_buffer.scala 648:129] + node _T_4922 = mux(obuf_sideeffect, _T_4921, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 640:27] + io.lsu_axi_arsize <= _T_4922 @[el2_lsu_bus_buffer.scala 640:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 641:21] + node _T_4923 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 642:28] + io.lsu_axi_arcache <= _T_4923 @[el2_lsu_bus_buffer.scala 642:22] + node _T_4924 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 643:35] + io.lsu_axi_arregion <= _T_4924 @[el2_lsu_bus_buffer.scala 643:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 644:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 645:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 646:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 647:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 648:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 649:21] + node _T_4925 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] + node _T_4926 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 650:125] + node _T_4927 = and(io.lsu_bus_clk_en_q, _T_4926) @[el2_lsu_bus_buffer.scala 650:114] + node _T_4928 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 650:140] + node _T_4929 = and(_T_4927, _T_4928) @[el2_lsu_bus_buffer.scala 650:129] + node _T_4930 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] + node _T_4931 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 650:125] + node _T_4932 = and(io.lsu_bus_clk_en_q, _T_4931) @[el2_lsu_bus_buffer.scala 650:114] + node _T_4933 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 650:140] + node _T_4934 = and(_T_4932, _T_4933) @[el2_lsu_bus_buffer.scala 650:129] + node _T_4935 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] + node _T_4936 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 650:125] + node _T_4937 = and(io.lsu_bus_clk_en_q, _T_4936) @[el2_lsu_bus_buffer.scala 650:114] + node _T_4938 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 650:140] + node _T_4939 = and(_T_4937, _T_4938) @[el2_lsu_bus_buffer.scala 650:129] + node _T_4940 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] + node _T_4941 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 650:125] + node _T_4942 = and(io.lsu_bus_clk_en_q, _T_4941) @[el2_lsu_bus_buffer.scala 650:114] + node _T_4943 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 650:140] + node _T_4944 = and(_T_4942, _T_4943) @[el2_lsu_bus_buffer.scala 650:129] node _T_4945 = mux(_T_4925, _T_4929, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4946 = mux(_T_4930, _T_4934, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4947 = mux(_T_4935, _T_4939, UInt<1>("h00")) @[Mux.scala 27:72] @@ -6452,25 +6452,25 @@ circuit el2_lsu_bus_buffer : node _T_4951 = or(_T_4950, _T_4948) @[Mux.scala 27:72] wire _T_4952 : UInt<1> @[Mux.scala 27:72] _T_4952 <= _T_4951 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4952 @[el2_lsu_bus_buffer.scala 648:36] - node _T_4953 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 649:87] - node _T_4954 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 649:109] - node _T_4955 = and(_T_4953, _T_4954) @[el2_lsu_bus_buffer.scala 649:98] - node _T_4956 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 649:124] - node _T_4957 = and(_T_4955, _T_4956) @[el2_lsu_bus_buffer.scala 649:113] - node _T_4958 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 649:87] - node _T_4959 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 649:109] - node _T_4960 = and(_T_4958, _T_4959) @[el2_lsu_bus_buffer.scala 649:98] - node _T_4961 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 649:124] - node _T_4962 = and(_T_4960, _T_4961) @[el2_lsu_bus_buffer.scala 649:113] + io.lsu_imprecise_error_store_any <= _T_4952 @[el2_lsu_bus_buffer.scala 650:36] + node _T_4953 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:87] + node _T_4954 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 651:109] + node _T_4955 = and(_T_4953, _T_4954) @[el2_lsu_bus_buffer.scala 651:98] + node _T_4956 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 651:124] + node _T_4957 = and(_T_4955, _T_4956) @[el2_lsu_bus_buffer.scala 651:113] + node _T_4958 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:87] + node _T_4959 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 651:109] + node _T_4960 = and(_T_4958, _T_4959) @[el2_lsu_bus_buffer.scala 651:98] + node _T_4961 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 651:124] + node _T_4962 = and(_T_4960, _T_4961) @[el2_lsu_bus_buffer.scala 651:113] node _T_4963 = mux(_T_4957, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4964 = mux(_T_4962, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4965 = or(_T_4963, _T_4964) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] lsu_imprecise_error_store_tag <= _T_4965 @[Mux.scala 27:72] - node _T_4966 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 651:72] - node _T_4967 = and(io.lsu_nonblock_load_data_error, _T_4966) @[el2_lsu_bus_buffer.scala 651:70] - io.lsu_imprecise_error_load_any <= _T_4967 @[el2_lsu_bus_buffer.scala 651:35] + node _T_4966 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 653:72] + node _T_4967 = and(io.lsu_nonblock_load_data_error, _T_4966) @[el2_lsu_bus_buffer.scala 653:70] + io.lsu_imprecise_error_load_any <= _T_4967 @[el2_lsu_bus_buffer.scala 653:35] node _T_4968 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:123] node _T_4969 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:123] node _T_4970 = mux(_T_4968, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] @@ -6491,44 +6491,44 @@ circuit el2_lsu_bus_buffer : node _T_4984 = or(_T_4983, _T_4981) @[Mux.scala 27:72] wire _T_4985 : UInt<32> @[Mux.scala 27:72] _T_4985 <= _T_4984 @[Mux.scala 27:72] - node _T_4986 = mux(io.lsu_imprecise_error_store_any, _T_4973, _T_4985) @[el2_lsu_bus_buffer.scala 652:41] - io.lsu_imprecise_error_addr_any <= _T_4986 @[el2_lsu_bus_buffer.scala 652:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 653:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 655:23] - node _T_4987 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 658:46] - node _T_4988 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 658:89] - node _T_4989 = or(_T_4987, _T_4988) @[el2_lsu_bus_buffer.scala 658:68] - node _T_4990 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 658:132] - node _T_4991 = or(_T_4989, _T_4990) @[el2_lsu_bus_buffer.scala 658:110] - io.lsu_pmu_bus_trxn <= _T_4991 @[el2_lsu_bus_buffer.scala 658:23] - node _T_4992 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 659:48] - node _T_4993 = and(_T_4992, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 659:65] - io.lsu_pmu_bus_misaligned <= _T_4993 @[el2_lsu_bus_buffer.scala 659:29] - node _T_4994 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 660:59] - io.lsu_pmu_bus_error <= _T_4994 @[el2_lsu_bus_buffer.scala 660:24] - node _T_4995 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 662:48] - node _T_4996 = and(io.lsu_axi_awvalid, _T_4995) @[el2_lsu_bus_buffer.scala 662:46] - node _T_4997 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 662:92] - node _T_4998 = and(io.lsu_axi_wvalid, _T_4997) @[el2_lsu_bus_buffer.scala 662:90] - node _T_4999 = or(_T_4996, _T_4998) @[el2_lsu_bus_buffer.scala 662:69] - node _T_5000 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 662:136] - node _T_5001 = and(io.lsu_axi_arvalid, _T_5000) @[el2_lsu_bus_buffer.scala 662:134] - node _T_5002 = or(_T_4999, _T_5001) @[el2_lsu_bus_buffer.scala 662:112] - io.lsu_pmu_bus_busy <= _T_5002 @[el2_lsu_bus_buffer.scala 662:23] - reg _T_5003 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 664:49] - _T_5003 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 664:49] - WrPtr0_r <= _T_5003 @[el2_lsu_bus_buffer.scala 664:12] - reg _T_5004 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 665:49] - _T_5004 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 665:49] - WrPtr1_r <= _T_5004 @[el2_lsu_bus_buffer.scala 665:12] - node _T_5005 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:75] - node _T_5006 = and(io.lsu_busreq_m, _T_5005) @[el2_lsu_bus_buffer.scala 666:73] - node _T_5007 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 666:89] - node _T_5008 = and(_T_5006, _T_5007) @[el2_lsu_bus_buffer.scala 666:87] - reg _T_5009 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 666:56] - _T_5009 <= _T_5008 @[el2_lsu_bus_buffer.scala 666:56] - io.lsu_busreq_r <= _T_5009 @[el2_lsu_bus_buffer.scala 666:19] - reg _T_5010 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 667:66] - _T_5010 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 667:66] - lsu_nonblock_load_valid_r <= _T_5010 @[el2_lsu_bus_buffer.scala 667:29] + node _T_4986 = mux(io.lsu_imprecise_error_store_any, _T_4973, _T_4985) @[el2_lsu_bus_buffer.scala 654:41] + io.lsu_imprecise_error_addr_any <= _T_4986 @[el2_lsu_bus_buffer.scala 654:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 655:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 657:23] + node _T_4987 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 660:46] + node _T_4988 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 660:89] + node _T_4989 = or(_T_4987, _T_4988) @[el2_lsu_bus_buffer.scala 660:68] + node _T_4990 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 660:132] + node _T_4991 = or(_T_4989, _T_4990) @[el2_lsu_bus_buffer.scala 660:110] + io.lsu_pmu_bus_trxn <= _T_4991 @[el2_lsu_bus_buffer.scala 660:23] + node _T_4992 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 661:48] + node _T_4993 = and(_T_4992, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 661:65] + io.lsu_pmu_bus_misaligned <= _T_4993 @[el2_lsu_bus_buffer.scala 661:29] + node _T_4994 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 662:59] + io.lsu_pmu_bus_error <= _T_4994 @[el2_lsu_bus_buffer.scala 662:24] + node _T_4995 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:48] + node _T_4996 = and(io.lsu_axi_awvalid, _T_4995) @[el2_lsu_bus_buffer.scala 664:46] + node _T_4997 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:92] + node _T_4998 = and(io.lsu_axi_wvalid, _T_4997) @[el2_lsu_bus_buffer.scala 664:90] + node _T_4999 = or(_T_4996, _T_4998) @[el2_lsu_bus_buffer.scala 664:69] + node _T_5000 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:136] + node _T_5001 = and(io.lsu_axi_arvalid, _T_5000) @[el2_lsu_bus_buffer.scala 664:134] + node _T_5002 = or(_T_4999, _T_5001) @[el2_lsu_bus_buffer.scala 664:112] + io.lsu_pmu_bus_busy <= _T_5002 @[el2_lsu_bus_buffer.scala 664:23] + reg _T_5003 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 666:49] + _T_5003 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 666:49] + WrPtr0_r <= _T_5003 @[el2_lsu_bus_buffer.scala 666:12] + reg _T_5004 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 667:49] + _T_5004 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 667:49] + WrPtr1_r <= _T_5004 @[el2_lsu_bus_buffer.scala 667:12] + node _T_5005 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 668:75] + node _T_5006 = and(io.lsu_busreq_m, _T_5005) @[el2_lsu_bus_buffer.scala 668:73] + node _T_5007 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 668:89] + node _T_5008 = and(_T_5006, _T_5007) @[el2_lsu_bus_buffer.scala 668:87] + reg _T_5009 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 668:56] + _T_5009 <= _T_5008 @[el2_lsu_bus_buffer.scala 668:56] + io.lsu_busreq_r <= _T_5009 @[el2_lsu_bus_buffer.scala 668:19] + reg _T_5010 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:66] + _T_5010 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 669:66] + lsu_nonblock_load_valid_r <= _T_5010 @[el2_lsu_bus_buffer.scala 669:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index ddb5fb54..bed14294 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -363,85 +363,85 @@ module el2_lsu_bus_buffer( wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 192:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 192:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 549:60] - wire _T_2642 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 461:95] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2642 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:95] wire _T_4128 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4151 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4155 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1869; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 401:13] - wire _T_4162 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 504:48] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 403:13] + wire _T_4162 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 506:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 504:104] - wire _T_4163 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 504:104] - wire _T_4164 = obuf_merge & _T_4163; // @[el2_lsu_bus_buffer.scala 504:91] - wire _T_4165 = _T_4162 | _T_4164; // @[el2_lsu_bus_buffer.scala 504:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 395:54] - wire _T_4166 = _T_4165 & obuf_valid; // @[el2_lsu_bus_buffer.scala 504:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 394:55] - wire _T_4167 = _T_4166 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 504:148] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_4163 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_4164 = obuf_merge & _T_4163; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_4165 = _T_4162 | _T_4164; // @[el2_lsu_bus_buffer.scala 506:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 397:54] + wire _T_4166 = _T_4165 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 396:55] + wire _T_4167 = _T_4166 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] wire _GEN_280 = _T_4155 & _T_4167; // @[Conditional.scala 39:67] wire _GEN_293 = _T_4151 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_3 = _T_4128 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2643 = _T_2642 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 461:105] - wire _T_2644 = ~_T_2643; // @[el2_lsu_bus_buffer.scala 461:80] - wire _T_2645 = buf_ageQ_3[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2637 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 461:95] + wire _T_2643 = _T_2642 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 463:105] + wire _T_2644 = ~_T_2643; // @[el2_lsu_bus_buffer.scala 463:80] + wire _T_2645 = buf_ageQ_3[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2637 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:95] wire _T_3935 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3958 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] wire _T_3962 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3969 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 504:48] - wire _T_3970 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 504:104] - wire _T_3971 = obuf_merge & _T_3970; // @[el2_lsu_bus_buffer.scala 504:91] - wire _T_3972 = _T_3969 | _T_3971; // @[el2_lsu_bus_buffer.scala 504:77] - wire _T_3973 = _T_3972 & obuf_valid; // @[el2_lsu_bus_buffer.scala 504:135] - wire _T_3974 = _T_3973 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 504:148] + wire _T_3969 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 506:48] + wire _T_3970 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_3971 = obuf_merge & _T_3970; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_3972 = _T_3969 | _T_3971; // @[el2_lsu_bus_buffer.scala 506:77] + wire _T_3973 = _T_3972 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + wire _T_3974 = _T_3973 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] wire _GEN_204 = _T_3962 & _T_3974; // @[Conditional.scala 39:67] wire _GEN_217 = _T_3958 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_2 = _T_3935 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2638 = _T_2637 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 461:105] - wire _T_2639 = ~_T_2638; // @[el2_lsu_bus_buffer.scala 461:80] - wire _T_2640 = buf_ageQ_3[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2632 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 461:95] + wire _T_2638 = _T_2637 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 463:105] + wire _T_2639 = ~_T_2638; // @[el2_lsu_bus_buffer.scala 463:80] + wire _T_2640 = buf_ageQ_3[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2632 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:95] wire _T_3742 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3765 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3769 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3776 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 504:48] - wire _T_3777 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 504:104] - wire _T_3778 = obuf_merge & _T_3777; // @[el2_lsu_bus_buffer.scala 504:91] - wire _T_3779 = _T_3776 | _T_3778; // @[el2_lsu_bus_buffer.scala 504:77] - wire _T_3780 = _T_3779 & obuf_valid; // @[el2_lsu_bus_buffer.scala 504:135] - wire _T_3781 = _T_3780 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 504:148] + wire _T_3776 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 506:48] + wire _T_3777 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_3778 = obuf_merge & _T_3777; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_3779 = _T_3776 | _T_3778; // @[el2_lsu_bus_buffer.scala 506:77] + wire _T_3780 = _T_3779 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + wire _T_3781 = _T_3780 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] wire _GEN_128 = _T_3769 & _T_3781; // @[Conditional.scala 39:67] wire _GEN_141 = _T_3765 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_1 = _T_3742 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2633 = _T_2632 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 461:105] - wire _T_2634 = ~_T_2633; // @[el2_lsu_bus_buffer.scala 461:80] - wire _T_2635 = buf_ageQ_3[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2627 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 461:95] + wire _T_2633 = _T_2632 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 463:105] + wire _T_2634 = ~_T_2633; // @[el2_lsu_bus_buffer.scala 463:80] + wire _T_2635 = buf_ageQ_3[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2627 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:95] wire _T_3549 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3572 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] wire _T_3576 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3583 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 504:48] - wire _T_3584 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 504:104] - wire _T_3585 = obuf_merge & _T_3584; // @[el2_lsu_bus_buffer.scala 504:91] - wire _T_3586 = _T_3583 | _T_3585; // @[el2_lsu_bus_buffer.scala 504:77] - wire _T_3587 = _T_3586 & obuf_valid; // @[el2_lsu_bus_buffer.scala 504:135] - wire _T_3588 = _T_3587 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 504:148] + wire _T_3583 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 506:48] + wire _T_3584 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_3585 = obuf_merge & _T_3584; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_3586 = _T_3583 | _T_3585; // @[el2_lsu_bus_buffer.scala 506:77] + wire _T_3587 = _T_3586 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + wire _T_3588 = _T_3587 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] wire _GEN_52 = _T_3576 & _T_3588; // @[Conditional.scala 39:67] wire _GEN_65 = _T_3572 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] wire buf_cmd_state_bus_en_0 = _T_3549 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2628 = _T_2627 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 461:105] - wire _T_2629 = ~_T_2628; // @[el2_lsu_bus_buffer.scala 461:80] - wire _T_2630 = buf_ageQ_3[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 461:78] + wire _T_2628 = _T_2627 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 463:105] + wire _T_2629 = ~_T_2628; // @[el2_lsu_bus_buffer.scala 463:80] + wire _T_2630 = buf_ageQ_3[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 463:78] wire [3:0] buf_age_3 = {_T_2645,_T_2640,_T_2635,_T_2630}; // @[Cat.scala 29:58] - wire _T_2744 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2746 = _T_2744 & _T_19; // @[el2_lsu_bus_buffer.scala 462:106] - wire _T_2738 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2740 = _T_2738 & _T_12; // @[el2_lsu_bus_buffer.scala 462:106] - wire _T_2732 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2734 = _T_2732 & _T_5; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2744 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2746 = _T_2744 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2738 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2740 = _T_2738 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2732 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2734 = _T_2732 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] wire [3:0] buf_age_younger_3 = {1'h0,_T_2746,_T_2740,_T_2734}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 197:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 197:144] @@ -451,7 +451,7 @@ module el2_lsu_bus_buffer( wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 203:51] reg ibuf_write; // @[Reg.scala 27:20] wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 203:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 288:24] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 290:24] wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 203:86] wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 203:99] wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] @@ -460,54 +460,54 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[el2_lsu_bus_buffer.scala 208:69] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 197:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 197:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 549:60] - wire _T_2622 = buf_ageQ_2[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2617 = buf_ageQ_2[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2612 = buf_ageQ_2[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2607 = buf_ageQ_2[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 461:78] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2622 = buf_ageQ_2[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2617 = buf_ageQ_2[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2612 = buf_ageQ_2[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2607 = buf_ageQ_2[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 463:78] wire [3:0] buf_age_2 = {_T_2622,_T_2617,_T_2612,_T_2607}; // @[Cat.scala 29:58] - wire _T_2723 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2725 = _T_2723 & _T_26; // @[el2_lsu_bus_buffer.scala 462:106] - wire _T_2711 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2713 = _T_2711 & _T_12; // @[el2_lsu_bus_buffer.scala 462:106] - wire _T_2705 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2707 = _T_2705 & _T_5; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2723 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2725 = _T_2723 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2711 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2713 = _T_2711 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2705 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2707 = _T_2705 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] wire [3:0] buf_age_younger_2 = {_T_2725,1'h0,_T_2713,_T_2707}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 197:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 197:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 197:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 197:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 197:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 549:60] - wire _T_2599 = buf_ageQ_1[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2594 = buf_ageQ_1[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2589 = buf_ageQ_1[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2584 = buf_ageQ_1[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 461:78] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2599 = buf_ageQ_1[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2594 = buf_ageQ_1[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2589 = buf_ageQ_1[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2584 = buf_ageQ_1[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 463:78] wire [3:0] buf_age_1 = {_T_2599,_T_2594,_T_2589,_T_2584}; // @[Cat.scala 29:58] - wire _T_2696 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2698 = _T_2696 & _T_26; // @[el2_lsu_bus_buffer.scala 462:106] - wire _T_2690 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2692 = _T_2690 & _T_19; // @[el2_lsu_bus_buffer.scala 462:106] - wire _T_2678 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2680 = _T_2678 & _T_5; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2696 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2698 = _T_2696 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2690 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2692 = _T_2690 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2678 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2680 = _T_2678 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] wire [3:0] buf_age_younger_1 = {_T_2698,_T_2692,1'h0,_T_2680}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 197:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 197:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 197:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 197:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 197:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 549:60] - wire _T_2576 = buf_ageQ_0[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2571 = buf_ageQ_0[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2566 = buf_ageQ_0[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 461:78] - wire _T_2561 = buf_ageQ_0[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 461:78] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2576 = buf_ageQ_0[3] & _T_2644; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2571 = buf_ageQ_0[2] & _T_2639; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2566 = buf_ageQ_0[1] & _T_2634; // @[el2_lsu_bus_buffer.scala 463:78] + wire _T_2561 = buf_ageQ_0[0] & _T_2629; // @[el2_lsu_bus_buffer.scala 463:78] wire [3:0] buf_age_0 = {_T_2576,_T_2571,_T_2566,_T_2561}; // @[Cat.scala 29:58] - wire _T_2669 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2671 = _T_2669 & _T_26; // @[el2_lsu_bus_buffer.scala 462:106] - wire _T_2663 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2665 = _T_2663 & _T_19; // @[el2_lsu_bus_buffer.scala 462:106] - wire _T_2657 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 462:91] - wire _T_2659 = _T_2657 & _T_12; // @[el2_lsu_bus_buffer.scala 462:106] + wire _T_2669 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2671 = _T_2669 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2663 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2665 = _T_2663 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] + wire _T_2657 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 464:91] + wire _T_2659 = _T_2657 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] wire [3:0] buf_age_younger_0 = {_T_2671,_T_2665,_T_2659,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 197:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 197:144] @@ -769,124 +769,112 @@ module el2_lsu_bus_buffer( wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_354 = {{1'd0}, _T_558}; // @[el2_lsu_bus_buffer.scala 216:91] - wire [8:0] _T_560 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] + wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[el2_lsu_bus_buffer.scala 216:91] wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_355 = {{1'd0}, _T_563}; // @[el2_lsu_bus_buffer.scala 216:91] - wire [8:0] _T_565 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] + wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[el2_lsu_bus_buffer.scala 216:91] wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_356 = {{1'd0}, _T_568}; // @[el2_lsu_bus_buffer.scala 216:91] - wire [8:0] _T_570 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] + wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[el2_lsu_bus_buffer.scala 216:91] wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[el2_lib.scala 491:16] - wire [8:0] _GEN_357 = {{1'd0}, _T_573}; // @[el2_lsu_bus_buffer.scala 216:91] - wire [8:0] _T_575 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 216:91] - wire [8:0] _T_576 = _T_560 | _T_565; // @[el2_lsu_bus_buffer.scala 216:123] - wire [8:0] _T_577 = _T_576 | _T_570; // @[el2_lsu_bus_buffer.scala 216:123] - wire [8:0] _T_578 = _T_577 | _T_575; // @[el2_lsu_bus_buffer.scala 216:123] + wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[el2_lsu_bus_buffer.scala 216:91] + wire [7:0] _T_576 = _T_560 | _T_565; // @[el2_lsu_bus_buffer.scala 216:123] + wire [7:0] _T_577 = _T_576 | _T_570; // @[el2_lsu_bus_buffer.scala 216:123] + wire [7:0] _T_578 = _T_577 | _T_575; // @[el2_lsu_bus_buffer.scala 216:123] wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 217:91] wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 217:91] wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 217:91] wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 217:65] - wire [7:0] _T_599 = _T_583 | _T_588; // @[el2_lsu_bus_buffer.scala 217:97] - wire [7:0] _T_600 = _T_599 | _T_593; // @[el2_lsu_bus_buffer.scala 217:97] - wire [7:0] _T_601 = _T_600 | _T_598; // @[el2_lsu_bus_buffer.scala 217:97] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 217:91] + wire [7:0] _T_599 = _T_583 | _T_588; // @[el2_lsu_bus_buffer.scala 217:123] + wire [7:0] _T_600 = _T_599 | _T_593; // @[el2_lsu_bus_buffer.scala 217:123] + wire [7:0] _T_601 = _T_600 | _T_598; // @[el2_lsu_bus_buffer.scala 217:123] wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 218:91] wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 218:91] wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 218:91] wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 218:65] - wire [7:0] _T_622 = _T_606 | _T_611; // @[el2_lsu_bus_buffer.scala 218:96] - wire [7:0] _T_623 = _T_622 | _T_616; // @[el2_lsu_bus_buffer.scala 218:96] - wire [7:0] _T_624 = _T_623 | _T_621; // @[el2_lsu_bus_buffer.scala 218:96] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 218:91] + wire [7:0] _T_622 = _T_606 | _T_611; // @[el2_lsu_bus_buffer.scala 218:123] + wire [7:0] _T_623 = _T_622 | _T_616; // @[el2_lsu_bus_buffer.scala 218:123] + wire [7:0] _T_624 = _T_623 | _T_621; // @[el2_lsu_bus_buffer.scala 218:123] wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 219:91] wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 219:91] wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 219:91] wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 219:65] - wire [7:0] _T_645 = _T_629 | _T_634; // @[el2_lsu_bus_buffer.scala 219:95] - wire [7:0] _T_646 = _T_645 | _T_639; // @[el2_lsu_bus_buffer.scala 219:95] - wire [7:0] _T_647 = _T_646 | _T_644; // @[el2_lsu_bus_buffer.scala 219:95] - wire [32:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 219:91] + wire [7:0] _T_645 = _T_629 | _T_634; // @[el2_lsu_bus_buffer.scala 219:123] + wire [7:0] _T_646 = _T_645 | _T_639; // @[el2_lsu_bus_buffer.scala 219:123] + wire [7:0] _T_647 = _T_646 | _T_644; // @[el2_lsu_bus_buffer.scala 219:123] + wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] - wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[el2_lsu_bus_buffer.scala 219:130] - wire [32:0] _GEN_358 = {{1'd0}, _T_651}; // @[el2_lsu_bus_buffer.scala 219:101] - wire [32:0] _T_652 = _T_650 | _GEN_358; // @[el2_lsu_bus_buffer.scala 219:101] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[el2_lsu_bus_buffer.scala 220:32] wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_359 = {{1'd0}, _T_655}; // @[el2_lsu_bus_buffer.scala 221:91] - wire [8:0] _T_657 = _GEN_359 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] + wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[el2_lsu_bus_buffer.scala 222:91] wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_360 = {{1'd0}, _T_660}; // @[el2_lsu_bus_buffer.scala 221:91] - wire [8:0] _T_662 = _GEN_360 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] + wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[el2_lsu_bus_buffer.scala 222:91] wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_361 = {{1'd0}, _T_665}; // @[el2_lsu_bus_buffer.scala 221:91] - wire [8:0] _T_667 = _GEN_361 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] + wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[el2_lsu_bus_buffer.scala 222:91] wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _GEN_362 = {{1'd0}, _T_670}; // @[el2_lsu_bus_buffer.scala 221:91] - wire [8:0] _T_672 = _GEN_362 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 221:91] - wire [8:0] _T_673 = _T_657 | _T_662; // @[el2_lsu_bus_buffer.scala 221:123] - wire [8:0] _T_674 = _T_673 | _T_667; // @[el2_lsu_bus_buffer.scala 221:123] - wire [8:0] _T_675 = _T_674 | _T_672; // @[el2_lsu_bus_buffer.scala 221:123] + wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[el2_lsu_bus_buffer.scala 222:91] + wire [7:0] _T_673 = _T_657 | _T_662; // @[el2_lsu_bus_buffer.scala 222:123] + wire [7:0] _T_674 = _T_673 | _T_667; // @[el2_lsu_bus_buffer.scala 222:123] + wire [7:0] _T_675 = _T_674 | _T_672; // @[el2_lsu_bus_buffer.scala 222:123] wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[el2_lsu_bus_buffer.scala 223:91] wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[el2_lsu_bus_buffer.scala 223:91] wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[el2_lsu_bus_buffer.scala 223:91] wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 222:65] - wire [7:0] _T_696 = _T_680 | _T_685; // @[el2_lsu_bus_buffer.scala 222:97] - wire [7:0] _T_697 = _T_696 | _T_690; // @[el2_lsu_bus_buffer.scala 222:97] - wire [7:0] _T_698 = _T_697 | _T_695; // @[el2_lsu_bus_buffer.scala 222:97] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[el2_lsu_bus_buffer.scala 223:91] + wire [7:0] _T_696 = _T_680 | _T_685; // @[el2_lsu_bus_buffer.scala 223:123] + wire [7:0] _T_697 = _T_696 | _T_690; // @[el2_lsu_bus_buffer.scala 223:123] + wire [7:0] _T_698 = _T_697 | _T_695; // @[el2_lsu_bus_buffer.scala 223:123] wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[el2_lsu_bus_buffer.scala 224:91] wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[el2_lsu_bus_buffer.scala 224:91] wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[el2_lsu_bus_buffer.scala 224:91] wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 223:65] - wire [7:0] _T_719 = _T_703 | _T_708; // @[el2_lsu_bus_buffer.scala 223:96] - wire [7:0] _T_720 = _T_719 | _T_713; // @[el2_lsu_bus_buffer.scala 223:96] - wire [7:0] _T_721 = _T_720 | _T_718; // @[el2_lsu_bus_buffer.scala 223:96] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[el2_lsu_bus_buffer.scala 224:91] + wire [7:0] _T_719 = _T_703 | _T_708; // @[el2_lsu_bus_buffer.scala 224:123] + wire [7:0] _T_720 = _T_719 | _T_713; // @[el2_lsu_bus_buffer.scala 224:123] + wire [7:0] _T_721 = _T_720 | _T_718; // @[el2_lsu_bus_buffer.scala 224:123] wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[el2_lsu_bus_buffer.scala 225:91] wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[el2_lsu_bus_buffer.scala 225:91] wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[el2_lsu_bus_buffer.scala 225:91] wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 224:65] - wire [7:0] _T_742 = _T_726 | _T_731; // @[el2_lsu_bus_buffer.scala 224:95] - wire [7:0] _T_743 = _T_742 | _T_736; // @[el2_lsu_bus_buffer.scala 224:95] - wire [7:0] _T_744 = _T_743 | _T_741; // @[el2_lsu_bus_buffer.scala 224:95] - wire [32:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] - wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[el2_lsu_bus_buffer.scala 224:130] - wire [32:0] _GEN_363 = {{1'd0}, _T_748}; // @[el2_lsu_bus_buffer.scala 224:101] - wire [32:0] _T_749 = _T_747 | _GEN_363; // @[el2_lsu_bus_buffer.scala 224:101] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[el2_lsu_bus_buffer.scala 225:91] + wire [7:0] _T_742 = _T_726 | _T_731; // @[el2_lsu_bus_buffer.scala 225:123] + wire [7:0] _T_743 = _T_742 | _T_736; // @[el2_lsu_bus_buffer.scala 225:123] + wire [7:0] _T_744 = _T_743 | _T_741; // @[el2_lsu_bus_buffer.scala 225:123] + wire [31:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[el2_lsu_bus_buffer.scala 226:32] wire [3:0] _T_750 = io_lsu_pkt_r_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_751 = io_lsu_pkt_r_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_752 = io_lsu_pkt_r_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] - wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 231:55] - wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 232:55] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[el2_lsu_bus_buffer.scala 233:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[el2_lsu_bus_buffer.scala 234:55] wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] - wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 233:55] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[el2_lsu_bus_buffer.scala 235:55] wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] - wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 234:55] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[el2_lsu_bus_buffer.scala 236:55] wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] @@ -921,163 +909,163 @@ module el2_lsu_bus_buffer( wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 251:40] - wire _T_844 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 253:26] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[el2_lsu_bus_buffer.scala 253:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[el2_lsu_bus_buffer.scala 255:26] wire _T_845 = io_lsu_pkt_r_word & _T_756; // @[Mux.scala 27:72] wire _T_846 = io_lsu_pkt_r_half & _T_844; // @[Mux.scala 27:72] wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] wire is_aligned_r = _T_848 | io_lsu_pkt_r_by; // @[Mux.scala 27:72] - wire _T_850 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 255:55] - wire _T_851 = io_lsu_busreq_r & _T_850; // @[el2_lsu_bus_buffer.scala 255:34] - wire _T_852 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 255:79] - wire ibuf_byp = _T_851 & _T_852; // @[el2_lsu_bus_buffer.scala 255:77] - wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 256:36] - wire _T_854 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 256:56] - wire ibuf_wr_en = _T_853 & _T_854; // @[el2_lsu_bus_buffer.scala 256:54] - wire _T_855 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 258:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 301:59] - wire _T_864 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 264:62] - wire _T_865 = ibuf_wr_en | _T_864; // @[el2_lsu_bus_buffer.scala 264:48] - wire _T_929 = _T_853 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 283:54] - wire _T_930 = _T_929 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 283:75] - wire _T_931 = _T_930 & ibuf_write; // @[el2_lsu_bus_buffer.scala 283:88] - wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 283:124] - wire _T_935 = _T_931 & _T_934; // @[el2_lsu_bus_buffer.scala 283:101] - wire _T_936 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 283:147] - wire _T_937 = _T_935 & _T_936; // @[el2_lsu_bus_buffer.scala 283:145] - wire _T_938 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 283:170] - wire ibuf_merge_en = _T_937 & _T_938; // @[el2_lsu_bus_buffer.scala 283:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 284:20] - wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 264:98] - wire _T_867 = ~_T_866; // @[el2_lsu_bus_buffer.scala 264:82] - wire _T_868 = _T_865 & _T_867; // @[el2_lsu_bus_buffer.scala 264:80] - wire _T_869 = _T_868 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 265:5] - wire _T_857 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 259:44] - wire _T_858 = io_lsu_busreq_m & _T_857; // @[el2_lsu_bus_buffer.scala 259:42] - wire _T_859 = _T_858 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 259:61] - wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 259:115] - wire _T_863 = io_lsu_pkt_m_load | _T_862; // @[el2_lsu_bus_buffer.scala 259:95] - wire ibuf_force_drain = _T_859 & _T_863; // @[el2_lsu_bus_buffer.scala 259:74] - wire _T_870 = _T_869 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 265:16] + wire _T_850 = io_lsu_pkt_r_load | io_no_word_merge_r; // @[el2_lsu_bus_buffer.scala 257:55] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[el2_lsu_bus_buffer.scala 257:34] + wire _T_852 = ~ibuf_valid; // @[el2_lsu_bus_buffer.scala 257:79] + wire ibuf_byp = _T_851 & _T_852; // @[el2_lsu_bus_buffer.scala 257:77] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 258:36] + wire _T_854 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 258:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[el2_lsu_bus_buffer.scala 258:54] + wire _T_855 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 260:36] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 303:59] + wire _T_864 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 266:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[el2_lsu_bus_buffer.scala 266:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 285:54] + wire _T_930 = _T_929 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 285:75] + wire _T_931 = _T_930 & ibuf_write; // @[el2_lsu_bus_buffer.scala 285:88] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 285:124] + wire _T_935 = _T_931 & _T_934; // @[el2_lsu_bus_buffer.scala 285:101] + wire _T_936 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 285:147] + wire _T_937 = _T_935 & _T_936; // @[el2_lsu_bus_buffer.scala 285:145] + wire _T_938 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 285:170] + wire ibuf_merge_en = _T_937 & _T_938; // @[el2_lsu_bus_buffer.scala 285:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 286:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 266:98] + wire _T_867 = ~_T_866; // @[el2_lsu_bus_buffer.scala 266:82] + wire _T_868 = _T_865 & _T_867; // @[el2_lsu_bus_buffer.scala 266:80] + wire _T_869 = _T_868 | ibuf_byp; // @[el2_lsu_bus_buffer.scala 267:5] + wire _T_857 = ~io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 261:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[el2_lsu_bus_buffer.scala 261:42] + wire _T_859 = _T_858 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 261:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[el2_lsu_bus_buffer.scala 261:115] + wire _T_863 = io_lsu_pkt_m_load | _T_862; // @[el2_lsu_bus_buffer.scala 261:95] + wire ibuf_force_drain = _T_859 & _T_863; // @[el2_lsu_bus_buffer.scala 261:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 267:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_871 = _T_870 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 265:35] - wire _T_872 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 265:55] - wire _T_873 = _T_871 | _T_872; // @[el2_lsu_bus_buffer.scala 265:53] - wire _T_874 = _T_873 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 265:67] - wire ibuf_drain_vld = ibuf_valid & _T_874; // @[el2_lsu_bus_buffer.scala 264:32] - wire _T_856 = ibuf_drain_vld & _T_855; // @[el2_lsu_bus_buffer.scala 258:34] - wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 258:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 665:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 664:49] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[el2_lsu_bus_buffer.scala 267:35] + wire _T_872 = ~ibuf_write; // @[el2_lsu_bus_buffer.scala 267:55] + wire _T_873 = _T_871 | _T_872; // @[el2_lsu_bus_buffer.scala 267:53] + wire _T_874 = _T_873 | io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 267:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[el2_lsu_bus_buffer.scala 266:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[el2_lsu_bus_buffer.scala 260:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 260:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 667:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 666:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] - wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 274:77] - wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 279:8] - wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 280:8] - wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[el2_lsu_bus_buffer.scala 278:46] - wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 279:8] - wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 280:8] - wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[el2_lsu_bus_buffer.scala 278:46] - wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 279:8] - wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 280:8] - wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[el2_lsu_bus_buffer.scala 278:46] - wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 279:8] - wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 280:8] - wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[el2_lsu_bus_buffer.scala 278:46] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 276:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 281:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 282:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[el2_lsu_bus_buffer.scala 280:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 281:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 282:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[el2_lsu_bus_buffer.scala 280:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 281:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 282:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[el2_lsu_bus_buffer.scala 280:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 281:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 282:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[el2_lsu_bus_buffer.scala 280:46] wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] - wire _T_923 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 281:59] - wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 281:93] - wire _T_941 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 285:65] - wire _T_942 = ibuf_merge_en & _T_941; // @[el2_lsu_bus_buffer.scala 285:63] - wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 285:96] - wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 285:48] - wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 285:96] - wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 285:48] - wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 285:96] - wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 285:48] - wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 285:96] - wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 285:48] + wire _T_923 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 283:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 283:93] + wire _T_941 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 287:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[el2_lsu_bus_buffer.scala 287:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 287:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 287:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 287:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 287:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 287:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 287:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 287:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 287:48] wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] - wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 286:45] - wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 286:45] - wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 286:45] - wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 286:45] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 288:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 288:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 288:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 288:45] wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] - wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 288:28] - wire _T_1006 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 288:63] - wire _T_1011 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 289:89] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 290:28] + wire _T_1006 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 290:63] + wire _T_1011 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 291:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4467 = buf_write[3] & _T_2642; // @[el2_lsu_bus_buffer.scala 571:64] - wire _T_4468 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 571:91] - wire _T_4469 = _T_4467 & _T_4468; // @[el2_lsu_bus_buffer.scala 571:89] - wire _T_4462 = buf_write[2] & _T_2637; // @[el2_lsu_bus_buffer.scala 571:64] - wire _T_4463 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 571:91] - wire _T_4464 = _T_4462 & _T_4463; // @[el2_lsu_bus_buffer.scala 571:89] - wire [1:0] _T_4470 = _T_4469 + _T_4464; // @[el2_lsu_bus_buffer.scala 571:142] - wire _T_4457 = buf_write[1] & _T_2632; // @[el2_lsu_bus_buffer.scala 571:64] - wire _T_4458 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 571:91] - wire _T_4459 = _T_4457 & _T_4458; // @[el2_lsu_bus_buffer.scala 571:89] - wire [1:0] _GEN_364 = {{1'd0}, _T_4459}; // @[el2_lsu_bus_buffer.scala 571:142] - wire [2:0] _T_4471 = _T_4470 + _GEN_364; // @[el2_lsu_bus_buffer.scala 571:142] - wire _T_4452 = buf_write[0] & _T_2627; // @[el2_lsu_bus_buffer.scala 571:64] - wire _T_4453 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 571:91] - wire _T_4454 = _T_4452 & _T_4453; // @[el2_lsu_bus_buffer.scala 571:89] - wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[el2_lsu_bus_buffer.scala 571:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4471 + _GEN_365; // @[el2_lsu_bus_buffer.scala 571:142] - wire _T_1037 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 311:43] - wire _T_4484 = _T_2642 & _T_4468; // @[el2_lsu_bus_buffer.scala 572:73] - wire _T_4481 = _T_2637 & _T_4463; // @[el2_lsu_bus_buffer.scala 572:73] - wire [1:0] _T_4485 = _T_4484 + _T_4481; // @[el2_lsu_bus_buffer.scala 572:126] - wire _T_4478 = _T_2632 & _T_4458; // @[el2_lsu_bus_buffer.scala 572:73] - wire [1:0] _GEN_366 = {{1'd0}, _T_4478}; // @[el2_lsu_bus_buffer.scala 572:126] - wire [2:0] _T_4486 = _T_4485 + _GEN_366; // @[el2_lsu_bus_buffer.scala 572:126] - wire _T_4475 = _T_2627 & _T_4453; // @[el2_lsu_bus_buffer.scala 572:73] - wire [2:0] _GEN_367 = {{2'd0}, _T_4475}; // @[el2_lsu_bus_buffer.scala 572:126] - wire [3:0] buf_numvld_cmd_any = _T_4486 + _GEN_367; // @[el2_lsu_bus_buffer.scala 572:126] - wire _T_1038 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 311:72] - wire _T_1039 = _T_1037 & _T_1038; // @[el2_lsu_bus_buffer.scala 311:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 410:54] - wire _T_1040 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 311:97] - wire _T_1041 = _T_1039 & _T_1040; // @[el2_lsu_bus_buffer.scala 311:80] - wire _T_1043 = _T_1041 & _T_938; // @[el2_lsu_bus_buffer.scala 311:114] - wire _T_2000 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 427:58] - wire _T_2001 = ~_T_2000; // @[el2_lsu_bus_buffer.scala 427:45] - wire _T_2003 = _T_2001 & _T_2642; // @[el2_lsu_bus_buffer.scala 427:63] - wire _T_2005 = _T_2003 & _T_4468; // @[el2_lsu_bus_buffer.scala 427:88] - wire _T_1994 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 427:58] - wire _T_1995 = ~_T_1994; // @[el2_lsu_bus_buffer.scala 427:45] - wire _T_1997 = _T_1995 & _T_2637; // @[el2_lsu_bus_buffer.scala 427:63] - wire _T_1999 = _T_1997 & _T_4463; // @[el2_lsu_bus_buffer.scala 427:88] - wire _T_1988 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 427:58] - wire _T_1989 = ~_T_1988; // @[el2_lsu_bus_buffer.scala 427:45] - wire _T_1991 = _T_1989 & _T_2632; // @[el2_lsu_bus_buffer.scala 427:63] - wire _T_1993 = _T_1991 & _T_4458; // @[el2_lsu_bus_buffer.scala 427:88] - wire _T_1982 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 427:58] - wire _T_1983 = ~_T_1982; // @[el2_lsu_bus_buffer.scala 427:45] - wire _T_1985 = _T_1983 & _T_2627; // @[el2_lsu_bus_buffer.scala 427:63] - wire _T_1987 = _T_1985 & _T_4453; // @[el2_lsu_bus_buffer.scala 427:88] + wire _T_4467 = buf_write[3] & _T_2642; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4468 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4469 = _T_4467 & _T_4468; // @[el2_lsu_bus_buffer.scala 573:89] + wire _T_4462 = buf_write[2] & _T_2637; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4463 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4464 = _T_4462 & _T_4463; // @[el2_lsu_bus_buffer.scala 573:89] + wire [1:0] _T_4470 = _T_4469 + _T_4464; // @[el2_lsu_bus_buffer.scala 573:142] + wire _T_4457 = buf_write[1] & _T_2632; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4458 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4459 = _T_4457 & _T_4458; // @[el2_lsu_bus_buffer.scala 573:89] + wire [1:0] _GEN_354 = {{1'd0}, _T_4459}; // @[el2_lsu_bus_buffer.scala 573:142] + wire [2:0] _T_4471 = _T_4470 + _GEN_354; // @[el2_lsu_bus_buffer.scala 573:142] + wire _T_4452 = buf_write[0] & _T_2627; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4453 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4454 = _T_4452 & _T_4453; // @[el2_lsu_bus_buffer.scala 573:89] + wire [2:0] _GEN_355 = {{2'd0}, _T_4454}; // @[el2_lsu_bus_buffer.scala 573:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4471 + _GEN_355; // @[el2_lsu_bus_buffer.scala 573:142] + wire _T_1037 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 313:43] + wire _T_4484 = _T_2642 & _T_4468; // @[el2_lsu_bus_buffer.scala 574:73] + wire _T_4481 = _T_2637 & _T_4463; // @[el2_lsu_bus_buffer.scala 574:73] + wire [1:0] _T_4485 = _T_4484 + _T_4481; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4478 = _T_2632 & _T_4458; // @[el2_lsu_bus_buffer.scala 574:73] + wire [1:0] _GEN_356 = {{1'd0}, _T_4478}; // @[el2_lsu_bus_buffer.scala 574:126] + wire [2:0] _T_4486 = _T_4485 + _GEN_356; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4475 = _T_2627 & _T_4453; // @[el2_lsu_bus_buffer.scala 574:73] + wire [2:0] _GEN_357 = {{2'd0}, _T_4475}; // @[el2_lsu_bus_buffer.scala 574:126] + wire [3:0] buf_numvld_cmd_any = _T_4486 + _GEN_357; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_1038 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 313:72] + wire _T_1039 = _T_1037 & _T_1038; // @[el2_lsu_bus_buffer.scala 313:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 412:54] + wire _T_1040 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 313:97] + wire _T_1041 = _T_1039 & _T_1040; // @[el2_lsu_bus_buffer.scala 313:80] + wire _T_1043 = _T_1041 & _T_938; // @[el2_lsu_bus_buffer.scala 313:114] + wire _T_2000 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 429:58] + wire _T_2001 = ~_T_2000; // @[el2_lsu_bus_buffer.scala 429:45] + wire _T_2003 = _T_2001 & _T_2642; // @[el2_lsu_bus_buffer.scala 429:63] + wire _T_2005 = _T_2003 & _T_4468; // @[el2_lsu_bus_buffer.scala 429:88] + wire _T_1994 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 429:58] + wire _T_1995 = ~_T_1994; // @[el2_lsu_bus_buffer.scala 429:45] + wire _T_1997 = _T_1995 & _T_2637; // @[el2_lsu_bus_buffer.scala 429:63] + wire _T_1999 = _T_1997 & _T_4463; // @[el2_lsu_bus_buffer.scala 429:88] + wire _T_1988 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 429:58] + wire _T_1989 = ~_T_1988; // @[el2_lsu_bus_buffer.scala 429:45] + wire _T_1991 = _T_1989 & _T_2632; // @[el2_lsu_bus_buffer.scala 429:63] + wire _T_1993 = _T_1991 & _T_4458; // @[el2_lsu_bus_buffer.scala 429:88] + wire _T_1982 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 429:58] + wire _T_1983 = ~_T_1982; // @[el2_lsu_bus_buffer.scala 429:45] + wire _T_1985 = _T_1983 & _T_2627; // @[el2_lsu_bus_buffer.scala 429:63] + wire _T_1987 = _T_1985 & _T_4453; // @[el2_lsu_bus_buffer.scala 429:88] wire [3:0] CmdPtr0Dec = {_T_2005,_T_1999,_T_1993,_T_1987}; // @[Cat.scala 29:58] wire [7:0] _T_2075 = {4'h0,_T_2005,_T_1999,_T_1993,_T_1987}; // @[Cat.scala 29:58] - wire _T_2078 = _T_2075[4] | _T_2075[5]; // @[el2_lsu_bus_buffer.scala 435:42] - wire _T_2080 = _T_2078 | _T_2075[6]; // @[el2_lsu_bus_buffer.scala 435:48] - wire _T_2082 = _T_2080 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 435:54] - wire _T_2085 = _T_2075[2] | _T_2075[3]; // @[el2_lsu_bus_buffer.scala 435:67] - wire _T_2087 = _T_2085 | _T_2075[6]; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2089 = _T_2087 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 435:79] - wire _T_2092 = _T_2075[1] | _T_2075[3]; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2094 = _T_2092 | _T_2075[5]; // @[el2_lsu_bus_buffer.scala 435:98] - wire _T_2096 = _T_2094 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 435:104] + wire _T_2078 = _T_2075[4] | _T_2075[5]; // @[el2_lsu_bus_buffer.scala 437:42] + wire _T_2080 = _T_2078 | _T_2075[6]; // @[el2_lsu_bus_buffer.scala 437:48] + wire _T_2082 = _T_2080 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 437:54] + wire _T_2085 = _T_2075[2] | _T_2075[3]; // @[el2_lsu_bus_buffer.scala 437:67] + wire _T_2087 = _T_2085 | _T_2075[6]; // @[el2_lsu_bus_buffer.scala 437:73] + wire _T_2089 = _T_2087 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 437:79] + wire _T_2092 = _T_2075[1] | _T_2075[3]; // @[el2_lsu_bus_buffer.scala 437:92] + wire _T_2094 = _T_2092 | _T_2075[5]; // @[el2_lsu_bus_buffer.scala 437:98] + wire _T_2096 = _T_2094 | _T_2075[7]; // @[el2_lsu_bus_buffer.scala 437:104] wire [2:0] _T_2098 = {_T_2082,_T_2089,_T_2096}; // @[Cat.scala 29:58] - wire [1:0] CmdPtr0 = _T_2098[1:0]; // @[el2_lsu_bus_buffer.scala 440:11] - wire _T_1044 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 312:114] - wire _T_1045 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 312:114] - wire _T_1046 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 312:114] - wire _T_1047 = CmdPtr0 == 2'h3; // @[el2_lsu_bus_buffer.scala 312:114] + wire [1:0] CmdPtr0 = _T_2098[1:0]; // @[el2_lsu_bus_buffer.scala 442:11] + wire _T_1044 = CmdPtr0 == 2'h0; // @[el2_lsu_bus_buffer.scala 314:114] + wire _T_1045 = CmdPtr0 == 2'h1; // @[el2_lsu_bus_buffer.scala 314:114] + wire _T_1046 = CmdPtr0 == 2'h2; // @[el2_lsu_bus_buffer.scala 314:114] + wire _T_1047 = CmdPtr0 == 2'h3; // @[el2_lsu_bus_buffer.scala 314:114] reg buf_nomerge_0; // @[Reg.scala 27:20] wire _T_1048 = _T_1044 & buf_nomerge_0; // @[Mux.scala 27:72] reg buf_nomerge_1; // @[Reg.scala 27:20] @@ -1089,8 +1077,8 @@ module el2_lsu_bus_buffer( wire _T_1052 = _T_1048 | _T_1049; // @[Mux.scala 27:72] wire _T_1053 = _T_1052 | _T_1050; // @[Mux.scala 27:72] wire _T_1054 = _T_1053 | _T_1051; // @[Mux.scala 27:72] - wire _T_1056 = ~_T_1054; // @[el2_lsu_bus_buffer.scala 312:31] - wire _T_1057 = _T_1043 & _T_1056; // @[el2_lsu_bus_buffer.scala 312:29] + wire _T_1056 = ~_T_1054; // @[el2_lsu_bus_buffer.scala 314:31] + wire _T_1057 = _T_1043 & _T_1056; // @[el2_lsu_bus_buffer.scala 314:29] reg _T_4351; // @[Reg.scala 27:20] reg _T_4348; // @[Reg.scala 27:20] reg _T_4345; // @[Reg.scala 27:20] @@ -1103,10 +1091,10 @@ module el2_lsu_bus_buffer( wire _T_1070 = _T_1066 | _T_1067; // @[Mux.scala 27:72] wire _T_1071 = _T_1070 | _T_1068; // @[Mux.scala 27:72] wire _T_1072 = _T_1071 | _T_1069; // @[Mux.scala 27:72] - wire _T_1074 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 313:5] - wire _T_1075 = _T_1057 & _T_1074; // @[el2_lsu_bus_buffer.scala 312:140] - wire _T_1086 = _T_858 & _T_852; // @[el2_lsu_bus_buffer.scala 315:58] - wire _T_1088 = _T_1086 & _T_1038; // @[el2_lsu_bus_buffer.scala 315:72] + wire _T_1074 = ~_T_1072; // @[el2_lsu_bus_buffer.scala 315:5] + wire _T_1075 = _T_1057 & _T_1074; // @[el2_lsu_bus_buffer.scala 314:140] + wire _T_1086 = _T_858 & _T_852; // @[el2_lsu_bus_buffer.scala 317:58] + wire _T_1088 = _T_1086 & _T_1038; // @[el2_lsu_bus_buffer.scala 317:72] wire [29:0] _T_1098 = _T_1044 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1099 = _T_1045 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1102 = _T_1098 | _T_1099; // @[Mux.scala 27:72] @@ -1114,51 +1102,51 @@ module el2_lsu_bus_buffer( wire [29:0] _T_1103 = _T_1102 | _T_1100; // @[Mux.scala 27:72] wire [29:0] _T_1101 = _T_1047 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] - wire _T_1106 = io_lsu_addr_m[31:2] != _T_1104; // @[el2_lsu_bus_buffer.scala 315:123] - wire obuf_force_wr_en = _T_1088 & _T_1106; // @[el2_lsu_bus_buffer.scala 315:101] - wire _T_1076 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 313:119] - wire obuf_wr_wait = _T_1075 & _T_1076; // @[el2_lsu_bus_buffer.scala 313:117] - wire _T_1077 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 314:75] - wire _T_1078 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 314:95] - wire _T_1079 = _T_1077 & _T_1078; // @[el2_lsu_bus_buffer.scala 314:79] - wire [2:0] _T_1081 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 314:121] - wire _T_4503 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 573:63] - wire _T_4507 = _T_4503 | _T_4484; // @[el2_lsu_bus_buffer.scala 573:74] - wire _T_4498 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 573:63] - wire _T_4502 = _T_4498 | _T_4481; // @[el2_lsu_bus_buffer.scala 573:74] - wire [1:0] _T_4508 = _T_4507 + _T_4502; // @[el2_lsu_bus_buffer.scala 573:154] - wire _T_4493 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 573:63] - wire _T_4497 = _T_4493 | _T_4478; // @[el2_lsu_bus_buffer.scala 573:74] - wire [1:0] _GEN_368 = {{1'd0}, _T_4497}; // @[el2_lsu_bus_buffer.scala 573:154] - wire [2:0] _T_4509 = _T_4508 + _GEN_368; // @[el2_lsu_bus_buffer.scala 573:154] - wire _T_4488 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 573:63] - wire _T_4492 = _T_4488 | _T_4475; // @[el2_lsu_bus_buffer.scala 573:74] - wire [2:0] _GEN_369 = {{2'd0}, _T_4492}; // @[el2_lsu_bus_buffer.scala 573:154] - wire [3:0] buf_numvld_pend_any = _T_4509 + _GEN_369; // @[el2_lsu_bus_buffer.scala 573:154] - wire _T_1108 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 317:53] - wire _T_1109 = ibuf_byp & _T_1108; // @[el2_lsu_bus_buffer.scala 317:31] - wire _T_1110 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 317:64] - wire _T_1111 = _T_1110 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 317:84] - wire ibuf_buf_byp = _T_1109 & _T_1111; // @[el2_lsu_bus_buffer.scala 317:61] - wire _T_1112 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 332:32] - wire _T_4799 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 601:62] - wire _T_4801 = _T_4799 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 601:73] - wire _T_4802 = _T_4801 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 601:93] - wire _T_4803 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 601:62] - wire _T_4805 = _T_4803 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 601:73] - wire _T_4806 = _T_4805 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 601:93] - wire _T_4815 = _T_4802 | _T_4806; // @[el2_lsu_bus_buffer.scala 601:141] - wire _T_4807 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 601:62] - wire _T_4809 = _T_4807 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 601:73] - wire _T_4810 = _T_4809 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 601:93] - wire _T_4816 = _T_4815 | _T_4810; // @[el2_lsu_bus_buffer.scala 601:141] - wire _T_4811 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 601:62] - wire _T_4813 = _T_4811 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 601:73] - wire _T_4814 = _T_4813 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 601:93] - wire bus_sideeffect_pend = _T_4816 | _T_4814; // @[el2_lsu_bus_buffer.scala 601:141] - wire _T_1113 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 332:74] - wire _T_1114 = ~_T_1113; // @[el2_lsu_bus_buffer.scala 332:52] - wire _T_1115 = _T_1112 & _T_1114; // @[el2_lsu_bus_buffer.scala 332:50] + wire _T_1106 = io_lsu_addr_m[31:2] != _T_1104; // @[el2_lsu_bus_buffer.scala 317:123] + wire obuf_force_wr_en = _T_1088 & _T_1106; // @[el2_lsu_bus_buffer.scala 317:101] + wire _T_1076 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 315:119] + wire obuf_wr_wait = _T_1075 & _T_1076; // @[el2_lsu_bus_buffer.scala 315:117] + wire _T_1077 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 316:75] + wire _T_1078 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 316:95] + wire _T_1079 = _T_1077 & _T_1078; // @[el2_lsu_bus_buffer.scala 316:79] + wire [2:0] _T_1081 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 316:121] + wire _T_4503 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4507 = _T_4503 | _T_4484; // @[el2_lsu_bus_buffer.scala 575:74] + wire _T_4498 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4502 = _T_4498 | _T_4481; // @[el2_lsu_bus_buffer.scala 575:74] + wire [1:0] _T_4508 = _T_4507 + _T_4502; // @[el2_lsu_bus_buffer.scala 575:154] + wire _T_4493 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4497 = _T_4493 | _T_4478; // @[el2_lsu_bus_buffer.scala 575:74] + wire [1:0] _GEN_358 = {{1'd0}, _T_4497}; // @[el2_lsu_bus_buffer.scala 575:154] + wire [2:0] _T_4509 = _T_4508 + _GEN_358; // @[el2_lsu_bus_buffer.scala 575:154] + wire _T_4488 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4492 = _T_4488 | _T_4475; // @[el2_lsu_bus_buffer.scala 575:74] + wire [2:0] _GEN_359 = {{2'd0}, _T_4492}; // @[el2_lsu_bus_buffer.scala 575:154] + wire [3:0] buf_numvld_pend_any = _T_4509 + _GEN_359; // @[el2_lsu_bus_buffer.scala 575:154] + wire _T_1108 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 319:53] + wire _T_1109 = ibuf_byp & _T_1108; // @[el2_lsu_bus_buffer.scala 319:31] + wire _T_1110 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 319:64] + wire _T_1111 = _T_1110 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 319:84] + wire ibuf_buf_byp = _T_1109 & _T_1111; // @[el2_lsu_bus_buffer.scala 319:61] + wire _T_1112 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 334:32] + wire _T_4799 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] + wire _T_4801 = _T_4799 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 603:73] + wire _T_4802 = _T_4801 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] + wire _T_4803 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] + wire _T_4805 = _T_4803 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 603:73] + wire _T_4806 = _T_4805 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] + wire _T_4815 = _T_4802 | _T_4806; // @[el2_lsu_bus_buffer.scala 603:141] + wire _T_4807 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] + wire _T_4809 = _T_4807 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 603:73] + wire _T_4810 = _T_4809 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] + wire _T_4816 = _T_4815 | _T_4810; // @[el2_lsu_bus_buffer.scala 603:141] + wire _T_4811 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] + wire _T_4813 = _T_4811 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 603:73] + wire _T_4814 = _T_4813 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] + wire bus_sideeffect_pend = _T_4816 | _T_4814; // @[el2_lsu_bus_buffer.scala 603:141] + wire _T_1113 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 334:74] + wire _T_1114 = ~_T_1113; // @[el2_lsu_bus_buffer.scala 334:52] + wire _T_1115 = _T_1112 & _T_1114; // @[el2_lsu_bus_buffer.scala 334:50] wire [2:0] _T_1120 = _T_1044 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1121 = _T_1045 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1124 = _T_1120 | _T_1121; // @[Mux.scala 27:72] @@ -1166,9 +1154,9 @@ module el2_lsu_bus_buffer( wire [2:0] _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] wire [2:0] _T_1123 = _T_1047 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] - wire _T_1128 = _T_1126 == 3'h2; // @[el2_lsu_bus_buffer.scala 333:36] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 432:31] - wire _T_1129 = _T_1128 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 333:47] + wire _T_1128 = _T_1126 == 3'h2; // @[el2_lsu_bus_buffer.scala 335:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 434:31] + wire _T_1129 = _T_1128 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 335:47] wire [3:0] _T_1132 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1141 = _T_1044 & _T_1132[0]; // @[Mux.scala 27:72] wire _T_1142 = _T_1045 & _T_1132[1]; // @[Mux.scala 27:72] @@ -1177,11 +1165,11 @@ module el2_lsu_bus_buffer( wire _T_1146 = _T_1145 | _T_1143; // @[Mux.scala 27:72] wire _T_1144 = _T_1047 & _T_1132[3]; // @[Mux.scala 27:72] wire _T_1147 = _T_1146 | _T_1144; // @[Mux.scala 27:72] - wire _T_1149 = ~_T_1147; // @[el2_lsu_bus_buffer.scala 334:23] - wire _T_1150 = _T_1129 & _T_1149; // @[el2_lsu_bus_buffer.scala 334:21] - wire _T_1167 = _T_1072 & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 334:141] - wire _T_1168 = ~_T_1167; // @[el2_lsu_bus_buffer.scala 334:105] - wire _T_1169 = _T_1150 & _T_1168; // @[el2_lsu_bus_buffer.scala 334:103] + wire _T_1149 = ~_T_1147; // @[el2_lsu_bus_buffer.scala 336:23] + wire _T_1150 = _T_1129 & _T_1149; // @[el2_lsu_bus_buffer.scala 336:21] + wire _T_1167 = _T_1072 & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 336:141] + wire _T_1168 = ~_T_1167; // @[el2_lsu_bus_buffer.scala 336:105] + wire _T_1169 = _T_1150 & _T_1168; // @[el2_lsu_bus_buffer.scala 336:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -1206,7 +1194,7 @@ module el2_lsu_bus_buffer( wire _T_1205 = _T_1204 | _T_1202; // @[Mux.scala 27:72] wire _T_1203 = _T_1047 & _T_1191[3]; // @[Mux.scala 27:72] wire _T_1206 = _T_1205 | _T_1203; // @[Mux.scala 27:72] - wire _T_1208 = _T_1187 & _T_1206; // @[el2_lsu_bus_buffer.scala 335:77] + wire _T_1208 = _T_1187 & _T_1206; // @[el2_lsu_bus_buffer.scala 337:77] wire _T_1217 = _T_1044 & buf_write[0]; // @[Mux.scala 27:72] wire _T_1218 = _T_1045 & buf_write[1]; // @[Mux.scala 27:72] wire _T_1221 = _T_1217 | _T_1218; // @[Mux.scala 27:72] @@ -1214,41 +1202,41 @@ module el2_lsu_bus_buffer( wire _T_1222 = _T_1221 | _T_1219; // @[Mux.scala 27:72] wire _T_1220 = _T_1047 & buf_write[3]; // @[Mux.scala 27:72] wire _T_1223 = _T_1222 | _T_1220; // @[Mux.scala 27:72] - wire _T_1225 = ~_T_1223; // @[el2_lsu_bus_buffer.scala 335:150] - wire _T_1226 = _T_1208 & _T_1225; // @[el2_lsu_bus_buffer.scala 335:148] - wire _T_1227 = ~_T_1226; // @[el2_lsu_bus_buffer.scala 335:8] - wire [3:0] _T_2041 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 428:62] - wire [3:0] _T_2042 = buf_age_3 & _T_2041; // @[el2_lsu_bus_buffer.scala 428:59] - wire _T_2043 = |_T_2042; // @[el2_lsu_bus_buffer.scala 428:76] - wire _T_2044 = ~_T_2043; // @[el2_lsu_bus_buffer.scala 428:45] - wire _T_2046 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 428:83] - wire _T_2047 = _T_2044 & _T_2046; // @[el2_lsu_bus_buffer.scala 428:81] - wire _T_2049 = _T_2047 & _T_2642; // @[el2_lsu_bus_buffer.scala 428:98] - wire _T_2051 = _T_2049 & _T_4468; // @[el2_lsu_bus_buffer.scala 428:123] - wire [3:0] _T_2031 = buf_age_2 & _T_2041; // @[el2_lsu_bus_buffer.scala 428:59] - wire _T_2032 = |_T_2031; // @[el2_lsu_bus_buffer.scala 428:76] - wire _T_2033 = ~_T_2032; // @[el2_lsu_bus_buffer.scala 428:45] - wire _T_2035 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 428:83] - wire _T_2036 = _T_2033 & _T_2035; // @[el2_lsu_bus_buffer.scala 428:81] - wire _T_2038 = _T_2036 & _T_2637; // @[el2_lsu_bus_buffer.scala 428:98] - wire _T_2040 = _T_2038 & _T_4463; // @[el2_lsu_bus_buffer.scala 428:123] - wire [3:0] _T_2020 = buf_age_1 & _T_2041; // @[el2_lsu_bus_buffer.scala 428:59] - wire _T_2021 = |_T_2020; // @[el2_lsu_bus_buffer.scala 428:76] - wire _T_2022 = ~_T_2021; // @[el2_lsu_bus_buffer.scala 428:45] - wire _T_2024 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 428:83] - wire _T_2025 = _T_2022 & _T_2024; // @[el2_lsu_bus_buffer.scala 428:81] - wire _T_2027 = _T_2025 & _T_2632; // @[el2_lsu_bus_buffer.scala 428:98] - wire _T_2029 = _T_2027 & _T_4458; // @[el2_lsu_bus_buffer.scala 428:123] - wire [3:0] _T_2009 = buf_age_0 & _T_2041; // @[el2_lsu_bus_buffer.scala 428:59] - wire _T_2010 = |_T_2009; // @[el2_lsu_bus_buffer.scala 428:76] - wire _T_2011 = ~_T_2010; // @[el2_lsu_bus_buffer.scala 428:45] - wire _T_2013 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 428:83] - wire _T_2014 = _T_2011 & _T_2013; // @[el2_lsu_bus_buffer.scala 428:81] - wire _T_2016 = _T_2014 & _T_2627; // @[el2_lsu_bus_buffer.scala 428:98] - wire _T_2018 = _T_2016 & _T_4453; // @[el2_lsu_bus_buffer.scala 428:123] + wire _T_1225 = ~_T_1223; // @[el2_lsu_bus_buffer.scala 337:150] + wire _T_1226 = _T_1208 & _T_1225; // @[el2_lsu_bus_buffer.scala 337:148] + wire _T_1227 = ~_T_1226; // @[el2_lsu_bus_buffer.scala 337:8] + wire [3:0] _T_2041 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 430:62] + wire [3:0] _T_2042 = buf_age_3 & _T_2041; // @[el2_lsu_bus_buffer.scala 430:59] + wire _T_2043 = |_T_2042; // @[el2_lsu_bus_buffer.scala 430:76] + wire _T_2044 = ~_T_2043; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_2046 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 430:83] + wire _T_2047 = _T_2044 & _T_2046; // @[el2_lsu_bus_buffer.scala 430:81] + wire _T_2049 = _T_2047 & _T_2642; // @[el2_lsu_bus_buffer.scala 430:98] + wire _T_2051 = _T_2049 & _T_4468; // @[el2_lsu_bus_buffer.scala 430:123] + wire [3:0] _T_2031 = buf_age_2 & _T_2041; // @[el2_lsu_bus_buffer.scala 430:59] + wire _T_2032 = |_T_2031; // @[el2_lsu_bus_buffer.scala 430:76] + wire _T_2033 = ~_T_2032; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_2035 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 430:83] + wire _T_2036 = _T_2033 & _T_2035; // @[el2_lsu_bus_buffer.scala 430:81] + wire _T_2038 = _T_2036 & _T_2637; // @[el2_lsu_bus_buffer.scala 430:98] + wire _T_2040 = _T_2038 & _T_4463; // @[el2_lsu_bus_buffer.scala 430:123] + wire [3:0] _T_2020 = buf_age_1 & _T_2041; // @[el2_lsu_bus_buffer.scala 430:59] + wire _T_2021 = |_T_2020; // @[el2_lsu_bus_buffer.scala 430:76] + wire _T_2022 = ~_T_2021; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_2024 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 430:83] + wire _T_2025 = _T_2022 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:81] + wire _T_2027 = _T_2025 & _T_2632; // @[el2_lsu_bus_buffer.scala 430:98] + wire _T_2029 = _T_2027 & _T_4458; // @[el2_lsu_bus_buffer.scala 430:123] + wire [3:0] _T_2009 = buf_age_0 & _T_2041; // @[el2_lsu_bus_buffer.scala 430:59] + wire _T_2010 = |_T_2009; // @[el2_lsu_bus_buffer.scala 430:76] + wire _T_2011 = ~_T_2010; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_2013 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 430:83] + wire _T_2014 = _T_2011 & _T_2013; // @[el2_lsu_bus_buffer.scala 430:81] + wire _T_2016 = _T_2014 & _T_2627; // @[el2_lsu_bus_buffer.scala 430:98] + wire _T_2018 = _T_2016 & _T_4453; // @[el2_lsu_bus_buffer.scala 430:123] wire [3:0] CmdPtr1Dec = {_T_2051,_T_2040,_T_2029,_T_2018}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 433:31] - wire _T_1228 = _T_1227 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 335:181] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 435:31] + wire _T_1228 = _T_1227 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 337:181] wire [3:0] _T_1231 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1240 = _T_1044 & _T_1231[0]; // @[Mux.scala 27:72] wire _T_1241 = _T_1045 & _T_1231[1]; // @[Mux.scala 27:72] @@ -1257,77 +1245,77 @@ module el2_lsu_bus_buffer( wire _T_1245 = _T_1244 | _T_1242; // @[Mux.scala 27:72] wire _T_1243 = _T_1047 & _T_1231[3]; // @[Mux.scala 27:72] wire _T_1246 = _T_1245 | _T_1243; // @[Mux.scala 27:72] - wire _T_1248 = _T_1228 | _T_1246; // @[el2_lsu_bus_buffer.scala 335:197] - wire _T_1249 = _T_1248 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 335:269] - wire _T_1250 = _T_1169 & _T_1249; // @[el2_lsu_bus_buffer.scala 334:164] - wire _T_1251 = _T_1115 | _T_1250; // @[el2_lsu_bus_buffer.scala 332:98] + wire _T_1248 = _T_1228 | _T_1246; // @[el2_lsu_bus_buffer.scala 337:197] + wire _T_1249 = _T_1248 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 337:269] + wire _T_1250 = _T_1169 & _T_1249; // @[el2_lsu_bus_buffer.scala 336:164] + wire _T_1251 = _T_1115 | _T_1250; // @[el2_lsu_bus_buffer.scala 334:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 397:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 398:55] - wire _T_4874 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 605:54] - wire _T_4875 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 605:75] - wire _T_4877 = _T_4874 ? _T_4875 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 605:39] - wire bus_cmd_ready = obuf_write ? _T_4877 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 605:23] - wire _T_1252 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 336:48] - wire _T_1253 = bus_cmd_ready | _T_1252; // @[el2_lsu_bus_buffer.scala 336:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 399:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 400:55] + wire _T_4874 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 607:54] + wire _T_4875 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 607:75] + wire _T_4877 = _T_4874 ? _T_4875 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 607:39] + wire bus_cmd_ready = obuf_write ? _T_4877 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 607:23] + wire _T_1252 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 338:48] + wire _T_1253 = bus_cmd_ready | _T_1252; // @[el2_lsu_bus_buffer.scala 338:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1254 = _T_1253 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 336:60] - wire _T_1255 = _T_1251 & _T_1254; // @[el2_lsu_bus_buffer.scala 336:29] - wire _T_1256 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 336:77] - wire _T_1257 = _T_1255 & _T_1256; // @[el2_lsu_bus_buffer.scala 336:75] + wire _T_1254 = _T_1253 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 338:60] + wire _T_1255 = _T_1251 & _T_1254; // @[el2_lsu_bus_buffer.scala 338:29] + wire _T_1256 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 338:77] + wire _T_1257 = _T_1255 & _T_1256; // @[el2_lsu_bus_buffer.scala 338:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4822 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 603:56] - wire _T_4823 = obuf_valid & _T_4822; // @[el2_lsu_bus_buffer.scala 603:38] - wire _T_4825 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 603:126] - wire _T_4826 = obuf_merge & _T_4825; // @[el2_lsu_bus_buffer.scala 603:114] - wire _T_4827 = _T_3583 | _T_4826; // @[el2_lsu_bus_buffer.scala 603:100] - wire _T_4828 = ~_T_4827; // @[el2_lsu_bus_buffer.scala 603:80] - wire _T_4829 = _T_4823 & _T_4828; // @[el2_lsu_bus_buffer.scala 603:78] + wire _T_4822 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] + wire _T_4823 = obuf_valid & _T_4822; // @[el2_lsu_bus_buffer.scala 605:38] + wire _T_4825 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 605:126] + wire _T_4826 = obuf_merge & _T_4825; // @[el2_lsu_bus_buffer.scala 605:114] + wire _T_4827 = _T_3583 | _T_4826; // @[el2_lsu_bus_buffer.scala 605:100] + wire _T_4828 = ~_T_4827; // @[el2_lsu_bus_buffer.scala 605:80] + wire _T_4829 = _T_4823 & _T_4828; // @[el2_lsu_bus_buffer.scala 605:78] wire _T_4866 = _T_4799 & _T_4829; // @[Mux.scala 27:72] - wire _T_4834 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 603:56] - wire _T_4835 = obuf_valid & _T_4834; // @[el2_lsu_bus_buffer.scala 603:38] - wire _T_4837 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 603:126] - wire _T_4838 = obuf_merge & _T_4837; // @[el2_lsu_bus_buffer.scala 603:114] - wire _T_4839 = _T_3776 | _T_4838; // @[el2_lsu_bus_buffer.scala 603:100] - wire _T_4840 = ~_T_4839; // @[el2_lsu_bus_buffer.scala 603:80] - wire _T_4841 = _T_4835 & _T_4840; // @[el2_lsu_bus_buffer.scala 603:78] + wire _T_4834 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] + wire _T_4835 = obuf_valid & _T_4834; // @[el2_lsu_bus_buffer.scala 605:38] + wire _T_4837 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 605:126] + wire _T_4838 = obuf_merge & _T_4837; // @[el2_lsu_bus_buffer.scala 605:114] + wire _T_4839 = _T_3776 | _T_4838; // @[el2_lsu_bus_buffer.scala 605:100] + wire _T_4840 = ~_T_4839; // @[el2_lsu_bus_buffer.scala 605:80] + wire _T_4841 = _T_4835 & _T_4840; // @[el2_lsu_bus_buffer.scala 605:78] wire _T_4867 = _T_4803 & _T_4841; // @[Mux.scala 27:72] wire _T_4870 = _T_4866 | _T_4867; // @[Mux.scala 27:72] - wire _T_4846 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 603:56] - wire _T_4847 = obuf_valid & _T_4846; // @[el2_lsu_bus_buffer.scala 603:38] - wire _T_4849 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 603:126] - wire _T_4850 = obuf_merge & _T_4849; // @[el2_lsu_bus_buffer.scala 603:114] - wire _T_4851 = _T_3969 | _T_4850; // @[el2_lsu_bus_buffer.scala 603:100] - wire _T_4852 = ~_T_4851; // @[el2_lsu_bus_buffer.scala 603:80] - wire _T_4853 = _T_4847 & _T_4852; // @[el2_lsu_bus_buffer.scala 603:78] + wire _T_4846 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] + wire _T_4847 = obuf_valid & _T_4846; // @[el2_lsu_bus_buffer.scala 605:38] + wire _T_4849 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 605:126] + wire _T_4850 = obuf_merge & _T_4849; // @[el2_lsu_bus_buffer.scala 605:114] + wire _T_4851 = _T_3969 | _T_4850; // @[el2_lsu_bus_buffer.scala 605:100] + wire _T_4852 = ~_T_4851; // @[el2_lsu_bus_buffer.scala 605:80] + wire _T_4853 = _T_4847 & _T_4852; // @[el2_lsu_bus_buffer.scala 605:78] wire _T_4868 = _T_4807 & _T_4853; // @[Mux.scala 27:72] wire _T_4871 = _T_4870 | _T_4868; // @[Mux.scala 27:72] - wire _T_4858 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 603:56] - wire _T_4859 = obuf_valid & _T_4858; // @[el2_lsu_bus_buffer.scala 603:38] - wire _T_4861 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 603:126] - wire _T_4862 = obuf_merge & _T_4861; // @[el2_lsu_bus_buffer.scala 603:114] - wire _T_4863 = _T_4162 | _T_4862; // @[el2_lsu_bus_buffer.scala 603:100] - wire _T_4864 = ~_T_4863; // @[el2_lsu_bus_buffer.scala 603:80] - wire _T_4865 = _T_4859 & _T_4864; // @[el2_lsu_bus_buffer.scala 603:78] + wire _T_4858 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] + wire _T_4859 = obuf_valid & _T_4858; // @[el2_lsu_bus_buffer.scala 605:38] + wire _T_4861 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 605:126] + wire _T_4862 = obuf_merge & _T_4861; // @[el2_lsu_bus_buffer.scala 605:114] + wire _T_4863 = _T_4162 | _T_4862; // @[el2_lsu_bus_buffer.scala 605:100] + wire _T_4864 = ~_T_4863; // @[el2_lsu_bus_buffer.scala 605:80] + wire _T_4865 = _T_4859 & _T_4864; // @[el2_lsu_bus_buffer.scala 605:78] wire _T_4869 = _T_4811 & _T_4865; // @[Mux.scala 27:72] wire bus_addr_match_pending = _T_4871 | _T_4869; // @[Mux.scala 27:72] - wire _T_1260 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 336:118] - wire _T_1261 = _T_1257 & _T_1260; // @[el2_lsu_bus_buffer.scala 336:116] - wire obuf_wr_en = _T_1261 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 336:142] - wire _T_1263 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 338:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 606:39] - wire _T_4881 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 608:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 607:39] - wire _T_4882 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 608:70] - wire _T_4883 = _T_4881 & _T_4882; // @[el2_lsu_bus_buffer.scala 608:52] - wire _T_4884 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 608:111] - wire bus_cmd_sent = _T_4883 | _T_4884; // @[el2_lsu_bus_buffer.scala 608:89] - wire _T_1264 = bus_cmd_sent | _T_1263; // @[el2_lsu_bus_buffer.scala 338:33] - wire _T_1265 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 338:65] - wire _T_1266 = _T_1264 & _T_1265; // @[el2_lsu_bus_buffer.scala 338:63] - wire _T_1267 = _T_1266 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 338:77] - wire obuf_rst = _T_1267 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 338:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : _T_1223; // @[el2_lsu_bus_buffer.scala 339:26] + wire _T_1260 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 338:118] + wire _T_1261 = _T_1257 & _T_1260; // @[el2_lsu_bus_buffer.scala 338:116] + wire obuf_wr_en = _T_1261 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 338:142] + wire _T_1263 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 340:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 608:39] + wire _T_4881 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 610:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 609:39] + wire _T_4882 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 610:70] + wire _T_4883 = _T_4881 & _T_4882; // @[el2_lsu_bus_buffer.scala 610:52] + wire _T_4884 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 610:111] + wire bus_cmd_sent = _T_4883 | _T_4884; // @[el2_lsu_bus_buffer.scala 610:89] + wire _T_1264 = bus_cmd_sent | _T_1263; // @[el2_lsu_bus_buffer.scala 340:33] + wire _T_1265 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 340:65] + wire _T_1266 = _T_1264 & _T_1265; // @[el2_lsu_bus_buffer.scala 340:63] + wire _T_1267 = _T_1266 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 340:77] + wire obuf_rst = _T_1267 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 340:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : _T_1223; // @[el2_lsu_bus_buffer.scala 341:26] wire [31:0] _T_1304 = _T_1044 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1305 = _T_1045 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1306 = _T_1046 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -1335,7 +1323,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_1308 = _T_1304 | _T_1305; // @[Mux.scala 27:72] wire [31:0] _T_1309 = _T_1308 | _T_1306; // @[Mux.scala 27:72] wire [31:0] _T_1310 = _T_1309 | _T_1307; // @[Mux.scala 27:72] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1310; // @[el2_lsu_bus_buffer.scala 341:25] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1310; // @[el2_lsu_bus_buffer.scala 343:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] wire [1:0] _T_1317 = _T_1044 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] @@ -1347,52 +1335,52 @@ module el2_lsu_bus_buffer( wire [1:0] _T_1321 = _T_1317 | _T_1318; // @[Mux.scala 27:72] wire [1:0] _T_1322 = _T_1321 | _T_1319; // @[Mux.scala 27:72] wire [1:0] _T_1323 = _T_1322 | _T_1320; // @[Mux.scala 27:72] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1323; // @[el2_lsu_bus_buffer.scala 344:23] - wire _T_1325 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 353:39] - wire _T_1326 = ~_T_1325; // @[el2_lsu_bus_buffer.scala 353:26] - wire _T_1332 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 357:72] - wire _T_1335 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 357:98] - wire _T_1336 = obuf_sz_in[0] & _T_1335; // @[el2_lsu_bus_buffer.scala 357:96] - wire _T_1337 = _T_1332 | _T_1336; // @[el2_lsu_bus_buffer.scala 357:79] - wire _T_1340 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 357:153] - wire _T_1341 = ~_T_1340; // @[el2_lsu_bus_buffer.scala 357:134] - wire _T_1342 = obuf_sz_in[1] & _T_1341; // @[el2_lsu_bus_buffer.scala 357:132] - wire _T_1343 = _T_1337 | _T_1342; // @[el2_lsu_bus_buffer.scala 357:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1343; // @[el2_lsu_bus_buffer.scala 357:28] - wire _T_1360 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 371:40] - wire _T_1361 = _T_1360 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 371:60] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1323; // @[el2_lsu_bus_buffer.scala 346:23] + wire _T_1325 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 355:39] + wire _T_1326 = ~_T_1325; // @[el2_lsu_bus_buffer.scala 355:26] + wire _T_1332 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 359:72] + wire _T_1335 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 359:98] + wire _T_1336 = obuf_sz_in[0] & _T_1335; // @[el2_lsu_bus_buffer.scala 359:96] + wire _T_1337 = _T_1332 | _T_1336; // @[el2_lsu_bus_buffer.scala 359:79] + wire _T_1340 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 359:153] + wire _T_1341 = ~_T_1340; // @[el2_lsu_bus_buffer.scala 359:134] + wire _T_1342 = obuf_sz_in[1] & _T_1341; // @[el2_lsu_bus_buffer.scala 359:132] + wire _T_1343 = _T_1337 | _T_1342; // @[el2_lsu_bus_buffer.scala 359:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1343; // @[el2_lsu_bus_buffer.scala 359:28] + wire _T_1360 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 373:40] + wire _T_1361 = _T_1360 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 373:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1362 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 371:80] - wire _T_1363 = _T_1361 & _T_1362; // @[el2_lsu_bus_buffer.scala 371:78] - wire _T_1364 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 371:99] - wire _T_1365 = _T_1363 & _T_1364; // @[el2_lsu_bus_buffer.scala 371:97] - wire _T_1366 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 371:113] - wire _T_1367 = _T_1365 & _T_1366; // @[el2_lsu_bus_buffer.scala 371:111] - wire _T_1368 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 371:130] - wire _T_1369 = _T_1367 & _T_1368; // @[el2_lsu_bus_buffer.scala 371:128] - wire _T_1370 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 372:20] - wire _T_1371 = obuf_valid & _T_1370; // @[el2_lsu_bus_buffer.scala 372:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 399:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 609:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 400:55] - wire _T_1372 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 372:90] - wire _T_1373 = bus_rsp_read & _T_1372; // @[el2_lsu_bus_buffer.scala 372:70] - wire _T_1374 = ~_T_1373; // @[el2_lsu_bus_buffer.scala 372:55] - wire _T_1375 = obuf_rdrsp_pend & _T_1374; // @[el2_lsu_bus_buffer.scala 372:53] - wire _T_1376 = _T_1371 | _T_1375; // @[el2_lsu_bus_buffer.scala 372:34] - wire obuf_nosend_in = _T_1369 & _T_1376; // @[el2_lsu_bus_buffer.scala 371:165] - wire _T_1344 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 365:44] - wire _T_1345 = obuf_wr_en & _T_1344; // @[el2_lsu_bus_buffer.scala 365:42] - wire _T_1346 = ~_T_1345; // @[el2_lsu_bus_buffer.scala 365:29] - wire _T_1347 = _T_1346 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 365:61] - wire _T_1351 = _T_1347 & _T_1374; // @[el2_lsu_bus_buffer.scala 365:79] - wire _T_1353 = bus_cmd_sent & _T_1364; // @[el2_lsu_bus_buffer.scala 366:20] - wire _T_1354 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 366:37] - wire _T_1355 = _T_1353 & _T_1354; // @[el2_lsu_bus_buffer.scala 366:35] - wire _T_1357 = bus_cmd_sent | _T_1364; // @[el2_lsu_bus_buffer.scala 368:44] + wire _T_1362 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 373:80] + wire _T_1363 = _T_1361 & _T_1362; // @[el2_lsu_bus_buffer.scala 373:78] + wire _T_1364 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 373:99] + wire _T_1365 = _T_1363 & _T_1364; // @[el2_lsu_bus_buffer.scala 373:97] + wire _T_1366 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 373:113] + wire _T_1367 = _T_1365 & _T_1366; // @[el2_lsu_bus_buffer.scala 373:111] + wire _T_1368 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 373:130] + wire _T_1369 = _T_1367 & _T_1368; // @[el2_lsu_bus_buffer.scala 373:128] + wire _T_1370 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 374:20] + wire _T_1371 = obuf_valid & _T_1370; // @[el2_lsu_bus_buffer.scala 374:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 401:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 611:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 402:55] + wire _T_1372 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 374:90] + wire _T_1373 = bus_rsp_read & _T_1372; // @[el2_lsu_bus_buffer.scala 374:70] + wire _T_1374 = ~_T_1373; // @[el2_lsu_bus_buffer.scala 374:55] + wire _T_1375 = obuf_rdrsp_pend & _T_1374; // @[el2_lsu_bus_buffer.scala 374:53] + wire _T_1376 = _T_1371 | _T_1375; // @[el2_lsu_bus_buffer.scala 374:34] + wire obuf_nosend_in = _T_1369 & _T_1376; // @[el2_lsu_bus_buffer.scala 373:165] + wire _T_1344 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 367:44] + wire _T_1345 = obuf_wr_en & _T_1344; // @[el2_lsu_bus_buffer.scala 367:42] + wire _T_1346 = ~_T_1345; // @[el2_lsu_bus_buffer.scala 367:29] + wire _T_1347 = _T_1346 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 367:61] + wire _T_1351 = _T_1347 & _T_1374; // @[el2_lsu_bus_buffer.scala 367:79] + wire _T_1353 = bus_cmd_sent & _T_1364; // @[el2_lsu_bus_buffer.scala 368:20] + wire _T_1354 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 368:37] + wire _T_1355 = _T_1353 & _T_1354; // @[el2_lsu_bus_buffer.scala 368:35] + wire _T_1357 = bus_cmd_sent | _T_1364; // @[el2_lsu_bus_buffer.scala 370:44] wire [7:0] _T_1379 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1380 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1381 = io_lsu_addr_r[2] ? _T_1379 : _T_1380; // @[el2_lsu_bus_buffer.scala 373:46] + wire [7:0] _T_1381 = io_lsu_addr_r[2] ? _T_1379 : _T_1380; // @[el2_lsu_bus_buffer.scala 375:46] wire [3:0] _T_1400 = _T_1044 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1401 = _T_1045 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_1402 = _T_1046 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] @@ -1402,18 +1390,18 @@ module el2_lsu_bus_buffer( wire [3:0] _T_1406 = _T_1405 | _T_1403; // @[Mux.scala 27:72] wire [7:0] _T_1408 = {_T_1406,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1421 = {4'h0,_T_1406}; // @[Cat.scala 29:58] - wire [7:0] _T_1422 = _T_1310[2] ? _T_1408 : _T_1421; // @[el2_lsu_bus_buffer.scala 374:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1381 : _T_1422; // @[el2_lsu_bus_buffer.scala 373:28] + wire [7:0] _T_1422 = _T_1310[2] ? _T_1408 : _T_1421; // @[el2_lsu_bus_buffer.scala 376:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1381 : _T_1422; // @[el2_lsu_bus_buffer.scala 375:28] wire [7:0] _T_1424 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1425 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1426 = io_end_addr_r[2] ? _T_1424 : _T_1425; // @[el2_lsu_bus_buffer.scala 375:46] + wire [7:0] _T_1426 = io_end_addr_r[2] ? _T_1424 : _T_1425; // @[el2_lsu_bus_buffer.scala 377:46] wire [7:0] _T_1453 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1466 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1467 = buf_addr_0[2] ? _T_1453 : _T_1466; // @[el2_lsu_bus_buffer.scala 376:8] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1426 : _T_1467; // @[el2_lsu_bus_buffer.scala 375:28] + wire [7:0] _T_1467 = buf_addr_0[2] ? _T_1453 : _T_1466; // @[el2_lsu_bus_buffer.scala 378:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1426 : _T_1467; // @[el2_lsu_bus_buffer.scala 377:28] wire [63:0] _T_1469 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1470 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1471 = io_lsu_addr_r[2] ? _T_1469 : _T_1470; // @[el2_lsu_bus_buffer.scala 378:44] + wire [63:0] _T_1471 = io_lsu_addr_r[2] ? _T_1469 : _T_1470; // @[el2_lsu_bus_buffer.scala 380:44] wire [31:0] _T_1490 = _T_1044 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1491 = _T_1045 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1492 = _T_1046 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -1423,23 +1411,23 @@ module el2_lsu_bus_buffer( wire [31:0] _T_1496 = _T_1495 | _T_1493; // @[Mux.scala 27:72] wire [63:0] _T_1498 = {_T_1496,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1511 = {32'h0,_T_1496}; // @[Cat.scala 29:58] - wire [63:0] _T_1512 = _T_1310[2] ? _T_1498 : _T_1511; // @[el2_lsu_bus_buffer.scala 379:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1471 : _T_1512; // @[el2_lsu_bus_buffer.scala 378:26] + wire [63:0] _T_1512 = _T_1310[2] ? _T_1498 : _T_1511; // @[el2_lsu_bus_buffer.scala 381:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1471 : _T_1512; // @[el2_lsu_bus_buffer.scala 380:26] wire [63:0] _T_1514 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1515 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1516 = io_lsu_addr_r[2] ? _T_1514 : _T_1515; // @[el2_lsu_bus_buffer.scala 380:44] + wire [63:0] _T_1516 = io_lsu_addr_r[2] ? _T_1514 : _T_1515; // @[el2_lsu_bus_buffer.scala 382:44] wire [63:0] _T_1543 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] wire [63:0] _T_1556 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1557 = buf_addr_0[2] ? _T_1543 : _T_1556; // @[el2_lsu_bus_buffer.scala 381:8] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1516 : _T_1557; // @[el2_lsu_bus_buffer.scala 380:26] - wire _T_1642 = CmdPtr0 != 2'h0; // @[el2_lsu_bus_buffer.scala 387:30] - wire _T_1643 = _T_1642 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 387:43] - wire _T_1644 = _T_1643 & found_cmdptr1; // @[el2_lsu_bus_buffer.scala 387:59] - wire _T_1658 = _T_1644 & _T_1128; // @[el2_lsu_bus_buffer.scala 387:75] - wire _T_1672 = _T_1658 & _T_2627; // @[el2_lsu_bus_buffer.scala 387:118] - wire _T_1693 = _T_1672 & _T_1149; // @[el2_lsu_bus_buffer.scala 387:161] - wire _T_1711 = _T_1693 & _T_1074; // @[el2_lsu_bus_buffer.scala 388:83] - wire _T_1813 = _T_1225 & _T_1187; // @[el2_lsu_bus_buffer.scala 391:36] + wire [63:0] _T_1557 = buf_addr_0[2] ? _T_1543 : _T_1556; // @[el2_lsu_bus_buffer.scala 383:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1516 : _T_1557; // @[el2_lsu_bus_buffer.scala 382:26] + wire _T_1642 = CmdPtr0 != 2'h0; // @[el2_lsu_bus_buffer.scala 389:30] + wire _T_1643 = _T_1642 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 389:43] + wire _T_1644 = _T_1643 & found_cmdptr1; // @[el2_lsu_bus_buffer.scala 389:59] + wire _T_1658 = _T_1644 & _T_1128; // @[el2_lsu_bus_buffer.scala 389:75] + wire _T_1672 = _T_1658 & _T_2627; // @[el2_lsu_bus_buffer.scala 389:118] + wire _T_1693 = _T_1672 & _T_1149; // @[el2_lsu_bus_buffer.scala 389:161] + wire _T_1711 = _T_1693 & _T_1074; // @[el2_lsu_bus_buffer.scala 390:83] + wire _T_1813 = _T_1225 & _T_1187; // @[el2_lsu_bus_buffer.scala 393:36] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] @@ -1452,224 +1440,224 @@ module el2_lsu_bus_buffer( wire _T_1830 = _T_1829 | _T_1827; // @[Mux.scala 27:72] wire _T_1828 = _T_1047 & _T_1816[3]; // @[Mux.scala 27:72] wire _T_1831 = _T_1830 | _T_1828; // @[Mux.scala 27:72] - wire _T_1833 = ~_T_1831; // @[el2_lsu_bus_buffer.scala 391:107] - wire _T_1834 = _T_1813 & _T_1833; // @[el2_lsu_bus_buffer.scala 391:105] - wire _T_1854 = _T_1834 & _T_1206; // @[el2_lsu_bus_buffer.scala 391:177] - wire _T_1856 = _T_1711 & _T_1854; // @[el2_lsu_bus_buffer.scala 388:120] - wire _T_1857 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 392:19] - wire _T_1858 = _T_1857 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 392:35] - wire obuf_merge_en = _T_1856 | _T_1858; // @[el2_lsu_bus_buffer.scala 391:251] - wire _T_1560 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 382:80] - wire _T_1561 = obuf_byteen0_in[0] | _T_1560; // @[el2_lsu_bus_buffer.scala 382:63] - wire _T_1564 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 382:80] - wire _T_1565 = obuf_byteen0_in[1] | _T_1564; // @[el2_lsu_bus_buffer.scala 382:63] - wire _T_1568 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 382:80] - wire _T_1569 = obuf_byteen0_in[2] | _T_1568; // @[el2_lsu_bus_buffer.scala 382:63] - wire _T_1572 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 382:80] - wire _T_1573 = obuf_byteen0_in[3] | _T_1572; // @[el2_lsu_bus_buffer.scala 382:63] - wire _T_1576 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 382:80] - wire _T_1577 = obuf_byteen0_in[4] | _T_1576; // @[el2_lsu_bus_buffer.scala 382:63] - wire _T_1580 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 382:80] - wire _T_1581 = obuf_byteen0_in[5] | _T_1580; // @[el2_lsu_bus_buffer.scala 382:63] - wire _T_1584 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 382:80] - wire _T_1585 = obuf_byteen0_in[6] | _T_1584; // @[el2_lsu_bus_buffer.scala 382:63] - wire _T_1588 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 382:80] - wire _T_1589 = obuf_byteen0_in[7] | _T_1588; // @[el2_lsu_bus_buffer.scala 382:63] + wire _T_1833 = ~_T_1831; // @[el2_lsu_bus_buffer.scala 393:107] + wire _T_1834 = _T_1813 & _T_1833; // @[el2_lsu_bus_buffer.scala 393:105] + wire _T_1854 = _T_1834 & _T_1206; // @[el2_lsu_bus_buffer.scala 393:177] + wire _T_1856 = _T_1711 & _T_1854; // @[el2_lsu_bus_buffer.scala 390:120] + wire _T_1857 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 394:19] + wire _T_1858 = _T_1857 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 394:35] + wire obuf_merge_en = _T_1856 | _T_1858; // @[el2_lsu_bus_buffer.scala 393:251] + wire _T_1560 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 384:80] + wire _T_1561 = obuf_byteen0_in[0] | _T_1560; // @[el2_lsu_bus_buffer.scala 384:63] + wire _T_1564 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 384:80] + wire _T_1565 = obuf_byteen0_in[1] | _T_1564; // @[el2_lsu_bus_buffer.scala 384:63] + wire _T_1568 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 384:80] + wire _T_1569 = obuf_byteen0_in[2] | _T_1568; // @[el2_lsu_bus_buffer.scala 384:63] + wire _T_1572 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 384:80] + wire _T_1573 = obuf_byteen0_in[3] | _T_1572; // @[el2_lsu_bus_buffer.scala 384:63] + wire _T_1576 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 384:80] + wire _T_1577 = obuf_byteen0_in[4] | _T_1576; // @[el2_lsu_bus_buffer.scala 384:63] + wire _T_1580 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 384:80] + wire _T_1581 = obuf_byteen0_in[5] | _T_1580; // @[el2_lsu_bus_buffer.scala 384:63] + wire _T_1584 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 384:80] + wire _T_1585 = obuf_byteen0_in[6] | _T_1584; // @[el2_lsu_bus_buffer.scala 384:63] + wire _T_1588 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 384:80] + wire _T_1589 = obuf_byteen0_in[7] | _T_1588; // @[el2_lsu_bus_buffer.scala 384:63] wire [7:0] obuf_byteen_in = {_T_1589,_T_1585,_T_1581,_T_1577,_T_1573,_T_1569,_T_1565,_T_1561}; // @[Cat.scala 29:58] - wire [7:0] _T_1600 = _T_1560 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 383:44] - wire [7:0] _T_1605 = _T_1564 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 383:44] - wire [7:0] _T_1610 = _T_1568 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 383:44] - wire [7:0] _T_1615 = _T_1572 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 383:44] - wire [7:0] _T_1620 = _T_1576 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 383:44] - wire [7:0] _T_1625 = _T_1580 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 383:44] - wire [7:0] _T_1630 = _T_1584 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 383:44] - wire [7:0] _T_1635 = _T_1588 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 383:44] + wire [7:0] _T_1600 = _T_1560 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 385:44] + wire [7:0] _T_1605 = _T_1564 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 385:44] + wire [7:0] _T_1610 = _T_1568 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 385:44] + wire [7:0] _T_1615 = _T_1572 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 385:44] + wire [7:0] _T_1620 = _T_1576 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 385:44] + wire [7:0] _T_1625 = _T_1580 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 385:44] + wire [7:0] _T_1630 = _T_1584 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 385:44] + wire [7:0] _T_1635 = _T_1588 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 385:44] wire [55:0] _T_1641 = {_T_1635,_T_1630,_T_1625,_T_1620,_T_1615,_T_1610,_T_1605}; // @[Cat.scala 29:58] - wire _T_1860 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 395:58] - wire _T_1861 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 395:93] + wire _T_1860 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 397:58] + wire _T_1861 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 397:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1874 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 413:65] - wire _T_1875 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 414:30] - wire _T_1876 = ibuf_valid & _T_1875; // @[el2_lsu_bus_buffer.scala 414:19] - wire _T_1877 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 415:18] - wire _T_1878 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 415:57] - wire _T_1879 = io_ldst_dual_r & _T_1878; // @[el2_lsu_bus_buffer.scala 415:45] - wire _T_1880 = _T_1877 | _T_1879; // @[el2_lsu_bus_buffer.scala 415:27] - wire _T_1881 = io_lsu_busreq_r & _T_1880; // @[el2_lsu_bus_buffer.scala 414:58] - wire _T_1882 = _T_1876 | _T_1881; // @[el2_lsu_bus_buffer.scala 414:39] - wire _T_1883 = ~_T_1882; // @[el2_lsu_bus_buffer.scala 414:5] - wire _T_1884 = _T_1874 & _T_1883; // @[el2_lsu_bus_buffer.scala 413:76] - wire _T_1885 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 413:65] - wire _T_1886 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 414:30] - wire _T_1887 = ibuf_valid & _T_1886; // @[el2_lsu_bus_buffer.scala 414:19] - wire _T_1888 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 415:18] - wire _T_1889 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 415:57] - wire _T_1890 = io_ldst_dual_r & _T_1889; // @[el2_lsu_bus_buffer.scala 415:45] - wire _T_1891 = _T_1888 | _T_1890; // @[el2_lsu_bus_buffer.scala 415:27] - wire _T_1892 = io_lsu_busreq_r & _T_1891; // @[el2_lsu_bus_buffer.scala 414:58] - wire _T_1893 = _T_1887 | _T_1892; // @[el2_lsu_bus_buffer.scala 414:39] - wire _T_1894 = ~_T_1893; // @[el2_lsu_bus_buffer.scala 414:5] - wire _T_1895 = _T_1885 & _T_1894; // @[el2_lsu_bus_buffer.scala 413:76] - wire _T_1896 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 413:65] - wire _T_1897 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 414:30] - wire _T_1898 = ibuf_valid & _T_1897; // @[el2_lsu_bus_buffer.scala 414:19] - wire _T_1899 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 415:18] - wire _T_1900 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 415:57] - wire _T_1901 = io_ldst_dual_r & _T_1900; // @[el2_lsu_bus_buffer.scala 415:45] - wire _T_1902 = _T_1899 | _T_1901; // @[el2_lsu_bus_buffer.scala 415:27] - wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 414:58] - wire _T_1904 = _T_1898 | _T_1903; // @[el2_lsu_bus_buffer.scala 414:39] - wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 414:5] - wire _T_1906 = _T_1896 & _T_1905; // @[el2_lsu_bus_buffer.scala 413:76] - wire _T_1907 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 413:65] - wire _T_1908 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 414:30] - wire _T_1910 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 415:18] - wire _T_1911 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 415:57] + wire _T_1874 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 415:65] + wire _T_1875 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 416:30] + wire _T_1876 = ibuf_valid & _T_1875; // @[el2_lsu_bus_buffer.scala 416:19] + wire _T_1877 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 417:18] + wire _T_1878 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 417:57] + wire _T_1879 = io_ldst_dual_r & _T_1878; // @[el2_lsu_bus_buffer.scala 417:45] + wire _T_1880 = _T_1877 | _T_1879; // @[el2_lsu_bus_buffer.scala 417:27] + wire _T_1881 = io_lsu_busreq_r & _T_1880; // @[el2_lsu_bus_buffer.scala 416:58] + wire _T_1882 = _T_1876 | _T_1881; // @[el2_lsu_bus_buffer.scala 416:39] + wire _T_1883 = ~_T_1882; // @[el2_lsu_bus_buffer.scala 416:5] + wire _T_1884 = _T_1874 & _T_1883; // @[el2_lsu_bus_buffer.scala 415:76] + wire _T_1885 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 415:65] + wire _T_1886 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 416:30] + wire _T_1887 = ibuf_valid & _T_1886; // @[el2_lsu_bus_buffer.scala 416:19] + wire _T_1888 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 417:18] + wire _T_1889 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 417:57] + wire _T_1890 = io_ldst_dual_r & _T_1889; // @[el2_lsu_bus_buffer.scala 417:45] + wire _T_1891 = _T_1888 | _T_1890; // @[el2_lsu_bus_buffer.scala 417:27] + wire _T_1892 = io_lsu_busreq_r & _T_1891; // @[el2_lsu_bus_buffer.scala 416:58] + wire _T_1893 = _T_1887 | _T_1892; // @[el2_lsu_bus_buffer.scala 416:39] + wire _T_1894 = ~_T_1893; // @[el2_lsu_bus_buffer.scala 416:5] + wire _T_1895 = _T_1885 & _T_1894; // @[el2_lsu_bus_buffer.scala 415:76] + wire _T_1896 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 415:65] + wire _T_1897 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 416:30] + wire _T_1898 = ibuf_valid & _T_1897; // @[el2_lsu_bus_buffer.scala 416:19] + wire _T_1899 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 417:18] + wire _T_1900 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 417:57] + wire _T_1901 = io_ldst_dual_r & _T_1900; // @[el2_lsu_bus_buffer.scala 417:45] + wire _T_1902 = _T_1899 | _T_1901; // @[el2_lsu_bus_buffer.scala 417:27] + wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 416:58] + wire _T_1904 = _T_1898 | _T_1903; // @[el2_lsu_bus_buffer.scala 416:39] + wire _T_1905 = ~_T_1904; // @[el2_lsu_bus_buffer.scala 416:5] + wire _T_1906 = _T_1896 & _T_1905; // @[el2_lsu_bus_buffer.scala 415:76] + wire _T_1907 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 415:65] + wire _T_1908 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 416:30] + wire _T_1910 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 417:18] + wire _T_1911 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 417:57] wire [1:0] _T_1919 = _T_1906 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] wire [1:0] _T_1920 = _T_1895 ? 2'h1 : _T_1919; // @[Mux.scala 98:16] wire [1:0] WrPtr0_m = _T_1884 ? 2'h0 : _T_1920; // @[Mux.scala 98:16] - wire _T_1925 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 420:33] - wire _T_1926 = io_lsu_busreq_m & _T_1925; // @[el2_lsu_bus_buffer.scala 420:22] - wire _T_1927 = _T_1876 | _T_1926; // @[el2_lsu_bus_buffer.scala 419:112] - wire _T_1933 = _T_1927 | _T_1881; // @[el2_lsu_bus_buffer.scala 420:42] - wire _T_1934 = ~_T_1933; // @[el2_lsu_bus_buffer.scala 419:78] - wire _T_1935 = _T_1874 & _T_1934; // @[el2_lsu_bus_buffer.scala 419:76] - wire _T_1939 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 420:33] - wire _T_1940 = io_lsu_busreq_m & _T_1939; // @[el2_lsu_bus_buffer.scala 420:22] - wire _T_1941 = _T_1887 | _T_1940; // @[el2_lsu_bus_buffer.scala 419:112] - wire _T_1947 = _T_1941 | _T_1892; // @[el2_lsu_bus_buffer.scala 420:42] - wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 419:78] - wire _T_1949 = _T_1885 & _T_1948; // @[el2_lsu_bus_buffer.scala 419:76] - wire _T_1953 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 420:33] - wire _T_1954 = io_lsu_busreq_m & _T_1953; // @[el2_lsu_bus_buffer.scala 420:22] - wire _T_1955 = _T_1898 | _T_1954; // @[el2_lsu_bus_buffer.scala 419:112] - wire _T_1961 = _T_1955 | _T_1903; // @[el2_lsu_bus_buffer.scala 420:42] - wire _T_1962 = ~_T_1961; // @[el2_lsu_bus_buffer.scala 419:78] - wire _T_1963 = _T_1896 & _T_1962; // @[el2_lsu_bus_buffer.scala 419:76] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_2767 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2768 = buf_rspageQ_0[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2764 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2765 = buf_rspageQ_0[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2761 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2762 = buf_rspageQ_0[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2758 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2759 = buf_rspageQ_0[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_1925 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 422:33] + wire _T_1926 = io_lsu_busreq_m & _T_1925; // @[el2_lsu_bus_buffer.scala 422:22] + wire _T_1927 = _T_1876 | _T_1926; // @[el2_lsu_bus_buffer.scala 421:112] + wire _T_1933 = _T_1927 | _T_1881; // @[el2_lsu_bus_buffer.scala 422:42] + wire _T_1934 = ~_T_1933; // @[el2_lsu_bus_buffer.scala 421:78] + wire _T_1935 = _T_1874 & _T_1934; // @[el2_lsu_bus_buffer.scala 421:76] + wire _T_1939 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 422:33] + wire _T_1940 = io_lsu_busreq_m & _T_1939; // @[el2_lsu_bus_buffer.scala 422:22] + wire _T_1941 = _T_1887 | _T_1940; // @[el2_lsu_bus_buffer.scala 421:112] + wire _T_1947 = _T_1941 | _T_1892; // @[el2_lsu_bus_buffer.scala 422:42] + wire _T_1948 = ~_T_1947; // @[el2_lsu_bus_buffer.scala 421:78] + wire _T_1949 = _T_1885 & _T_1948; // @[el2_lsu_bus_buffer.scala 421:76] + wire _T_1953 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 422:33] + wire _T_1954 = io_lsu_busreq_m & _T_1953; // @[el2_lsu_bus_buffer.scala 422:22] + wire _T_1955 = _T_1898 | _T_1954; // @[el2_lsu_bus_buffer.scala 421:112] + wire _T_1961 = _T_1955 | _T_1903; // @[el2_lsu_bus_buffer.scala 422:42] + wire _T_1962 = ~_T_1961; // @[el2_lsu_bus_buffer.scala 421:78] + wire _T_1963 = _T_1896 & _T_1962; // @[el2_lsu_bus_buffer.scala 421:76] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2767 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] + wire _T_2768 = buf_rspageQ_0[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2764 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] + wire _T_2765 = buf_rspageQ_0[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2761 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] + wire _T_2762 = buf_rspageQ_0[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2758 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] + wire _T_2759 = buf_rspageQ_0[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 465:89] wire [3:0] buf_rsp_pickage_0 = {_T_2768,_T_2765,_T_2762,_T_2759}; // @[Cat.scala 29:58] - wire _T_2054 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 431:65] - wire _T_2055 = ~_T_2054; // @[el2_lsu_bus_buffer.scala 431:44] - wire _T_2057 = _T_2055 & _T_2758; // @[el2_lsu_bus_buffer.scala 431:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_2783 = buf_rspageQ_1[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2780 = buf_rspageQ_1[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2777 = buf_rspageQ_1[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2774 = buf_rspageQ_1[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2054 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 433:65] + wire _T_2055 = ~_T_2054; // @[el2_lsu_bus_buffer.scala 433:44] + wire _T_2057 = _T_2055 & _T_2758; // @[el2_lsu_bus_buffer.scala 433:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2783 = buf_rspageQ_1[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2780 = buf_rspageQ_1[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2777 = buf_rspageQ_1[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2774 = buf_rspageQ_1[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 465:89] wire [3:0] buf_rsp_pickage_1 = {_T_2783,_T_2780,_T_2777,_T_2774}; // @[Cat.scala 29:58] - wire _T_2058 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 431:65] - wire _T_2059 = ~_T_2058; // @[el2_lsu_bus_buffer.scala 431:44] - wire _T_2061 = _T_2059 & _T_2761; // @[el2_lsu_bus_buffer.scala 431:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_2798 = buf_rspageQ_2[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2795 = buf_rspageQ_2[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2792 = buf_rspageQ_2[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2789 = buf_rspageQ_2[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2058 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 433:65] + wire _T_2059 = ~_T_2058; // @[el2_lsu_bus_buffer.scala 433:44] + wire _T_2061 = _T_2059 & _T_2761; // @[el2_lsu_bus_buffer.scala 433:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2798 = buf_rspageQ_2[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2795 = buf_rspageQ_2[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2792 = buf_rspageQ_2[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2789 = buf_rspageQ_2[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 465:89] wire [3:0] buf_rsp_pickage_2 = {_T_2798,_T_2795,_T_2792,_T_2789}; // @[Cat.scala 29:58] - wire _T_2062 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 431:65] - wire _T_2063 = ~_T_2062; // @[el2_lsu_bus_buffer.scala 431:44] - wire _T_2065 = _T_2063 & _T_2764; // @[el2_lsu_bus_buffer.scala 431:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 550:63] - wire _T_2813 = buf_rspageQ_3[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2810 = buf_rspageQ_3[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2807 = buf_rspageQ_3[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 463:89] - wire _T_2804 = buf_rspageQ_3[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 463:89] + wire _T_2062 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 433:65] + wire _T_2063 = ~_T_2062; // @[el2_lsu_bus_buffer.scala 433:44] + wire _T_2065 = _T_2063 & _T_2764; // @[el2_lsu_bus_buffer.scala 433:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2813 = buf_rspageQ_3[3] & _T_2767; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2810 = buf_rspageQ_3[2] & _T_2764; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2807 = buf_rspageQ_3[1] & _T_2761; // @[el2_lsu_bus_buffer.scala 465:89] + wire _T_2804 = buf_rspageQ_3[0] & _T_2758; // @[el2_lsu_bus_buffer.scala 465:89] wire [3:0] buf_rsp_pickage_3 = {_T_2813,_T_2810,_T_2807,_T_2804}; // @[Cat.scala 29:58] - wire _T_2066 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 431:65] - wire _T_2067 = ~_T_2066; // @[el2_lsu_bus_buffer.scala 431:44] - wire _T_2069 = _T_2067 & _T_2767; // @[el2_lsu_bus_buffer.scala 431:70] + wire _T_2066 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 433:65] + wire _T_2067 = ~_T_2066; // @[el2_lsu_bus_buffer.scala 433:44] + wire _T_2069 = _T_2067 & _T_2767; // @[el2_lsu_bus_buffer.scala 433:70] wire [7:0] _T_2125 = {4'h0,_T_2069,_T_2065,_T_2061,_T_2057}; // @[Cat.scala 29:58] - wire _T_2128 = _T_2125[4] | _T_2125[5]; // @[el2_lsu_bus_buffer.scala 435:42] - wire _T_2130 = _T_2128 | _T_2125[6]; // @[el2_lsu_bus_buffer.scala 435:48] - wire _T_2132 = _T_2130 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 435:54] - wire _T_2135 = _T_2125[2] | _T_2125[3]; // @[el2_lsu_bus_buffer.scala 435:67] - wire _T_2137 = _T_2135 | _T_2125[6]; // @[el2_lsu_bus_buffer.scala 435:73] - wire _T_2139 = _T_2137 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 435:79] - wire _T_2142 = _T_2125[1] | _T_2125[3]; // @[el2_lsu_bus_buffer.scala 435:92] - wire _T_2144 = _T_2142 | _T_2125[5]; // @[el2_lsu_bus_buffer.scala 435:98] - wire _T_2146 = _T_2144 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 435:104] + wire _T_2128 = _T_2125[4] | _T_2125[5]; // @[el2_lsu_bus_buffer.scala 437:42] + wire _T_2130 = _T_2128 | _T_2125[6]; // @[el2_lsu_bus_buffer.scala 437:48] + wire _T_2132 = _T_2130 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 437:54] + wire _T_2135 = _T_2125[2] | _T_2125[3]; // @[el2_lsu_bus_buffer.scala 437:67] + wire _T_2137 = _T_2135 | _T_2125[6]; // @[el2_lsu_bus_buffer.scala 437:73] + wire _T_2139 = _T_2137 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 437:79] + wire _T_2142 = _T_2125[1] | _T_2125[3]; // @[el2_lsu_bus_buffer.scala 437:92] + wire _T_2144 = _T_2142 | _T_2125[5]; // @[el2_lsu_bus_buffer.scala 437:98] + wire _T_2146 = _T_2144 | _T_2125[7]; // @[el2_lsu_bus_buffer.scala 437:104] wire [2:0] _T_2148 = {_T_2132,_T_2139,_T_2146}; // @[Cat.scala 29:58] - wire _T_3553 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 493:77] - wire _T_3554 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 493:97] - wire _T_3555 = _T_3553 & _T_3554; // @[el2_lsu_bus_buffer.scala 493:95] - wire _T_3556 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 493:117] - wire _T_3557 = _T_3555 & _T_3556; // @[el2_lsu_bus_buffer.scala 493:112] - wire _T_3558 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 493:144] - wire _T_3559 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 493:166] - wire _T_3560 = _T_3558 & _T_3559; // @[el2_lsu_bus_buffer.scala 493:161] - wire _T_3561 = _T_3557 | _T_3560; // @[el2_lsu_bus_buffer.scala 493:132] - wire _T_3562 = _T_853 & _T_3561; // @[el2_lsu_bus_buffer.scala 493:63] - wire _T_3563 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 493:206] - wire _T_3564 = ibuf_drain_vld & _T_3563; // @[el2_lsu_bus_buffer.scala 493:201] - wire _T_3565 = _T_3562 | _T_3564; // @[el2_lsu_bus_buffer.scala 493:183] - wire _T_3575 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 500:46] + wire _T_3553 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 495:77] + wire _T_3554 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 495:97] + wire _T_3555 = _T_3553 & _T_3554; // @[el2_lsu_bus_buffer.scala 495:95] + wire _T_3556 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_3557 = _T_3555 & _T_3556; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_3558 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 495:144] + wire _T_3559 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_3560 = _T_3558 & _T_3559; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_3561 = _T_3557 | _T_3560; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_3562 = _T_853 & _T_3561; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_3563 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_3564 = ibuf_drain_vld & _T_3563; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_3565 = _T_3562 | _T_3564; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_3575 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 502:46] wire _T_3610 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 610:38] - wire _T_3655 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 518:73] - wire _T_3656 = bus_rsp_write & _T_3655; // @[el2_lsu_bus_buffer.scala 518:52] - wire _T_3657 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 519:46] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 612:38] + wire _T_3655 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_3656 = bus_rsp_write & _T_3655; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_3657 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 521:46] reg _T_4328; // @[Reg.scala 27:20] reg _T_4326; // @[Reg.scala 27:20] reg _T_4324; // @[Reg.scala 27:20] reg _T_4322; // @[Reg.scala 27:20] wire [3:0] buf_ldfwd = {_T_4328,_T_4326,_T_4324,_T_4322}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_370 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 520:47] - wire _T_3659 = io_lsu_axi_rid == _GEN_370; // @[el2_lsu_bus_buffer.scala 520:47] - wire _T_3660 = buf_ldfwd[0] & _T_3659; // @[el2_lsu_bus_buffer.scala 520:27] - wire _T_3661 = _T_3657 | _T_3660; // @[el2_lsu_bus_buffer.scala 519:77] - wire _T_3662 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 521:26] - wire _T_3664 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 521:44] - wire _T_3665 = _T_3662 & _T_3664; // @[el2_lsu_bus_buffer.scala 521:42] - wire _T_3666 = _T_3665 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 521:58] + wire [2:0] _GEN_360 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3659 = io_lsu_axi_rid == _GEN_360; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3660 = buf_ldfwd[0] & _T_3659; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_3661 = _T_3657 | _T_3660; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_3662 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_3664 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 523:44] + wire _T_3665 = _T_3662 & _T_3664; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_3666 = _T_3665 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_371 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 521:94] - wire _T_3667 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 521:94] - wire _T_3668 = _T_3666 & _T_3667; // @[el2_lsu_bus_buffer.scala 521:74] - wire _T_3669 = _T_3661 | _T_3668; // @[el2_lsu_bus_buffer.scala 520:71] - wire _T_3670 = bus_rsp_read & _T_3669; // @[el2_lsu_bus_buffer.scala 519:25] - wire _T_3671 = _T_3656 | _T_3670; // @[el2_lsu_bus_buffer.scala 518:105] + wire [2:0] _GEN_361 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3667 = io_lsu_axi_rid == _GEN_361; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3668 = _T_3666 & _T_3667; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_3669 = _T_3661 | _T_3668; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_3670 = bus_rsp_read & _T_3669; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_3671 = _T_3656 | _T_3670; // @[el2_lsu_bus_buffer.scala 520:105] wire _GEN_42 = _T_3610 & _T_3671; // @[Conditional.scala 39:67] wire _GEN_61 = _T_3576 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] wire _GEN_73 = _T_3572 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_0 = _T_3549 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] wire _T_3697 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3707 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 533:21] + wire [3:0] _T_3707 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 535:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 533:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 533:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 533:58] - wire [2:0] _GEN_373 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 533:58] - wire _T_3709 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 533:58] - wire _T_3710 = _T_3707[0] & _T_3709; // @[el2_lsu_bus_buffer.scala 533:38] - wire _T_3711 = _T_3667 | _T_3710; // @[el2_lsu_bus_buffer.scala 532:95] - wire _T_3712 = bus_rsp_read & _T_3711; // @[el2_lsu_bus_buffer.scala 532:45] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_363 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3709 = io_lsu_axi_rid == _GEN_363; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3710 = _T_3707[0] & _T_3709; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_3711 = _T_3667 | _T_3710; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_3712 = bus_rsp_read & _T_3711; // @[el2_lsu_bus_buffer.scala 534:45] wire _GEN_36 = _T_3697 & _T_3712; // @[Conditional.scala 39:67] wire _GEN_43 = _T_3610 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] wire _GEN_53 = _T_3576 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] wire _GEN_66 = _T_3572 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] wire buf_state_bus_en_0 = _T_3549 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3589 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:49] - wire _T_3590 = _T_3589 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:70] + wire _T_3589 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_3590 = _T_3589 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] wire _T_3715 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2148[1:0]; // @[el2_lsu_bus_buffer.scala 443:10] - wire _T_3718 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 538:37] - wire _T_3719 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 538:98] - wire _T_3720 = buf_dual_0 & _T_3719; // @[el2_lsu_bus_buffer.scala 538:80] - wire _T_3721 = _T_3718 | _T_3720; // @[el2_lsu_bus_buffer.scala 538:65] - wire _T_3722 = _T_3721 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 538:112] + wire [1:0] RspPtr = _T_2148[1:0]; // @[el2_lsu_bus_buffer.scala 445:10] + wire _T_3718 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_3719 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_3720 = buf_dual_0 & _T_3719; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_3721 = _T_3718 | _T_3720; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_3722 = _T_3721 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] wire _T_3723 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] wire _GEN_31 = _T_3715 ? _T_3722 : _T_3723; // @[Conditional.scala 39:67] wire _GEN_37 = _T_3697 ? _T_3590 : _GEN_31; // @[Conditional.scala 39:67] @@ -1677,93 +1665,93 @@ module el2_lsu_bus_buffer( wire _GEN_54 = _T_3576 ? _T_3590 : _GEN_44; // @[Conditional.scala 39:67] wire _GEN_64 = _T_3572 ? _T_3575 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3549 ? _T_3565 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2150 = _T_1874 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 455:94] - wire _T_2156 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 457:23] - wire _T_2158 = _T_2156 & _T_3553; // @[el2_lsu_bus_buffer.scala 457:41] - wire _T_2160 = _T_2158 & _T_1877; // @[el2_lsu_bus_buffer.scala 457:71] - wire _T_2162 = _T_2160 & _T_1875; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2163 = _T_4492 | _T_2162; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2164 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 458:17] - wire _T_2165 = _T_2164 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 458:35] - wire _T_2167 = _T_2165 & _T_1878; // @[el2_lsu_bus_buffer.scala 458:52] - wire _T_2169 = _T_2167 & _T_1877; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2170 = _T_2163 | _T_2169; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2171 = _T_2150 & _T_2170; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2173 = _T_2171 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2187 = _T_2160 & _T_1886; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2188 = _T_4497 | _T_2187; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2194 = _T_2167 & _T_1888; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2195 = _T_2188 | _T_2194; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2196 = _T_2150 & _T_2195; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2198 = _T_2196 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2212 = _T_2160 & _T_1897; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2213 = _T_4502 | _T_2212; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2219 = _T_2167 & _T_1899; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2220 = _T_2213 | _T_2219; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2221 = _T_2150 & _T_2220; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2223 = _T_2221 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2237 = _T_2160 & _T_1908; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2238 = _T_4507 | _T_2237; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2244 = _T_2167 & _T_1910; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2245 = _T_2238 | _T_2244; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2246 = _T_2150 & _T_2245; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2248 = _T_2246 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2150 = _T_1874 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 457:94] + wire _T_2156 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 459:23] + wire _T_2158 = _T_2156 & _T_3553; // @[el2_lsu_bus_buffer.scala 459:41] + wire _T_2160 = _T_2158 & _T_1877; // @[el2_lsu_bus_buffer.scala 459:71] + wire _T_2162 = _T_2160 & _T_1875; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2163 = _T_4492 | _T_2162; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2164 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 460:17] + wire _T_2165 = _T_2164 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:35] + wire _T_2167 = _T_2165 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:52] + wire _T_2169 = _T_2167 & _T_1877; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2170 = _T_2163 | _T_2169; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2171 = _T_2150 & _T_2170; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2173 = _T_2171 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2187 = _T_2160 & _T_1886; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2188 = _T_4497 | _T_2187; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2194 = _T_2167 & _T_1888; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2195 = _T_2188 | _T_2194; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2196 = _T_2150 & _T_2195; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2198 = _T_2196 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2212 = _T_2160 & _T_1897; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2213 = _T_4502 | _T_2212; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2219 = _T_2167 & _T_1899; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2220 = _T_2213 | _T_2219; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2221 = _T_2150 & _T_2220; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2223 = _T_2221 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2237 = _T_2160 & _T_1908; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2238 = _T_4507 | _T_2237; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2244 = _T_2167 & _T_1910; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2245 = _T_2238 | _T_2244; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2246 = _T_2150 & _T_2245; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2248 = _T_2246 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 460:97] wire [2:0] _T_2250 = {_T_2248,_T_2223,_T_2198}; // @[Cat.scala 29:58] - wire _T_3749 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 493:117] - wire _T_3750 = _T_3555 & _T_3749; // @[el2_lsu_bus_buffer.scala 493:112] - wire _T_3752 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 493:166] - wire _T_3753 = _T_3558 & _T_3752; // @[el2_lsu_bus_buffer.scala 493:161] - wire _T_3754 = _T_3750 | _T_3753; // @[el2_lsu_bus_buffer.scala 493:132] - wire _T_3755 = _T_853 & _T_3754; // @[el2_lsu_bus_buffer.scala 493:63] - wire _T_3756 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 493:206] - wire _T_3757 = ibuf_drain_vld & _T_3756; // @[el2_lsu_bus_buffer.scala 493:201] - wire _T_3758 = _T_3755 | _T_3757; // @[el2_lsu_bus_buffer.scala 493:183] + wire _T_3749 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_3750 = _T_3555 & _T_3749; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_3752 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_3753 = _T_3558 & _T_3752; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_3754 = _T_3750 | _T_3753; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_3755 = _T_853 & _T_3754; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_3756 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_3757 = ibuf_drain_vld & _T_3756; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_3758 = _T_3755 | _T_3757; // @[el2_lsu_bus_buffer.scala 495:183] wire _T_3803 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3848 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 518:73] - wire _T_3849 = bus_rsp_write & _T_3848; // @[el2_lsu_bus_buffer.scala 518:52] - wire _T_3850 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 519:46] - wire [2:0] _GEN_374 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 520:47] - wire _T_3852 = io_lsu_axi_rid == _GEN_374; // @[el2_lsu_bus_buffer.scala 520:47] - wire _T_3853 = buf_ldfwd[1] & _T_3852; // @[el2_lsu_bus_buffer.scala 520:27] - wire _T_3854 = _T_3850 | _T_3853; // @[el2_lsu_bus_buffer.scala 519:77] - wire _T_3855 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 521:26] - wire _T_3857 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 521:44] - wire _T_3858 = _T_3855 & _T_3857; // @[el2_lsu_bus_buffer.scala 521:42] - wire _T_3859 = _T_3858 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 521:58] + wire _T_3848 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_3849 = bus_rsp_write & _T_3848; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_3850 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 521:46] + wire [2:0] _GEN_364 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3852 = io_lsu_axi_rid == _GEN_364; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3853 = buf_ldfwd[1] & _T_3852; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_3854 = _T_3850 | _T_3853; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_3855 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_3857 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 523:44] + wire _T_3858 = _T_3855 & _T_3857; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_3859 = _T_3858 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_375 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 521:94] - wire _T_3860 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 521:94] - wire _T_3861 = _T_3859 & _T_3860; // @[el2_lsu_bus_buffer.scala 521:74] - wire _T_3862 = _T_3854 | _T_3861; // @[el2_lsu_bus_buffer.scala 520:71] - wire _T_3863 = bus_rsp_read & _T_3862; // @[el2_lsu_bus_buffer.scala 519:25] - wire _T_3864 = _T_3849 | _T_3863; // @[el2_lsu_bus_buffer.scala 518:105] + wire [2:0] _GEN_365 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3860 = io_lsu_axi_rid == _GEN_365; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3861 = _T_3859 & _T_3860; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_3862 = _T_3854 | _T_3861; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_3863 = bus_rsp_read & _T_3862; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_3864 = _T_3849 | _T_3863; // @[el2_lsu_bus_buffer.scala 520:105] wire _GEN_118 = _T_3803 & _T_3864; // @[Conditional.scala 39:67] wire _GEN_137 = _T_3769 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] wire _GEN_149 = _T_3765 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_1 = _T_3742 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] wire _T_3890 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3900 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 533:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 533:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 533:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 533:58] - wire [2:0] _GEN_377 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 533:58] - wire _T_3902 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 533:58] - wire _T_3903 = _T_3900[0] & _T_3902; // @[el2_lsu_bus_buffer.scala 533:38] - wire _T_3904 = _T_3860 | _T_3903; // @[el2_lsu_bus_buffer.scala 532:95] - wire _T_3905 = bus_rsp_read & _T_3904; // @[el2_lsu_bus_buffer.scala 532:45] + wire [3:0] _T_3900 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 535:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_367 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3902 = io_lsu_axi_rid == _GEN_367; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3903 = _T_3900[0] & _T_3902; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_3904 = _T_3860 | _T_3903; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_3905 = bus_rsp_read & _T_3904; // @[el2_lsu_bus_buffer.scala 534:45] wire _GEN_112 = _T_3890 & _T_3905; // @[Conditional.scala 39:67] wire _GEN_119 = _T_3803 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] wire _GEN_129 = _T_3769 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] wire _GEN_142 = _T_3765 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] wire buf_state_bus_en_1 = _T_3742 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3782 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:49] - wire _T_3783 = _T_3782 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:70] + wire _T_3782 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_3783 = _T_3782 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] wire _T_3908 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3911 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 538:37] - wire _T_3912 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 538:98] - wire _T_3913 = buf_dual_1 & _T_3912; // @[el2_lsu_bus_buffer.scala 538:80] - wire _T_3914 = _T_3911 | _T_3913; // @[el2_lsu_bus_buffer.scala 538:65] - wire _T_3915 = _T_3914 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 538:112] + wire _T_3911 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_3912 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_3913 = buf_dual_1 & _T_3912; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_3914 = _T_3911 | _T_3913; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_3915 = _T_3914 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] wire _T_3916 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] wire _GEN_107 = _T_3908 ? _T_3915 : _T_3916; // @[Conditional.scala 39:67] wire _GEN_113 = _T_3890 ? _T_3783 : _GEN_107; // @[Conditional.scala 39:67] @@ -1771,89 +1759,89 @@ module el2_lsu_bus_buffer( wire _GEN_130 = _T_3769 ? _T_3783 : _GEN_120; // @[Conditional.scala 39:67] wire _GEN_140 = _T_3765 ? _T_3575 : _GEN_130; // @[Conditional.scala 39:67] wire buf_state_en_1 = _T_3742 ? _T_3758 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2252 = _T_1885 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 455:94] - wire _T_2262 = _T_2158 & _T_1888; // @[el2_lsu_bus_buffer.scala 457:71] - wire _T_2264 = _T_2262 & _T_1875; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2265 = _T_4492 | _T_2264; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2269 = _T_2165 & _T_1889; // @[el2_lsu_bus_buffer.scala 458:52] - wire _T_2271 = _T_2269 & _T_1877; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2272 = _T_2265 | _T_2271; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2273 = _T_2252 & _T_2272; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2275 = _T_2273 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2289 = _T_2262 & _T_1886; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2290 = _T_4497 | _T_2289; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2296 = _T_2269 & _T_1888; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2297 = _T_2290 | _T_2296; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2298 = _T_2252 & _T_2297; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2300 = _T_2298 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2314 = _T_2262 & _T_1897; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2315 = _T_4502 | _T_2314; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2321 = _T_2269 & _T_1899; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2322 = _T_2315 | _T_2321; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2323 = _T_2252 & _T_2322; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2325 = _T_2323 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2339 = _T_2262 & _T_1908; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2340 = _T_4507 | _T_2339; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2346 = _T_2269 & _T_1910; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2347 = _T_2340 | _T_2346; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2348 = _T_2252 & _T_2347; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2350 = _T_2348 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2252 = _T_1885 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 457:94] + wire _T_2262 = _T_2158 & _T_1888; // @[el2_lsu_bus_buffer.scala 459:71] + wire _T_2264 = _T_2262 & _T_1875; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2265 = _T_4492 | _T_2264; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2269 = _T_2165 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:52] + wire _T_2271 = _T_2269 & _T_1877; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2272 = _T_2265 | _T_2271; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2273 = _T_2252 & _T_2272; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2275 = _T_2273 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2289 = _T_2262 & _T_1886; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2290 = _T_4497 | _T_2289; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2296 = _T_2269 & _T_1888; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2297 = _T_2290 | _T_2296; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2298 = _T_2252 & _T_2297; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2300 = _T_2298 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2314 = _T_2262 & _T_1897; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2315 = _T_4502 | _T_2314; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2321 = _T_2269 & _T_1899; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2322 = _T_2315 | _T_2321; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2323 = _T_2252 & _T_2322; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2325 = _T_2323 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2339 = _T_2262 & _T_1908; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2340 = _T_4507 | _T_2339; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2346 = _T_2269 & _T_1910; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2347 = _T_2340 | _T_2346; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2348 = _T_2252 & _T_2347; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2350 = _T_2348 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 460:97] wire [2:0] _T_2352 = {_T_2350,_T_2325,_T_2300}; // @[Cat.scala 29:58] - wire _T_3942 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 493:117] - wire _T_3943 = _T_3555 & _T_3942; // @[el2_lsu_bus_buffer.scala 493:112] - wire _T_3945 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 493:166] - wire _T_3946 = _T_3558 & _T_3945; // @[el2_lsu_bus_buffer.scala 493:161] - wire _T_3947 = _T_3943 | _T_3946; // @[el2_lsu_bus_buffer.scala 493:132] - wire _T_3948 = _T_853 & _T_3947; // @[el2_lsu_bus_buffer.scala 493:63] - wire _T_3949 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 493:206] - wire _T_3950 = ibuf_drain_vld & _T_3949; // @[el2_lsu_bus_buffer.scala 493:201] - wire _T_3951 = _T_3948 | _T_3950; // @[el2_lsu_bus_buffer.scala 493:183] + wire _T_3942 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_3943 = _T_3555 & _T_3942; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_3945 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_3946 = _T_3558 & _T_3945; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_3947 = _T_3943 | _T_3946; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_3948 = _T_853 & _T_3947; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_3949 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_3950 = ibuf_drain_vld & _T_3949; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_3951 = _T_3948 | _T_3950; // @[el2_lsu_bus_buffer.scala 495:183] wire _T_3996 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4041 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 518:73] - wire _T_4042 = bus_rsp_write & _T_4041; // @[el2_lsu_bus_buffer.scala 518:52] - wire _T_4043 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 519:46] - wire [2:0] _GEN_378 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 520:47] - wire _T_4045 = io_lsu_axi_rid == _GEN_378; // @[el2_lsu_bus_buffer.scala 520:47] - wire _T_4046 = buf_ldfwd[2] & _T_4045; // @[el2_lsu_bus_buffer.scala 520:27] - wire _T_4047 = _T_4043 | _T_4046; // @[el2_lsu_bus_buffer.scala 519:77] - wire _T_4048 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 521:26] - wire _T_4050 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 521:44] - wire _T_4051 = _T_4048 & _T_4050; // @[el2_lsu_bus_buffer.scala 521:42] - wire _T_4052 = _T_4051 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 521:58] + wire _T_4041 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_4042 = bus_rsp_write & _T_4041; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_4043 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 521:46] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4045 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4046 = buf_ldfwd[2] & _T_4045; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_4047 = _T_4043 | _T_4046; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_4048 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_4050 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 523:44] + wire _T_4051 = _T_4048 & _T_4050; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_4052 = _T_4051 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_379 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 521:94] - wire _T_4053 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 521:94] - wire _T_4054 = _T_4052 & _T_4053; // @[el2_lsu_bus_buffer.scala 521:74] - wire _T_4055 = _T_4047 | _T_4054; // @[el2_lsu_bus_buffer.scala 520:71] - wire _T_4056 = bus_rsp_read & _T_4055; // @[el2_lsu_bus_buffer.scala 519:25] - wire _T_4057 = _T_4042 | _T_4056; // @[el2_lsu_bus_buffer.scala 518:105] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4053 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4054 = _T_4052 & _T_4053; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_4055 = _T_4047 | _T_4054; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_4056 = bus_rsp_read & _T_4055; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_4057 = _T_4042 | _T_4056; // @[el2_lsu_bus_buffer.scala 520:105] wire _GEN_194 = _T_3996 & _T_4057; // @[Conditional.scala 39:67] wire _GEN_213 = _T_3962 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] wire _GEN_225 = _T_3958 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_2 = _T_3935 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] wire _T_4083 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4093 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 533:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 533:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 533:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 533:58] - wire [2:0] _GEN_381 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 533:58] - wire _T_4095 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 533:58] - wire _T_4096 = _T_4093[0] & _T_4095; // @[el2_lsu_bus_buffer.scala 533:38] - wire _T_4097 = _T_4053 | _T_4096; // @[el2_lsu_bus_buffer.scala 532:95] - wire _T_4098 = bus_rsp_read & _T_4097; // @[el2_lsu_bus_buffer.scala 532:45] + wire [3:0] _T_4093 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 535:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4095 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4096 = _T_4093[0] & _T_4095; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_4097 = _T_4053 | _T_4096; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_4098 = bus_rsp_read & _T_4097; // @[el2_lsu_bus_buffer.scala 534:45] wire _GEN_188 = _T_4083 & _T_4098; // @[Conditional.scala 39:67] wire _GEN_195 = _T_3996 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] wire _GEN_205 = _T_3962 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] wire _GEN_218 = _T_3958 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] wire buf_state_bus_en_2 = _T_3935 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3975 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:49] - wire _T_3976 = _T_3975 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:70] + wire _T_3975 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_3976 = _T_3975 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] wire _T_4101 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4104 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 538:37] - wire _T_4105 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 538:98] - wire _T_4106 = buf_dual_2 & _T_4105; // @[el2_lsu_bus_buffer.scala 538:80] - wire _T_4107 = _T_4104 | _T_4106; // @[el2_lsu_bus_buffer.scala 538:65] - wire _T_4108 = _T_4107 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 538:112] + wire _T_4104 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_4105 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_4106 = buf_dual_2 & _T_4105; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_4107 = _T_4104 | _T_4106; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_4108 = _T_4107 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] wire _T_4109 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] wire _GEN_183 = _T_4101 ? _T_4108 : _T_4109; // @[Conditional.scala 39:67] wire _GEN_189 = _T_4083 ? _T_3976 : _GEN_183; // @[Conditional.scala 39:67] @@ -1861,89 +1849,89 @@ module el2_lsu_bus_buffer( wire _GEN_206 = _T_3962 ? _T_3976 : _GEN_196; // @[Conditional.scala 39:67] wire _GEN_216 = _T_3958 ? _T_3575 : _GEN_206; // @[Conditional.scala 39:67] wire buf_state_en_2 = _T_3935 ? _T_3951 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2354 = _T_1896 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 455:94] - wire _T_2364 = _T_2158 & _T_1899; // @[el2_lsu_bus_buffer.scala 457:71] - wire _T_2366 = _T_2364 & _T_1875; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2367 = _T_4492 | _T_2366; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2371 = _T_2165 & _T_1900; // @[el2_lsu_bus_buffer.scala 458:52] - wire _T_2373 = _T_2371 & _T_1877; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2374 = _T_2367 | _T_2373; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2375 = _T_2354 & _T_2374; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2377 = _T_2375 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2391 = _T_2364 & _T_1886; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2392 = _T_4497 | _T_2391; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2398 = _T_2371 & _T_1888; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2399 = _T_2392 | _T_2398; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2400 = _T_2354 & _T_2399; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2402 = _T_2400 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2416 = _T_2364 & _T_1897; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2417 = _T_4502 | _T_2416; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2423 = _T_2371 & _T_1899; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2424 = _T_2417 | _T_2423; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2425 = _T_2354 & _T_2424; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2427 = _T_2425 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2441 = _T_2364 & _T_1908; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2442 = _T_4507 | _T_2441; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2448 = _T_2371 & _T_1910; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2449 = _T_2442 | _T_2448; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2450 = _T_2354 & _T_2449; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2452 = _T_2450 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2354 = _T_1896 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 457:94] + wire _T_2364 = _T_2158 & _T_1899; // @[el2_lsu_bus_buffer.scala 459:71] + wire _T_2366 = _T_2364 & _T_1875; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2367 = _T_4492 | _T_2366; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2371 = _T_2165 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:52] + wire _T_2373 = _T_2371 & _T_1877; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2374 = _T_2367 | _T_2373; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2375 = _T_2354 & _T_2374; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2377 = _T_2375 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2391 = _T_2364 & _T_1886; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2392 = _T_4497 | _T_2391; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2398 = _T_2371 & _T_1888; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2399 = _T_2392 | _T_2398; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2400 = _T_2354 & _T_2399; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2402 = _T_2400 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2416 = _T_2364 & _T_1897; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2417 = _T_4502 | _T_2416; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2423 = _T_2371 & _T_1899; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2424 = _T_2417 | _T_2423; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2425 = _T_2354 & _T_2424; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2427 = _T_2425 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2441 = _T_2364 & _T_1908; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2442 = _T_4507 | _T_2441; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2448 = _T_2371 & _T_1910; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2449 = _T_2442 | _T_2448; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2450 = _T_2354 & _T_2449; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2452 = _T_2450 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 460:97] wire [2:0] _T_2454 = {_T_2452,_T_2427,_T_2402}; // @[Cat.scala 29:58] - wire _T_4135 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 493:117] - wire _T_4136 = _T_3555 & _T_4135; // @[el2_lsu_bus_buffer.scala 493:112] - wire _T_4138 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 493:166] - wire _T_4139 = _T_3558 & _T_4138; // @[el2_lsu_bus_buffer.scala 493:161] - wire _T_4140 = _T_4136 | _T_4139; // @[el2_lsu_bus_buffer.scala 493:132] - wire _T_4141 = _T_853 & _T_4140; // @[el2_lsu_bus_buffer.scala 493:63] - wire _T_4142 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 493:206] - wire _T_4143 = ibuf_drain_vld & _T_4142; // @[el2_lsu_bus_buffer.scala 493:201] - wire _T_4144 = _T_4141 | _T_4143; // @[el2_lsu_bus_buffer.scala 493:183] + wire _T_4135 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_4136 = _T_3555 & _T_4135; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_4138 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_4139 = _T_3558 & _T_4138; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_4140 = _T_4136 | _T_4139; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_4141 = _T_853 & _T_4140; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_4142 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_4143 = ibuf_drain_vld & _T_4142; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_4144 = _T_4141 | _T_4143; // @[el2_lsu_bus_buffer.scala 495:183] wire _T_4189 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4234 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 518:73] - wire _T_4235 = bus_rsp_write & _T_4234; // @[el2_lsu_bus_buffer.scala 518:52] - wire _T_4236 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 519:46] - wire [2:0] _GEN_382 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 520:47] - wire _T_4238 = io_lsu_axi_rid == _GEN_382; // @[el2_lsu_bus_buffer.scala 520:47] - wire _T_4239 = buf_ldfwd[3] & _T_4238; // @[el2_lsu_bus_buffer.scala 520:27] - wire _T_4240 = _T_4236 | _T_4239; // @[el2_lsu_bus_buffer.scala 519:77] - wire _T_4241 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 521:26] - wire _T_4243 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 521:44] - wire _T_4244 = _T_4241 & _T_4243; // @[el2_lsu_bus_buffer.scala 521:42] - wire _T_4245 = _T_4244 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 521:58] + wire _T_4234 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_4235 = bus_rsp_write & _T_4234; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_4236 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 521:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4238 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4239 = buf_ldfwd[3] & _T_4238; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_4240 = _T_4236 | _T_4239; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_4241 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_4243 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 523:44] + wire _T_4244 = _T_4241 & _T_4243; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_4245 = _T_4244 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_383 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 521:94] - wire _T_4246 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 521:94] - wire _T_4247 = _T_4245 & _T_4246; // @[el2_lsu_bus_buffer.scala 521:74] - wire _T_4248 = _T_4240 | _T_4247; // @[el2_lsu_bus_buffer.scala 520:71] - wire _T_4249 = bus_rsp_read & _T_4248; // @[el2_lsu_bus_buffer.scala 519:25] - wire _T_4250 = _T_4235 | _T_4249; // @[el2_lsu_bus_buffer.scala 518:105] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4246 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4247 = _T_4245 & _T_4246; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_4248 = _T_4240 | _T_4247; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_4249 = bus_rsp_read & _T_4248; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_4250 = _T_4235 | _T_4249; // @[el2_lsu_bus_buffer.scala 520:105] wire _GEN_270 = _T_4189 & _T_4250; // @[Conditional.scala 39:67] wire _GEN_289 = _T_4155 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] wire _GEN_301 = _T_4151 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] wire buf_resp_state_bus_en_3 = _T_4128 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] wire _T_4276 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4286 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 533:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 533:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 533:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 533:58] - wire [2:0] _GEN_385 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 533:58] - wire _T_4288 = io_lsu_axi_rid == _GEN_385; // @[el2_lsu_bus_buffer.scala 533:58] - wire _T_4289 = _T_4286[0] & _T_4288; // @[el2_lsu_bus_buffer.scala 533:38] - wire _T_4290 = _T_4246 | _T_4289; // @[el2_lsu_bus_buffer.scala 532:95] - wire _T_4291 = bus_rsp_read & _T_4290; // @[el2_lsu_bus_buffer.scala 532:45] + wire [3:0] _T_4286 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 535:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4288 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4289 = _T_4286[0] & _T_4288; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_4290 = _T_4246 | _T_4289; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_4291 = bus_rsp_read & _T_4290; // @[el2_lsu_bus_buffer.scala 534:45] wire _GEN_264 = _T_4276 & _T_4291; // @[Conditional.scala 39:67] wire _GEN_271 = _T_4189 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] wire _GEN_281 = _T_4155 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] wire _GEN_294 = _T_4151 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] wire buf_state_bus_en_3 = _T_4128 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4168 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 506:49] - wire _T_4169 = _T_4168 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 506:70] + wire _T_4168 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_4169 = _T_4168 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] wire _T_4294 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4297 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 538:37] - wire _T_4298 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 538:98] - wire _T_4299 = buf_dual_3 & _T_4298; // @[el2_lsu_bus_buffer.scala 538:80] - wire _T_4300 = _T_4297 | _T_4299; // @[el2_lsu_bus_buffer.scala 538:65] - wire _T_4301 = _T_4300 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 538:112] + wire _T_4297 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_4298 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_4299 = buf_dual_3 & _T_4298; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_4300 = _T_4297 | _T_4299; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_4301 = _T_4300 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] wire _T_4302 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] wire _GEN_259 = _T_4294 ? _T_4301 : _T_4302; // @[Conditional.scala 39:67] wire _GEN_265 = _T_4276 ? _T_4169 : _GEN_259; // @[Conditional.scala 39:67] @@ -1951,228 +1939,228 @@ module el2_lsu_bus_buffer( wire _GEN_282 = _T_4155 ? _T_4169 : _GEN_272; // @[Conditional.scala 39:67] wire _GEN_292 = _T_4151 ? _T_3575 : _GEN_282; // @[Conditional.scala 39:67] wire buf_state_en_3 = _T_4128 ? _T_4144 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2456 = _T_1907 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 455:94] - wire _T_2466 = _T_2158 & _T_1910; // @[el2_lsu_bus_buffer.scala 457:71] - wire _T_2468 = _T_2466 & _T_1875; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2469 = _T_4492 | _T_2468; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2473 = _T_2165 & _T_1911; // @[el2_lsu_bus_buffer.scala 458:52] - wire _T_2475 = _T_2473 & _T_1877; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2476 = _T_2469 | _T_2475; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2477 = _T_2456 & _T_2476; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2479 = _T_2477 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2493 = _T_2466 & _T_1886; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2494 = _T_4497 | _T_2493; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2500 = _T_2473 & _T_1888; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2501 = _T_2494 | _T_2500; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2502 = _T_2456 & _T_2501; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2504 = _T_2502 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2518 = _T_2466 & _T_1897; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2519 = _T_4502 | _T_2518; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2525 = _T_2473 & _T_1899; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2526 = _T_2519 | _T_2525; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2527 = _T_2456 & _T_2526; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2529 = _T_2527 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 458:97] - wire _T_2543 = _T_2466 & _T_1908; // @[el2_lsu_bus_buffer.scala 457:92] - wire _T_2544 = _T_4507 | _T_2543; // @[el2_lsu_bus_buffer.scala 456:86] - wire _T_2550 = _T_2473 & _T_1910; // @[el2_lsu_bus_buffer.scala 458:73] - wire _T_2551 = _T_2544 | _T_2550; // @[el2_lsu_bus_buffer.scala 457:114] - wire _T_2552 = _T_2456 & _T_2551; // @[el2_lsu_bus_buffer.scala 455:113] - wire _T_2554 = _T_2552 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 458:97] + wire _T_2456 = _T_1907 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 457:94] + wire _T_2466 = _T_2158 & _T_1910; // @[el2_lsu_bus_buffer.scala 459:71] + wire _T_2468 = _T_2466 & _T_1875; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2469 = _T_4492 | _T_2468; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2473 = _T_2165 & _T_1911; // @[el2_lsu_bus_buffer.scala 460:52] + wire _T_2475 = _T_2473 & _T_1877; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2476 = _T_2469 | _T_2475; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2477 = _T_2456 & _T_2476; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2479 = _T_2477 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2493 = _T_2466 & _T_1886; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2494 = _T_4497 | _T_2493; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2500 = _T_2473 & _T_1888; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2501 = _T_2494 | _T_2500; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2502 = _T_2456 & _T_2501; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2504 = _T_2502 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2518 = _T_2466 & _T_1897; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2519 = _T_4502 | _T_2518; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2525 = _T_2473 & _T_1899; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2526 = _T_2519 | _T_2525; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2527 = _T_2456 & _T_2526; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2529 = _T_2527 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_2543 = _T_2466 & _T_1908; // @[el2_lsu_bus_buffer.scala 459:92] + wire _T_2544 = _T_4507 | _T_2543; // @[el2_lsu_bus_buffer.scala 458:86] + wire _T_2550 = _T_2473 & _T_1910; // @[el2_lsu_bus_buffer.scala 460:73] + wire _T_2551 = _T_2544 | _T_2550; // @[el2_lsu_bus_buffer.scala 459:114] + wire _T_2552 = _T_2456 & _T_2551; // @[el2_lsu_bus_buffer.scala 457:113] + wire _T_2554 = _T_2552 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 460:97] wire [2:0] _T_2556 = {_T_2554,_T_2529,_T_2504}; // @[Cat.scala 29:58] - wire _T_2820 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 466:49] - wire _T_2821 = _T_1874 | _T_2820; // @[el2_lsu_bus_buffer.scala 466:34] - wire _T_2822 = ~_T_2821; // @[el2_lsu_bus_buffer.scala 466:8] - wire _T_2830 = _T_2822 | _T_2162; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_2837 = _T_2830 | _T_2169; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_2838 = _T_2150 & _T_2837; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_2842 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 466:49] - wire _T_2843 = _T_1885 | _T_2842; // @[el2_lsu_bus_buffer.scala 466:34] - wire _T_2844 = ~_T_2843; // @[el2_lsu_bus_buffer.scala 466:8] - wire _T_2852 = _T_2844 | _T_2187; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_2859 = _T_2852 | _T_2194; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_2860 = _T_2150 & _T_2859; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_2864 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 466:49] - wire _T_2865 = _T_1896 | _T_2864; // @[el2_lsu_bus_buffer.scala 466:34] - wire _T_2866 = ~_T_2865; // @[el2_lsu_bus_buffer.scala 466:8] - wire _T_2874 = _T_2866 | _T_2212; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_2881 = _T_2874 | _T_2219; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_2882 = _T_2150 & _T_2881; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_2886 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 466:49] - wire _T_2887 = _T_1907 | _T_2886; // @[el2_lsu_bus_buffer.scala 466:34] - wire _T_2888 = ~_T_2887; // @[el2_lsu_bus_buffer.scala 466:8] - wire _T_2896 = _T_2888 | _T_2237; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_2903 = _T_2896 | _T_2244; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_2904 = _T_2150 & _T_2903; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_2820 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] + wire _T_2821 = _T_1874 | _T_2820; // @[el2_lsu_bus_buffer.scala 468:34] + wire _T_2822 = ~_T_2821; // @[el2_lsu_bus_buffer.scala 468:8] + wire _T_2830 = _T_2822 | _T_2162; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2837 = _T_2830 | _T_2169; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2838 = _T_2150 & _T_2837; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2842 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] + wire _T_2843 = _T_1885 | _T_2842; // @[el2_lsu_bus_buffer.scala 468:34] + wire _T_2844 = ~_T_2843; // @[el2_lsu_bus_buffer.scala 468:8] + wire _T_2852 = _T_2844 | _T_2187; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2859 = _T_2852 | _T_2194; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2860 = _T_2150 & _T_2859; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2864 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] + wire _T_2865 = _T_1896 | _T_2864; // @[el2_lsu_bus_buffer.scala 468:34] + wire _T_2866 = ~_T_2865; // @[el2_lsu_bus_buffer.scala 468:8] + wire _T_2874 = _T_2866 | _T_2212; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2881 = _T_2874 | _T_2219; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2882 = _T_2150 & _T_2881; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2886 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] + wire _T_2887 = _T_1907 | _T_2886; // @[el2_lsu_bus_buffer.scala 468:34] + wire _T_2888 = ~_T_2887; // @[el2_lsu_bus_buffer.scala 468:8] + wire _T_2896 = _T_2888 | _T_2237; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2903 = _T_2896 | _T_2244; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2904 = _T_2150 & _T_2903; // @[el2_lsu_bus_buffer.scala 467:114] wire [3:0] buf_rspage_set_0 = {_T_2904,_T_2882,_T_2860,_T_2838}; // @[Cat.scala 29:58] - wire _T_2921 = _T_2822 | _T_2264; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_2928 = _T_2921 | _T_2271; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_2929 = _T_2252 & _T_2928; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_2943 = _T_2844 | _T_2289; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_2950 = _T_2943 | _T_2296; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_2951 = _T_2252 & _T_2950; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_2965 = _T_2866 | _T_2314; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_2972 = _T_2965 | _T_2321; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_2973 = _T_2252 & _T_2972; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_2987 = _T_2888 | _T_2339; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_2994 = _T_2987 | _T_2346; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_2995 = _T_2252 & _T_2994; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_2921 = _T_2822 | _T_2264; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2928 = _T_2921 | _T_2271; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2929 = _T_2252 & _T_2928; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2943 = _T_2844 | _T_2289; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2950 = _T_2943 | _T_2296; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2951 = _T_2252 & _T_2950; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2965 = _T_2866 | _T_2314; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2972 = _T_2965 | _T_2321; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2973 = _T_2252 & _T_2972; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_2987 = _T_2888 | _T_2339; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_2994 = _T_2987 | _T_2346; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_2995 = _T_2252 & _T_2994; // @[el2_lsu_bus_buffer.scala 467:114] wire [3:0] buf_rspage_set_1 = {_T_2995,_T_2973,_T_2951,_T_2929}; // @[Cat.scala 29:58] - wire _T_3012 = _T_2822 | _T_2366; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_3019 = _T_3012 | _T_2373; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_3020 = _T_2354 & _T_3019; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_3034 = _T_2844 | _T_2391; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_3041 = _T_3034 | _T_2398; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_3042 = _T_2354 & _T_3041; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_3056 = _T_2866 | _T_2416; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_3063 = _T_3056 | _T_2423; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_3064 = _T_2354 & _T_3063; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_3078 = _T_2888 | _T_2441; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_3085 = _T_3078 | _T_2448; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_3086 = _T_2354 & _T_3085; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_3012 = _T_2822 | _T_2366; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3019 = _T_3012 | _T_2373; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3020 = _T_2354 & _T_3019; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3034 = _T_2844 | _T_2391; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3041 = _T_3034 | _T_2398; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3042 = _T_2354 & _T_3041; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3056 = _T_2866 | _T_2416; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3063 = _T_3056 | _T_2423; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3064 = _T_2354 & _T_3063; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3078 = _T_2888 | _T_2441; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3085 = _T_3078 | _T_2448; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3086 = _T_2354 & _T_3085; // @[el2_lsu_bus_buffer.scala 467:114] wire [3:0] buf_rspage_set_2 = {_T_3086,_T_3064,_T_3042,_T_3020}; // @[Cat.scala 29:58] - wire _T_3103 = _T_2822 | _T_2468; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_3110 = _T_3103 | _T_2475; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_3111 = _T_2456 & _T_3110; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_3125 = _T_2844 | _T_2493; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_3132 = _T_3125 | _T_2500; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_3133 = _T_2456 & _T_3132; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_3147 = _T_2866 | _T_2518; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_3154 = _T_3147 | _T_2525; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_3155 = _T_2456 & _T_3154; // @[el2_lsu_bus_buffer.scala 465:114] - wire _T_3169 = _T_2888 | _T_2543; // @[el2_lsu_bus_buffer.scala 466:61] - wire _T_3176 = _T_3169 | _T_2550; // @[el2_lsu_bus_buffer.scala 467:112] - wire _T_3177 = _T_2456 & _T_3176; // @[el2_lsu_bus_buffer.scala 465:114] + wire _T_3103 = _T_2822 | _T_2468; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3110 = _T_3103 | _T_2475; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3111 = _T_2456 & _T_3110; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3125 = _T_2844 | _T_2493; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3132 = _T_3125 | _T_2500; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3133 = _T_2456 & _T_3132; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3147 = _T_2866 | _T_2518; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3154 = _T_3147 | _T_2525; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3155 = _T_2456 & _T_3154; // @[el2_lsu_bus_buffer.scala 467:114] + wire _T_3169 = _T_2888 | _T_2543; // @[el2_lsu_bus_buffer.scala 468:61] + wire _T_3176 = _T_3169 | _T_2550; // @[el2_lsu_bus_buffer.scala 469:112] + wire _T_3177 = _T_2456 & _T_3176; // @[el2_lsu_bus_buffer.scala 467:114] wire [3:0] buf_rspage_set_3 = {_T_3177,_T_3155,_T_3133,_T_3111}; // @[Cat.scala 29:58] - wire _T_3262 = _T_2886 | _T_1907; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3263 = ~_T_3262; // @[el2_lsu_bus_buffer.scala 470:86] - wire _T_3264 = buf_rspageQ_0[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3256 = _T_2864 | _T_1896; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3257 = ~_T_3256; // @[el2_lsu_bus_buffer.scala 470:86] - wire _T_3258 = buf_rspageQ_0[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3250 = _T_2842 | _T_1885; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3251 = ~_T_3250; // @[el2_lsu_bus_buffer.scala 470:86] - wire _T_3252 = buf_rspageQ_0[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3244 = _T_2820 | _T_1874; // @[el2_lsu_bus_buffer.scala 470:112] - wire _T_3245 = ~_T_3244; // @[el2_lsu_bus_buffer.scala 470:86] - wire _T_3246 = buf_rspageQ_0[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3262 = _T_2886 | _T_1907; // @[el2_lsu_bus_buffer.scala 472:112] + wire _T_3263 = ~_T_3262; // @[el2_lsu_bus_buffer.scala 472:86] + wire _T_3264 = buf_rspageQ_0[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3256 = _T_2864 | _T_1896; // @[el2_lsu_bus_buffer.scala 472:112] + wire _T_3257 = ~_T_3256; // @[el2_lsu_bus_buffer.scala 472:86] + wire _T_3258 = buf_rspageQ_0[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3250 = _T_2842 | _T_1885; // @[el2_lsu_bus_buffer.scala 472:112] + wire _T_3251 = ~_T_3250; // @[el2_lsu_bus_buffer.scala 472:86] + wire _T_3252 = buf_rspageQ_0[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3244 = _T_2820 | _T_1874; // @[el2_lsu_bus_buffer.scala 472:112] + wire _T_3245 = ~_T_3244; // @[el2_lsu_bus_buffer.scala 472:86] + wire _T_3246 = buf_rspageQ_0[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 472:84] wire [3:0] buf_rspage_0 = {_T_3264,_T_3258,_T_3252,_T_3246}; // @[Cat.scala 29:58] - wire _T_3183 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3186 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3189 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3192 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3183 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3186 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3189 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3192 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 471:90] wire [2:0] _T_3194 = {_T_3192,_T_3189,_T_3186}; // @[Cat.scala 29:58] - wire _T_3291 = buf_rspageQ_1[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3285 = buf_rspageQ_1[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3279 = buf_rspageQ_1[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3273 = buf_rspageQ_1[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3291 = buf_rspageQ_1[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3285 = buf_rspageQ_1[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3279 = buf_rspageQ_1[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3273 = buf_rspageQ_1[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 472:84] wire [3:0] buf_rspage_1 = {_T_3291,_T_3285,_T_3279,_T_3273}; // @[Cat.scala 29:58] - wire _T_3198 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3201 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3204 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3207 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3198 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3201 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3204 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3207 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 471:90] wire [2:0] _T_3209 = {_T_3207,_T_3204,_T_3201}; // @[Cat.scala 29:58] - wire _T_3318 = buf_rspageQ_2[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3312 = buf_rspageQ_2[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3306 = buf_rspageQ_2[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3300 = buf_rspageQ_2[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3318 = buf_rspageQ_2[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3312 = buf_rspageQ_2[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3306 = buf_rspageQ_2[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3300 = buf_rspageQ_2[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 472:84] wire [3:0] buf_rspage_2 = {_T_3318,_T_3312,_T_3306,_T_3300}; // @[Cat.scala 29:58] - wire _T_3213 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3216 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3219 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3222 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3213 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3216 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3219 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3222 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 471:90] wire [2:0] _T_3224 = {_T_3222,_T_3219,_T_3216}; // @[Cat.scala 29:58] - wire _T_3345 = buf_rspageQ_3[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3339 = buf_rspageQ_3[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3333 = buf_rspageQ_3[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 470:84] - wire _T_3327 = buf_rspageQ_3[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 470:84] + wire _T_3345 = buf_rspageQ_3[3] & _T_3263; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3339 = buf_rspageQ_3[2] & _T_3257; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3333 = buf_rspageQ_3[1] & _T_3251; // @[el2_lsu_bus_buffer.scala 472:84] + wire _T_3327 = buf_rspageQ_3[0] & _T_3245; // @[el2_lsu_bus_buffer.scala 472:84] wire [3:0] buf_rspage_3 = {_T_3345,_T_3339,_T_3333,_T_3327}; // @[Cat.scala 29:58] - wire _T_3228 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3231 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3234 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 469:90] - wire _T_3237 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 469:90] + wire _T_3228 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3231 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3234 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 471:90] + wire _T_3237 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 471:90] wire [2:0] _T_3239 = {_T_3237,_T_3234,_T_3231}; // @[Cat.scala 29:58] - wire _T_3350 = ibuf_drain_vld & _T_1875; // @[el2_lsu_bus_buffer.scala 475:65] - wire _T_3352 = ibuf_drain_vld & _T_1886; // @[el2_lsu_bus_buffer.scala 475:65] - wire _T_3354 = ibuf_drain_vld & _T_1897; // @[el2_lsu_bus_buffer.scala 475:65] - wire _T_3356 = ibuf_drain_vld & _T_1908; // @[el2_lsu_bus_buffer.scala 475:65] + wire _T_3350 = ibuf_drain_vld & _T_1875; // @[el2_lsu_bus_buffer.scala 477:65] + wire _T_3352 = ibuf_drain_vld & _T_1886; // @[el2_lsu_bus_buffer.scala 477:65] + wire _T_3354 = ibuf_drain_vld & _T_1897; // @[el2_lsu_bus_buffer.scala 477:65] + wire _T_3356 = ibuf_drain_vld & _T_1908; // @[el2_lsu_bus_buffer.scala 477:65] wire [3:0] ibuf_drainvec_vld = {_T_3356,_T_3354,_T_3352,_T_3350}; // @[Cat.scala 29:58] - wire _T_3364 = _T_3558 & _T_1878; // @[el2_lsu_bus_buffer.scala 477:37] - wire _T_3373 = _T_3558 & _T_1889; // @[el2_lsu_bus_buffer.scala 477:37] - wire _T_3382 = _T_3558 & _T_1900; // @[el2_lsu_bus_buffer.scala 477:37] - wire _T_3391 = _T_3558 & _T_1911; // @[el2_lsu_bus_buffer.scala 477:37] - wire _T_3421 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 479:47] - wire _T_3423 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 479:47] - wire _T_3425 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 479:47] - wire _T_3427 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 479:47] + wire _T_3364 = _T_3558 & _T_1878; // @[el2_lsu_bus_buffer.scala 479:37] + wire _T_3373 = _T_3558 & _T_1889; // @[el2_lsu_bus_buffer.scala 479:37] + wire _T_3382 = _T_3558 & _T_1900; // @[el2_lsu_bus_buffer.scala 479:37] + wire _T_3391 = _T_3558 & _T_1911; // @[el2_lsu_bus_buffer.scala 479:37] + wire _T_3421 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire _T_3423 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire _T_3425 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire _T_3427 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] wire [3:0] buf_dual_in = {_T_3427,_T_3425,_T_3423,_T_3421}; // @[Cat.scala 29:58] - wire _T_3432 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 480:49] - wire _T_3434 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 480:49] - wire _T_3436 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 480:49] - wire _T_3438 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 480:49] + wire _T_3432 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3434 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3436 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3438 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] wire [3:0] buf_samedw_in = {_T_3438,_T_3436,_T_3434,_T_3432}; // @[Cat.scala 29:58] - wire _T_3443 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 481:86] - wire _T_3444 = ibuf_drainvec_vld[0] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 481:50] - wire _T_3447 = ibuf_drainvec_vld[1] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 481:50] - wire _T_3450 = ibuf_drainvec_vld[2] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 481:50] - wire _T_3453 = ibuf_drainvec_vld[3] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 481:50] + wire _T_3443 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 483:86] + wire _T_3444 = ibuf_drainvec_vld[0] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire _T_3447 = ibuf_drainvec_vld[1] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire _T_3450 = ibuf_drainvec_vld[2] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire _T_3453 = ibuf_drainvec_vld[3] ? _T_3443 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] wire [3:0] buf_nomerge_in = {_T_3453,_T_3450,_T_3447,_T_3444}; // @[Cat.scala 29:58] - wire _T_3461 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3364; // @[el2_lsu_bus_buffer.scala 482:49] - wire _T_3466 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3373; // @[el2_lsu_bus_buffer.scala 482:49] - wire _T_3471 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3382; // @[el2_lsu_bus_buffer.scala 482:49] - wire _T_3476 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3391; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3461 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3364; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3466 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3373; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3471 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3382; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3476 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3391; // @[el2_lsu_bus_buffer.scala 484:49] wire [3:0] buf_dualhi_in = {_T_3476,_T_3471,_T_3466,_T_3461}; // @[Cat.scala 29:58] - wire _T_3505 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3507 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3509 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3511 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_3505 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire _T_3507 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire _T_3509 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire _T_3511 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] wire [3:0] buf_sideeffect_in = {_T_3511,_T_3509,_T_3507,_T_3505}; // @[Cat.scala 29:58] - wire _T_3516 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 485:49] - wire _T_3518 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 485:49] - wire _T_3520 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 485:49] - wire _T_3522 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 485:49] + wire _T_3516 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire _T_3518 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire _T_3520 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire _T_3522 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] wire [3:0] buf_unsign_in = {_T_3522,_T_3520,_T_3518,_T_3516}; // @[Cat.scala 29:58] - wire _T_3539 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 487:48] - wire _T_3541 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 487:48] - wire _T_3543 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 487:48] - wire _T_3545 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 487:48] + wire _T_3539 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3541 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3543 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3545 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] wire [3:0] buf_write_in = {_T_3545,_T_3543,_T_3541,_T_3539}; // @[Cat.scala 29:58] - wire _T_3578 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 503:89] - wire _T_3580 = _T_3578 & _T_1372; // @[el2_lsu_bus_buffer.scala 503:104] - wire _T_3593 = buf_state_en_0 & _T_3664; // @[el2_lsu_bus_buffer.scala 508:44] - wire _T_3594 = _T_3593 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 508:60] - wire _T_3596 = _T_3594 & _T_1354; // @[el2_lsu_bus_buffer.scala 508:74] - wire _T_3599 = _T_3589 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:67] - wire _T_3600 = _T_3599 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 510:81] - wire _T_4890 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 614:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4890; // @[el2_lsu_bus_buffer.scala 614:38] - wire _T_3603 = _T_3599 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 511:82] - wire _T_3678 = bus_rsp_read_error & _T_3657; // @[el2_lsu_bus_buffer.scala 525:91] - wire _T_3680 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 526:31] - wire _T_3682 = _T_3680 & _T_3659; // @[el2_lsu_bus_buffer.scala 526:46] - wire _T_3683 = _T_3678 | _T_3682; // @[el2_lsu_bus_buffer.scala 525:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4890; // @[el2_lsu_bus_buffer.scala 613:40] - wire _T_3686 = bus_rsp_write_error & _T_3655; // @[el2_lsu_bus_buffer.scala 527:53] - wire _T_3687 = _T_3683 | _T_3686; // @[el2_lsu_bus_buffer.scala 526:88] - wire _T_3688 = _T_3589 & _T_3687; // @[el2_lsu_bus_buffer.scala 525:68] + wire _T_3578 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 505:89] + wire _T_3580 = _T_3578 & _T_1372; // @[el2_lsu_bus_buffer.scala 505:104] + wire _T_3593 = buf_state_en_0 & _T_3664; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_3594 = _T_3593 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_3596 = _T_3594 & _T_1354; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_3599 = _T_3589 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_3600 = _T_3599 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_4890 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 616:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4890; // @[el2_lsu_bus_buffer.scala 616:38] + wire _T_3603 = _T_3599 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_3678 = bus_rsp_read_error & _T_3657; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_3680 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_3682 = _T_3680 & _T_3659; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_3683 = _T_3678 | _T_3682; // @[el2_lsu_bus_buffer.scala 527:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4890; // @[el2_lsu_bus_buffer.scala 615:40] + wire _T_3686 = bus_rsp_write_error & _T_3655; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_3687 = _T_3683 | _T_3686; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_3688 = _T_3589 & _T_3687; // @[el2_lsu_bus_buffer.scala 527:68] wire _GEN_46 = _T_3610 & _T_3688; // @[Conditional.scala 39:67] wire _GEN_59 = _T_3576 ? _T_3603 : _GEN_46; // @[Conditional.scala 39:67] wire _GEN_71 = _T_3572 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] wire buf_error_en_0 = _T_3549 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3613 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 515:73] - wire _T_3614 = buf_write[0] & _T_3613; // @[el2_lsu_bus_buffer.scala 515:71] - wire _T_3615 = io_dec_tlu_force_halt | _T_3614; // @[el2_lsu_bus_buffer.scala 515:55] - wire _T_3617 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 516:30] - wire _T_3618 = buf_dual_0 & _T_3617; // @[el2_lsu_bus_buffer.scala 516:28] - wire _T_3621 = _T_3618 & _T_3664; // @[el2_lsu_bus_buffer.scala 516:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 516:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 516:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_3622 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_3623 = _T_3621 & _T_3622; // @[el2_lsu_bus_buffer.scala 516:61] - wire _T_4515 = _T_2767 | _T_2764; // @[el2_lsu_bus_buffer.scala 574:93] - wire _T_4516 = _T_4515 | _T_2761; // @[el2_lsu_bus_buffer.scala 574:93] - wire any_done_wait_state = _T_4516 | _T_2758; // @[el2_lsu_bus_buffer.scala 574:93] - wire _T_3625 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:31] + wire _T_3613 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 517:73] + wire _T_3614 = buf_write[0] & _T_3613; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_3615 = io_dec_tlu_force_halt | _T_3614; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_3617 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_3618 = buf_dual_0 & _T_3617; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_3621 = _T_3618 & _T_3664; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3622 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3623 = _T_3621 & _T_3622; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_4515 = _T_2767 | _T_2764; // @[el2_lsu_bus_buffer.scala 576:93] + wire _T_4516 = _T_4515 | _T_2761; // @[el2_lsu_bus_buffer.scala 576:93] + wire any_done_wait_state = _T_4516 | _T_2758; // @[el2_lsu_bus_buffer.scala 576:93] + wire _T_3625 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] wire _T_3631 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 109:118] wire _T_3633 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 109:118] wire _T_3635 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 109:118] @@ -2184,17 +2172,17 @@ module el2_lsu_bus_buffer( wire _T_3643 = _T_3639 | _T_3640; // @[Mux.scala 27:72] wire _T_3644 = _T_3643 | _T_3641; // @[Mux.scala 27:72] wire _T_3645 = _T_3644 | _T_3642; // @[Mux.scala 27:72] - wire _T_3647 = _T_3621 & _T_3645; // @[el2_lsu_bus_buffer.scala 517:101] - wire _T_3648 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 517:167] - wire _T_3649 = _T_3647 & _T_3648; // @[el2_lsu_bus_buffer.scala 517:138] - wire _T_3650 = _T_3649 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:187] - wire _T_3651 = _T_3625 | _T_3650; // @[el2_lsu_bus_buffer.scala 517:53] - wire _T_3674 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_3675 = _T_3674 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 524:62] - wire _T_3689 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 528:50] - wire _T_3690 = buf_state_en_0 & _T_3689; // @[el2_lsu_bus_buffer.scala 528:48] - wire _T_3702 = buf_ldfwd[0] | _T_3707[0]; // @[el2_lsu_bus_buffer.scala 531:90] - wire _T_3703 = _T_3702 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 531:118] + wire _T_3647 = _T_3621 & _T_3645; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_3648 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_3649 = _T_3647 & _T_3648; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_3650 = _T_3649 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_3651 = _T_3625 | _T_3650; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_3674 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_3675 = _T_3674 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_3689 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_3690 = buf_state_en_0 & _T_3689; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_3702 = buf_ldfwd[0] | _T_3707[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_3703 = _T_3702 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] wire _GEN_29 = _T_3723 & buf_state_en_0; // @[Conditional.scala 39:67] wire _GEN_32 = _T_3715 ? 1'h0 : _T_3723; // @[Conditional.scala 39:67] wire _GEN_34 = _T_3715 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] @@ -2212,34 +2200,34 @@ module el2_lsu_bus_buffer( wire buf_wr_en_0 = _T_3549 & buf_state_en_0; // @[Conditional.scala 40:58] wire buf_ldfwd_en_0 = _T_3549 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] wire buf_rst_0 = _T_3549 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3786 = buf_state_en_1 & _T_3857; // @[el2_lsu_bus_buffer.scala 508:44] - wire _T_3787 = _T_3786 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 508:60] - wire _T_3789 = _T_3787 & _T_1354; // @[el2_lsu_bus_buffer.scala 508:74] - wire _T_3792 = _T_3782 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:67] - wire _T_3793 = _T_3792 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 510:81] - wire _T_3796 = _T_3792 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 511:82] - wire _T_3871 = bus_rsp_read_error & _T_3850; // @[el2_lsu_bus_buffer.scala 525:91] - wire _T_3873 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 526:31] - wire _T_3875 = _T_3873 & _T_3852; // @[el2_lsu_bus_buffer.scala 526:46] - wire _T_3876 = _T_3871 | _T_3875; // @[el2_lsu_bus_buffer.scala 525:143] - wire _T_3879 = bus_rsp_write_error & _T_3848; // @[el2_lsu_bus_buffer.scala 527:53] - wire _T_3880 = _T_3876 | _T_3879; // @[el2_lsu_bus_buffer.scala 526:88] - wire _T_3881 = _T_3782 & _T_3880; // @[el2_lsu_bus_buffer.scala 525:68] + wire _T_3786 = buf_state_en_1 & _T_3857; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_3787 = _T_3786 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_3789 = _T_3787 & _T_1354; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_3792 = _T_3782 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_3793 = _T_3792 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_3796 = _T_3792 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_3871 = bus_rsp_read_error & _T_3850; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_3873 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_3875 = _T_3873 & _T_3852; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_3876 = _T_3871 | _T_3875; // @[el2_lsu_bus_buffer.scala 527:143] + wire _T_3879 = bus_rsp_write_error & _T_3848; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_3880 = _T_3876 | _T_3879; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_3881 = _T_3782 & _T_3880; // @[el2_lsu_bus_buffer.scala 527:68] wire _GEN_122 = _T_3803 & _T_3881; // @[Conditional.scala 39:67] wire _GEN_135 = _T_3769 ? _T_3796 : _GEN_122; // @[Conditional.scala 39:67] wire _GEN_147 = _T_3765 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] wire buf_error_en_1 = _T_3742 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3807 = buf_write[1] & _T_3613; // @[el2_lsu_bus_buffer.scala 515:71] - wire _T_3808 = io_dec_tlu_force_halt | _T_3807; // @[el2_lsu_bus_buffer.scala 515:55] - wire _T_3810 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 516:30] - wire _T_3811 = buf_dual_1 & _T_3810; // @[el2_lsu_bus_buffer.scala 516:28] - wire _T_3814 = _T_3811 & _T_3857; // @[el2_lsu_bus_buffer.scala 516:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 516:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 516:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_3815 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_3816 = _T_3814 & _T_3815; // @[el2_lsu_bus_buffer.scala 516:61] - wire _T_3818 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:31] + wire _T_3807 = buf_write[1] & _T_3613; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_3808 = io_dec_tlu_force_halt | _T_3807; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_3810 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_3811 = buf_dual_1 & _T_3810; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_3814 = _T_3811 & _T_3857; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3815 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3816 = _T_3814 & _T_3815; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_3818 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] wire _T_3824 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 109:118] wire _T_3826 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 109:118] wire _T_3828 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 109:118] @@ -2251,17 +2239,17 @@ module el2_lsu_bus_buffer( wire _T_3836 = _T_3832 | _T_3833; // @[Mux.scala 27:72] wire _T_3837 = _T_3836 | _T_3834; // @[Mux.scala 27:72] wire _T_3838 = _T_3837 | _T_3835; // @[Mux.scala 27:72] - wire _T_3840 = _T_3814 & _T_3838; // @[el2_lsu_bus_buffer.scala 517:101] - wire _T_3841 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 517:167] - wire _T_3842 = _T_3840 & _T_3841; // @[el2_lsu_bus_buffer.scala 517:138] - wire _T_3843 = _T_3842 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:187] - wire _T_3844 = _T_3818 | _T_3843; // @[el2_lsu_bus_buffer.scala 517:53] - wire _T_3867 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_3868 = _T_3867 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 524:62] - wire _T_3882 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 528:50] - wire _T_3883 = buf_state_en_1 & _T_3882; // @[el2_lsu_bus_buffer.scala 528:48] - wire _T_3895 = buf_ldfwd[1] | _T_3900[0]; // @[el2_lsu_bus_buffer.scala 531:90] - wire _T_3896 = _T_3895 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 531:118] + wire _T_3840 = _T_3814 & _T_3838; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_3841 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_3842 = _T_3840 & _T_3841; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_3843 = _T_3842 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_3844 = _T_3818 | _T_3843; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_3867 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_3868 = _T_3867 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_3882 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_3883 = buf_state_en_1 & _T_3882; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_3895 = buf_ldfwd[1] | _T_3900[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_3896 = _T_3895 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] wire _GEN_105 = _T_3916 & buf_state_en_1; // @[Conditional.scala 39:67] wire _GEN_108 = _T_3908 ? 1'h0 : _T_3916; // @[Conditional.scala 39:67] wire _GEN_110 = _T_3908 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] @@ -2279,34 +2267,34 @@ module el2_lsu_bus_buffer( wire buf_wr_en_1 = _T_3742 & buf_state_en_1; // @[Conditional.scala 40:58] wire buf_ldfwd_en_1 = _T_3742 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] wire buf_rst_1 = _T_3742 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3979 = buf_state_en_2 & _T_4050; // @[el2_lsu_bus_buffer.scala 508:44] - wire _T_3980 = _T_3979 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 508:60] - wire _T_3982 = _T_3980 & _T_1354; // @[el2_lsu_bus_buffer.scala 508:74] - wire _T_3985 = _T_3975 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:67] - wire _T_3986 = _T_3985 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 510:81] - wire _T_3989 = _T_3985 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 511:82] - wire _T_4064 = bus_rsp_read_error & _T_4043; // @[el2_lsu_bus_buffer.scala 525:91] - wire _T_4066 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 526:31] - wire _T_4068 = _T_4066 & _T_4045; // @[el2_lsu_bus_buffer.scala 526:46] - wire _T_4069 = _T_4064 | _T_4068; // @[el2_lsu_bus_buffer.scala 525:143] - wire _T_4072 = bus_rsp_write_error & _T_4041; // @[el2_lsu_bus_buffer.scala 527:53] - wire _T_4073 = _T_4069 | _T_4072; // @[el2_lsu_bus_buffer.scala 526:88] - wire _T_4074 = _T_3975 & _T_4073; // @[el2_lsu_bus_buffer.scala 525:68] + wire _T_3979 = buf_state_en_2 & _T_4050; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_3980 = _T_3979 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_3982 = _T_3980 & _T_1354; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_3985 = _T_3975 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_3986 = _T_3985 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_3989 = _T_3985 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_4064 = bus_rsp_read_error & _T_4043; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_4066 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_4068 = _T_4066 & _T_4045; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_4069 = _T_4064 | _T_4068; // @[el2_lsu_bus_buffer.scala 527:143] + wire _T_4072 = bus_rsp_write_error & _T_4041; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_4073 = _T_4069 | _T_4072; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_4074 = _T_3975 & _T_4073; // @[el2_lsu_bus_buffer.scala 527:68] wire _GEN_198 = _T_3996 & _T_4074; // @[Conditional.scala 39:67] wire _GEN_211 = _T_3962 ? _T_3989 : _GEN_198; // @[Conditional.scala 39:67] wire _GEN_223 = _T_3958 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] wire buf_error_en_2 = _T_3935 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_4000 = buf_write[2] & _T_3613; // @[el2_lsu_bus_buffer.scala 515:71] - wire _T_4001 = io_dec_tlu_force_halt | _T_4000; // @[el2_lsu_bus_buffer.scala 515:55] - wire _T_4003 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 516:30] - wire _T_4004 = buf_dual_2 & _T_4003; // @[el2_lsu_bus_buffer.scala 516:28] - wire _T_4007 = _T_4004 & _T_4050; // @[el2_lsu_bus_buffer.scala 516:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 516:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 516:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_4008 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_4009 = _T_4007 & _T_4008; // @[el2_lsu_bus_buffer.scala 516:61] - wire _T_4011 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:31] + wire _T_4000 = buf_write[2] & _T_3613; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_4001 = io_dec_tlu_force_halt | _T_4000; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_4003 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_4004 = buf_dual_2 & _T_4003; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_4007 = _T_4004 & _T_4050; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_4008 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_4009 = _T_4007 & _T_4008; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_4011 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] wire _T_4017 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 109:118] wire _T_4019 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 109:118] wire _T_4021 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 109:118] @@ -2318,17 +2306,17 @@ module el2_lsu_bus_buffer( wire _T_4029 = _T_4025 | _T_4026; // @[Mux.scala 27:72] wire _T_4030 = _T_4029 | _T_4027; // @[Mux.scala 27:72] wire _T_4031 = _T_4030 | _T_4028; // @[Mux.scala 27:72] - wire _T_4033 = _T_4007 & _T_4031; // @[el2_lsu_bus_buffer.scala 517:101] - wire _T_4034 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 517:167] - wire _T_4035 = _T_4033 & _T_4034; // @[el2_lsu_bus_buffer.scala 517:138] - wire _T_4036 = _T_4035 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:187] - wire _T_4037 = _T_4011 | _T_4036; // @[el2_lsu_bus_buffer.scala 517:53] - wire _T_4060 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_4061 = _T_4060 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 524:62] - wire _T_4075 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 528:50] - wire _T_4076 = buf_state_en_2 & _T_4075; // @[el2_lsu_bus_buffer.scala 528:48] - wire _T_4088 = buf_ldfwd[2] | _T_4093[0]; // @[el2_lsu_bus_buffer.scala 531:90] - wire _T_4089 = _T_4088 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 531:118] + wire _T_4033 = _T_4007 & _T_4031; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_4034 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_4035 = _T_4033 & _T_4034; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_4036 = _T_4035 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_4037 = _T_4011 | _T_4036; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_4060 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_4061 = _T_4060 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_4075 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_4076 = buf_state_en_2 & _T_4075; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_4088 = buf_ldfwd[2] | _T_4093[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_4089 = _T_4088 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] wire _GEN_181 = _T_4109 & buf_state_en_2; // @[Conditional.scala 39:67] wire _GEN_184 = _T_4101 ? 1'h0 : _T_4109; // @[Conditional.scala 39:67] wire _GEN_186 = _T_4101 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] @@ -2346,34 +2334,34 @@ module el2_lsu_bus_buffer( wire buf_wr_en_2 = _T_3935 & buf_state_en_2; // @[Conditional.scala 40:58] wire buf_ldfwd_en_2 = _T_3935 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] wire buf_rst_2 = _T_3935 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4172 = buf_state_en_3 & _T_4243; // @[el2_lsu_bus_buffer.scala 508:44] - wire _T_4173 = _T_4172 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 508:60] - wire _T_4175 = _T_4173 & _T_1354; // @[el2_lsu_bus_buffer.scala 508:74] - wire _T_4178 = _T_4168 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:67] - wire _T_4179 = _T_4178 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 510:81] - wire _T_4182 = _T_4178 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 511:82] - wire _T_4257 = bus_rsp_read_error & _T_4236; // @[el2_lsu_bus_buffer.scala 525:91] - wire _T_4259 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 526:31] - wire _T_4261 = _T_4259 & _T_4238; // @[el2_lsu_bus_buffer.scala 526:46] - wire _T_4262 = _T_4257 | _T_4261; // @[el2_lsu_bus_buffer.scala 525:143] - wire _T_4265 = bus_rsp_write_error & _T_4234; // @[el2_lsu_bus_buffer.scala 527:53] - wire _T_4266 = _T_4262 | _T_4265; // @[el2_lsu_bus_buffer.scala 526:88] - wire _T_4267 = _T_4168 & _T_4266; // @[el2_lsu_bus_buffer.scala 525:68] + wire _T_4172 = buf_state_en_3 & _T_4243; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_4173 = _T_4172 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_4175 = _T_4173 & _T_1354; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_4178 = _T_4168 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_4179 = _T_4178 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_4182 = _T_4178 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_4257 = bus_rsp_read_error & _T_4236; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_4259 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_4261 = _T_4259 & _T_4238; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_4262 = _T_4257 | _T_4261; // @[el2_lsu_bus_buffer.scala 527:143] + wire _T_4265 = bus_rsp_write_error & _T_4234; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_4266 = _T_4262 | _T_4265; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_4267 = _T_4168 & _T_4266; // @[el2_lsu_bus_buffer.scala 527:68] wire _GEN_274 = _T_4189 & _T_4267; // @[Conditional.scala 39:67] wire _GEN_287 = _T_4155 ? _T_4182 : _GEN_274; // @[Conditional.scala 39:67] wire _GEN_299 = _T_4151 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] wire buf_error_en_3 = _T_4128 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4193 = buf_write[3] & _T_3613; // @[el2_lsu_bus_buffer.scala 515:71] - wire _T_4194 = io_dec_tlu_force_halt | _T_4193; // @[el2_lsu_bus_buffer.scala 515:55] - wire _T_4196 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 516:30] - wire _T_4197 = buf_dual_3 & _T_4196; // @[el2_lsu_bus_buffer.scala 516:28] - wire _T_4200 = _T_4197 & _T_4243; // @[el2_lsu_bus_buffer.scala 516:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 516:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 516:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_4201 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 516:90] - wire _T_4202 = _T_4200 & _T_4201; // @[el2_lsu_bus_buffer.scala 516:61] - wire _T_4204 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:31] + wire _T_4193 = buf_write[3] & _T_3613; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_4194 = io_dec_tlu_force_halt | _T_4193; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_4196 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_4197 = buf_dual_3 & _T_4196; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_4200 = _T_4197 & _T_4243; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_4201 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_4202 = _T_4200 & _T_4201; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_4204 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] wire _T_4210 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 109:118] wire _T_4212 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 109:118] wire _T_4214 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 109:118] @@ -2385,17 +2373,17 @@ module el2_lsu_bus_buffer( wire _T_4222 = _T_4218 | _T_4219; // @[Mux.scala 27:72] wire _T_4223 = _T_4222 | _T_4220; // @[Mux.scala 27:72] wire _T_4224 = _T_4223 | _T_4221; // @[Mux.scala 27:72] - wire _T_4226 = _T_4200 & _T_4224; // @[el2_lsu_bus_buffer.scala 517:101] - wire _T_4227 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 517:167] - wire _T_4228 = _T_4226 & _T_4227; // @[el2_lsu_bus_buffer.scala 517:138] - wire _T_4229 = _T_4228 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 517:187] - wire _T_4230 = _T_4204 | _T_4229; // @[el2_lsu_bus_buffer.scala 517:53] - wire _T_4253 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 524:47] - wire _T_4254 = _T_4253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 524:62] - wire _T_4268 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 528:50] - wire _T_4269 = buf_state_en_3 & _T_4268; // @[el2_lsu_bus_buffer.scala 528:48] - wire _T_4281 = buf_ldfwd[3] | _T_4286[0]; // @[el2_lsu_bus_buffer.scala 531:90] - wire _T_4282 = _T_4281 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 531:118] + wire _T_4226 = _T_4200 & _T_4224; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_4227 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_4228 = _T_4226 & _T_4227; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_4229 = _T_4228 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_4230 = _T_4204 | _T_4229; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_4253 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_4254 = _T_4253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_4268 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_4269 = buf_state_en_3 & _T_4268; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_4281 = buf_ldfwd[3] | _T_4286[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_4282 = _T_4281 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] wire _GEN_257 = _T_4302 & buf_state_en_3; // @[Conditional.scala 39:67] wire _GEN_260 = _T_4294 ? 1'h0 : _T_4302; // @[Conditional.scala 39:67] wire _GEN_262 = _T_4294 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] @@ -2418,51 +2406,51 @@ module el2_lsu_bus_buffer( reg _T_4363; // @[Reg.scala 27:20] reg _T_4366; // @[Reg.scala 27:20] wire [3:0] buf_unsign = {_T_4366,_T_4363,_T_4360,_T_4357}; // @[Cat.scala 29:58] - reg _T_4432; // @[el2_lsu_bus_buffer.scala 567:82] - reg _T_4427; // @[el2_lsu_bus_buffer.scala 567:82] - reg _T_4422; // @[el2_lsu_bus_buffer.scala 567:82] - reg _T_4417; // @[el2_lsu_bus_buffer.scala 567:82] + reg _T_4432; // @[el2_lsu_bus_buffer.scala 569:82] + reg _T_4427; // @[el2_lsu_bus_buffer.scala 569:82] + reg _T_4422; // @[el2_lsu_bus_buffer.scala 569:82] + reg _T_4417; // @[el2_lsu_bus_buffer.scala 569:82] wire [3:0] buf_error = {_T_4432,_T_4427,_T_4422,_T_4417}; // @[Cat.scala 29:58] - wire _T_4414 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 567:86] - wire _T_4415 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 567:128] - wire _T_4419 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 567:86] - wire _T_4420 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 567:128] - wire _T_4424 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 567:86] - wire _T_4425 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 567:128] - wire _T_4429 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 567:86] - wire _T_4430 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 567:128] + wire _T_4414 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4415 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 569:128] + wire _T_4419 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4420 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 569:128] + wire _T_4424 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4425 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 569:128] + wire _T_4429 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4430 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 569:128] wire [1:0] _T_4436 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4437 = io_ldst_dual_m ? _T_4436 : {{1'd0}, io_lsu_busreq_m}; // @[el2_lsu_bus_buffer.scala 570:28] + wire [1:0] _T_4437 = io_ldst_dual_m ? _T_4436 : {{1'd0}, io_lsu_busreq_m}; // @[el2_lsu_bus_buffer.scala 572:28] wire [1:0] _T_4438 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] - wire [1:0] _T_4439 = io_ldst_dual_r ? _T_4438 : {{1'd0}, io_lsu_busreq_r}; // @[el2_lsu_bus_buffer.scala 570:94] - wire [2:0] _T_4440 = _T_4437 + _T_4439; // @[el2_lsu_bus_buffer.scala 570:88] - wire [2:0] _GEN_390 = {{2'd0}, ibuf_valid}; // @[el2_lsu_bus_buffer.scala 570:154] - wire [3:0] _T_4441 = _T_4440 + _GEN_390; // @[el2_lsu_bus_buffer.scala 570:154] - wire [1:0] _T_4446 = _T_5 + _T_12; // @[el2_lsu_bus_buffer.scala 570:217] - wire [1:0] _GEN_391 = {{1'd0}, _T_19}; // @[el2_lsu_bus_buffer.scala 570:217] - wire [2:0] _T_4447 = _T_4446 + _GEN_391; // @[el2_lsu_bus_buffer.scala 570:217] - wire [2:0] _GEN_392 = {{2'd0}, _T_26}; // @[el2_lsu_bus_buffer.scala 570:217] - wire [3:0] _T_4448 = _T_4447 + _GEN_392; // @[el2_lsu_bus_buffer.scala 570:217] - wire [3:0] buf_numvld_any = _T_4441 + _T_4448; // @[el2_lsu_bus_buffer.scala 570:169] - wire _T_4519 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 576:52] - wire _T_4520 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 576:92] - wire _T_4521 = buf_numvld_any == 4'h4; // @[el2_lsu_bus_buffer.scala 576:121] - wire _T_4523 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 577:52] - wire _T_4524 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 577:52] - wire _T_4525 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 577:52] - wire _T_4526 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 577:52] - wire _T_4527 = _T_4523 | _T_4524; // @[el2_lsu_bus_buffer.scala 577:65] - wire _T_4528 = _T_4527 | _T_4525; // @[el2_lsu_bus_buffer.scala 577:65] - wire _T_4529 = _T_4528 | _T_4526; // @[el2_lsu_bus_buffer.scala 577:65] - wire _T_4530 = ~_T_4529; // @[el2_lsu_bus_buffer.scala 577:34] - wire _T_4532 = _T_4530 & _T_852; // @[el2_lsu_bus_buffer.scala 577:70] - wire _T_4535 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 579:51] - wire _T_4536 = _T_4535 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 579:72] - wire _T_4537 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 579:94] - wire _T_4538 = _T_4536 & _T_4537; // @[el2_lsu_bus_buffer.scala 579:92] - wire _T_4539 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 579:111] - wire _T_4541 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 582:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 667:66] + wire [1:0] _T_4439 = io_ldst_dual_r ? _T_4438 : {{1'd0}, io_lsu_busreq_r}; // @[el2_lsu_bus_buffer.scala 572:94] + wire [2:0] _T_4440 = _T_4437 + _T_4439; // @[el2_lsu_bus_buffer.scala 572:88] + wire [2:0] _GEN_380 = {{2'd0}, ibuf_valid}; // @[el2_lsu_bus_buffer.scala 572:154] + wire [3:0] _T_4441 = _T_4440 + _GEN_380; // @[el2_lsu_bus_buffer.scala 572:154] + wire [1:0] _T_4446 = _T_5 + _T_12; // @[el2_lsu_bus_buffer.scala 572:217] + wire [1:0] _GEN_381 = {{1'd0}, _T_19}; // @[el2_lsu_bus_buffer.scala 572:217] + wire [2:0] _T_4447 = _T_4446 + _GEN_381; // @[el2_lsu_bus_buffer.scala 572:217] + wire [2:0] _GEN_382 = {{2'd0}, _T_26}; // @[el2_lsu_bus_buffer.scala 572:217] + wire [3:0] _T_4448 = _T_4447 + _GEN_382; // @[el2_lsu_bus_buffer.scala 572:217] + wire [3:0] buf_numvld_any = _T_4441 + _T_4448; // @[el2_lsu_bus_buffer.scala 572:169] + wire _T_4519 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 578:52] + wire _T_4520 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 578:92] + wire _T_4521 = buf_numvld_any == 4'h4; // @[el2_lsu_bus_buffer.scala 578:121] + wire _T_4523 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4524 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4525 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4526 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4527 = _T_4523 | _T_4524; // @[el2_lsu_bus_buffer.scala 579:65] + wire _T_4528 = _T_4527 | _T_4525; // @[el2_lsu_bus_buffer.scala 579:65] + wire _T_4529 = _T_4528 | _T_4526; // @[el2_lsu_bus_buffer.scala 579:65] + wire _T_4530 = ~_T_4529; // @[el2_lsu_bus_buffer.scala 579:34] + wire _T_4532 = _T_4530 & _T_852; // @[el2_lsu_bus_buffer.scala 579:70] + wire _T_4535 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 581:51] + wire _T_4536 = _T_4535 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 581:72] + wire _T_4537 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 581:94] + wire _T_4538 = _T_4536 & _T_4537; // @[el2_lsu_bus_buffer.scala 581:92] + wire _T_4539 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 581:111] + wire _T_4541 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 584:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 669:66] wire _T_4559 = _T_2820 & _T_3664; // @[Mux.scala 27:72] wire _T_4560 = _T_2842 & _T_3857; // @[Mux.scala 27:72] wire _T_4561 = _T_2864 & _T_4050; // @[Mux.scala 27:72] @@ -2470,36 +2458,36 @@ module el2_lsu_bus_buffer( wire _T_4563 = _T_4559 | _T_4560; // @[Mux.scala 27:72] wire _T_4564 = _T_4563 | _T_4561; // @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready = _T_4564 | _T_4562; // @[Mux.scala 27:72] - wire _T_4570 = buf_error[0] & _T_3664; // @[el2_lsu_bus_buffer.scala 585:108] - wire _T_4575 = buf_error[1] & _T_3857; // @[el2_lsu_bus_buffer.scala 585:108] - wire _T_4580 = buf_error[2] & _T_4050; // @[el2_lsu_bus_buffer.scala 585:108] - wire _T_4585 = buf_error[3] & _T_4243; // @[el2_lsu_bus_buffer.scala 585:108] + wire _T_4570 = buf_error[0] & _T_3664; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4575 = buf_error[1] & _T_3857; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4580 = buf_error[2] & _T_4050; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4585 = buf_error[3] & _T_4243; // @[el2_lsu_bus_buffer.scala 587:108] wire _T_4586 = _T_2820 & _T_4570; // @[Mux.scala 27:72] wire _T_4587 = _T_2842 & _T_4575; // @[Mux.scala 27:72] wire _T_4588 = _T_2864 & _T_4580; // @[Mux.scala 27:72] wire _T_4589 = _T_2886 & _T_4585; // @[Mux.scala 27:72] wire _T_4590 = _T_4586 | _T_4587; // @[Mux.scala 27:72] wire _T_4591 = _T_4590 | _T_4588; // @[Mux.scala 27:72] - wire _T_4598 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 586:109] - wire _T_4599 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 586:124] - wire _T_4600 = _T_4598 | _T_4599; // @[el2_lsu_bus_buffer.scala 586:122] - wire _T_4601 = _T_4559 & _T_4600; // @[el2_lsu_bus_buffer.scala 586:106] - wire _T_4606 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 586:109] - wire _T_4607 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 586:124] - wire _T_4608 = _T_4606 | _T_4607; // @[el2_lsu_bus_buffer.scala 586:122] - wire _T_4609 = _T_4560 & _T_4608; // @[el2_lsu_bus_buffer.scala 586:106] - wire _T_4614 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 586:109] - wire _T_4615 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 586:124] - wire _T_4616 = _T_4614 | _T_4615; // @[el2_lsu_bus_buffer.scala 586:122] - wire _T_4617 = _T_4561 & _T_4616; // @[el2_lsu_bus_buffer.scala 586:106] - wire _T_4622 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 586:109] - wire _T_4623 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 586:124] - wire _T_4624 = _T_4622 | _T_4623; // @[el2_lsu_bus_buffer.scala 586:122] - wire _T_4625 = _T_4562 & _T_4624; // @[el2_lsu_bus_buffer.scala 586:106] + wire _T_4598 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4599 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4600 = _T_4598 | _T_4599; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4601 = _T_4559 & _T_4600; // @[el2_lsu_bus_buffer.scala 588:106] + wire _T_4606 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4607 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4608 = _T_4606 | _T_4607; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4609 = _T_4560 & _T_4608; // @[el2_lsu_bus_buffer.scala 588:106] + wire _T_4614 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4615 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4616 = _T_4614 | _T_4615; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4617 = _T_4561 & _T_4616; // @[el2_lsu_bus_buffer.scala 588:106] + wire _T_4622 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4623 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4624 = _T_4622 | _T_4623; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4625 = _T_4562 & _T_4624; // @[el2_lsu_bus_buffer.scala 588:106] wire [1:0] _T_4628 = _T_4617 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4629 = _T_4625 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_393 = {{1'd0}, _T_4609}; // @[Mux.scala 27:72] - wire [1:0] _T_4631 = _GEN_393 | _T_4628; // @[Mux.scala 27:72] + wire [1:0] _GEN_383 = {{1'd0}, _T_4609}; // @[Mux.scala 27:72] + wire [1:0] _T_4631 = _GEN_383 | _T_4628; // @[Mux.scala 27:72] wire [31:0] _T_4666 = _T_4601 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4667 = _T_4609 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4668 = _T_4617 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2507,14 +2495,14 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4670 = _T_4666 | _T_4667; // @[Mux.scala 27:72] wire [31:0] _T_4671 = _T_4670 | _T_4668; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_lo = _T_4671 | _T_4669; // @[Mux.scala 27:72] - wire _T_4677 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 588:120] - wire _T_4678 = _T_4559 & _T_4677; // @[el2_lsu_bus_buffer.scala 588:105] - wire _T_4683 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 588:120] - wire _T_4684 = _T_4560 & _T_4683; // @[el2_lsu_bus_buffer.scala 588:105] - wire _T_4689 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 588:120] - wire _T_4690 = _T_4561 & _T_4689; // @[el2_lsu_bus_buffer.scala 588:105] - wire _T_4695 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 588:120] - wire _T_4696 = _T_4562 & _T_4695; // @[el2_lsu_bus_buffer.scala 588:105] + wire _T_4677 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4678 = _T_4559 & _T_4677; // @[el2_lsu_bus_buffer.scala 590:105] + wire _T_4683 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4684 = _T_4560 & _T_4683; // @[el2_lsu_bus_buffer.scala 590:105] + wire _T_4689 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4690 = _T_4561 & _T_4689; // @[el2_lsu_bus_buffer.scala 590:105] + wire _T_4695 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4696 = _T_4562 & _T_4695; // @[el2_lsu_bus_buffer.scala 590:105] wire [31:0] _T_4697 = _T_4678 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4698 = _T_4684 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4699 = _T_4690 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] @@ -2533,7 +2521,7 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4712 = _T_4708 | _T_4709; // @[Mux.scala 27:72] wire [31:0] _T_4713 = _T_4712 | _T_4710; // @[Mux.scala 27:72] wire [31:0] _T_4714 = _T_4713 | _T_4711; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4714[1:0]; // @[el2_lsu_bus_buffer.scala 589:83] + wire [1:0] lsu_nonblock_addr_offset = _T_4714[1:0]; // @[el2_lsu_bus_buffer.scala 591:83] wire [1:0] _T_4720 = _T_4704 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4721 = _T_4705 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_4722 = _T_4706 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] @@ -2549,24 +2537,24 @@ module el2_lsu_bus_buffer( wire _T_4740 = _T_4739 | _T_4737; // @[Mux.scala 27:72] wire lsu_nonblock_unsign = _T_4740 | _T_4738; // @[Mux.scala 27:72] wire [63:0] _T_4760 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_394 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 593:121] - wire [5:0] _T_4761 = _GEN_394 * 4'h8; // @[el2_lsu_bus_buffer.scala 593:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4760 >> _T_4761; // @[el2_lsu_bus_buffer.scala 593:92] - wire _T_4762 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 595:69] - wire _T_4764 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 596:81] - wire _T_4765 = lsu_nonblock_unsign & _T_4764; // @[el2_lsu_bus_buffer.scala 596:63] + wire [3:0] _GEN_384 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 595:121] + wire [5:0] _T_4761 = _GEN_384 * 4'h8; // @[el2_lsu_bus_buffer.scala 595:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4760 >> _T_4761; // @[el2_lsu_bus_buffer.scala 595:92] + wire _T_4762 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 597:69] + wire _T_4764 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 598:81] + wire _T_4765 = lsu_nonblock_unsign & _T_4764; // @[el2_lsu_bus_buffer.scala 598:63] wire [31:0] _T_4767 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4768 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 597:45] - wire _T_4769 = lsu_nonblock_unsign & _T_4768; // @[el2_lsu_bus_buffer.scala 597:26] + wire _T_4768 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 599:45] + wire _T_4769 = lsu_nonblock_unsign & _T_4768; // @[el2_lsu_bus_buffer.scala 599:26] wire [31:0] _T_4771 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4772 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 598:6] - wire _T_4774 = _T_4772 & _T_4764; // @[el2_lsu_bus_buffer.scala 598:27] + wire _T_4772 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 600:6] + wire _T_4774 = _T_4772 & _T_4764; // @[el2_lsu_bus_buffer.scala 600:27] wire [23:0] _T_4777 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4779 = {_T_4777,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4782 = _T_4772 & _T_4768; // @[el2_lsu_bus_buffer.scala 599:27] + wire _T_4782 = _T_4772 & _T_4768; // @[el2_lsu_bus_buffer.scala 601:27] wire [15:0] _T_4785 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_4787 = {_T_4785,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4788 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 600:21] + wire _T_4788 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 602:21] wire [31:0] _T_4789 = _T_4765 ? _T_4767 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4790 = _T_4769 ? _T_4771 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4791 = _T_4774 ? _T_4779 : 32'h0; // @[Mux.scala 27:72] @@ -2575,51 +2563,51 @@ module el2_lsu_bus_buffer( wire [31:0] _T_4794 = _T_4789 | _T_4790; // @[Mux.scala 27:72] wire [31:0] _T_4795 = _T_4794 | _T_4791; // @[Mux.scala 27:72] wire [31:0] _T_4796 = _T_4795 | _T_4792; // @[Mux.scala 27:72] - wire [63:0] _GEN_395 = {{32'd0}, _T_4796}; // @[Mux.scala 27:72] - wire [63:0] _T_4797 = _GEN_395 | _T_4793; // @[Mux.scala 27:72] - wire _T_4892 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 618:36] - wire _T_4893 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 618:51] - wire _T_4894 = _T_4892 & _T_4893; // @[el2_lsu_bus_buffer.scala 618:49] + wire [63:0] _GEN_385 = {{32'd0}, _T_4796}; // @[Mux.scala 27:72] + wire [63:0] _T_4797 = _GEN_385 | _T_4793; // @[Mux.scala 27:72] + wire _T_4892 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 620:36] + wire _T_4893 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 620:51] + wire _T_4894 = _T_4892 & _T_4893; // @[el2_lsu_bus_buffer.scala 620:49] wire [31:0] _T_4898 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] wire [2:0] _T_4900 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4905 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 630:50] - wire _T_4906 = _T_4892 & _T_4905; // @[el2_lsu_bus_buffer.scala 630:48] + wire _T_4905 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 632:50] + wire _T_4906 = _T_4892 & _T_4905; // @[el2_lsu_bus_buffer.scala 632:48] wire [7:0] _T_4910 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4913 = obuf_valid & _T_1364; // @[el2_lsu_bus_buffer.scala 635:36] - wire _T_4915 = _T_4913 & _T_1370; // @[el2_lsu_bus_buffer.scala 635:50] - wire _T_4927 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 648:114] - wire _T_4929 = _T_4927 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 648:129] - wire _T_4932 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 648:114] - wire _T_4934 = _T_4932 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 648:129] - wire _T_4937 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 648:114] - wire _T_4939 = _T_4937 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 648:129] - wire _T_4942 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 648:114] - wire _T_4944 = _T_4942 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 648:129] + wire _T_4913 = obuf_valid & _T_1364; // @[el2_lsu_bus_buffer.scala 637:36] + wire _T_4915 = _T_4913 & _T_1370; // @[el2_lsu_bus_buffer.scala 637:50] + wire _T_4927 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 650:114] + wire _T_4929 = _T_4927 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 650:129] + wire _T_4932 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 650:114] + wire _T_4934 = _T_4932 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 650:129] + wire _T_4937 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 650:114] + wire _T_4939 = _T_4937 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 650:129] + wire _T_4942 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 650:114] + wire _T_4944 = _T_4942 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 650:129] wire _T_4945 = _T_2820 & _T_4929; // @[Mux.scala 27:72] wire _T_4946 = _T_2842 & _T_4934; // @[Mux.scala 27:72] wire _T_4947 = _T_2864 & _T_4939; // @[Mux.scala 27:72] wire _T_4948 = _T_2886 & _T_4944; // @[Mux.scala 27:72] wire _T_4949 = _T_4945 | _T_4946; // @[Mux.scala 27:72] wire _T_4950 = _T_4949 | _T_4947; // @[Mux.scala 27:72] - wire _T_4960 = _T_2842 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 649:98] - wire lsu_imprecise_error_store_tag = _T_4960 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 649:113] - wire _T_4966 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 651:72] + wire _T_4960 = _T_2842 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 651:98] + wire lsu_imprecise_error_store_tag = _T_4960 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 651:113] + wire _T_4966 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 653:72] wire _T_4968 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 110:123] wire [31:0] _T_4970 = _T_4968 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4971 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4972 = _T_4970 | _T_4971; // @[Mux.scala 27:72] - wire _T_4989 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 658:68] - wire _T_4992 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 659:48] - wire _T_4995 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 662:48] - wire _T_4996 = io_lsu_axi_awvalid & _T_4995; // @[el2_lsu_bus_buffer.scala 662:46] - wire _T_4997 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 662:92] - wire _T_4998 = io_lsu_axi_wvalid & _T_4997; // @[el2_lsu_bus_buffer.scala 662:90] - wire _T_4999 = _T_4996 | _T_4998; // @[el2_lsu_bus_buffer.scala 662:69] - wire _T_5000 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 662:136] - wire _T_5001 = io_lsu_axi_arvalid & _T_5000; // @[el2_lsu_bus_buffer.scala 662:134] - wire _T_5005 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 666:75] - wire _T_5006 = io_lsu_busreq_m & _T_5005; // @[el2_lsu_bus_buffer.scala 666:73] - reg _T_5009; // @[el2_lsu_bus_buffer.scala 666:56] + wire _T_4989 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 660:68] + wire _T_4992 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 661:48] + wire _T_4995 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 664:48] + wire _T_4996 = io_lsu_axi_awvalid & _T_4995; // @[el2_lsu_bus_buffer.scala 664:46] + wire _T_4997 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 664:92] + wire _T_4998 = io_lsu_axi_wvalid & _T_4997; // @[el2_lsu_bus_buffer.scala 664:90] + wire _T_4999 = _T_4996 | _T_4998; // @[el2_lsu_bus_buffer.scala 664:69] + wire _T_5000 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 664:136] + wire _T_5001 = io_lsu_axi_arvalid & _T_5000; // @[el2_lsu_bus_buffer.scala 664:134] + wire _T_5005 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 668:75] + wire _T_5006 = io_lsu_busreq_m & _T_5005; // @[el2_lsu_bus_buffer.scala 668:73] + reg _T_5009; // @[el2_lsu_bus_buffer.scala 668:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2692,58 +2680,58 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_5009; // @[el2_lsu_bus_buffer.scala 666:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 575:30] - assign io_lsu_bus_buffer_full_any = _T_4519 ? _T_4520 : _T_4521; // @[el2_lsu_bus_buffer.scala 576:30] - assign io_lsu_bus_buffer_empty_any = _T_4532 & _T_1252; // @[el2_lsu_bus_buffer.scala 577:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 655:23] + assign io_lsu_busreq_r = _T_5009; // @[el2_lsu_bus_buffer.scala 668:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 577:30] + assign io_lsu_bus_buffer_full_any = _T_4519 ? _T_4520 : _T_4521; // @[el2_lsu_bus_buffer.scala 578:30] + assign io_lsu_bus_buffer_empty_any = _T_4532 & _T_1252; // @[el2_lsu_bus_buffer.scala 579:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 657:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 189:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 190:25] - assign io_ld_fwddata_buf_lo = _T_652[31:0]; // @[el2_lsu_bus_buffer.scala 216:24] - assign io_ld_fwddata_buf_hi = _T_749[31:0]; // @[el2_lsu_bus_buffer.scala 221:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4966; // @[el2_lsu_bus_buffer.scala 651:35] - assign io_lsu_imprecise_error_store_any = _T_4950 | _T_4948; // @[el2_lsu_bus_buffer.scala 648:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4972 : _T_4714; // @[el2_lsu_bus_buffer.scala 652:35] - assign io_lsu_nonblock_load_valid_m = _T_4538 & _T_4539; // @[el2_lsu_bus_buffer.scala 579:32] - assign io_lsu_nonblock_load_tag_m = _T_1884 ? 2'h0 : _T_1920; // @[el2_lsu_bus_buffer.scala 580:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4541; // @[el2_lsu_bus_buffer.scala 582:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 583:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4762; // @[el2_lsu_bus_buffer.scala 595:35] - assign io_lsu_nonblock_load_data_error = _T_4591 | _T_4589; // @[el2_lsu_bus_buffer.scala 585:35] - assign io_lsu_nonblock_load_data_tag = _T_4631 | _T_4629; // @[el2_lsu_bus_buffer.scala 586:33] - assign io_lsu_nonblock_load_data = _T_4797[31:0]; // @[el2_lsu_bus_buffer.scala 596:29] - assign io_lsu_pmu_bus_trxn = _T_4989 | _T_4884; // @[el2_lsu_bus_buffer.scala 658:23] - assign io_lsu_pmu_bus_misaligned = _T_4992 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 659:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 660:24] - assign io_lsu_pmu_bus_busy = _T_4999 | _T_5001; // @[el2_lsu_bus_buffer.scala 662:23] - assign io_lsu_axi_awvalid = _T_4894 & _T_1260; // @[el2_lsu_bus_buffer.scala 618:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 619:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4898; // @[el2_lsu_bus_buffer.scala 620:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 624:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 625:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4900 : 3'h3; // @[el2_lsu_bus_buffer.scala 621:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 626:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 628:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 623:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 622:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 627:20] - assign io_lsu_axi_wvalid = _T_4906 & _T_1260; // @[el2_lsu_bus_buffer.scala 630:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 632:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4910; // @[el2_lsu_bus_buffer.scala 631:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 633:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 646:21] - assign io_lsu_axi_arvalid = _T_4915 & _T_1260; // @[el2_lsu_bus_buffer.scala 635:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 636:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4898; // @[el2_lsu_bus_buffer.scala 637:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 641:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 642:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4900 : 3'h3; // @[el2_lsu_bus_buffer.scala 638:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 643:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 645:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 640:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 639:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 644:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 647:21] + assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[el2_lsu_bus_buffer.scala 216:24] + assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[el2_lsu_bus_buffer.scala 222:24] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4966; // @[el2_lsu_bus_buffer.scala 653:35] + assign io_lsu_imprecise_error_store_any = _T_4950 | _T_4948; // @[el2_lsu_bus_buffer.scala 650:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4972 : _T_4714; // @[el2_lsu_bus_buffer.scala 654:35] + assign io_lsu_nonblock_load_valid_m = _T_4538 & _T_4539; // @[el2_lsu_bus_buffer.scala 581:32] + assign io_lsu_nonblock_load_tag_m = _T_1884 ? 2'h0 : _T_1920; // @[el2_lsu_bus_buffer.scala 582:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4541; // @[el2_lsu_bus_buffer.scala 584:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 585:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4762; // @[el2_lsu_bus_buffer.scala 597:35] + assign io_lsu_nonblock_load_data_error = _T_4591 | _T_4589; // @[el2_lsu_bus_buffer.scala 587:35] + assign io_lsu_nonblock_load_data_tag = _T_4631 | _T_4629; // @[el2_lsu_bus_buffer.scala 588:33] + assign io_lsu_nonblock_load_data = _T_4797[31:0]; // @[el2_lsu_bus_buffer.scala 598:29] + assign io_lsu_pmu_bus_trxn = _T_4989 | _T_4884; // @[el2_lsu_bus_buffer.scala 660:23] + assign io_lsu_pmu_bus_misaligned = _T_4992 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 661:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 662:24] + assign io_lsu_pmu_bus_busy = _T_4999 | _T_5001; // @[el2_lsu_bus_buffer.scala 664:23] + assign io_lsu_axi_awvalid = _T_4894 & _T_1260; // @[el2_lsu_bus_buffer.scala 620:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 621:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4898; // @[el2_lsu_bus_buffer.scala 622:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 626:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 627:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4900 : 3'h3; // @[el2_lsu_bus_buffer.scala 623:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 628:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 630:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 625:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 624:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 629:20] + assign io_lsu_axi_wvalid = _T_4906 & _T_1260; // @[el2_lsu_bus_buffer.scala 632:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 634:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4910; // @[el2_lsu_bus_buffer.scala 633:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 635:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 648:21] + assign io_lsu_axi_arvalid = _T_4915 & _T_1260; // @[el2_lsu_bus_buffer.scala 637:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1869}; // @[el2_lsu_bus_buffer.scala 638:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4898; // @[el2_lsu_bus_buffer.scala 639:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 643:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 644:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4900 : 3'h3; // @[el2_lsu_bus_buffer.scala 640:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 645:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 647:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 642:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 641:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 646:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 649:21] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_853 & _T_854; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index f91a68b8..79298622 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -213,15 +213,17 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val fwd_data = WireInit(UInt(32.W), 0.U) val ld_fwddata_buf_lo_initial = (0 until 4).map(i=>Fill(8, ld_byte_ibuf_hit_lo(i))).reverse.reduce(Cat(_,_)) val ld_fwddata_buf_hi_initial = (0 until 4).map(i=>Fill(8, ld_byte_ibuf_hit_hi(i))).reverse.reduce(Cat(_,_)) - io.ld_fwddata_buf_lo := Cat((0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(3)(i)) & buf_data(i)(31, 23)).reduce(_ | _), - (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(2)(i)) & buf_data(i)(23, 16)).reduce(_ | _), - (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(1)(i)) & buf_data(i)(15, 8)).reduce(_ | _), - (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(0)(i)) & buf_data(i)(7, 0)).reduce(_ | _)) | (ld_fwddata_buf_lo_initial & ibuf_data) + io.ld_fwddata_buf_lo := Cat((0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(3)(i)) & buf_data(i)(31, 24)).reduce(_ | _), + (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(2)(i)) & buf_data(i)(23, 16)).reduce(_ | _), + (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(1)(i)) & buf_data(i)(15, 8)).reduce(_ | _), + (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_lo(0)(i)) & buf_data(i)(7 , 0)).reduce(_ | _)) | + (ld_fwddata_buf_lo_initial & ibuf_data) - io.ld_fwddata_buf_hi := Cat((0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(3)(i)) & buf_data(i)(31, 23)).reduce(_ | _), - (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(2)(i)) & buf_data(i)(23, 16)).reduce(_ | _), - (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(1)(i)) & buf_data(i)(15, 8)).reduce(_ | _), - (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(0)(i)) & buf_data(i)(7, 0)).reduce(_ | _)) | (ld_fwddata_buf_hi_initial & ibuf_data) + io.ld_fwddata_buf_hi := Cat((0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(3)(i)) & buf_data(i)(31, 24)).reduce(_ | _), + (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(2)(i)) & buf_data(i)(23, 16)).reduce(_ | _), + (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(1)(i)) & buf_data(i)(15, 8)).reduce(_ | _), + (0 until DEPTH).map(i => Fill(8, ld_byte_hitvecfn_hi(0)(i)) & buf_data(i)(7 , 0)).reduce(_ | _)) | + (ld_fwddata_buf_hi_initial & ibuf_data) val bus_coalescing_disable = io.dec_tlu_wb_coalescing_disable | BUILD_AHB_LITE.B val ldst_byteen_r = Mux1H(Seq(io.lsu_pkt_r.by -> 1.U(4.W), diff --git a/target/scala-2.12/classes/META-INF/chisel-module-template.kotlin_module b/target/scala-2.12/classes/META-INF/chisel-module-template.kotlin_module new file mode 100644 index 0000000000000000000000000000000000000000..a49347afef10a9b5f95305e1058ba36adec7d6dd GIT binary patch literal 16 RcmZQzU|?ooU|@t|0RRA102TlM literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/lsu/BusBufmain$.class b/target/scala-2.12/classes/lsu/BusBufmain$.class index 7fd045597b974bdd7c3e057aaba57206ac6aa067..f15b4809ee07ec19ab22ffa049d9fbcf08e7c432 100644 GIT binary patch delta 103 zcmcaFcVBLU1UKV?$&%c*K(c^Wd~zPQCzN%b+X~3y;;{je?mQ5A$;tD0EP(v$Jg#6> ml9SDNEy1i9#zm9Q@`iFQWZ+<0#Guc#V6rw}1yE)Q-);cGS0O6^ delta 103 zcmcaFcVBLU1UKWn$&%c*K(c^Wd~zPQCzN%b+X~3y;;{je?mQ5A$;tD0EP(v$Jg#6> ml9SDNEy1i9#s!nl@`iHGXW(F3z@X1GZ?ZOD1yE)Q-);cBOCb*c diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index ee810210702709d4b4d110102d647e8f467221b7..b260e9bbb68c06f46b427b201387fc96c10813c7 100644 GIT binary patch delta 19 Zcmey$`jvG<1QX+e$&pL}Kynq6HvmjE2MGWG delta 19 Zcmey$`jvG<1QX-D$&pL}Kynq6Hvmi(2L%8C diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index ae79d2b786519c740080a03fefb25c1422879ff9..4254c3f480227c25a4269210b859fab7b8d2e1cb 100644 GIT binary patch delta 22108 zcmZ8pXFyd)(>}XId!Rlq_QrHLqZupssV2v)FTLt{f@H-czT#EONnizddB*pevG zSkOd`U85%YCMuf5lBl4u*YDXq7bM>gojJ3+vu$?T?q%*Jzg3s~rhm(pPhwSQ#U!?r z?oVOmC~`8(qL&weos-ytOTY$ADZyS!tobzNObe&7+4MdQShY_|u)PwC{RJ&zr=gz0 zZUJM#G(3$hWx=`W=w{-tsIWdA2-`;^%&SG;7tk!H`&e`Dl(`;}pr1&hraZ93&QhxApABL^O-jvSn51rdlmq*nU6|$Y5sgPzDrdX0NkT| z2_90+LVzN=X@Cif)R$w90(lZVrTU8jp3zAI^jm_jm-Iw}H#BFd0^ZBef7@L2Rj`cB z;#{M4xoipNjIQOPf(?x&fO2HFT!GaRI8*ov02ewYfgAN&2~dfO4UoACUmjFtHGn7W z0HBd;(I#OH<`PI(*MLClK$yA~(+tf6W?qM)5L&(tMcvnf5Sa%;C>_ZIkDAnDJwR=` z4?tT#L-B$QXc_f6F#pd`u`Yf28H$E&1R?fw6upz!EnutxeY6opL7OlI$1flSjcDl? z;1)r_n*e&zK?$;{%VvPpbk_jWwy3Z2TLISOZ`#T(*s$v~c_%nE{2Hu1cA=Bg659!k z-KIugqvjnt13+`X1%324sNuUCnEowl{6q7;MNx-6AcXBk(G7|H01O-1aSs|7Q6T`C zz5{(mK5F#Y2dv_Ez-E6B?5k2NdM{c%p%Z)2>IwDThgP2ZnRD*ZlyqUIGp3 zs2r`57*r3A#AS3AOnWbb1(c36_4)RGNJYm3RJu%E5o2@~Ja8T`!^iQ;JQu0j<~`E!=ENu&olS;s9EdT?uwcVml;ln0*QMPGVOb zL0e)f!GausjdB9*Kq=PQk>3@zG-12fL`osy9YB~e6lta-_T2T(eA4Xx$sBzx~ z^z+W3kEBEw5Zo;wymCR4FD16n46J#1VAU+BG={#ipwdSbK!_`kqW2Q}0~i}e!z+OA z_*_?12zCQ`gDc3BD8vnfyY3*Ib^~Dwb#(_}T160^xr4=~O2Fn;1lFW7FyBh3lunx~ zq0*2lAatmVqSq3;A+bdsz{XWUrJ3}m3M%dM1YxZQiaL1#tLurPS@gXpiY9x5(8mi! z&OX2%17mY&iZ{lYO)fqF^XYQ~MEI(&vl1+zfqn|SFu?q(_{yQG{$S}90!BajgQdcf zs+C|5OR<^NOK6n>O0aFESc^amVi}zb1kYvEFGztW2AC6!9%_f7hZ-Shsjz}ltXmDx zifWpZG)N9ZgS7?R3_M}NhsK3(fPa5THIA`1ru9uglpIl+z4}l&J|Fmo!@L6M zc?X0SiYM<1cvz$2=c$DXS>Y!K4Zfhb-C^R9XLAc#`=vcg){>o0nr$wFSQW-{vkx1GE3PW;g%C>t^Y|%A?Kw zV%|yIEa4{i6~vDo@kIFE$)mCN*B^0rQQ2IX*2iNBr#|PES!Lt!?0n9>P!c0WA`rx! z$2=K<*!s6P ztHMs9@ZG?WA1drGe8~GLcLA=Bh50f1iF>LF4_`$;@XX^OkSY11;KY zqfQ{5d&f5*f*Sjt7wAEy^dLp2Q36eDnK%e1^ulT^V!=BsbucBz=w4LxirZ7OH{5}I zxcC^Pno#;hp>`Up79!}Q_)e;Kb9bgq9Gns z2$N49N}ZGQ(Y$vbGqCiPikHOH9VchIEi2Y$hfjH&cLzG*50PmzfNKpNPWtQ zB$0trr)*M&WZ8&i(3liVrGc~XM48f8fgqk|I65DMFN3x_gGUBca>3#>Gh=Tv?L-w? z;(}e7B@eE(vsv^9YJe1F#-!)am;)sxb7;RAC3C2fMU^O_P%AixaxJRlu~8CTUWB1u zYI#LG4It*38&YjooZ#)Q5Q+V|aHU}tF#7ovJ{5C5P(iqf`Q|=!*h2(@s7%i~i|$5m zTtyi5aur=@ftw*ru5=bO!~|ik*w}Y&_%F&$)D(+Kx)|jS(hf<=F*h=LJ>y=|tGBxd z6N^zbN5^PFE0)j~xC;bD6{V=SLvsI?SZqaL?JEKMN@ANO=2%HIV?@!i^{`54V^s5Fh&;15EJ zqeg!ly_{GFnPL0E@XH^C3sv$Ii`>>}Q7ljUiLGbfvOFHn*73ezdcad;vyC5y30%q{ z<#~y6z?I%j9RcCNU?apAWMdHb&GK9s zd^XehFx?}!o^VDDSc71($=aTMLGZ`CsIVEPh_3HJt4o~>zGWxS&R6_WeIq8YQQOGA zU?FTH>&iA^BAaiC4)hXRhi$YLQO?*47 z3#7xFYMg_z?Oh#fwwgN2zE+Tp*TV4UF3gKZTg-D?Op6f4atH%DhDaaN=tf@+z7 zV|SwlEX*k-Z?v;IT#g%!MLB97DuO^|J|!pURPIusszSde=>C-40f=E3w(1n69>Q|_ zz85;V)l`KG8(|GjsnejO_#|c26y3yWvqkQeFTJcOYT?V@*qZomXmnZz48Z`q>R{n^ zhT^F22!n*4HWu`>3=ynH`;;_@Rx@xy?sa|Cx_K6)b-!^4AnMjqtfbw{zf(*2GOrWb zD0Y$!W2c11PGUz->$&WdUcgT1f1`f2aB&>qez?fCIiZCDjjoS9*-{6aklGNZxs8;! z=XG#E&e4Stm~9kHoj8X$M6L7Gx>)CP(*6Ylz*bp3rE|uCkw*b^mwMo7ju4L2uAUev zFFnjrK?C3x9DQV#H1%zR3HHJ8=}j1b9+t1B~Om zc{1%f&7srIQ_goK%XD6j)N0}in(Iy|R2Hdr95(8FCmfXX^s<4N2r#B0wAy)N(jkp7 z%L{VV)hu29E8iDL?d=8SmC3yo7tpypJ``CMp^ImP7=hMvBEay1!LUUnrahsFRdU5e zESfs7$09`~cF7Pu$gUUgN8K>s_>LIbC1^wI7GILivnORW#)4d?Lybi@fSkvuiEz^y zcvKV75MYdycqNgSrLaiS2i1J}gD_q)eD}gYsI|PB!kKzD6+z+`!^-&5#-^ec`-LnK z=)btB@C2@mlG5UNAXWZgm`3<5lQLl@T z#!IXoyUb6qOZ+MOMNejz^||b#z8l@8HW$Y!tu>_MO>0O;>u51eT+~8odK*!joUVPkGL*1#pxk2G+as{OIyReo> z!|lZw({*hCy8%miof>z*pbCu)21e5a5hWZiX+@-}!q;TO-zcPh9mQnSROU$el8Rwr znGh}@MqEb?n^=*9;8DeEa)Oi}D{3RQto30W_!=OMQx&S7Bw|oGElx}WcoQeOQC4T+ zXEQ8hkJA^_r;CV`8&;F<*TISVyOZc^U|r)y2lfZWkHlW8J`TkT3kX=c7=YsGpqCC( z|3k(?s=J{Hs(g0>y8DCbcE(oxNh(dFxNPYx>VcqK8@1?v%E-)mPXAPjS1EZ^=?0HL zt-zn8!b+$bTiV+N!}^o-u26D$bur@kT}20)oe10ZqAT|EFRI;5G!%c82;N`t8KRpA zA4|xda>L8o*1wEUuyhLao8)2XE)u|FV0Y}us2VUZn|y$Se-%o zsE25el5cxpzPG7PBIbLi%zQT|!X)1LFd1K7<#qdx{kxEbE2t?tKt(s@og=+*2{PxQ8r+)DOnk?$O)cs`Au6Vk+*d zq6;vo@_mIna9C}%i_XAr42GeY&{uTAWft5eAG+QcX7heuD6k@#S@5EWemDn3My#vc zr6MRI%M=`$#r?pph!VD2kB=zAd54TwqnG_eExpL1Eix*$>#r*I>kq40L{S|O$SViC zh>Gjsa4Q{*yR`nIk!*BUoeYVcF#L=n8l8-YrHDv^VmbsM9+@jqjnSeq@d0ovA4{8W z_17NbL@58{v2l3k4uE9^J^-8f*s%LDTcWeaGCY{2!{$BC4;ToeV|fT)w@BP$#kz$( z(j!p)vq9npE@hFe@T1QMVmXOfPEpk#LruOKJTG-Jhdf`bSvR z*OdGTZ0u!;zcGv`Vh}s>84gK!V`N`_<_QOSHbPXO*~4LX-%{RiXy|u_Y^n6mJ40)! z6wfto=LbV*~)a7y&Q!y}?0l5dAy~t2%t7a1`&& zo^&`_xYNRsqKVEm?C`FJkfQ0}%QSiLJSl!M7S(f_7`Dwxdm4Zh@uW)JS8v!U+W^@Jw38Wa9^*jTwy%igU z!8(JkEJcZC!6>*PUV)6~r==prQ~f1NVXydc_L>*5Hz2$fciB6e=Ip)AA+Fgj=ge*l z2m7(&1+G+;@;tu?bDHw8r~-nDU3Pwqu56617LCAcYz)tN=Tr!jjp5Q1e-D?+22o1F z5*UJ{aUw+6VklVcKxRwDEiig)iVd9|hkCZsnct1`WQ#RD{IRM6J&=H!Djw^94(>3w zHT)g9W^`seR>95)jb*CE#U-KaD%wd|jY5Tl3Gig?jKwbP4+NExS#$(3h8=9U>e9|w zUzb?jhI}Rp57biL1e)1W?}?(eZfDUpk!89FlvuVQ$yX*qlJWVyR5HQDee^1%JhEq* zqCA+JOYNegoWYn$B9zP%a4_6VrJzqw7L|kpR!j~FCCC$a<>taaHW_CwYyuSgpee$YIU@g6cEOpWy5(4S z1pDY{oR9}o6po-AT3N2J=Ty}YQFJ5Jk^7!&RKYrPJK#U%)oCo2Q zItqJpRm%8CxSL(NdF*kFF1epTZXL(G8}7iBn_P0j?dj$xU{J2~m^;!p(?xkYp9~Fv zBj;#wep7ajoiTG2gnc><+QC`nuZ(l!EDu}&tr-cY)076DF7o8H13-q+n1+jycv3U{ zF%7vvv&=_$k`xKxEFH5pQ^7356UWw>jZILZ??MaGMPp$x`x-KEFI`k;79*ZgTWFEi z8ktUc9?UX}01q7JLB4K)Pm?=ZcLa*F?Lp_JBd9AcU7BD3j1r#zBS=M)3>d5OhL0*w zyeN;TNoAz}87(aMnkF5<0FEo0!VFPYFE4eer0BeS$%!wYKWv5=!jf%RD{jlb<#sk5 zxUJ1ZZez2b+uJteCfmmNH=R4!mFFhACfw1UxP$#+ZnFP}+nZ`~CsQ|G&Y>E2b~wjf z9P8oVCT@00;Vw>#xuskaZZ0>3yOi6EA=Lg9_Q_2u)&Oddi6n=cjFUZSKqhR0n{kX* zW?}(Amv=PBGKE>VA;)g12mSdx6XwS4zvc!j?pA7Va8BH)|11Ow?#8wanGIg@ItWo1$mN;v1V=K5jx91i49bBGt;g#s8Ik2=I%1le2?|oTm^(Z?V4{5S1 z(UWY*ji=%5*Pn~n%@dBZI?SFjvI*i4NabiNg@Qzz1D<-RYN_%H&|j9Z4WTzFHjj(zfq^AQD@f@@jGU;V5#VV%aPj>1W~hP0Kt?iK?pqrpr^UWrFPAQvmHunb3w0} z|14L`;?egtZ}tFbmM2;yd!e=Cy4Ib$YJ+$cZ7lcJGT41BlLu&5aB)_f2eB;N0u}NQ z-iX)Wi+Cu%%WLKzSOFD*7ivhUc&f4G=3!MFSBdc;W~>qe0sdMgrh$yteXB)(7A}(? z^{D0sqn;Catq~h&$9j~XStELjI>tq@CxtD6YN;bbTTi;N0TK7^wTLY0kk2|S;qRZJ z{-Sko{!<&k`FF~L^IuoSFVOySMe7<)v0PDl^EtZOvKIf{$`ix%y3(UoVZ_>1q7CiY z2u=sqgOjQku>qXw8TK`AgQyBzMNn#Q>e1S@q9VC`hJnxv-lsL?)hyI86pDlu5>f-hEGM|2P;4pi?#?iJ;EA8$|87EwE>d1 z;=Uw;W^NVJW%1e%QwDYDml$pY-TqQ^)|*(ggDPuf!-1ZV;ZF#ecj6$d{Ytcw#aHDu zPGx%k6{LRQPOPKXHcYyy;fPM(hD1tJ!UF-7^nv1QDg$wd&fDQkG>@=%q&eF~YZPHc!z96pOgrE`;YP4O7){)PW6@0N*I)oR8ix69X6WVV zYE<9IQSB71P(gW<##q0>02}r^HwntcK`#vyxz6Z==Se;vLU7Sc?MzY|R;azFOyf8Rm<#2VSuxV@M&@V(gASScc&R6GIdB-WZ< zklbUXzV;x+J(hg-VHsj&l2uy3Sm~;H(V~6A8~BcWSh~0}oPkTuab-Bi8Ju^1k9*EI zV>Q%9$Ccp}M~(Mmt2)bvHu9#?0`$B6xQFOWt_QH!y`-ZS1^_t@27o;J&>1hdYL5Y^969A2FV)jJ50?nP4$LI?Ju!{;DKYLk0WxkDIfZ|QtC6@B1$s)+K;A@tP8$PFP; zrqjDakorFI`T}>aMaVSsJ~BoO22DBxlvRL$rjM~H2MeGo`$);R`ryct$#f_01F=?X zg+a+=xZ1rw#v)zWBb*%_G_DEWTWbOC`wwGW+2nHs<}X{`&&X}hmYlq((~lTlwxNub z+nsHk4JA31et>DorU5^Q31Tk75tWL6@dHF|t`rW8V=f#6Ow(J1OQ1qbf&pOlymF=N zef1*_=3F}QBQ9W9n!~M@7bi{LNDes)Ib2mrU1d;zIVy(Z&QfWG)y6K&J%&hnwXw%i z264BE{H9E@#BGJBt)|My#ZLgYj*HD~4bA;YbOk8<2{)p*@_kN-p+4ew_{0e}Veo!a z^UFVb7c9lC66#H3)}T5fJ=x`NF!)m);QSx{7E|!(_kr-seTzfs^iWi#CHF-)I`I%m zkEt&}d;1XDt-}LkcH*V1XFtG2(!C;-_J4{}uVP@{MFKw!cnG)ZA1Ssq$onw}%OAp@ z_*9lpdyJaj6@x|d2e2JAics^uthtZM<1hW z#M(CG@(9w5K7# z9^N@n!W*#wIUQs%>KYjyY~5Rtiu?|qK?DOJEcT>3Z^a||()`0!48x_357qorzGgzE zM!wxs*(4_K0laAPdog<1F&W^=i^c`=I)NMcCsm7M)SZ}EmZR6l=S`n;y&j5@vcyvvs5PD0 zARR0(%_OE`8>F*rq!sp}@j|bI9Li>)$0D2YOz6!KpEuM2Of*T?TO(-zWo*4{a*!EZ z+(pUjBs&|uJW>lt$os$jYup8HFm-By+In)>mZ(b>@KA5g8$RWm+4d+flJCro6>Y zw~)7;-V}L)-gcOlGi|lg8woRBL#W)Pu*dAowEk1MJ@WFzoIl21k7uF+EQNZ#b<3oO zFjr&ARbDXEK{tb-0=y4*EUs8g_2vy#<=eKe9rP&XhU;Tba(2`!AYfIYnamM#e5faH zt}+LMml*Z&!fBmwXN#$j>I-w z^S54jfvvZ}?;vo2AHckGJL#_UiCO;&AtzFO-c(QlSG652ScR(6le8X)s)m%y*FTl3 znk#(>N1gsC$rYjg8H?VT`RDtV*GDnLX9bZgHqif8Na&f zEfDEdanrlwR}y2~^vHmXHi>;`8BitBK5dzhawn@;49#+&FBaWzH*y#3^^hyW=kb$w@A_13P&m3uz zE9q5GhD0L-Lgp{=fumCsuii?Z>wpCBz=u*Q>(QWFWQsv9JK1|;z^ON|<|y)|@>O&{ zyopn%&DfSm=}LI1j?${&#7c6NqYklzgr8fq!)xiJUH-PJhw z>%1}XW^~vaXD>=BjbI7V(MJa#90vp#@^Q9$!m`c>tfOSc%zB@WLYZN!=nI9}oML>j zf6+AGSC14e%mI{hQMhvaSfkJvJKmCf{Pa-K%3Q@tZ$*Rr^k$;9*@^u8pf1|bc|R;w z8@emWZ6Q(_|HG}%wQvyIQO~O2-JVuS(1CuJpd)$t1H@2Y31VrTzn&=KpxIQ$vPw0a z$~Z$ut2?MT8Q9>_V1SNv;dCU-Q`_q%I#x}0!w)=^iF;8^w}?*A4}JkSPa)Oy#w=du zg00ut@iGe*0TLW#>%CUIbjqzKEneOi_)=7WJ`ztCVZ^*CJqBY>poQ(hLMmnXxN)hW z@9_XqK2+!ys9Pj;x76aEG%HYVDnE}ZrC#2(Zdsj^V1Yu0rwrQ@Pu@W~9{Ip}C|fWz zNG}iPAI1e^t)aWnSRdhu{Fw8rvJ5&K(XhIO>ntS?+Zqf7<`oQ)?QE!EWji_>dJl!= zMQ6yElzLQ95wdD=1}#uBL6ra*XRLW(2vl2Vm2{9o#x+S@vd9d8e-TooGo|Im2tGvA*!(~#kd=)QWqK!xYU4gbzv4$jEG9!$(UWw z{^#vOip;c4i|S+f+Py zRAQQHy!cS6@Ma^(W2#L42BU(%S_yr$yjM~``j{D^N73#`*tClgdJr31=1z00F;KN! zts`*^#u^!aZ<-Vd$LM3)6Ny=kqvw&>;PG%e)k}SyIyA^#tsK4MD|K3Co2Q!ub zlV-z)jhA^g#D^Z#qp9x7CRks<$cLX3;OwbLes@zC&Iv|_L_MLxH9^T6M9@EYkrRwt z7WIT@f|{ljP#t}2H2NrLrkl|J$!2jOdf@G}O-R=NWfM`OxUq+cHlpbAH}!d+c_3wN2zf{N2U*awh-f@1q9gV@OM2|Sw5XnLLP?;}-)!Sfy~GT__`8B==e1-A|UoTt&#MN2HkucR}+Q3ppUjno1mPUtrXK8 zoBkzPuHpiypod<8zV5DXU^~e7l5}%-(8wO}Vs>I)H+$>V>0%GPCHtC^6XCjlP0bSZ zs_Yx%>l@|BuTIo!uxI%EpcP6> zb@rp#$2!R$$)z_Yd6bH;;#x?q06S*L{4vUtCCBMTZ+(O~Q|i;5p+S8>KTDrUaE@+C zfS;H21-L-{CAdiIB>0uCOK^p}`srQpsBcU^d|juV5)@LAB;KT!{q-)?EE#HKbAJRK zf6zZ5Ae$AHj9PzDS~9+F)6Wvzp@0D(-lf3<@O6*&4uBh0n*s-d7*0b5f>?+4Nl=%Z z2LaTh0fSIhpVk9VpTSt{;z4>C!VsUqpf{qvgHbDjz8llkRXcc4FhOSS;L@}q7D5zEm1dd z9-aG<{tf(P^{V;8N4gJiyrh%PG@i}M2MF+`k?L@9N+~c!mV|G-1x+80xUHp9&kiH7 zT31HG;Z%9lmWEy*Gy>6VOXEgH<*!>B*WU68jA)4sACn3R{%r(Yqt=G!g2>jM){aEv z27>fFQkTJ7emhcc2!eW{0&C+890Rl#&K#u*m2I*X%Cx(@iiejEw_Nt&H(GB5AHU~l zI8|+F{%Cy>oO@;VRg-oyn77^-x5IL{(Wj|MJ+#Lwhnr(yJMX0GpWZcafv@q||ZxOgNCxhSqxkIFs^Wky?#z zCCqz{*ZYd@@Hf=Tcb5*SOawR7yIb&kGNdg$XjBohm4hbe0rc4wF7mb_ifeA-erNs>(m6Ay@|&W!V!dg|6!>-hkO@IjW{N(U^)t*8epzHkaZ@2}{iJQe>t;N^ zpf%ILMin`HqBlVioNI5VG7{R4#(bjBfJ+@{yw>VZ9@FrhT=JbvN2kGd4#3i@aK1&p!sz1xXns z?;-*KrcQ@)9ZV-A7(x{@^uBO>@t(q(JK!nGQ!}2oq|k9$KGbj;e1(p?jiCmkp)_#@ zI1HnsGxV--b5-UjVkTC2ggiRd3ycv)3bG_xLh})nHxssRgnZR$eSC&WGr?*kMSY4# z-J_&L#sjDXmwdZK)n@5K^n;nm&DM(g-2)Yvx% zH9pS*pGoq3C_m_cN#$==aY%zu&@sQ{2aZlm<)!>U4<)Y zPdBnLt%sH)LZdQzhP&O_MudUEpbQ;cJ4VqI%WuG-C{9)_j@~L0LA8Pbjx7W)WsS%UFdx;4~`-HJ$*2`(|Ci zb~*T(Q}VSsNACmgshZT4zT~-BKM9w~$ec-KJzpAw0Q@r0sy<_v=wGu1$T$VVr3zW9 zSBFa#zZ3y+PRYRbF4gh(f(&0624F03bv3Te-BN43D>KZYxzGtqWsn%G^QE}Bm2YPx zW)(9|V6@DTivt8Du}lX00RVo>^__6iR1R0YXv;;+t~SMa1==h(GUm!SEH531iC(PG zhoMkeA8Vm{#;8WN+|cbRdb_+*AEht1Xj#U)$mK>*E31j+c*QKo$@y|Ryb2EAO7dO} zu!^Rx*0bRw+OEOZn%M)_==f)Yf42Dp*Vz3qK*aHjyMyiPi}V=ncb_!vH=ixq6`#Y} zb)S3Mb>HgR4c|nq(08tO)Ay)$%lEl<)32&_-7iuz`9*1e`mF`rrTtaaqTR0APrFm~ zJIz$}ly=WQOZ&UpB<-K-!?gPWgEUjXMD0OfHSJ-LqgE93Mk@|}rkO%a+T)Pp+P^h! zXiq|)Yfsa|Dr=^&Q0;lxEA2&Xi}o@+NPAVMy=JP@TYFnKR5R6$(%#jppuMkml4`3TTQfD?$DEoz)lALoS-EB{0lPEjW;uYL zGjr5Onki};vqWV8;*SG0*ECb}3amo&?*Y#;*Xa4otwn3*+G4C`YLUq*wb;h0w8#g3 zl6kbes+n5eVP0)+GVivXG*jEI%%|-vz!mAtx9uq)S6S6|-!lL9cABZZ6RXy~Ibau7 zz5Q&!l`NqBS-_htu!Eas>fq0UI!pkZ%7Q!W06f4#I+`_8M>kfZBUY|s4;I>SJF6MP zSXfLVs}=L5W{TO#YRB9Ke9gjR>uRRhHmpu8CK8*zl+}&B1mqU07gs?u#Z_Vr;<^A1 zVGZM!0&ZfB;w}T;Wf7h3u*i5<77^bJ@C0j|5X>SIx&nTsnGz1MCS8PP>SAF{yA9V& z-BMYzZkqwWXHnfBYo;DHta%S~+@lSP?lBG!e+s9^am|$8<1%ZRXsekL9a*cyj(|z5 zbz(N)Le?hn9N;z9Hpy8tB~@VUlA-~-vi3xPDQrb8;Mu zOCF+`l83V{$twWAVqFK?Yo>u7tlK~^9JqpYAH+1%AXnC7&?kTkS>hn<>!3Ru8o-@w)X3|AkJ#wZNh~!roQ+QHt(j6svoWb#0S~aTW6~2e z)0jc*<1uJ3W+xjr1`WpCXXD4!W)sGbV&li}(oEy`u!$2^vq=+Iu!$3oX{L!++2l!w z*p$ip+2qOhG}Gia?2{>fvT0NQV4r*fLHxv@rA>2W>1hruEe%IBtpl5$HVtqQ%SgkP zrTxNYrn_VBtFceh)4Q?E^dy!!eJ7ih;m9&GkP*npWwSG`u&f#5*z6f=S=P)RY|f{r zS=Oh|*sM%nmYw-Io0EBtWoF*dOquuDyji}QX;v7UKP!VRn01LQoE^v(&7Q(?W}jh; zvwYc-tdBKQ)+Dwx3xb+;h%L+dS2N9FEO$;tMsGL2Ct<2s7 zc!I5(+e9D;Gm-Mm(sXNsz>+Lkw3-I_m$HyGV<6{qc z@{bRu@^J^x^6`hN@(G6~@JR(5_~gR@e9GZ*eCpw!__QCqdD;&nc>0g+`Sc&(^Ngci z_>7~)eCDwc{L^CvJoC6WpLKjJpMCro&-$qfpYziwp8XSq^QYTh$o)A{_vrk~>RmpUq@@KJl`7E*5nRpUl^0RlQ&Q($8SJZK*eO2u{ zLx_Op`}^BBwV{1M_V`;MLH0FhD&8rOZ?L^T-IJfAg6+eoMX-G+WGp$zz9y{(PE((t z(ug4Ys`N}2`4~msSE6|#PH)oyaB2UtSG6Z*?9TcwH^x2Nen;ft3|=}(A8a| z+nEx-XW-X>t9Qn#!-n-yUp*&QpE>dqkAiE_KN3@qdR0N|2KcPj5C!WbmL{>661yU? zE)9XzX#{K=Fr=u|WbGOO$7@?T@nqogacUUtYlQt?D4(1v#%>X)6h+YySZ%!ilr>I( zM4KbQ%|8+tZwzdj#Cl8YS75kPRW-vK1CKNCxf1VX;D1THH(8p1X|@Dmw4w<}csmzl NADaKDiG7ut{|5;jA`K?@NrDme2Im-2W-FpG~{Ql5$o^#LL=bm-%n>CjMvM&eB_>!%h#46FMNo+X_ zps>jRRp>YXg-&Kp)PD-g(%8QeJAVNpc%EBz(G$CN$`;1s#dR$!Y1rzvKR z0v7=&p^BY7jh@T0HD)u9WwXn)WF9y!Q(z{*bvhuy4NA^Z;7<*v%t!5SGBFJA1sJ&V z0$_WAvD?%r8;rlxIRI*y4f^PX;5aKWn?>NbLyH!H;|^6>42~BILH}+s=np6{2lPU^ zrNM+;_2sZcfejKorO2fK|Ild-dN0G*3;IWb|7iYl1$>XXt1B0Ls3BZADS1Rz41dbH33bod(M6FA!Fc>%Lz8Z87D$-!?8hm+D<&OX=({2f> zQS-F`)#+CW0%_zrwD8MXv|wG236EF@Y%4GpOraZ4MC(B~zX3(HHUR6t5k+D2U?VEm zrkR_-@%Kj1t8NCzj7`A40>R+1Xzw{)10e5%;7C6V4u!cL0e1BeXxmD$n4_rmioQRJTCb?vF@V?f zNP{`wqK(vJ;14{GnhHB`oXw-cW6Xt;zXP`GIIurUu_@n|&|H2%y9Ut@XwaZqC(u&O z6KLt&3D5;~J_)*@zcrX~N_|y04d6^W0BHMZV2NkYt-@{rW3DvhM{u~(TMd?-1>>6^ z!5DcC#a?vi9E!cD{dooMYB235_2qg2z?(jnAb_GT0#u=&0JK&ba|yzrv{Jdtz@U|! zXpO|6ft)GgXAA@y$eDhS81#-4b-RMncj>?$Nv!P^l+OJ>jH4zw{eosE{vQate*wGW zFTk4rilS)x@mF*lO=-U=@Em~p{D$I{SHY;T&}(2!q+lEor_j|uQ1sg$AWZ)gger(v*k)jPg+LlU zEJn@(VJoTABQPI%h>{nNz^t%^MJQTL?vF9PDB7+;>=X5MNrS;p)z=#hmi~jUI0||O z(1Z?Zkn&u8-2tG$XXqg71v;4W0+`Rs672I*tmVHYv}>iUYY(ZOQDZy&k11quv?NBM!-T}1A_MqLB*lvkUa|HIQ129)7U?UxYeGH5ZqA+KS zVkjM#U>J3E0T@n&0Mx|=Tscv3<2;OPrRtZegD}u1E5(w!O z;|anil|Z=Z3Esw@z{XWZ(M)<>8ASstgRssEMXw}Q*Be-_7qFAS*j!5X0n<0$AUyFw zQ9B=CnZ78>A{Rf5E1R}x(8ynXos(c8^$Sqor3Q}V<0sU~PXAt=3Hie=O)p}B{a zVB1Tv*sv1XrBZBgZP3EQ(8?QNY!%H7N2jo}&J+}ZB843U20Pol4!2n(zOV+--lN=$ z1|8wf>%#JKJZP)xEtsj4hbLHfI*?x z-X#?u4@I{@->P2Hz7{o1_Jy@Cr3^#0jBmLMScjFcru~IcU;b7OHvjdve0){sil5s( zK45=1)y@8htMLngAvk~A9qz=44;GcF$zR-+3hv@R*Jb#OdxZ7)m8=U*ZyNdt)Ry;n zd)ibRMRyZo>p41N|`#pm8o+u$j*^6AMvVm_b*da zJVKL0FahAx9`P_b`G5zA>(;67+e1mELf#7=HyaG*l67$b;r)Psmkwxjjgnb5ddLI7 zwy`$qsZ~-%%O7HBH%nXd2mZbx;H3@OKjgJ($0H2rrnT%^f$m5)MQEj1XH1RQS1GhSD6vlYtG4~J^t)8?o8Ot{H1@~kX^>Ntq zg8QH(QC18Bamf>&h9GO>8`MIX4Y@t#l|a~V1!5LHOE__SxVAKFq3JPxVESnQ4#(!F zJjuz!h-4L6IaZ0CLE+n{d^-ISELZFw-j?~u>3dPpKiFg+D(EBp$oCm{0j^}-`UG7i zJyV6pennUEm5P{+l)kj&C3^IwS1pz}tR&h0E)8kh>NK~=*rwea! zK7RU_C%`Ri`ik#jRq4?y9xSR#8FPU@Zt^M zWmb1JG9^ba^_8dp;eXshv9EbHWxV5Gn1RKmK?s#__`OTZr-Q(lIL?CT!bifJj=tqq z>YIpz|L8w%CtI*5KQ6|id>I#4Sq&N`#D|Eg;!WXC+!V2NONanUGesy1r5qD@6H_?S zK~pqE{4~QBY<@PPE^A2GL~q(N*(^unZGK4?Nor+(~rTP9(8*D;}HPd3%JFvv$4Sw=iEgYh06aRM(z|_L4=9L#XZnx z?pnOu=`%^su{KmalWzrVyq|{%6}eztB1f@Ul5#vmEWNEz(srSTh@+7nC7+2E@p;w* ze7h=wuU1814wZmqOKhmb?n~^H#I{ueR>KorthUxyT_6rn^CeFa$<}Ip{+Fll6YH$d z2n|IL5H}igp8L^xA7M+~D~mc}y|p~uiW0u`S!EH2FUUSPkw=0~@u6g2;Rt-sMC>ly z3}>X7u#B3H*5JSF6@eVojZMJO$HsILYauS|AR65Hop7N_-XgccS|g6FGfuMg>`S(e zN3gZ9YU}Bcw^+b7zaJ)WDT1`YM>qjjdUy12QBDrFk=SAl&~w;g^`L+nW{}uy9j&$R zG+)sKsjfcRsQjz1XvRL4M@qNzG5r)~dgax_(6>s15G=M9*GG;FP8M{1ET)KINEY%|W+$J8u95ZkWL;Hv-; z!gk8V@}?<4m=JKOq(|2=O&V1NDrcweGPwQF%KS=B(W$)UKovvp#-bwS zz_?RE7`EyZjXr|q_J1c#st_tFf}m`qIuxhWp-{qnl4gdAPU5uHRc@F+{TnK35FlrY#yZ0l(=kDW4)vJ>Wg@K=ivCjcHqhy^w$j9NhB zBe6Hz>%cVssDCvCe0u`3nYssVerD&Z;A$=6{x4 z>S6oNQfxgj5nz8kD3i0Ylm6_SK3T^iMKy5_^1{x*+23%LJ8~33;d@i9`snVQbu#V! zkwbl*qa|OJEX6rFpkh;Yw2A46LS=lyldvN{wZn-xNB`DGpXX>y1N3=LPb{P%CUah{ zteT9={|fT?GMji_`C*uZ3&#NDS)nG8&~hG)#D@}dq~(o7hQw-9(sE4=`4FwRV749m!v>60MC0ZeCfTwUDTd>K@jxUhEU;5-C{%yaakJ_f7%)&YU8HBwGnzR ziV@zxRXm~O_AT>}y zcaha&m-rcWkw0UX%`|q&%w`wNeQ0QO6Uf|UY8Vfhy8xNHEVm|<{)rd0*v~Q|iv*B9 zRk1MqEER3A_}MyAZ^Ns(%${yH6(hlx(hPh1vu?oB91UENa;W!~>~W|;*xL?kxuCi5 z5m&5mi((Mh{rmbEyQ#X)KGV~5Ook^ z9<5nozEr$ftop86yJ*#SmHM}Y>bpwkT4GPHQL;R!M-zmNwPm@okt>SSC`Px!wqDm- zP3_Hfib$8MAPwzxy=S>U!cPotEvz7@w15h(dfJ;V2uf897zD&##~v@x2Ct+<0Naa0b)$&6^ z_B(!D*18nPW8+V%?*h4*q2RyS4wERL*2$=Si;_oRhgHW%l7%Y>SkFX&q8Xr6bZPNDV-X~|VgcHY11K(KW9sELIb1JJKCCjW=DK*h5z>@31TP=@REGbE4xu#Q#dk%o2= ztx@u27Yyok9n3POD`xqB9Wl#oT}53g>I6(Kt@snUp!fUpCw-WT{rQuMQnA8!bTF(| zcwjd%4utoC#H(&%6$mT3ga7V(A*Z@MU}Nv<5c{rHtb2N3Tkg`E9vID|RIFfDPn_Zh z6n_*mDc?(Y0Ec~6d*%%M<^WNZCiKF(7E0I1k8VW6IzH$H9abn)2|m=QH;zJ~j&GG$ zSO`tzIt8a@X>T-FNGYEcpCC~Ptpzc!M*sE}war3TBUdxF>Z2HY_knRNq__kG?#i7m zq@sE_-%1JNI;@XqC@aAR!S9yXDeX}d(x@~z;)O&KJftH4;*qry)f^=}iT8yIStLz+ zv2Rv{L!ms8B7J_d`@)6-?~5HQ(&k;JM@&{EgMoP_%wAD`U_UXOxjukrTPXfw4^3D0 z$ZQ1m&Hcqq+_55L4WKOpuo6$pEZ^uZfFRMJ6dm*lB9z`X`T=e(c`&So%xa&45avwtwo*9*$sUG`vs@P5}`klzpy!(M9L zb>t|#v-v|{XkM1FtuIwPryPWrdLJte6;|`5%s9Yy`cSK(!dZSUr;WqSsvMOwhGKR9 zrL<}APJWj7f7+TN@~|bpVUURbbRyMnp|I!pSh5JqpEC@L@`^SLgT8*P#Y`n`Uuzwv zvOBM}EUUR^WJ_oMwN3({>H6X|JailjY{P5maRk!%VWK=)Mqoy7bR#;O^+qb8K=H=v zO~=xN2jz?qjZO9zyS=voBx*)BTogt(UrYaGG8Wi-q;QbsDaA8Fu9>cEr=QU>7&JAR{aGV#9s0f>|g!}T^(kx#69-frU`pvbA-Jux01cH z9mCQ5hvFr!MwNd1DHn5^GFDUuLAmIA#-b~syUHDIN?du&d!|CLg!XTW4hUx<5S^sR z407r?5h6?s1u9gkJ8(Lu4yuW%RSq|5{ZC<{{B83-x~llv{g3LDsS^cPIu z24M+Z+L|`xH&J+jM>!U#RE~N~6yc_gtMLiB&VY6Rwm=K=FB2ii_&gwWO%Uqn;6i$5 z&*zHrXxv(ABOT=M$4r6~BTCN`rX=X(BoWWb$+bkXZ4z9SaynM{v4Lqvsgp%jW~)VU z7DTCL%GZ)hun^CO~X7KN>922@bKv(LO5cMD!`gE z9jd@lrKscyu?LQW6-aAG2s^T*qqdVgVXXj=Jk$er(&;5Nr|;5{`E!;j2XB(w51eIS zet4WRPy52yCTG3eO2+XzC__Z!aRIxJ?I{|G@Z)|45_>K>Vp98sLO&P)CHu}YQvl~1 zvX3!Hxyu`6IsnBv_M-DMAeC0>xC8@Wpm4t*;|MgK2_t3I9;!Uu!is=NWuE^YC0y|} zUFMhrIWn;YGeup~Ds`u%nA}=&x~=&`W{H6;O|a%T3}12^n*?s!Oyt7mATL+00k4JXTV{S!A}T zAu7XXRwuWzjB09d#K+3VRtgO1G<&+L*+$Dty{fXj=0dU9#?#me^zcHFE^jp!A$an& zy3?%z$cs*21Qp>Ytz$6rmxj@ouBQpR2p(Yd%(p-Ox&7Jw_80a$f4A2#3|{#i{`W9! zfchBKxUZ4K{ftT6-`KBL-^)2JM{%R_VFF4rgy>vKg_Q3D<+EJFkxl*O-?z!&Vi z1UnKe^9Hd1@&FTBsYJbUHAeyq(Rm2iqw3UD-aewdCEZ&pf@t~@v5EFB6W1`@#mhw( zyac-=K_JDh00^Qy32M+o34*Cb9zY1K1E3G`giF3jViJ$PZ}_sm5w$)sqS$kz73W50 zUf$@>D;XbhZ(}CAZ_MS@j9++l7S01%7H-1|cn#i=2lHGW!te2#`G;0Pt>7gYG9%t< z_$(}MjhF$-%r&APz@Ka2N{3OukFZv?Wm=;is|}8d+3VqPE4t$bta5~mL7>F3%D|PIE0+=Yx^?L0_69M; zjF1kp3JBJ%5iRJ*T3Cuh8^vfag>8aruA@!lhE1Z1Sw{vza;x$-=&l{fWiw)wI&@yT z-~KyAOW@Zxi|Jr+romf8A5qsjQC9KO5)irx6&HMh|J=7?mv(Oi+jmv*ZVv>kN^z^>+>n#w0yiZVX|5ns%u}%EO>QlgWF;g@^G%LLZ)C3I|Br0Y5rj4r1{RF&RrRvrL8BAy3%0X8a2lUSn1V>ZGXNZiV1w;rvX18+Ua-#?_h%_oKN4xu0PoK`s_Lrzbuw50YJwQrzrwW0|!)fofU^IxIeHab(<_G^s14dEq6Fo4RC z7EORIX;YjjK;v{^Kek^r-G=MmRSS)u3;L#-NrBLHbEYsMzudszJ4&p*5Sw4i3mxiuDzdDG7e=@lrf>@?X zhb#;LQXC8biS(nhK5)q%AA+K`n+)%$&S7YYRGM-a8Y`8KorgfF4NfJeBUpoO(v^%6 z-Qh*55b^90^wM3Yc#s4$>Fp87e0O;Vf!otZ$RhLZGU5vcO#o4TzLs&pKwnJnsiTuc!25o4&7`pe@G!ue7_YM6XJ%)d${ z%K!*eh)OU3^8P+~QuKCxhf_MAPJM^V)RoqVVk5g!-!2aP9+J4Kl)6e&uY50t;r>x6 zhSho-vVTBuyjt(GltbK8B7rGWC`mhTzE+dx32_qO_6f0#t)c9bq9Z`TNtob^`F^Lw zU_bM!(*L($>#iydlYj0W+B6GF$trK>MIbvMaoOQMnz*f8nf&AT#T15|kV@5UC=xb# zZy@A%Wq=q!%O1ec+$$}s1RV6L)T9u?bWf|t1%)DsVjh6I&ohB*(+5x`evcrLQ4irw z{Uw=dlJ65-@2q?XU*ewRoc;uy-e`nsJ_6Hy$+VJwDHH*+cXE6Pp4cK-y;BmK`VzD^ z50N>#R)ht4K#z*VH2UjNNtZrFz>YpfuvsXJLaD(+^k#j66yqFOq;T{2vi79z$iS zCk_tJagiJL7*ltChM7)%S~9@hPfOP1_5{;|@}I#=ds3!WA_e_ZGS2S*;6CG<=b{b$ z{Ew(b&QEba*Yt(xL0z5~uTC})dip{P!sG4tLwjB_G+Qe84`a`N2?=;Ejdz3`YiC;b zqGUK1C5H8~p)>!&herzPKaok@UX~1D@k^-AKmQYT=r1%P2V+kiUWoxn48 zuaFKXxiE4(oLo(36-x;kCsP2q1~up?h%$#F&IjGPU^TyMJjMm*-myV7eG zCw$;W#*ae(z)5>2)i_eCH&Ef7%<}utcz}42CZjuF+QQ9x zD29uMcP>zBCKE{Q%Ih$Rsn7+fDIsmK4~-WlP91F%W?N($o(r=HG6fAx09%@5njatm z05z=q4M*VWp`r>UY;DZ)NE0AIFYie0w9BO?0R>&DWXcx#dZ02Lt$_^40r`Z$B9j;L zUDZ9T2)?T7FOPCqA4_qH2RHS=-)B8W>Oz$?QmIw+CIe@g%`%xCUOCJRF+FKrBh!|O z%9%d6>T$Nkd>p6;06E#3uJnzqSwlGERfD><5O$a#eo!$7`?^Qoj5z0yu``pIaD}N* z@33xL%n(*yuewU})v`COAgB=T{RK*StfzXu23Gk@?Q?rGj=A9q*qfXkOgDt2%Inbi zK18GP^;&tEQo)OgNW4&5?OK%^6 zwiV|qaq;eAw!rTca0wsCyz|hX+8 zC9^WhkU7K#%4{S)aC$=VYOVCy4M^||{AiG;84tS30qA9KNHZH?o}+JK*-`3GcEpZ)c|n0RA4 z=8NMOBUMMR1R3Zd!4D?_!G?T1t=^)n_k+fZk!i8w+j11jG+IS}sLfbP^v4#)(IS5{ zN;I(s(vnNUo#W>e1^(Fdc=8J{Yl)`T%Ek1i)IY$C70s-U6o3-C5MX++=5$XIKY&cl z{7rb$^$4t73+h${Ew`jK611Xg60|0tssIVpOM*7EzN#tRYn>L9C)2YU289>0QdO%P zCOq)2gDqDlBT;)fqg$I6`k@-$xwX~C?qxO8RkVec2nfWf3aM^Jvm}||Exxo)lG(0C zAi-ZQzPCz}u6c2*N%9WBpW*_|5qN_LOXf=%iI_k;%4v-zWY6-I;&QF{@sd%#MCcS` zx=QLksm{G=UXU3hKfhPny}WzfvYMxzD>9e*EkF|a)-XdvBFu;~3WIBy<>CLs$`p?^ zS!PXr%#i)g|537?%)`rVoIEE*EDORa`8+0+O^D{tb^3tcuQBy?17)WsAF9<)a)%f zmDr%op=N#28P|eZ20PP}P{?2xiU>2;;g?U?(e{XXs@66;s1Qfl1geb_(1ZT3w%J(p zDru-*IA+qD28Bb&`p~v;Gg73LKJZJ^?oG7_2vC~7)KH5IIsU;OoVH~H^i@ygx=?|@r3y@{3%fW#$5ir)#p-_Ue_l2W zkU6?wF#u~NHxEc3EouX_X;j`vSUuAPjUa{KOFimAXa-1g6k-l=HCB~*9XCKf@KO6R zKzc+WXl`7w@PW|OtU`k#&2apLXJsTNIGBEwUasKj-o ze$S!g=2k<56+3tm;17}K5M$%A~mCz})F;Pa`7eqRji=Qy1xQIDjMxhZ*22)cR_ zr3NrgU#F;-I^)!grI^a@w(35P#+nvP<#epsp1z32u}yD=o3bWxW?wd5FRZe54$Vz( z{1&Sq4i;vDrjKY2#^k23<`GTcec(nydU@lubUkWfCX;uZS(W<6oBe20oLQ5K;?44O zGY%bfY-*0A^^&E0Gq|5q^nF7{Gjka5_s=C%r&D#OQ%gIY#-{47rpOZbq< zvl@N+f!Uw#H!JBXs5!dY(83%|e>F!}eOj9P@w2a=0-)4qQtejIDYIx~D>G2chL|8u z@N&a;|IfRU+3;oLdb_yKD=P)~g+VJQ$JvNHl>MHq{RkK&Up8Bsix8Ae&}Qhj)@C%H zQ%+0xZ24xQK3<38>bY1F=Fo-&_&0N<3G>ZsV^$XPplT7&cvB;M;itFo*8M5Bo@qfz zknV7>RN0qk#?Y+6{8Ojl#C)<5&|lCbG>bVf_rl7u82+pLmza_LD>@mVEj6!l)o zGg^(!hNHU*kIz@PgT7u!g%T{HCdmMcX_*8$bVq_*YLo)7gchcl1^Auhi1zqeA${31 zjPe(Dz+pvBS8uydnm0dJoY^l z+tsYX_Uf;_%76c;t67unr;}ZwDD%l9)$GN-qVcH^vvH|#uCJt;O~e5_E_?eYRy8&N zw)$Y6a-$DYk8bGb5aPjLbAgS}(!RbVGtGifXvmH-uSvkdnC9)k0kLXHR}UVKqSE}`Wt}q z`rybXr1KZ{`p5y;vj+6}0P`1k>M(Ntv~!^OJ#gH& z*8)(t$zKmL%gg(Q;(YtPLD0VV+%CO;FKRd#Jw?+(32;FmK@8O!0uW1CL(JqnKX~TT zyP6ixm-h^fhgSw1Y z%GiE53`V?k5Q>w?@mi1fAC4e4Uf;v0Tozj&9uLQfYy%I zjWDCgd$@GIR=_!aGs0|ua`ngrL5VYP3=r{vESx=36)HPaTqtwx@`fFLy}#}uU=$2& zbLutCks@>NxBzjfIJu0fkhYM&eYKlp`r%9c zkf^vBBvtLH=Oi@KrKFkcNjRPhCLnm)JJAfJXOm1f6uxi5m0nH2iuU~uZvF5_0uLG{ zLkV@2L5%vDS2Z}#KTkH>z!eUdVm3$8f8Z2&bUl#-K|W@RIhpm;W(mI!vZbV{khz}H zHsRGW-W7?S(w59aQ!4vlKh126Vz}16j%8%ECykkA&VoZ7BvTpHSTFLLZqA~+Q}MGZ z`4XcyeLo%cvkx{v#W4=)5a&MH9aeWAee~%_u8a)FkaR@I_;sOleAMM!pS%q0Lmvvt zK!zes-Q}xR)98Yv^p$rJfdEryK*{!_Qxf#2iZh{W2WUS`KV%x9oHhM~WdNO!RAmz+#K+*&~ke8gOH0^H*=MI%az?#_iWA6fDh zJr7D|lvZ2*%i)xblATnbbMwqNII>l>{MO8bA28ZlQ8%8D2^BboZY==cx=gbbd|OrL zHRzO;i3E0X7C6RHP8QzUPLStBc{3-<9EjLeu)dAVWvq3M5@8n3(Z6}U2nqlvMW8kWV90(VH48J7NwUI zg)YWYr7glz&0GxCG^5N0%+Q_r=3oP6{?7)?EZKn7IhfxpJ=cdh*Z{bOa?=uX(dsWb zXmtZHcy~8*5v|TE>9o}n6yL~2@kdL{o^YP3NnPnr-b>BX@R)SUOe*YbX$}JM>%L<3 z*>;)vIa`P|YA z2uo#f7;N&TxUQAYVkA~9Tb$6)GA$2>2&u{t6rupN35=P!g&?y z%`jNQ+UShem_*#?MZ>@=cHuzUAzu#Kh<9$UeKff>7E>dJ{ zH-7OuW?c9C%ed}e-MHc3)wt=OZ4?AlF|G$h8J2)J<95J0z`e%r_%ZPxReBqLR{6@X zR5@eZt(s-rt2W8_tNIY*eqevY5;)QLJE)rRpoW7{SmU+vF!;G)39%SOAt#K-HE$YE zYP~R?hIty6uv*5mu>Xwb;jYGujEEY>%Q~$MOPwCZtGcxeOWio*bv-xZO}*2`+sI0W zC9C7c=Cg5^rZDJUfCT`5N$pOG~tbF_;=GOEBR=(+nhNbCT=F#+1R;g(|@YBq* z*{_DB*fmgRzK-yz1xiw%qIf{weiU~yf>0cNr$T}}Z0%;LM2Gb~*lSkta;3`<7WRMxEP z0uXXo^RDLsud@$QoefK>8*7mo57?2lOq~U|g0)IL4tRyN?q(X6ZWUQVw+{fjvNqkY zwB44o#BM8DV)vD-ZTE{TsrwbywuixzdRAcVdL3ZNy^a9hXGy&)u*BYREIBQSC8iBD zGAwDsSW4O|!;-d(weM$VSo(Rf4*k$zzg4Vbe`Z+vyR%OHrvc`$&i%2k{qM3a10a|K zd|1~3rvR_9)PeSfWuOo1HZTCN9_v1EG~jI3W8h)H3#`{5C&MzxpYIF`V4}g z4%)=h26w~$4<5n#4qgNJ8S6Lr8sH<=f5<0>Wym*d!0=eZGCYM18V|t|eIsl)E_|n>+ItHgDEAHh0!KHg9$pmO1A~ zHgC>zHfOFs%bJTnhBo&+n?3igVVV1YEtuzTSmuSX?0GZU!g-h3qRb$+ICBci$vn$) zv;5hTtg(hAYZ6ur=GXa1P*7wt3+#z&mW)B1rk7c(#2J z^uVHtZ090$y66bowdfzive=e=y0{}?U-sGJWq>Q$?!{*Tud+Qk)!E*hQP|gTm&rOt+#dH3EMEsZD)9! zZPs@^4H^1;<2Lk!m-EpNn%1>(}JRm6yz)!{cR>7zGv888#ofDm| zV%HwY5>)iauUpkFM*ac9F*WQ`34gSvHvL<}t|pz9SchO>Q}I@T!h`Lq(5_%R^+#T6 z*o9KL5a2$tRw(t6xG%-mv;XMHGwyhcq%oiiS|xOLb@)jS_^mvxrU+A zbcwIk`2R_Kv&JJrf$!9Kw#0X7{7;GFH|k-sVYw=I!tH`-RT!ve=~g&ed@AvC8gE-0 zvrs?t{VB|@Dt#h9u{7lT*e)C^7s15f6POrhYm2ARJxRtc%Z0bx<%@V)*r5ZMrG3&UJw`st0V3#Fj}6 zzov5}Rjm-8NI9uqT1EoLkK*OzHUigAfEC*-`T1ca>UXMdS5v*)U0B~PiPS?}#bIdx zjv4jA(NAJ{WLw