From eea758ca4c8b999b102636aec395c08c670adef3 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Tue, 16 Feb 2021 14:22:02 +0500 Subject: [PATCH] fusesoc Black-Box added --- ...f4$.class => $1b1fd4333cba49d51a95$.class} | Bin 3252 -> 3252 bytes ...18f4.cache => $1b1fd4333cba49d51a95.cache} | 0 ...679f.class => $1b1fd4333cba49d51a95.class} | Bin 647 -> 647 bytes ...84$.class => $23656f1bb53449bbc075$.class} | Bin 2362 -> 2369 bytes ...7f61.cache => $23656f1bb53449bbc075.cache} | 0 ...c318.class => $23656f1bb53449bbc075.class} | Bin 647 -> 647 bytes .../$3ac04f4b0d07ae90efe5.class | Bin 647 -> 0 bytes ...61$.class => $5062e2f82ee6ba32d823$.class} | Bin 2360 -> 2362 bytes ...679f.cache => $5062e2f82ee6ba32d823.cache} | 0 ...18f4.class => $5062e2f82ee6ba32d823.class} | Bin 647 -> 647 bytes ...3a$.class => $64ccd5b1b46d1506a13c$.class} | Bin 2975 -> 2977 bytes ...b93a.cache => $64ccd5b1b46d1506a13c.cache} | 0 ...d430.class => $64ccd5b1b46d1506a13c.class} | Bin 647 -> 647 bytes ...9f$.class => $6f6796a05610000bdb36$.class} | Bin 4860 -> 4860 bytes ...efe5.cache => $6f6796a05610000bdb36.cache} | 0 ...7f61.class => $6f6796a05610000bdb36.class} | Bin 647 -> 647 bytes ...30$.class => $7b28455cc36758b9e814$.class} | Bin 2977 -> 2975 bytes ...0584.cache => $7b28455cc36758b9e814.cache} | 0 ...b93a.class => $7b28455cc36758b9e814.class} | Bin 647 -> 647 bytes ...e5$.class => $7c956132479ff242fd09$.class} | Bin 1935 -> 1935 bytes ...c318.cache => $7c956132479ff242fd09.cache} | 0 .../$7c956132479ff242fd09.class | Bin 0 -> 647 bytes ...da$.class => $a0f727f48374c796721d$.class} | Bin 3421 -> 3421 bytes ...3bda.cache => $a0f727f48374c796721d.cache} | 0 ...3bda.class => $a0f727f48374c796721d.class} | Bin 1323 -> 1323 bytes .../$acf1acfc4e68f46e0584.class | Bin 647 -> 0 bytes ...18$.class => $d3a0d77c5dc19d43863d$.class} | Bin 2763 -> 2763 bytes ...d430.cache => $d3a0d77c5dc19d43863d.cache} | 0 .../$d3a0d77c5dc19d43863d.class | Bin 0 -> 647 bytes ...b8$.class => $ef941245d71c415f0d40$.class} | Bin 2369 -> 2360 bytes ...15b8.cache => $ef941245d71c415f0d40.cache} | 0 .../$ef941245d71c415f0d40.class | Bin 0 -> 647 bytes .../$ef9cc2bd073163b715b8.class | Bin 647 -> 0 bytes design/src/main/resources/vsrc/axi2wb.v | 410 ++++++++ design/src/main/resources/vsrc/dpram64.v | 66 ++ design/src/main/resources/vsrc/fifo4.v | 126 +++ design/src/main/resources/vsrc/raminfr.v | 111 +++ .../src/main/resources/vsrc/simple_spi_top.v | 335 +++++++ .../src/main/resources/vsrc/swervolf_syscon.v | 254 +++++ design/src/main/resources/vsrc/uart_defines.v | 233 +++++ .../src/main/resources/vsrc/uart_receiver.v | 475 ++++++++++ design/src/main/resources/vsrc/uart_regs.v | 888 ++++++++++++++++++ design/src/main/resources/vsrc/uart_rfifo.v | 316 +++++++ .../src/main/resources/vsrc/uart_sync_flops.v | 117 +++ design/src/main/resources/vsrc/uart_tfifo.v | 239 +++++ design/src/main/resources/vsrc/uart_top.v | 261 +++++ .../main/resources/vsrc/uart_transmitter.v | 354 +++++++ design/src/main/resources/vsrc/uart_wb.v | 258 +++++ .../src/main/resources/vsrc/wb_mem_wrapper.v | 72 ++ .../target/scala-2.12/classes/lsu/lsu.class | Bin 754567 -> 754565 bytes .../scala-2.12/classes/lsu/lsu_dccm_ctl.class | Bin 389688 -> 391027 bytes .../scala-2.12/classes/lsu/lsu_ecc.class | Bin 101393 -> 101392 bytes .../classes/lsu/lsu_lsc_ctl$$anon$1.class | Bin 8962 -> 9095 bytes .../scala-2.12/classes/lsu/lsu_lsc_ctl.class | Bin 289117 -> 289191 bytes .../scala-2.12/classes/lsu/lsu_stbuf.class | Bin 194201 -> 194201 bytes .../target/scala-2.12/classes/vsrc/axi2wb.v | 410 ++++++++ .../target/scala-2.12/classes/vsrc/dpram64.v | 66 ++ design/target/scala-2.12/classes/vsrc/fifo4.v | 126 +++ .../target/scala-2.12/classes/vsrc/raminfr.v | 111 +++ .../scala-2.12/classes/vsrc/simple_spi_top.v | 335 +++++++ .../scala-2.12/classes/vsrc/swervolf_syscon.v | 254 +++++ .../scala-2.12/classes/vsrc/uart_defines.v | 233 +++++ .../scala-2.12/classes/vsrc/uart_receiver.v | 475 ++++++++++ .../scala-2.12/classes/vsrc/uart_regs.v | 888 ++++++++++++++++++ .../scala-2.12/classes/vsrc/uart_rfifo.v | 316 +++++++ .../scala-2.12/classes/vsrc/uart_sync_flops.v | 117 +++ .../scala-2.12/classes/vsrc/uart_tfifo.v | 239 +++++ .../target/scala-2.12/classes/vsrc/uart_top.v | 261 +++++ .../classes/vsrc/uart_transmitter.v | 354 +++++++ .../target/scala-2.12/classes/vsrc/uart_wb.v | 258 +++++ .../scala-2.12/classes/vsrc/wb_mem_wrapper.v | 72 ++ .../target/scala-2.12/quasar_2.12-3.3.0.jar | Bin 1737462 -> 1785631 bytes .../_global/inputFileStamps/previous | 2 +- .../streams/update_cache_2.12/output_dsp | 2 +- .../_global/compileSourceFileInputs/previous | 2 +- .../compile/compile/_global/streams/out | 2 +- .../_global/streams/inc_compile_2.12.zip | Bin 316491 -> 316752 bytes .../compileIncremental/_global/streams/out | 71 +- .../_global/streams/copy-resources | 2 +- .../compile/copyResources/_global/streams/out | 16 + .../compile/packageBin/_global/streams/inputs | 2 +- .../compile/packageBin/_global/streams/out | 32 + 82 files changed, 9089 insertions(+), 72 deletions(-) rename design/project/target/config-classes/{$132fcdaeebe54a5618f4$.class => $1b1fd4333cba49d51a95$.class} (88%) rename design/project/target/config-classes/{$132fcdaeebe54a5618f4.cache => $1b1fd4333cba49d51a95.cache} (100%) rename design/project/target/config-classes/{$3570438623d89c93679f.class => $1b1fd4333cba49d51a95.class} (54%) rename design/project/target/config-classes/{$acf1acfc4e68f46e0584$.class => $23656f1bb53449bbc075$.class} (89%) rename design/project/target/config-classes/{$2abeeffb9a46e2967f61.cache => $23656f1bb53449bbc075.cache} (100%) rename design/project/target/config-classes/{$b6c0147d7e36d799c318.class => $23656f1bb53449bbc075.class} (55%) delete mode 100644 design/project/target/config-classes/$3ac04f4b0d07ae90efe5.class rename design/project/target/config-classes/{$2abeeffb9a46e2967f61$.class => $5062e2f82ee6ba32d823$.class} (89%) rename design/project/target/config-classes/{$3570438623d89c93679f.cache => $5062e2f82ee6ba32d823.cache} (100%) rename design/project/target/config-classes/{$132fcdaeebe54a5618f4.class => $5062e2f82ee6ba32d823.class} (54%) rename design/project/target/config-classes/{$35de7043c44ab2e6b93a$.class => $64ccd5b1b46d1506a13c$.class} (80%) rename design/project/target/config-classes/{$35de7043c44ab2e6b93a.cache => $64ccd5b1b46d1506a13c.cache} (100%) rename design/project/target/config-classes/{$d40d7ad243ebf8afd430.class => $64ccd5b1b46d1506a13c.class} (55%) rename design/project/target/config-classes/{$3570438623d89c93679f$.class => $6f6796a05610000bdb36$.class} (88%) rename design/project/target/config-classes/{$3ac04f4b0d07ae90efe5.cache => $6f6796a05610000bdb36.cache} (100%) rename design/project/target/config-classes/{$2abeeffb9a46e2967f61.class => $6f6796a05610000bdb36.class} (55%) rename design/project/target/config-classes/{$d40d7ad243ebf8afd430$.class => $7b28455cc36758b9e814$.class} (88%) rename design/project/target/config-classes/{$acf1acfc4e68f46e0584.cache => $7b28455cc36758b9e814.cache} (100%) rename design/project/target/config-classes/{$35de7043c44ab2e6b93a.class => $7b28455cc36758b9e814.class} (54%) rename design/project/target/config-classes/{$3ac04f4b0d07ae90efe5$.class => $7c956132479ff242fd09$.class} (83%) rename design/project/target/config-classes/{$b6c0147d7e36d799c318.cache => $7c956132479ff242fd09.cache} (100%) create mode 100644 design/project/target/config-classes/$7c956132479ff242fd09.class rename design/project/target/config-classes/{$97516b4905ca2cf13bda$.class => $a0f727f48374c796721d$.class} (82%) rename design/project/target/config-classes/{$97516b4905ca2cf13bda.cache => $a0f727f48374c796721d.cache} (100%) rename design/project/target/config-classes/{$97516b4905ca2cf13bda.class => $a0f727f48374c796721d.class} (73%) delete mode 100644 design/project/target/config-classes/$acf1acfc4e68f46e0584.class rename design/project/target/config-classes/{$b6c0147d7e36d799c318$.class => $d3a0d77c5dc19d43863d$.class} (89%) rename design/project/target/config-classes/{$d40d7ad243ebf8afd430.cache => $d3a0d77c5dc19d43863d.cache} (100%) create mode 100644 design/project/target/config-classes/$d3a0d77c5dc19d43863d.class rename design/project/target/config-classes/{$ef9cc2bd073163b715b8$.class => $ef941245d71c415f0d40$.class} (81%) rename design/project/target/config-classes/{$ef9cc2bd073163b715b8.cache => $ef941245d71c415f0d40.cache} (100%) create mode 100644 design/project/target/config-classes/$ef941245d71c415f0d40.class delete mode 100644 design/project/target/config-classes/$ef9cc2bd073163b715b8.class create mode 100644 design/src/main/resources/vsrc/axi2wb.v create mode 100644 design/src/main/resources/vsrc/dpram64.v create mode 100644 design/src/main/resources/vsrc/fifo4.v create mode 100644 design/src/main/resources/vsrc/raminfr.v create mode 100644 design/src/main/resources/vsrc/simple_spi_top.v create mode 100644 design/src/main/resources/vsrc/swervolf_syscon.v create mode 100644 design/src/main/resources/vsrc/uart_defines.v create mode 100644 design/src/main/resources/vsrc/uart_receiver.v create mode 100644 design/src/main/resources/vsrc/uart_regs.v create mode 100644 design/src/main/resources/vsrc/uart_rfifo.v create mode 100644 design/src/main/resources/vsrc/uart_sync_flops.v create mode 100644 design/src/main/resources/vsrc/uart_tfifo.v create mode 100644 design/src/main/resources/vsrc/uart_top.v create mode 100644 design/src/main/resources/vsrc/uart_transmitter.v create mode 100644 design/src/main/resources/vsrc/uart_wb.v create mode 100644 design/src/main/resources/vsrc/wb_mem_wrapper.v create mode 100644 design/target/scala-2.12/classes/vsrc/axi2wb.v create mode 100644 design/target/scala-2.12/classes/vsrc/dpram64.v create mode 100644 design/target/scala-2.12/classes/vsrc/fifo4.v create mode 100644 design/target/scala-2.12/classes/vsrc/raminfr.v create mode 100644 design/target/scala-2.12/classes/vsrc/simple_spi_top.v create mode 100644 design/target/scala-2.12/classes/vsrc/swervolf_syscon.v create mode 100644 design/target/scala-2.12/classes/vsrc/uart_defines.v create mode 100644 design/target/scala-2.12/classes/vsrc/uart_receiver.v create mode 100644 design/target/scala-2.12/classes/vsrc/uart_regs.v create mode 100644 design/target/scala-2.12/classes/vsrc/uart_rfifo.v create mode 100644 design/target/scala-2.12/classes/vsrc/uart_sync_flops.v create mode 100644 design/target/scala-2.12/classes/vsrc/uart_tfifo.v create mode 100644 design/target/scala-2.12/classes/vsrc/uart_top.v create mode 100644 design/target/scala-2.12/classes/vsrc/uart_transmitter.v create mode 100644 design/target/scala-2.12/classes/vsrc/uart_wb.v create mode 100644 design/target/scala-2.12/classes/vsrc/wb_mem_wrapper.v diff --git a/design/project/target/config-classes/$132fcdaeebe54a5618f4$.class b/design/project/target/config-classes/$1b1fd4333cba49d51a95$.class similarity index 88% rename from design/project/target/config-classes/$132fcdaeebe54a5618f4$.class rename to design/project/target/config-classes/$1b1fd4333cba49d51a95$.class index 033dfe2141ed449da7193724b4c6e25c90f3f639..6cba426e47417bbf4fdb2567c0c7b74df8199960 100644 GIT binary patch delta 100 zcmdlYxkXax)W2Q(7#J8#7&b66h^ZJR8K$L}7#kZWCncI#rkENgTAFTDI?pJKMSL?0 llQ}1&@?;xsc_10XoyDolz{8-zAjP0M`8>BCQ0yP~HUO}(8uJSP;kc_10XoyDojz{8-%AjP0Q`8>BCQ0yP~HUQ$+8_@s& diff --git a/design/project/target/config-classes/$132fcdaeebe54a5618f4.cache b/design/project/target/config-classes/$1b1fd4333cba49d51a95.cache similarity index 100% rename from design/project/target/config-classes/$132fcdaeebe54a5618f4.cache rename to design/project/target/config-classes/$1b1fd4333cba49d51a95.cache diff --git a/design/project/target/config-classes/$3570438623d89c93679f.class b/design/project/target/config-classes/$1b1fd4333cba49d51a95.class similarity index 54% rename from design/project/target/config-classes/$3570438623d89c93679f.class rename to design/project/target/config-classes/$1b1fd4333cba49d51a95.class index d10726a53867352dd6fd8fdfd03accf7fbf43c9c..a2753ee5827ca4816ed02521a59d67e2bc9ae64c 100644 GIT binary patch delta 161 zcmZo?ZD&5cc1_lNb2609PQ5C}^!?Y9=V`Jmwq(l?T6jQ@QOVf!;i^V0AlOq<&nd4_R`==w`f=3|^=jm5cc1_lNb2609PQ59oTa|07&3o|3*6bs8_OJg&0%e09~i^ZkP@*R~- z*!g9Q(*<2bG9qP7a$~(xd?((K7EcegVqy1_vds0Aa^dxtO)2&@DpBV*nasyH#~Pb) dD(np0j126){w|?Dt}2WS55dfQhCqV!J diff --git a/design/project/target/config-classes/$acf1acfc4e68f46e0584$.class b/design/project/target/config-classes/$23656f1bb53449bbc075$.class similarity index 89% rename from design/project/target/config-classes/$acf1acfc4e68f46e0584$.class rename to design/project/target/config-classes/$23656f1bb53449bbc075$.class index f8961d780a9e4edf13db6dc5eeb9a399c35429ee..b35f66d0229ef6d14c2403758fe2a304fa88aed1 100644 GIT binary patch delta 94 zcmdlbbWlj?)W2Q(7#J8#7|IzL#8ixo%}mYG43m;fjZI7}lai7R%uP2cRWXWSlbF1L faT_~NadKi#V%X*krhI00b|XDQAliI~HI5ko4GkK< delta 87 zcmX>ov`a|o)W2Q(7#J8#7|IzL#8eWK(+q()*(BA>BF)4s)xgxkWTR3QqX;&M$r~8A YF|n6z&SlDHW@R<{9 diff --git a/design/project/target/config-classes/$2abeeffb9a46e2967f61.cache b/design/project/target/config-classes/$23656f1bb53449bbc075.cache similarity index 100% rename from design/project/target/config-classes/$2abeeffb9a46e2967f61.cache rename to design/project/target/config-classes/$23656f1bb53449bbc075.cache diff --git a/design/project/target/config-classes/$b6c0147d7e36d799c318.class b/design/project/target/config-classes/$23656f1bb53449bbc075.class similarity index 55% rename from design/project/target/config-classes/$b6c0147d7e36d799c318.class rename to design/project/target/config-classes/$23656f1bb53449bbc075.class index fa809c12620486011ba2d6bb63239cbd075e9a2d..dba5061115d72bb1eed6e9a5d13d4a2af3b90e44 100644 GIT binary patch delta 161 zcmZo?ZD&5cc1_lNb2609PQ57R&GgGrP!=xlrV-pk0q@-j6bJK}Ri^Zi(azl+$ zba{=Evwf9Z)cK8)-JPsL+$Y|V7Ekpx3UTK%F>>Y7F=6MEwsPf?VNdsyp3KKM#~Pb) dD(np0j126){w|?Dt}2WS55dhY7C*lAA delta 161 zcmZo?ZD&5cc1_lNb2609PQI#aKWCKGJ^Az(`W3v=OMGj3PMeSmY2jkS(4P&<= zPgQl%MHhX5K1Ls;>P@BYGScX~XLNKH_sp+9KYjv06E+B}S6{J7y*X{#b*Fy7c)QN0 zyrlpkkiB7_neMZ|)kpRXpF|4C1e*FRoOAsP^Er2HFW@u50=>t@$0+=yTQL)Cp*vi7 zZlK$-=R5ntjtJ}y#Dw`wU+}4qQTq5^jnTxSc)^bdPmB2KbMNY;OB8vBy{&DxV{x}h(4CZ9 zs%4tmQISFCA#|Ts0W_ z@~S8G2<^L#J)YS;yu;NhRa}b0rvysRo)2)=y&&R?fcbh)`28SSEO8~p2fL{%pkcyr z)Vmz?s{|eo9=08&APpH6Qt&7RDkPIQsf@MF(MQM!nO$eZ(bp73m+ zn?VppEb_tt`&j+o6c`{u0{;yF!8}Yv=27L5_ec2#+3&FS^`DTTfRup3I#NT+3SLFP LDirZSrT~8dl`@T| diff --git a/design/project/target/config-classes/$2abeeffb9a46e2967f61$.class b/design/project/target/config-classes/$5062e2f82ee6ba32d823$.class similarity index 89% rename from design/project/target/config-classes/$2abeeffb9a46e2967f61$.class rename to design/project/target/config-classes/$5062e2f82ee6ba32d823$.class index 39ba46d1d30b5e650ec1084d5f62e963f2731360..426f320428c9be751e3fa1ff9a946028bf4b0942 100644 GIT binary patch delta 94 zcmdlXv`a|o)W2Q(7#J8#7|IzL#8gZT%#2cv(kzTpQ_YeRjg3+)jEpxbRWXWSlbF1L gaT^DFS!z*nW`5r0Y^F?RHdbRjV?Be-w^?JE0VmQMh5!Hn delta 92 zcmdlbv_nYg)W2Q(7#J8#7|IzL#8iwDlTuUD(vmC_P0Uh_EX~Z*%nUawRWXWSlbF1L eaT_a3USe+Q<~*iMW_Gr~P{&}$pv`w#W0?UpI2<_u diff --git a/design/project/target/config-classes/$3570438623d89c93679f.cache b/design/project/target/config-classes/$5062e2f82ee6ba32d823.cache similarity index 100% rename from design/project/target/config-classes/$3570438623d89c93679f.cache rename to design/project/target/config-classes/$5062e2f82ee6ba32d823.cache diff --git a/design/project/target/config-classes/$132fcdaeebe54a5618f4.class b/design/project/target/config-classes/$5062e2f82ee6ba32d823.class similarity index 54% rename from design/project/target/config-classes/$132fcdaeebe54a5618f4.class rename to design/project/target/config-classes/$5062e2f82ee6ba32d823.class index abe87a859a14a28b825c3f8bd3e223a4167a24b3..df7d8002f53c4e93980b216d379fbe886b383612 100644 GIT binary patch delta 160 zcmZo?ZD&5cc1_lNb2609PQ591IGow_aGz+8DRI{W+W1|!cBjbrmi^Zf3b3L`x z-KAuWy~RvabR(sVJ(Gk?Cf=42&vrCYQJ0cRFZ9$3_vJH6O7~P^_wbdP%*Qy#8k=b< c>5cc1_lNb2609PQ58dDqqO9d#MIQJR8x~gQ!_)0G?R%+i^U{OQYB^F zbt8?_T*Y);)FY+MlM{{HC*GD3Pxci`$(1xt@)i>b*X5H=%8uk>@sN_9%*Qy#8k=b< c>#u2O=2<& z(>7M#;^f4f&HI>kv$!N0q?sF;r4IUm?S0{rJ5yK8Yga4I>#u2O=2<& z(>4~Kti-a-`5cc1_lNb2609PQ57?jVvlK&91G7X!NLvn#{*I#~Pb) dD(np0j126){w|?Dt}2WS55dam0DGLAq delta 161 zcmZo?ZD&5cc1_lNb2609PQI!-EgB0_`6eAPk)TA_v#IzI>V}prGi^Yvi69sKV zc%`IF(?vzndHoI3J%glJ+$Y|V7WWKda>?~GOic}x3h|XRv2>PFaZl%!oXp2K#~Pb) dD(np0j126){w|?Dt}2WS55dcl3DiQzy diff --git a/design/project/target/config-classes/$3570438623d89c93679f$.class b/design/project/target/config-classes/$6f6796a05610000bdb36$.class similarity index 88% rename from design/project/target/config-classes/$3570438623d89c93679f$.class rename to design/project/target/config-classes/$6f6796a05610000bdb36$.class index cd337175955580287425cf92052d0d5a6d117086..ae309191576ee9bd0127747393be9a5b6506c706 100644 GIT binary patch delta 167 zcmeyP`bSmi)W2Q(7#J8#7?~Lv#8k}E%*-v#5)Dkv3=M!FDJ99+Y@^Z&CJ}5Bo9{6N zavCNYq?sF;ruP$!Iva fU*H6%0fRV$Awv*@!Q=$N0-(%AK|Mx;NkV@B+$Jm) delta 167 zcmeyP`bSmi)W2Q(7#J8#7?~Lv#8iw;%?(V9EzFFJQ!Ff#Esf30Ez>qCtzZ(tCb9V* zQy{0IrMao0S(1sRfoXE0QF5B0aZ*a63OmCoMg|EV6>O556L_vLGa5}c6_5v#p#qkS i#*_O6PH-AAh%*>71Th#*P7o{r%3KuGV>Fs1^alXeWh|or diff --git a/design/project/target/config-classes/$3ac04f4b0d07ae90efe5.cache b/design/project/target/config-classes/$6f6796a05610000bdb36.cache similarity index 100% rename from design/project/target/config-classes/$3ac04f4b0d07ae90efe5.cache rename to design/project/target/config-classes/$6f6796a05610000bdb36.cache diff --git a/design/project/target/config-classes/$2abeeffb9a46e2967f61.class b/design/project/target/config-classes/$6f6796a05610000bdb36.class similarity index 55% rename from design/project/target/config-classes/$2abeeffb9a46e2967f61.class rename to design/project/target/config-classes/$6f6796a05610000bdb36.class index 7eccad7d0f0146de87682d705d1510dc6f9a7af9..77500d61384d11f89da76a41c1e4b0d962f40fa4 100644 GIT binary patch delta 160 zcmZo?ZD&5cc1_lNb2609PQ5CZ^GjmI`L<3VZLjxd4N=Y&{o2ax{OeQ@y)++#NaaCbtknq83>Ex%3ivUBtCSCvl delta 160 zcmZo?ZD&5cc1_lNb2609PQ5B=aq}0^3v?R+!6SGt!OEdE{GsB5Wi^ZgpT*Y+K zeWi@7oP&%)e0^oj^CeA0Cf=42Ph}Me*Of9!cTMARclR?kE{?QH@%59O%*Qy#8k=b< c>#u2O=2<& z(>4~Kti-a-`nOGQ`n#u2O=2<& z(>7M#;^f4f&HI>kv$$BAn;M!WnOGW_CMOytrx_Y2r6j7bGt@FNNcgB=m9*wzkYlLl SWT<0kU}O*ho3w}hF*5*+EGtF; diff --git a/design/project/target/config-classes/$acf1acfc4e68f46e0584.cache b/design/project/target/config-classes/$7b28455cc36758b9e814.cache similarity index 100% rename from design/project/target/config-classes/$acf1acfc4e68f46e0584.cache rename to design/project/target/config-classes/$7b28455cc36758b9e814.cache diff --git a/design/project/target/config-classes/$35de7043c44ab2e6b93a.class b/design/project/target/config-classes/$7b28455cc36758b9e814.class similarity index 54% rename from design/project/target/config-classes/$35de7043c44ab2e6b93a.class rename to design/project/target/config-classes/$7b28455cc36758b9e814.class index 5e668dc7c11722f4992ee16d053721a19953a199..6df588961f2859959decd088cae4a76be108fd25 100644 GIT binary patch delta 161 zcmZo?ZD&5cc1_lNb2609PQ5EwfBMTE#)8u4hGjmgmB+FC_Lz9V0i^XM5dh4IC!GKQ delta 161 zcmZo?ZD&5cc1_lNb2609PQ59p;lvHyA6XRqPlf)#WRI?;Y5dZ*fDE0sV diff --git a/design/project/target/config-classes/$3ac04f4b0d07ae90efe5$.class b/design/project/target/config-classes/$7c956132479ff242fd09$.class similarity index 83% rename from design/project/target/config-classes/$3ac04f4b0d07ae90efe5$.class rename to design/project/target/config-classes/$7c956132479ff242fd09$.class index b356deda62b93436ddb13da00413a8bb09854305..57f97ffacd95bcaf7a6ab94c417144548d4345d6 100644 GIT binary patch delta 81 zcmeC@@8?%K^>5cc1_lNbhFC@hF%|PqNCWAX5cc1_lNbhFC@hF%{#)WCN2llO%%_1M|dGOM}$3RMU-0Cm2PrNo@Yd S_>qNCYw`y+2Ow$49tQyJ_ZZdy diff --git a/design/project/target/config-classes/$b6c0147d7e36d799c318.cache b/design/project/target/config-classes/$7c956132479ff242fd09.cache similarity index 100% rename from design/project/target/config-classes/$b6c0147d7e36d799c318.cache rename to design/project/target/config-classes/$7c956132479ff242fd09.cache diff --git a/design/project/target/config-classes/$7c956132479ff242fd09.class b/design/project/target/config-classes/$7c956132479ff242fd09.class new file mode 100644 index 0000000000000000000000000000000000000000..5460ee89cb2f2540b36c2a2c0ca2efadf96b5cb9 GIT binary patch literal 647 zcmZva&2HL26ov2DIK~(!{Dl+(kw~f3swFPQ6hYL51LRLob^g*iLRO&7xjlO$EM`v-*{QC3bCjgkRM_{+wnzfs+>J7tewdZrgH0I9B zwhV+o>V|%%x<~zmKAPUJStx@@z|@z)is@gd$Cxv9eYWJ(*L#eA41-U)9Z^o#y2H4; z@bzirdd?A_h6D}$LMt`{W-NxfctZlS;rZ zpD$`8_IlhIoQ+bdke1pOD;v>8-hB6%B-7FddUZ%NRbqCAben;j&n=G%Emd48b&9^M z;tD+?^%uqqwtdjK!_~@FT#Ccy1PV`|4RF?7KV)m4dU}t0eLq}pa3%Q%yQ#{cV#09L zn+*C@0*?j{+m4fx`B^<#Q!%1br2wi{|10y9ws8QsItiWBY%U`cgTGGCq&301(0ADsiI{IugoD1 Jd3+EhfWLyFi{1bL literal 0 HcmV?d00001 diff --git a/design/project/target/config-classes/$97516b4905ca2cf13bda$.class b/design/project/target/config-classes/$a0f727f48374c796721d$.class similarity index 82% rename from design/project/target/config-classes/$97516b4905ca2cf13bda$.class rename to design/project/target/config-classes/$a0f727f48374c796721d$.class index 83093c8f6d5ddb41d50aa363ee91c99a4cf1c04f..d17cb83d55f0e771f5a448fd854c97e3c4efcf8f 100644 GIT binary patch delta 144 zcmcaBbyrI1)W2Q(7#J8#7^X8ah^ZtRq?sF;r9|6Tp6?{bMl4&074TVY5)KL delta 144 zcmcaBbyrI1)W2Q(7#J8#7^X8ah^bhbn;M!WnOGW_CMOytrx_Y2r6f*NDi*;ezHwzW zCoczsI0Gj`IRh8NGzN~%hFtp?Wd#^`7({@=q72atVho)?dMbki!(pJ<5e9+DJUq#a ax|0iddU$n#qIwM44EhYN47!s!c|!o6O(28- diff --git a/design/project/target/config-classes/$97516b4905ca2cf13bda.cache b/design/project/target/config-classes/$a0f727f48374c796721d.cache similarity index 100% rename from design/project/target/config-classes/$97516b4905ca2cf13bda.cache rename to design/project/target/config-classes/$a0f727f48374c796721d.cache diff --git a/design/project/target/config-classes/$97516b4905ca2cf13bda.class b/design/project/target/config-classes/$a0f727f48374c796721d.class similarity index 73% rename from design/project/target/config-classes/$97516b4905ca2cf13bda.class rename to design/project/target/config-classes/$a0f727f48374c796721d.class index 547c4f610078d890788fd06535c8e9e8df4b34f9..cf10ec447be1647039ce422f77efc8d90a47460c 100644 GIT binary patch delta 161 zcmZ3@wVF%m)W2Q(7#J8#7?c?qL{$e duru&5GO+vlyM+3#kPPMUZ|Ml8cm+9;P(MTEmwI>p&bDqYuCD%q25<3C0wYiy>e duru&5GO+vlyM+3obF(Vq#wPLftS$K*S zIj(&WSRsMEK`^5(Rn~0oqLnhaWfK(9Fj})y0)n*(SwP_T7TL5-(%~hprkc8e*w9VA zM+9!Do8$~}Dw+JbY7fs6N5jiz>-A;FPNl1JI_-4(1`+vPdeNx1qu}N~L3M&}Nv5vL z4~?`|e)O)n=#QT+#EjNj6=N4-W~U$slo-00+Yzp7`+A&{ht+cGy_yhu*EPLU^Mmte ziw23koSY2$qpZy3gtpFho6)P1_Vxiu=7bM)yiZcHz|3u8w0x&jSRPc4WPT;76m@yY z;krcVEt=0+dhg^0qZR8Iip}N(DvzHGa912JWNVMQN;hzOUbx<1B&9pMsf!?^!*JA{ z4tjM0_Xc;%PLq&=v;;}WBte2i0=FE2nBm)uKw{!Y>lr(9TqKooSA^a+&UV zen>;d_pp!n|E53%4q|vW00jNe5iyS}k9hmUZ;<^C+h6|?9twyY2v9_nQL=?21`vl5 Ie((aoU)2zfNdN!< diff --git a/design/project/target/config-classes/$b6c0147d7e36d799c318$.class b/design/project/target/config-classes/$d3a0d77c5dc19d43863d$.class similarity index 89% rename from design/project/target/config-classes/$b6c0147d7e36d799c318$.class rename to design/project/target/config-classes/$d3a0d77c5dc19d43863d$.class index 5aa500dc1131c9917dd30351ab64dd8657849130..1c7aadc4e0552d14555439b28985abc4846cb5ad 100644 GIT binary patch delta 113 zcmX>tdRkQJ)W2Q(7#J8#7$z_>h^eF)CmN)fn64_8K-Pis$vwuCb4+~ v<0W=!#qA71+ZZCYF~n>Dn#07<2_)GV7=fhXtdRkQJ)W2Q(7#J8#7$z_>h^Zu*B^wx;n5USh8k?nDn#07<2_)GV7=fhnXA27*>2Na|y*SXb(pg%etqc29 z#tSdJ@B{cU{2<16Cf@BNC%^YOdGcJm&-wM|$4>yzV28kV)oRjLR=YiGS+jb_(wc_{ zO-lqqAaz5(P}QZLt&XQRY!-^Z6VTMTzhLSubs4j!j>qN!_0&EKK85~g)r@FBSE|JV z$M)1|as9cmi2Dg z56)iLH4=M0IU1dgQwo4>-iQVAW!^gHDf1^iz0s!d7-mlS5Dwe zU8Z}UAJWkAJ?ta?zbU8#2QmCN00i?e5t%`iLEazn8>GI&*4KZ6hb)o<0k)9}S~l>C M0mLDP54-^I7pvcl=>Px# literal 0 HcmV?d00001 diff --git a/design/project/target/config-classes/$ef9cc2bd073163b715b8$.class b/design/project/target/config-classes/$ef941245d71c415f0d40$.class similarity index 81% rename from design/project/target/config-classes/$ef9cc2bd073163b715b8$.class rename to design/project/target/config-classes/$ef941245d71c415f0d40$.class index dd08bae74a6d705f7680c87d4b28247e66ce2b23..484ed6fc5a94359ff3509fd8351f290741541dbb 100644 GIT binary patch delta 133 zcmX>ov_nYg)W2Q(7#J8#7|IzL#8gt#EKLlJOiWYE4UzsMoiMu+ZlqkF+^-*h}i%%n~9+SNU||70!it~ Sh8$Lm(vx#I^nm1Sj%5I{J|b=a delta 122 zcmdlXbWlj?)W2Q(7#J8#7|IzL#8gt#ER&Osl2Q!JjSbC=lgtfGlPoqW)iMfVh)&+X zxQ&;mI5{yVF)X#HI5R(Qb2d{ZGY7koo*@t!Y`)EE#KfpLnU6yfNNR9c0m)%W GV;KOhi6U75 diff --git a/design/project/target/config-classes/$ef9cc2bd073163b715b8.cache b/design/project/target/config-classes/$ef941245d71c415f0d40.cache similarity index 100% rename from design/project/target/config-classes/$ef9cc2bd073163b715b8.cache rename to design/project/target/config-classes/$ef941245d71c415f0d40.cache diff --git a/design/project/target/config-classes/$ef941245d71c415f0d40.class b/design/project/target/config-classes/$ef941245d71c415f0d40.class new file mode 100644 index 0000000000000000000000000000000000000000..764e028f0e9e4605062d6b9e4544089d5c987aae GIT binary patch literal 647 zcmZvaQE$>v7>2*o(v`M=Fc=fqmM}5XDC>$P3!7eaz?MyrbU3}yivvBBj?%iGR>OXk z@xlu)`~m(9e~9s$iFZ56$@9G@Z{CaVJ-`0^_z3_eY!cXLuvy16T4vihG^VD}o*g*m zfeeH|{+fQKx=#aFA5E^=G?qamVCwU5$@DMOXUv&+0h@Cg=sm_4arjBM6Uu3%JB)j7 zpidIdclP-tCa^Q$Q|ePaVlyA3^zoe!r^qYC7B617+*TX%n;HxI)w8`}&83A#>a0s-ahtwvZgmoVTP5gL#kOKw zmiG8{bD~Fo6R1Au~ zqT&fXBK6(YbC%mVy2aJX4P1)DW&|ovo(^!IYp856X$4>xg!WMzeDw}p3r*6B?+YRGI!)_Zb z`#=UlVB?B@rn*mqnLe_wm=nn$5@_o4aKZF1)Mw1Ky@1U*4fG!4AEWS-ZpD<-rS3BB z%>vzyJ>T8uc0^!jz#ZySeaWUiM(N{QHAWMS;w3vGAla*kaRR^B$f{$JV^I+*hGlk< zHPbTtL=uLkMNW_t#S+gOGoCK*ofaELI8@zPx_;nHvhu4gk;QF#Su1y9ep4doR>hWL znWpyWb!pIC6id$8@nLf>U2gV2sF$b3?YtyW(lzDG1L?Z5YbFJ4Sl&v%GgPU6T``X< zAwPdQtC7UZ@!{Zfw4n(ZsbjM3dVE%BzI{ki8R8L9|@qN(y&&Qk6dcUJ4XYRh%*e65Y^c1k`aDFCBK``6!APaS(Y6_QvC$ z?K3k7!iYv*7+@dC|4o4b0wnO?01(W>L}U(C4taazZ?N$lvS0rR5%Nd@B-li1Xj#K6 Mb4Wq~A4Cb@FXt(Y@c;k- diff --git a/design/src/main/resources/vsrc/axi2wb.v b/design/src/main/resources/vsrc/axi2wb.v new file mode 100644 index 00000000..8592b471 --- /dev/null +++ b/design/src/main/resources/vsrc/axi2wb.v @@ -0,0 +1,410 @@ +// SPDX-License-Identifier: Apache-2.0 +// Copyright 2019 Peter Gustavsson +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. + +//******************************************************************************** +// $Id$ +// +// Function: AXI lite to Wishbone non pipelined bridge +// Comments: Assumes single accesses to a 32bit register on an 64bit aligned address +// +//******************************************************************************** + +`default_nettype none +module axi2wb + #(parameter AW = 12, + parameter IW = 0) + ( + input wire i_clk, + input wire i_rst, + + // Wishbone master + output reg [AW-1:2] o_wb_adr, + output reg [31:0] o_wb_dat, + output reg [3:0] o_wb_sel, + output reg o_wb_we, + output reg o_wb_cyc, + output reg o_wb_stb, + + input wire [31:0] i_wb_rdt, + input wire i_wb_ack, + input wire i_wb_err, + + // AXI slave + // AXI adress write channel + input wire [AW-1:0] i_awaddr, + input wire [IW-1:0] i_awid, + input wire i_awvalid, + output reg o_awready, + //AXI adress read channel + input wire [AW-1:0] i_araddr, + input wire [IW-1:0] i_arid, + input wire i_arvalid, + output reg o_arready, + //AXI write channel + input wire [63:0] i_wdata, + input wire [7:0] i_wstrb, + input wire i_wvalid, + output reg o_wready, + //AXI response channel + output reg [IW-1:0] o_bid, + output wire [1:0] o_bresp, + output reg o_bvalid, + input wire i_bready, + //AXI read channel + output reg [63:0] o_rdata, + output reg [IW-1:0] o_rid, + output wire [1:0] o_rresp, + output wire o_rlast, + output reg o_rvalid, + input wire i_rready + ); + + assign o_bresp = 2'b00; + assign o_rresp = 2'b00; + assign o_rlast = 1'b1; + + reg hi_32b_w; + reg arbiter; + reg [31:0] wb_rdt_low; + + + parameter STATESIZE = 4; + + parameter [STATESIZE-1:0] + IDLE = 4'd0, + AWACK = 4'd1, + WBWACK= 4'd2, + WBRACK1 = 4'd3, + WBR2 = 4'd4, + WBRACK2 = 4'd5, + BAXI = 4'd6, + RRAXI = 4'd7; + + reg [STATESIZE-1:0] cs; + + // formal helper registers + reg aw_req; + reg w_req; + reg ar_req; + + + initial o_rvalid = 1'b0; + initial o_bvalid = 1'b0; + initial o_wb_stb = 1'b0; + initial o_wb_cyc = 1'b0; + initial o_wb_we = 1'b0; + initial cs = 4'd0; + initial aw_req = 1'b0; + initial w_req = 1'b0; + initial ar_req = 1'b0; + + + always @(posedge i_clk) begin + if (i_rst) begin + o_awready <= 1'b0; + o_wready <= 1'b0; + o_arready <= 1'b0; + o_rvalid <= 1'b0; + o_bvalid <= 1'b0; + o_wb_adr <= {AW-2{1'b0}}; + o_wb_cyc <= 1'b0; + o_wb_stb <= 1'b0; + o_wb_sel <= 4'd0; + o_wb_we <= 1'b0; + arbiter <= 1'b1; + wb_rdt_low <= 32'hDEADBEEF; + cs <= IDLE; + + aw_req <= 1'b0; + w_req <= 1'b0; + ar_req <= 1'b0; + o_bid <= {IW{1'b0}}; + o_rid <= {IW{1'b0}}; + + end + else begin + if (i_awvalid & o_awready) + o_bid <= i_awid; + + if (i_arvalid & o_arready) + o_rid <= i_arid; + + o_awready <= 1'b0; + o_wready <= 1'b0; + o_arready <= 1'b0; + + if (i_awvalid && o_awready) + aw_req <= 1'b1; + else if (i_bready && o_bvalid) + aw_req <= 1'b0; + + if (i_wvalid && o_wready) + w_req <= 1'b1; + else if (i_bready && o_bvalid) + w_req <= 1'b0; + + if (i_arvalid && o_arready) + ar_req <= 1'b1; + else if (i_rready && o_rvalid) + ar_req <= 1'b0; + + case (cs) + IDLE : begin + arbiter <= 1'b1; + if (i_awvalid && arbiter) begin + o_wb_adr[AW-1:3] <= i_awaddr[AW-1:3]; + o_awready <= 1'b1; + arbiter <= 1'b0; + if (i_wvalid) begin + hi_32b_w = (i_wstrb[3:0] == 4'h0) ? 1'b1 : 1'b0; + o_wb_adr[2] <= hi_32b_w; + o_wb_cyc <= 1'b1; + o_wb_stb <= 1'b1; + o_wb_sel <= hi_32b_w ? i_wstrb[7:4] : i_wstrb[3:0]; + o_wb_dat <= hi_32b_w ? i_wdata[63:32] : i_wdata[31:0]; + o_wb_we <= 1'b1; + o_wready <= 1'b1; + cs <= WBWACK; + end + else begin + cs <= AWACK; + end + end + else if (i_arvalid) begin + o_wb_adr[AW-1:2] <= i_araddr[AW-1:2]; + o_wb_sel <= 4'hF; + o_wb_cyc <= 1'b1; + o_wb_stb <= 1'b1; + o_arready <= 1'b1; + cs <= WBRACK1; + end + end + + AWACK : begin + if (i_wvalid) begin + hi_32b_w = (i_wstrb[3:0] == 4'h0) ? 1'b1 : 1'b0; + o_wb_adr[2] <= hi_32b_w; + o_wb_cyc <= 1'b1; + o_wb_stb <= 1'b1; + o_wb_sel <= hi_32b_w ? i_wstrb[7:4] : i_wstrb[3:0]; + o_wb_dat <= hi_32b_w ? i_wdata[63:32] : i_wdata[31:0]; + o_wb_we <= 1'b1; + o_wready <= 1'b1; + cs <= WBWACK; + end + end + + WBWACK : begin + if ( i_wb_err || i_wb_ack ) begin + o_wb_cyc <= 1'b0; + o_wb_stb <= 1'b0; + o_wb_sel <= 4'h0; + o_wb_we <= 1'b0; + o_bvalid <= 1'b1; + cs <= BAXI; + end + end + + WBRACK1 : begin + if ( i_wb_err || i_wb_ack) begin + o_wb_cyc <= 1'b0; + o_wb_stb <= 1'b0; + o_wb_sel <= 4'h0; + wb_rdt_low <= i_wb_rdt; + cs <= WBR2; + end + end + + WBR2 : begin + o_wb_adr[2] <= 1'b1; + o_wb_sel <= 4'hF; + o_wb_cyc <= 1'b1; + o_wb_stb <= 1'b1; + cs <= WBRACK2; + end + + + WBRACK2 : begin + if ( i_wb_err || i_wb_ack) begin + o_wb_cyc <= 1'b0; + o_wb_stb <= 1'b0; + o_wb_sel <= 4'h0; + o_rvalid <= 1'b1; + o_rdata <= {i_wb_rdt, wb_rdt_low}; + cs <= RRAXI; + end + end + + BAXI : begin + o_bvalid <= 1'b1; + if (i_bready) begin + o_bvalid <= 1'b0; + cs <= IDLE; + end + end + + RRAXI : begin + o_rvalid <= 1'b1; + if (i_rready) begin + o_rvalid <= 1'b0; + cs <= IDLE; + end + end + + default : begin + o_awready <= 1'b0; + o_wready <= 1'b0; + o_arready <= 1'b0; + o_rvalid <= 1'b0; + o_bvalid <= 1'b0; + o_wb_adr <= {AW-2{1'b0}}; + o_wb_cyc <= 1'b0; + o_wb_stb <= 1'b0; + o_wb_sel <= 4'd0; + o_wb_we <= 1'b0; + arbiter <= 1'b1; + cs <= IDLE; + end + endcase + end + end + +`ifdef FORMAL + localparam F_LGDEPTH = 4; + + wire [(F_LGDEPTH-1):0] faxi_awr_outstanding, + faxi_wr_outstanding, + faxi_rd_outstanding; + + + faxil_slave + #( + .C_AXI_DATA_WIDTH(64), + .C_AXI_ADDR_WIDTH(AW), + .F_OPT_BRESP (1'b1), + .F_OPT_RRESP (1'b1), + .F_AXI_MAXWAIT (16), + .F_AXI_MAXDELAY (4), + .F_AXI_MAXRSTALL (1), + .F_LGDEPTH(F_LGDEPTH)) + faxil_slave + ( + .i_clk(i_clk), + .i_axi_reset_n(~i_rst), + // + .i_axi_awaddr(i_awaddr), + .i_axi_awcache(4'h0), + .i_axi_awprot(3'd0), + .i_axi_awvalid(i_awvalid), + .i_axi_awready(o_awready), + // + .i_axi_wdata(i_wdata), + .i_axi_wstrb(i_wstrb), + .i_axi_wvalid(i_wvalid), + .i_axi_wready(o_wready), + // + .i_axi_bresp(2'd0), + .i_axi_bvalid(o_bvalid), + .i_axi_bready(i_bready), + // + .i_axi_araddr(i_araddr), + .i_axi_arprot(3'd0), + .i_axi_arcache(4'h0), + .i_axi_arvalid(i_arvalid), + .i_axi_arready(o_arready), + // + .i_axi_rdata(o_rdata), + .i_axi_rresp(2'd0), + .i_axi_rvalid(o_rvalid), + .i_axi_rready(i_rready), + // + .f_axi_rd_outstanding(faxi_rd_outstanding), + .f_axi_wr_outstanding(faxi_wr_outstanding), + .f_axi_awr_outstanding(faxi_awr_outstanding)); + + + always @(*) begin + + assert(faxi_awr_outstanding <= 1); + assert(faxi_wr_outstanding <= 1); + assert(faxi_rd_outstanding <= 1); + + case (cs) + IDLE : begin + assert(!o_wb_we); + assert(!o_wb_stb); + assert(!o_wb_cyc); + assert(!aw_req); + assert(!ar_req); + assert(!w_req); + assert(faxi_awr_outstanding == 0); + assert(faxi_wr_outstanding == 0); + assert(faxi_rd_outstanding == 0); + end + AWACK : begin + assert(!o_wb_we); + assert(!o_wb_stb); + assert(!o_wb_cyc); + assert(faxi_awr_outstanding == (aw_req ? 1:0)); + assert(faxi_wr_outstanding == 0); + assert(faxi_rd_outstanding == 0); + end + WBWACK : begin + assert(faxi_awr_outstanding == (aw_req ? 1:0)); + assert(faxi_wr_outstanding == (w_req ? 1:0)); + assert(faxi_rd_outstanding == 0); + end + WBRACK : begin + assert(faxi_awr_outstanding == 0); + assert(faxi_wr_outstanding == 0); + assert(faxi_rd_outstanding == (ar_req ? 1:0)); + end + BAXI : begin + assert(faxi_rd_outstanding == 0); + end + RRAXI : begin + assert(faxi_awr_outstanding == 0); + assert(faxi_wr_outstanding == 0); + end + + default: + assert(0); + endcase // case (cs) + end + + fwbc_master + #(.AW (AW-2), + .DW (32), + .F_MAX_DELAY (4), + .OPT_BUS_ABORT (0)) + fwbc_master + (.i_clk (i_clk), + .i_reset (i_rst), + .i_wb_addr (o_wb_adr), + .i_wb_data (o_wb_dat), + .i_wb_sel (o_wb_sel), + .i_wb_we (o_wb_we), + .i_wb_cyc (o_wb_cyc), + .i_wb_stb (o_wb_stb), + .i_wb_cti (3'd0), + .i_wb_bte (2'd0), + .i_wb_idata (i_wb_rdt), + .i_wb_ack (i_wb_ack), + .i_wb_err (i_wb_err), + .i_wb_rty (1'b0)); + +`endif +endmodule +`default_nettype wire diff --git a/design/src/main/resources/vsrc/dpram64.v b/design/src/main/resources/vsrc/dpram64.v new file mode 100644 index 00000000..56abe104 --- /dev/null +++ b/design/src/main/resources/vsrc/dpram64.v @@ -0,0 +1,66 @@ +// SPDX-License-Identifier: Apache-2.0 +// Copyright 2019 Western Digital Corporation or its affiliates. +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. + +//******************************************************************************** +// $Id$ +// +// Function: Basic RAM model with separate read/write ports and byte-wise write enable +// Comments: +// +//******************************************************************************** + +module dpram64 + #(parameter SIZE=0, + parameter mem_clear = 0, + parameter memfile = "") + (input wire clk, + input wire [7:0] we, + input wire [63:0] din, + input wire [$clog2(SIZE)-1:0] waddr, + input wire [$clog2(SIZE)-1:0] raddr, + output reg [63:0] dout); + + localparam AW = $clog2(SIZE); + + reg [63:0] mem [0:SIZE/8-1] /* verilator public */; + + integer i; + wire [AW-4:0] wadd = waddr[AW-1:3]; + + always @(posedge clk) begin + if (we[0]) mem[wadd][ 7: 0] <= din[ 7: 0]; + if (we[1]) mem[wadd][15: 8] <= din[15: 8]; + if (we[2]) mem[wadd][23:16] <= din[23:16]; + if (we[3]) mem[wadd][31:24] <= din[31:24]; + if (we[4]) mem[wadd][39:32] <= din[39:32]; + if (we[5]) mem[wadd][47:40] <= din[47:40]; + if (we[6]) mem[wadd][55:48] <= din[55:48]; + if (we[7]) mem[wadd][63:56] <= din[63:56]; + dout <= mem[raddr[AW-1:3]]; + end + + generate + initial begin + if (mem_clear) + for (i=0;i= mtimecmp); + + if (i_rst) begin + mtime <= 64'd0; + mtimecmp <= 64'd0; + o_wb_ack <= 1'b0; + end + end +endmodule diff --git a/design/src/main/resources/vsrc/uart_defines.v b/design/src/main/resources/vsrc/uart_defines.v new file mode 100644 index 00000000..fca7b6a5 --- /dev/null +++ b/design/src/main/resources/vsrc/uart_defines.v @@ -0,0 +1,233 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_defines.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// Defines of the Core //// +//// //// +//// Known problems (limits): //// +//// None //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.13 2003/06/11 16:37:47 gorban +// This fixes errors in some cases when data is being read and put to the FIFO at the same time. Patch is submitted by Scott Furman. Update is very recommended. +// +// Revision 1.12 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.10 2001/12/11 08:55:40 mohor +// Scratch register define added. +// +// Revision 1.9 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.8 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.7 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.6 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.5 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.4 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.3 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:11+02 jacob +// Initial revision +// +// + +// Uncomment this if you want your UART to have +// 16xBaudrate output port. +// If defined, the enable signal will be used to drive baudrate_o signal +// It's frequency is 16xbaudrate + +// `define UART_HAS_BAUDRATE_OUTPUT + +// Register addresses +`define UART_REG_RB 3'd0 // receiver buffer +`define UART_REG_TR 3'd0 // transmitter +`define UART_REG_IE 3'd1 // Interrupt enable +`define UART_REG_II 3'd2 // Interrupt identification +`define UART_REG_FC 3'd2 // FIFO control +`define UART_REG_LC 3'd3 // Line Control +`define UART_REG_MC 3'd4 // Modem control +`define UART_REG_LS 3'd5 // Line status +`define UART_REG_MS 3'd6 // Modem status +`define UART_REG_SR 3'd7 // Scratch register +`define UART_REG_DL1 3'd0 // Divisor latch bytes (1-2) +`define UART_REG_DL2 3'd1 + +// Interrupt Enable register bits +`define UART_IE_RDA 0 // Received Data available interrupt +`define UART_IE_THRE 1 // Transmitter Holding Register empty interrupt +`define UART_IE_RLS 2 // Receiver Line Status Interrupt +`define UART_IE_MS 3 // Modem Status Interrupt + +// Interrupt Identification register bits +`define UART_II_IP 0 // Interrupt pending when 0 +`define UART_II_II 3:1 // Interrupt identification + +// Interrupt identification values for bits 3:1 +`define UART_II_RLS 3'b011 // Receiver Line Status +`define UART_II_RDA 3'b010 // Receiver Data available +`define UART_II_TI 3'b110 // Timeout Indication +`define UART_II_THRE 3'b001 // Transmitter Holding Register empty +`define UART_II_MS 3'b000 // Modem Status + +// FIFO Control Register bits +`define UART_FC_TL 1:0 // Trigger level + +// FIFO trigger level values +`define UART_FC_1 2'b00 +`define UART_FC_4 2'b01 +`define UART_FC_8 2'b10 +`define UART_FC_14 2'b11 + +// Line Control register bits +`define UART_LC_BITS 1:0 // bits in character +`define UART_LC_SB 2 // stop bits +`define UART_LC_PE 3 // parity enable +`define UART_LC_EP 4 // even parity +`define UART_LC_SP 5 // stick parity +`define UART_LC_BC 6 // Break control +`define UART_LC_DL 7 // Divisor Latch access bit + +// Modem Control register bits +`define UART_MC_DTR 0 +`define UART_MC_RTS 1 +`define UART_MC_OUT1 2 +`define UART_MC_OUT2 3 +`define UART_MC_LB 4 // Loopback mode + +// Line Status Register bits +`define UART_LS_DR 0 // Data ready +`define UART_LS_OE 1 // Overrun Error +`define UART_LS_PE 2 // Parity Error +`define UART_LS_FE 3 // Framing Error +`define UART_LS_BI 4 // Break interrupt +`define UART_LS_TFE 5 // Transmit FIFO is empty +`define UART_LS_TE 6 // Transmitter Empty indicator +`define UART_LS_EI 7 // Error indicator + +// Modem Status Register bits +`define UART_MS_DCTS 0 // Delta signals +`define UART_MS_DDSR 1 +`define UART_MS_TERI 2 +`define UART_MS_DDCD 3 +`define UART_MS_CCTS 4 // Complement signals +`define UART_MS_CDSR 5 +`define UART_MS_CRI 6 +`define UART_MS_CDCD 7 + +// FIFO parameter defines + +`define UART_FIFO_WIDTH 8 +`define UART_FIFO_DEPTH 16 +`define UART_FIFO_POINTER_W 4 +`define UART_FIFO_COUNTER_W 5 +// receiver fifo has width 11 because it has break, parity and framing error bits +`define UART_FIFO_REC_WIDTH 11 + + +`define VERBOSE_WB 0 // All activity on the WISHBONE is recorded +`define VERBOSE_LINE_STATUS 0 // Details about the lsr (line status register) +`define FAST_TEST 1 // 64/1024 packets are sent + + + + + + + diff --git a/design/src/main/resources/vsrc/uart_receiver.v b/design/src/main/resources/vsrc/uart_receiver.v new file mode 100644 index 00000000..44c29367 --- /dev/null +++ b/design/src/main/resources/vsrc/uart_receiver.v @@ -0,0 +1,475 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_receiver.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core receiver logic //// +//// //// +//// Known problems (limits): //// +//// None known //// +//// //// +//// To Do: //// +//// Thourough testing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.29 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.28 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.27 2001/12/30 20:39:13 mohor +// More than one character was stored in case of break. End of the break +// was not detected correctly. +// +// Revision 1.26 2001/12/20 13:28:27 mohor +// Missing declaration of rf_push_q fixed. +// +// Revision 1.25 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.24 2001/12/19 08:03:34 mohor +// Warnings cleared. +// +// Revision 1.23 2001/12/19 07:33:54 mohor +// Synplicity was having troubles with the comment. +// +// Revision 1.22 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.21 2001/12/13 10:31:16 mohor +// timeout irq must be set regardless of the rda irq (rda irq does not reset the +// timeout counter). +// +// Revision 1.20 2001/12/10 19:52:05 gorban +// Igor fixed break condition bugs +// +// Revision 1.19 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.18 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.17 2001/11/28 19:36:39 gorban +// Fixed: timeout and break didn't pay attention to current data format when counting time +// +// Revision 1.16 2001/11/27 22:17:09 gorban +// Fixed bug that prevented synthesis in uart_receiver.v +// +// Revision 1.15 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.14 2001/11/10 12:43:21 gorban +// Logic Synthesis bugs fixed. Some other minor changes +// +// Revision 1.13 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.12 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.11 2001/10/31 15:19:22 gorban +// Fixes to break and timeout conditions +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.6 2001/06/23 11:21:48 gorban +// DL made 16-bit long. Fixed transmission/reception bugs. +// +// Revision 1.5 2001/06/02 14:28:14 gorban +// Fixed receiver and transmitter. Major bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:49 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.1 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:11+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +module uart_receiver (clk, wb_rst_i, lcr, rf_pop, srx_pad_i, enable, + counter_t, rf_count, rf_data_out, rf_error_bit, rf_overrun, rx_reset, lsr_mask, rstate, rf_push_pulse); + +input clk; +input wb_rst_i; +input [7:0] lcr; +input rf_pop; +input srx_pad_i; +input enable; +input rx_reset; +input lsr_mask; + +output [9:0] counter_t; +output [`UART_FIFO_COUNTER_W-1:0] rf_count; +output [`UART_FIFO_REC_WIDTH-1:0] rf_data_out; +output rf_overrun; +output rf_error_bit; +output [3:0] rstate; +output rf_push_pulse; + +reg [3:0] rstate; +reg [3:0] rcounter16; +reg [2:0] rbit_counter; +reg [7:0] rshift; // receiver shift register +reg rparity; // received parity +reg rparity_error; +reg rframing_error; // framing error flag +reg rparity_xor; +reg [7:0] counter_b; // counts the 0 (low) signals +reg rf_push_q; + +// RX FIFO signals +reg [`UART_FIFO_REC_WIDTH-1:0] rf_data_in; +wire [`UART_FIFO_REC_WIDTH-1:0] rf_data_out; +wire rf_push_pulse; +reg rf_push; +wire rf_pop; +wire rf_overrun; +wire [`UART_FIFO_COUNTER_W-1:0] rf_count; +wire rf_error_bit; // an error (parity or framing) is inside the fifo +wire break_error = (counter_b == 0); + +// RX FIFO instance +uart_rfifo #(`UART_FIFO_REC_WIDTH) fifo_rx( + .clk( clk ), + .wb_rst_i( wb_rst_i ), + .data_in( rf_data_in ), + .data_out( rf_data_out ), + .push( rf_push_pulse ), + .pop( rf_pop ), + .overrun( rf_overrun ), + .count( rf_count ), + .error_bit( rf_error_bit ), + .fifo_reset( rx_reset ), + .reset_status(lsr_mask) +); + +wire rcounter16_eq_7 = (rcounter16 == 4'd7); +wire rcounter16_eq_0 = (rcounter16 == 4'd0); + +wire [3:0] rcounter16_minus_1 = rcounter16 - 3'd1; + +parameter sr_idle = 4'd0; +parameter sr_rec_start = 4'd1; +parameter sr_rec_bit = 4'd2; +parameter sr_rec_parity = 4'd3; +parameter sr_rec_stop = 4'd4; +parameter sr_check_parity = 4'd5; +parameter sr_rec_prepare = 4'd6; +parameter sr_end_bit = 4'd7; +parameter sr_ca_lc_parity = 4'd8; +parameter sr_wait1 = 4'd9; +parameter sr_push = 4'd10; + + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + begin + rstate <= sr_idle; + rcounter16 <= 0; + rbit_counter <= 0; + rparity_xor <= 1'b0; + rframing_error <= 1'b0; + rparity_error <= 1'b0; + rparity <= 1'b0; + rshift <= 0; + rf_push <= 1'b0; + rf_data_in <= 0; + end + else + if (enable) + begin + case (rstate) + sr_idle : begin + rf_push <= 1'b0; + rf_data_in <= 0; + rcounter16 <= 4'b1110; + if (srx_pad_i==1'b0 & ~break_error) // detected a pulse (start bit?) + begin + rstate <= sr_rec_start; + end + end + sr_rec_start : begin + rf_push <= 1'b0; + if (rcounter16_eq_7) // check the pulse + if (srx_pad_i==1'b1) // no start bit + rstate <= sr_idle; + else // start bit detected + rstate <= sr_rec_prepare; + rcounter16 <= rcounter16_minus_1; + end + sr_rec_prepare:begin + case (lcr[/*`UART_LC_BITS*/1:0]) // number of bits in a word + 2'b00 : rbit_counter <= 3'b100; + 2'b01 : rbit_counter <= 3'b101; + 2'b10 : rbit_counter <= 3'b110; + 2'b11 : rbit_counter <= 3'b111; + endcase + if (rcounter16_eq_0) + begin + rstate <= sr_rec_bit; + rcounter16 <= 4'b1110; + rshift <= 0; + end + else + rstate <= sr_rec_prepare; + rcounter16 <= rcounter16_minus_1; + end + sr_rec_bit : begin + if (rcounter16_eq_0) + rstate <= sr_end_bit; + if (rcounter16_eq_7) // read the bit + case (lcr[/*`UART_LC_BITS*/1:0]) // number of bits in a word + 2'b00 : rshift[4:0] <= {srx_pad_i, rshift[4:1]}; + 2'b01 : rshift[5:0] <= {srx_pad_i, rshift[5:1]}; + 2'b10 : rshift[6:0] <= {srx_pad_i, rshift[6:1]}; + 2'b11 : rshift[7:0] <= {srx_pad_i, rshift[7:1]}; + endcase + rcounter16 <= rcounter16_minus_1; + end + sr_end_bit : begin + if (rbit_counter==3'b0) // no more bits in word + if (lcr[`UART_LC_PE]) // choose state based on parity + rstate <= sr_rec_parity; + else + begin + rstate <= sr_rec_stop; + rparity_error <= 1'b0; // no parity - no error :) + end + else // else we have more bits to read + begin + rstate <= sr_rec_bit; + rbit_counter <= rbit_counter - 3'd1; + end + rcounter16 <= 4'b1110; + end + sr_rec_parity: begin + if (rcounter16_eq_7) // read the parity + begin + rparity <= srx_pad_i; + rstate <= sr_ca_lc_parity; + end + rcounter16 <= rcounter16_minus_1; + end + sr_ca_lc_parity : begin // rcounter equals 6 + rcounter16 <= rcounter16_minus_1; + rparity_xor <= ^{rshift,rparity}; // calculate parity on all incoming data + rstate <= sr_check_parity; + end + sr_check_parity: begin // rcounter equals 5 + case ({lcr[`UART_LC_EP],lcr[`UART_LC_SP]}) + 2'b00: rparity_error <= rparity_xor == 0; // no error if parity 1 + 2'b01: rparity_error <= ~rparity; // parity should sticked to 1 + 2'b10: rparity_error <= rparity_xor == 1; // error if parity is odd + 2'b11: rparity_error <= rparity; // parity should be sticked to 0 + endcase + rcounter16 <= rcounter16_minus_1; + rstate <= sr_wait1; + end + sr_wait1 : if (rcounter16_eq_0) + begin + rstate <= sr_rec_stop; + rcounter16 <= 4'b1110; + end + else + rcounter16 <= rcounter16_minus_1; + sr_rec_stop : begin + if (rcounter16_eq_7) // read the parity + begin + rframing_error <= !srx_pad_i; // no framing error if input is 1 (stop bit) + rstate <= sr_push; + end + rcounter16 <= rcounter16_minus_1; + end + sr_push : begin +/////////////////////////////////////// +// $display($time, ": received: %b", rf_data_in); + if(srx_pad_i | break_error) + begin + if(break_error) + rf_data_in <= {8'b0, 3'b100}; // break input (empty character) to receiver FIFO + else + rf_data_in <= {rshift, 1'b0, rparity_error, rframing_error}; + rf_push <= 1'b1; + rstate <= sr_idle; + end + else if(~rframing_error) // There's always a framing before break_error -> wait for break or srx_pad_i + begin + rf_data_in <= {rshift, 1'b0, rparity_error, rframing_error}; + rf_push <= 1'b1; + rcounter16 <= 4'b1110; + rstate <= sr_rec_start; + end + + end + default : rstate <= sr_idle; + endcase + end // if (enable) +end // always of receiver + +always @ (posedge clk or posedge wb_rst_i) +begin + if(wb_rst_i) + rf_push_q <= 0; + else + rf_push_q <= rf_push; +end + +assign rf_push_pulse = rf_push & ~rf_push_q; + + +// +// Break condition detection. +// Works in conjuction with the receiver state machine + +reg [9:0] toc_value; // value to be set to timeout counter + +always @(lcr) + case (lcr[3:0]) + 4'b0000 : toc_value = 447; // 7 bits + 4'b0100 : toc_value = 479; // 7.5 bits + 4'b0001, 4'b1000 : toc_value = 511; // 8 bits + 4'b1100 : toc_value = 543; // 8.5 bits + 4'b0010, 4'b0101, 4'b1001 : toc_value = 575; // 9 bits + 4'b0011, 4'b0110, 4'b1010, 4'b1101 : toc_value = 639; // 10 bits + 4'b0111, 4'b1011, 4'b1110 : toc_value = 703; // 11 bits + 4'b1111 : toc_value = 767; // 12 bits + endcase // case(lcr[3:0]) + +wire [7:0] brc_value; // value to be set to break counter +assign brc_value = toc_value[9:2]; // the same as timeout but 1 insead of 4 character times + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + counter_b <= 8'd159; + else + if (srx_pad_i) + counter_b <= brc_value; // character time length - 1 + else + if(enable & counter_b != 8'b0) // only work on enable times break not reached. + counter_b <= counter_b - 8'd1; // decrement break counter +end // always of break condition detection + +/// +/// Timeout condition detection +reg [9:0] counter_t; // counts the timeout condition clocks + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + counter_t <= 10'd639; // 10 bits for the default 8N1 + else + if(rf_push_pulse || rf_pop || rf_count == 0) // counter is reset when RX FIFO is empty, accessed or above trigger level + counter_t <= toc_value; + else + if (enable && counter_t != 10'b0) // we don't want to underflow + counter_t <= counter_t - 10'd1; +end + +endmodule diff --git a/design/src/main/resources/vsrc/uart_regs.v b/design/src/main/resources/vsrc/uart_regs.v new file mode 100644 index 00000000..931632c4 --- /dev/null +++ b/design/src/main/resources/vsrc/uart_regs.v @@ -0,0 +1,888 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_regs.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// Registers of the uart 16550 core //// +//// //// +//// Known problems (limits): //// +//// Inserts 1 wait state in all WISHBONE transfers //// +//// //// +//// To Do: //// +//// Nothing or verification. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: (See log for the revision history //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.41 2004/05/21 11:44:41 tadejm +// Added synchronizer flops for RX input. +// +// Revision 1.40 2003/06/11 16:37:47 gorban +// This fixes errors in some cases when data is being read and put to the FIFO at the same time. Patch is submitted by Scott Furman. Update is very recommended. +// +// Revision 1.39 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.38 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.37 2001/12/27 13:24:09 mohor +// lsr[7] was not showing overrun errors. +// +// Revision 1.36 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.35 2001/12/19 08:03:34 mohor +// Warnings cleared. +// +// Revision 1.34 2001/12/19 07:33:54 mohor +// Synplicity was having troubles with the comment. +// +// Revision 1.33 2001/12/17 10:14:43 mohor +// Things related to msr register changed. After THRE IRQ occurs, and one +// character is written to the transmit fifo, the detection of the THRE bit in the +// LSR is delayed for one character time. +// +// Revision 1.32 2001/12/14 13:19:24 mohor +// MSR register fixed. +// +// Revision 1.31 2001/12/14 10:06:58 mohor +// After reset modem status register MSR should be reset. +// +// Revision 1.30 2001/12/13 10:09:13 mohor +// thre irq should be cleared only when being source of interrupt. +// +// Revision 1.29 2001/12/12 09:05:46 mohor +// LSR status bit 0 was not cleared correctly in case of reseting the FCR (rx fifo). +// +// Revision 1.28 2001/12/10 19:52:41 gorban +// Scratch register added +// +// Revision 1.27 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.26 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.25 2001/11/28 19:36:39 gorban +// Fixed: timeout and break didn't pay attention to current data format when counting time +// +// Revision 1.24 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.23 2001/11/12 21:57:29 gorban +// fixed more typo bugs +// +// Revision 1.22 2001/11/12 15:02:28 mohor +// lsr1r error fixed. +// +// Revision 1.21 2001/11/12 14:57:27 mohor +// ti_int_pnd error fixed. +// +// Revision 1.20 2001/11/12 14:50:27 mohor +// ti_int_d error fixed. +// +// Revision 1.19 2001/11/10 12:43:21 gorban +// Logic Synthesis bugs fixed. Some other minor changes +// +// Revision 1.18 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.17 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.16 2001/11/02 09:55:16 mohor +// no message +// +// Revision 1.15 2001/10/31 15:19:22 gorban +// Fixes to break and timeout conditions +// +// Revision 1.14 2001/10/29 17:00:46 gorban +// fixed parity sending and tx_fifo resets over- and underrun +// +// Revision 1.13 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.12 2001/10/19 16:21:40 gorban +// Changes data_out to be synchronous again as it should have been. +// +// Revision 1.11 2001/10/18 20:35:45 gorban +// small fix +// +// Revision 1.10 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.9 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.10 2001/06/23 11:21:48 gorban +// DL made 16-bit long. Fixed transmission/reception bugs. +// +// Revision 1.9 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.8 2001/05/29 20:05:04 gorban +// Fixed some bugs and synthesis problems. +// +// Revision 1.7 2001/05/27 17:37:49 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.6 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.5 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:11+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +`define UART_DL1 7:0 +`define UART_DL2 15:8 + +module uart_regs +#(parameter SIM = 0) + (clk, + wb_rst_i, wb_addr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_re_i, + +// additional signals + modem_inputs, + stx_pad_o, srx_pad_i, + + rts_pad_o, dtr_pad_o, int_o +`ifdef UART_HAS_BAUDRATE_OUTPUT + , baud_o +`endif + + ); + +input clk; +input wb_rst_i; +input [2:0] wb_addr_i; +input [7:0] wb_dat_i; +output [7:0] wb_dat_o; +input wb_we_i; +input wb_re_i; + +output stx_pad_o; +input srx_pad_i; + +input [3:0] modem_inputs; +output rts_pad_o; +output dtr_pad_o; +output int_o; +`ifdef UART_HAS_BAUDRATE_OUTPUT +output baud_o; +`endif + +wire [3:0] modem_inputs; +reg enable; +`ifdef UART_HAS_BAUDRATE_OUTPUT +assign baud_o = enable; // baud_o is actually the enable signal +`endif + + +wire stx_pad_o; // received from transmitter module +wire srx_pad_i; +wire srx_pad; + +reg [7:0] wb_dat_o; + +wire [2:0] wb_addr_i; +wire [7:0] wb_dat_i; + + +reg [3:0] ier; +reg [3:0] iir; +reg [1:0] fcr; /// bits 7 and 6 of fcr. Other bits are ignored +reg [4:0] mcr; +reg [7:0] lcr; +reg [7:0] msr; +reg [15:0] dl; // 32-bit divisor latch +reg [7:0] scratch; // UART scratch register +reg start_dlc; // activate dlc on writing to UART_DL1 +reg lsr_mask_d; // delay for lsr_mask condition +reg msi_reset; // reset MSR 4 lower bits indicator +//reg threi_clear; // THRE interrupt clear flag +reg [15:0] dlc; // 32-bit divisor latch counter +reg int_o; + +reg [3:0] trigger_level; // trigger level of the receiver FIFO +reg rx_reset; +reg tx_reset; + +wire dlab; // divisor latch access bit +wire cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i; // modem status bits +wire loopback; // loopback bit (MCR bit 4) +wire cts, dsr, ri, dcd; // effective signals +wire cts_c, dsr_c, ri_c, dcd_c; // Complement effective signals (considering loopback) +wire rts_pad_o, dtr_pad_o; // modem control outputs + +// LSR bits wires and regs +wire [7:0] lsr; +wire lsr0, lsr1, lsr2, lsr3, lsr4, lsr5, lsr6, lsr7; +reg lsr0r, lsr1r, lsr2r, lsr3r, lsr4r, lsr5r, lsr6r, lsr7r; +wire lsr_mask; // lsr_mask + +// +// ASSINGS +// + +assign lsr[7:0] = { lsr7r, lsr6r, lsr5r, lsr4r, lsr3r, lsr2r, lsr1r, lsr0r }; + +assign {cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i} = modem_inputs; +assign {cts, dsr, ri, dcd} = ~{cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i}; + +assign {cts_c, dsr_c, ri_c, dcd_c} = loopback ? {mcr[`UART_MC_RTS],mcr[`UART_MC_DTR],mcr[`UART_MC_OUT1],mcr[`UART_MC_OUT2]} + : {cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i}; + +assign dlab = lcr[`UART_LC_DL]; +assign loopback = mcr[4]; + +// assign modem outputs +assign rts_pad_o = mcr[`UART_MC_RTS]; +assign dtr_pad_o = mcr[`UART_MC_DTR]; + +// Interrupt signals +wire rls_int; // receiver line status interrupt +wire rda_int; // receiver data available interrupt +wire ti_int; // timeout indicator interrupt +wire thre_int; // transmitter holding register empty interrupt +wire ms_int; // modem status interrupt + +// FIFO signals +reg tf_push; +reg rf_pop; +wire [`UART_FIFO_REC_WIDTH-1:0] rf_data_out; +wire rf_error_bit; // an error (parity or framing) is inside the fifo +wire rf_overrun; +wire rf_push_pulse; +wire [`UART_FIFO_COUNTER_W-1:0] rf_count; +wire [`UART_FIFO_COUNTER_W-1:0] tf_count; +wire [2:0] tstate; +wire [3:0] rstate; +wire [9:0] counter_t; + +wire thre_set_en; // THRE status is delayed one character time when a character is written to fifo. +reg [7:0] block_cnt; // While counter counts, THRE status is blocked (delayed one character cycle) +reg [7:0] block_value; // One character length minus stop bit + +// Transmitter Instance +wire serial_out; + +uart_transmitter #(.SIM (SIM)) transmitter(clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, serial_out, tstate, tf_count, tx_reset, lsr_mask); + + // Synchronizing and sampling serial RX input + uart_sync_flops i_uart_sync_flops + ( + .rst_i (wb_rst_i), + .clk_i (clk), + .stage1_rst_i (1'b0), + .stage1_clk_en_i (1'b1), + .async_dat_i (srx_pad_i), + .sync_dat_o (srx_pad) + ); + defparam i_uart_sync_flops.width = 1; + defparam i_uart_sync_flops.init_value = 1'b1; + +// handle loopback +wire serial_in = loopback ? serial_out : srx_pad; +assign stx_pad_o = loopback ? 1'b1 : serial_out; + +// Receiver Instance +uart_receiver receiver(clk, wb_rst_i, lcr, rf_pop, serial_in, enable, + counter_t, rf_count, rf_data_out, rf_error_bit, rf_overrun, rx_reset, lsr_mask, rstate, rf_push_pulse); + + +// Asynchronous reading here because the outputs are sampled in uart_wb.v file +always @(dl or dlab or ier or iir or scratch + or lcr or lsr or msr or rf_data_out or wb_addr_i or wb_re_i) // asynchrounous reading +begin + case (wb_addr_i) + `UART_REG_RB : wb_dat_o = dlab ? dl[`UART_DL1] : rf_data_out[10:3]; + `UART_REG_IE : wb_dat_o = dlab ? dl[`UART_DL2] : {4'd0,ier}; + `UART_REG_II : wb_dat_o = {4'b1100,iir}; + `UART_REG_LC : wb_dat_o = lcr; + `UART_REG_LS : wb_dat_o = lsr; + `UART_REG_MS : wb_dat_o = msr; + `UART_REG_SR : wb_dat_o = scratch; + default: wb_dat_o = 8'b0; // ?? + endcase // case(wb_addr_i) +end // always @ (dl or dlab or ier or iir or scratch... + + +// rf_pop signal handling +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + rf_pop <= 0; + else + if (rf_pop) // restore the signal to 0 after one clock cycle + rf_pop <= 0; + else + if (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab) + rf_pop <= 1; // advance read pointer +end + +wire lsr_mask_condition; +wire iir_read; +wire msr_read; +wire fifo_read; +wire fifo_write; + +assign lsr_mask_condition = (wb_re_i && wb_addr_i == `UART_REG_LS && !dlab); +assign iir_read = (wb_re_i && wb_addr_i == `UART_REG_II && !dlab); +assign msr_read = (wb_re_i && wb_addr_i == `UART_REG_MS && !dlab); +assign fifo_read = (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab); +assign fifo_write = (wb_we_i && wb_addr_i == `UART_REG_TR && !dlab); + +// lsr_mask_d delayed signal handling +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + lsr_mask_d <= 0; + else // reset bits in the Line Status Register + lsr_mask_d <= lsr_mask_condition; +end + +// lsr_mask is rise detected +assign lsr_mask = lsr_mask_condition && ~lsr_mask_d; + +// msi_reset signal handling +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + msi_reset <= 1; + else + if (msi_reset) + msi_reset <= 0; + else + if (msr_read) + msi_reset <= 1; // reset bits in Modem Status Register +end + + +// +// WRITES AND RESETS // +// +// Line Control Register +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + lcr <= 8'b00000011; // 8n1 setting + else + if (wb_we_i && wb_addr_i==`UART_REG_LC) + lcr <= wb_dat_i; + +// Interrupt Enable Register or UART_DL2 +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + begin + ier <= 4'b0000; // no interrupts after reset +`ifdef PRESCALER_PRESET_HARD + dl[`UART_DL2] <= `PRESCALER_HIGH_PRESET; +`else + dl[`UART_DL2] <= 8'b0; +`endif + end + else + if (wb_we_i && wb_addr_i==`UART_REG_IE) + if (dlab) + begin + dl[`UART_DL2] <= +`ifdef PRESCALER_PRESET_HARD + dl[`UART_DL2]; +`else + wb_dat_i; +`endif + end + else + ier <= wb_dat_i[3:0]; // ier uses only 4 lsb + + +// FIFO Control Register and rx_reset, tx_reset signals +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) begin + fcr <= 2'b11; + rx_reset <= 0; + tx_reset <= 0; + end else + if (wb_we_i && wb_addr_i==`UART_REG_FC) begin + fcr <= wb_dat_i[7:6]; + rx_reset <= wb_dat_i[1]; + tx_reset <= wb_dat_i[2]; + end else begin + rx_reset <= 0; + tx_reset <= 0; + end + +// Modem Control Register +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + mcr <= 5'b0; + else + if (wb_we_i && wb_addr_i==`UART_REG_MC) + mcr <= wb_dat_i[4:0]; + +// Scratch register +// Line Control Register +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + scratch <= 0; // 8n1 setting + else + if (wb_we_i && wb_addr_i==`UART_REG_SR) + scratch <= wb_dat_i; + +// TX_FIFO or UART_DL1 +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + begin +`ifdef PRESCALER_PRESET_HARD + dl[`UART_DL1] <= `PRESCALER_LOW_PRESET; +`else + dl[`UART_DL1] <= 8'b0; +`endif + tf_push <= 1'b0; + start_dlc <= 1'b0; + end + else + if (wb_we_i && wb_addr_i==`UART_REG_TR) + if (dlab) + begin +`ifdef PRESCALER_PRESET_HARD + dl[`UART_DL1] <= dl[`UART_DL1]; +`else + dl[`UART_DL1] <= wb_dat_i; +`endif + start_dlc <= 1'b1; // enable DL counter + tf_push <= 1'b0; + end + else + begin + tf_push <= 1'b1; + start_dlc <= 1'b0; + end // else: !if(dlab) + else + begin + start_dlc <= 1'b0; + tf_push <= 1'b0; + end // else: !if(dlab) + +// Receiver FIFO trigger level selection logic (asynchronous mux) +always @(fcr) + case (fcr[`UART_FC_TL]) + 2'b00 : trigger_level = 1; + 2'b01 : trigger_level = 4; + 2'b10 : trigger_level = 8; + 2'b11 : trigger_level = 14; + endcase // case(fcr[`UART_FC_TL]) + +// +// STATUS REGISTERS // +// + +// Modem Status Register +reg [3:0] delayed_modem_signals; +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + begin + msr <= 0; + delayed_modem_signals[3:0] <= 0; + end + else begin + msr[`UART_MS_DDCD:`UART_MS_DCTS] <= msi_reset ? 4'b0 : + msr[`UART_MS_DDCD:`UART_MS_DCTS] | ({dcd, ri, dsr, cts} ^ delayed_modem_signals[3:0]); + msr[`UART_MS_CDCD:`UART_MS_CCTS] <= {dcd_c, ri_c, dsr_c, cts_c}; + delayed_modem_signals[3:0] <= {dcd, ri, dsr, cts}; + end +end + + +// Line Status Register + +// activation conditions +assign lsr0 = (rf_count==0 && rf_push_pulse); // data in receiver fifo available set condition +assign lsr1 = rf_overrun; // Receiver overrun error +assign lsr2 = rf_data_out[1]; // parity error bit +assign lsr3 = rf_data_out[0]; // framing error bit +assign lsr4 = rf_data_out[2]; // break error in the character +assign lsr5 = (tf_count==5'b0 && thre_set_en); // transmitter fifo is empty +assign lsr6 = (tf_count==5'b0 && thre_set_en && (tstate == /*`S_IDLE */ 0)); // transmitter empty +assign lsr7 = rf_error_bit | rf_overrun; + +// lsr bit0 (receiver data available) +reg lsr0_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr0_d <= 0; + else lsr0_d <= lsr0; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr0r <= 0; + else lsr0r <= (rf_count==1 && rf_pop && !rf_push_pulse || rx_reset) ? 1'b0 : // deassert condition + lsr0r || (lsr0 && ~lsr0_d); // set on rise of lsr0 and keep asserted until deasserted + +// lsr bit 1 (receiver overrun) +reg lsr1_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr1_d <= 0; + else lsr1_d <= lsr1; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr1r <= 0; + else lsr1r <= lsr_mask ? 1'b0 : lsr1r || (lsr1 && ~lsr1_d); // set on rise + +// lsr bit 2 (parity error) +reg lsr2_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr2_d <= 0; + else lsr2_d <= lsr2; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr2r <= 0; + else lsr2r <= lsr_mask ? 1'b0 : lsr2r || (lsr2 && ~lsr2_d); // set on rise + +// lsr bit 3 (framing error) +reg lsr3_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr3_d <= 0; + else lsr3_d <= lsr3; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr3r <= 0; + else lsr3r <= lsr_mask ? 1'b0 : lsr3r || (lsr3 && ~lsr3_d); // set on rise + +// lsr bit 4 (break indicator) +reg lsr4_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr4_d <= 0; + else lsr4_d <= lsr4; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr4r <= 0; + else lsr4r <= lsr_mask ? 1'b0 : lsr4r || (lsr4 && ~lsr4_d); + +// lsr bit 5 (transmitter fifo is empty) +reg lsr5_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr5_d <= 1; + else lsr5_d <= lsr5; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr5r <= 1; + else lsr5r <= (fifo_write) ? 1'b0 : lsr5r || (lsr5 && ~lsr5_d); + +// lsr bit 6 (transmitter empty indicator) +reg lsr6_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr6_d <= 1; + else lsr6_d <= lsr6; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr6r <= 1; + else lsr6r <= (fifo_write) ? 1'b0 : lsr6r || (lsr6 && ~lsr6_d); + +// lsr bit 7 (error in fifo) +reg lsr7_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr7_d <= 0; + else lsr7_d <= lsr7; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr7r <= 0; + else lsr7r <= lsr_mask ? 1'b0 : lsr7r || (lsr7 && ~lsr7_d); + +// Frequency divider +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + dlc <= 0; + else + if (start_dlc | ~ (|dlc)) + dlc <= dl - 16'd1; // preset counter + else + dlc <= dlc - 16'd1; // decrement counter +end + +// Enable signal generation logic +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + enable <= 1'b0; + else + if (|dl & ~(|dlc)) // dl>0 & dlc==0 + enable <= 1'b1; + else + enable <= 1'b0; +end + +// Delaying THRE status for one character cycle after a character is written to an empty fifo. +always @(lcr) + case (lcr[3:0]) + 4'b0000 : block_value = 95; // 6 bits + 4'b0100 : block_value = 103; // 6.5 bits + 4'b0001, 4'b1000 : block_value = 111; // 7 bits + 4'b1100 : block_value = 119; // 7.5 bits + 4'b0010, 4'b0101, 4'b1001 : block_value = 127; // 8 bits + 4'b0011, 4'b0110, 4'b1010, 4'b1101 : block_value = 143; // 9 bits + 4'b0111, 4'b1011, 4'b1110 : block_value = 159; // 10 bits + 4'b1111 : block_value = 175; // 11 bits + endcase // case(lcr[3:0]) + +// Counting time of one character minus stop bit +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + block_cnt <= 8'd0; + else + if(lsr5r & fifo_write) // THRE bit set & write to fifo occured + block_cnt <= SIM ? 8'd1 : block_value; + else + if (enable & block_cnt != 8'b0) // only work on enable times + block_cnt <= block_cnt - 8'd1; // decrement break counter +end // always of break condition detection + +// Generating THRE status enable signal +assign thre_set_en = ~(|block_cnt); + + +// +// INTERRUPT LOGIC +// + +assign rls_int = ier[`UART_IE_RLS] && (lsr[`UART_LS_OE] || lsr[`UART_LS_PE] || lsr[`UART_LS_FE] || lsr[`UART_LS_BI]); +assign rda_int = ier[`UART_IE_RDA] && (rf_count >= {1'b0,trigger_level}); +assign thre_int = ier[`UART_IE_THRE] && lsr[`UART_LS_TFE]; +assign ms_int = ier[`UART_IE_MS] && (| msr[3:0]); +assign ti_int = ier[`UART_IE_RDA] && (counter_t == 10'b0) && (|rf_count); + +reg rls_int_d; +reg thre_int_d; +reg ms_int_d; +reg ti_int_d; +reg rda_int_d; + +// delay lines +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rls_int_d <= 0; + else rls_int_d <= rls_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rda_int_d <= 0; + else rda_int_d <= rda_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) thre_int_d <= 0; + else thre_int_d <= thre_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ms_int_d <= 0; + else ms_int_d <= ms_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ti_int_d <= 0; + else ti_int_d <= ti_int; + +// rise detection signals + +wire rls_int_rise; +wire thre_int_rise; +wire ms_int_rise; +wire ti_int_rise; +wire rda_int_rise; + +assign rda_int_rise = rda_int & ~rda_int_d; +assign rls_int_rise = rls_int & ~rls_int_d; +assign thre_int_rise = thre_int & ~thre_int_d; +assign ms_int_rise = ms_int & ~ms_int_d; +assign ti_int_rise = ti_int & ~ti_int_d; + +// interrupt pending flags +reg rls_int_pnd; +reg rda_int_pnd; +reg thre_int_pnd; +reg ms_int_pnd; +reg ti_int_pnd; + +// interrupt pending flags assignments +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rls_int_pnd <= 0; + else + rls_int_pnd <= lsr_mask ? 1'b0 : // reset condition + rls_int_rise ? 1'b1 : // latch condition + rls_int_pnd && ier[`UART_IE_RLS]; // default operation: remove if masked + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rda_int_pnd <= 0; + else + rda_int_pnd <= ((rf_count == {1'b0,trigger_level}) && fifo_read) ? 1'b0 : // reset condition + rda_int_rise ? 1'b1 : // latch condition + rda_int_pnd && ier[`UART_IE_RDA]; // default operation: remove if masked + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) thre_int_pnd <= 0; + else + thre_int_pnd <= fifo_write || (iir_read & ~iir[`UART_II_IP] & iir[`UART_II_II] == `UART_II_THRE)? 1'b0 : + thre_int_rise ? 1'b1 : + thre_int_pnd && ier[`UART_IE_THRE]; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ms_int_pnd <= 0; + else + ms_int_pnd <= msr_read ? 1'b0 : + ms_int_rise ? 1'b1 : + ms_int_pnd && ier[`UART_IE_MS]; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ti_int_pnd <= 0; + else + ti_int_pnd <= fifo_read ? 1'b0 : + ti_int_rise ? 1'b1 : + ti_int_pnd && ier[`UART_IE_RDA]; +// end of pending flags + +// INT_O logic +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + int_o <= 1'b0; + else + int_o <= + rls_int_pnd ? ~lsr_mask : + rda_int_pnd ? 1'b1 : + ti_int_pnd ? ~fifo_read : + thre_int_pnd ? !(fifo_write & iir_read) : + ms_int_pnd ? ~msr_read : + 1'd0; // if no interrupt are pending +end + + +// Interrupt Identification register +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + iir <= 1; + else + if (rls_int_pnd) // interrupt is pending + begin + iir[`UART_II_II] <= `UART_II_RLS; // set identification register to correct value + iir[`UART_II_IP] <= 1'b0; // and clear the IIR bit 0 (interrupt pending) + end else // the sequence of conditions determines priority of interrupt identification + if (rda_int) + begin + iir[`UART_II_II] <= `UART_II_RDA; + iir[`UART_II_IP] <= 1'b0; + end + else if (ti_int_pnd) + begin + iir[`UART_II_II] <= `UART_II_TI; + iir[`UART_II_IP] <= 1'b0; + end + else if (thre_int_pnd) + begin + iir[`UART_II_II] <= `UART_II_THRE; + iir[`UART_II_IP] <= 1'b0; + end + else if (ms_int_pnd) + begin + iir[`UART_II_II] <= `UART_II_MS; + iir[`UART_II_IP] <= 1'b0; + end else // no interrupt is pending + begin + iir[`UART_II_II] <= 0; + iir[`UART_II_IP] <= 1'b1; + end +end + +endmodule diff --git a/design/src/main/resources/vsrc/uart_rfifo.v b/design/src/main/resources/vsrc/uart_rfifo.v new file mode 100644 index 00000000..59a29b93 --- /dev/null +++ b/design/src/main/resources/vsrc/uart_rfifo.v @@ -0,0 +1,316 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_rfifo.v (Modified from uart_fifo.v) //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core receiver FIFO //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2002/07/22 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.3 2003/06/11 16:37:47 gorban +// This fixes errors in some cases when data is being read and put to the FIFO at the same time. Patch is submitted by Scott Furman. Update is very recommended. +// +// Revision 1.2 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.1 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.16 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.15 2001/12/18 09:01:07 mohor +// Bug that was entered in the last update fixed (rx state machine). +// +// Revision 1.14 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.13 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.12 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.11 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/24 08:48:10 mohor +// FIFO was not cleared after the data was read bug fixed. +// +// Revision 1.7 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.3 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:48 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +module uart_rfifo (clk, + wb_rst_i, data_in, data_out, +// Control signals + push, // push strobe, active high + pop, // pop strobe, active high +// status signals + overrun, + count, + error_bit, + fifo_reset, + reset_status + ); + + +// FIFO parameters +parameter fifo_width = `UART_FIFO_WIDTH; +parameter fifo_depth = `UART_FIFO_DEPTH; +parameter fifo_pointer_w = `UART_FIFO_POINTER_W; +parameter fifo_counter_w = `UART_FIFO_COUNTER_W; + +input clk; +input wb_rst_i; +input push; +input pop; +input [fifo_width-1:0] data_in; +input fifo_reset; +input reset_status; + +output [fifo_width-1:0] data_out; +output overrun; +output [fifo_counter_w-1:0] count; +output error_bit; + +wire [fifo_width-1:0] data_out; +wire [7:0] data8_out; +// flags FIFO +reg [2:0] fifo[fifo_depth-1:0]; + +// FIFO pointers +reg [fifo_pointer_w-1:0] top; +reg [fifo_pointer_w-1:0] bottom; + +reg [fifo_counter_w-1:0] count; +reg overrun; + +wire [fifo_pointer_w-1:0] top_plus_1 = top + 4'h1; + +raminfr #(fifo_pointer_w,8,fifo_depth) rfifo + (.clk(clk), + .we(push), + .a(top), + .dpra(bottom), + .di(data_in[fifo_width-1:fifo_width-8]), + .dpo(data8_out) + ); + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + begin + top <= 0; + bottom <= 0; + count <= 0; + fifo[0] <= 0; + fifo[1] <= 0; + fifo[2] <= 0; + fifo[3] <= 0; + fifo[4] <= 0; + fifo[5] <= 0; + fifo[6] <= 0; + fifo[7] <= 0; + fifo[8] <= 0; + fifo[9] <= 0; + fifo[10] <= 0; + fifo[11] <= 0; + fifo[12] <= 0; + fifo[13] <= 0; + fifo[14] <= 0; + fifo[15] <= 0; + end + else + if (fifo_reset) begin + top <= 0; + bottom <= 0; + count <= 0; + fifo[0] <= 0; + fifo[1] <= 0; + fifo[2] <= 0; + fifo[3] <= 0; + fifo[4] <= 0; + fifo[5] <= 0; + fifo[6] <= 0; + fifo[7] <= 0; + fifo[8] <= 0; + fifo[9] <= 0; + fifo[10] <= 0; + fifo[11] <= 0; + fifo[12] <= 0; + fifo[13] <= 0; + fifo[14] <= 0; + fifo[15] <= 0; + end + else + begin + case ({push, pop}) + 2'b10 : if (count0) + begin + fifo[bottom] <= 0; + bottom <= bottom + 4'd1; + count <= count - 5'd1; + end + 2'b11 : begin + bottom <= bottom + 4'd1; + top <= top_plus_1; + fifo[top] <= data_in[2:0]; + end + default: ; + endcase + end +end // always + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + overrun <= 1'b0; + else + if(fifo_reset | reset_status) + overrun <= 1'b0; + else + if(push & ~pop & (count==fifo_depth)) + overrun <= 1'b1; +end // always + + +// please note though that data_out is only valid one clock after pop signal +assign data_out = {data8_out,fifo[bottom]}; + +// Additional logic for detection of error conditions (parity and framing) inside the FIFO +// for the Line Status Register bit 7 + +wire [2:0] word0 = fifo[0]; +wire [2:0] word1 = fifo[1]; +wire [2:0] word2 = fifo[2]; +wire [2:0] word3 = fifo[3]; +wire [2:0] word4 = fifo[4]; +wire [2:0] word5 = fifo[5]; +wire [2:0] word6 = fifo[6]; +wire [2:0] word7 = fifo[7]; + +wire [2:0] word8 = fifo[8]; +wire [2:0] word9 = fifo[9]; +wire [2:0] word10 = fifo[10]; +wire [2:0] word11 = fifo[11]; +wire [2:0] word12 = fifo[12]; +wire [2:0] word13 = fifo[13]; +wire [2:0] word14 = fifo[14]; +wire [2:0] word15 = fifo[15]; + +// a 1 is returned if any of the error bits in the fifo is 1 +assign error_bit = |(word0[2:0] | word1[2:0] | word2[2:0] | word3[2:0] | + word4[2:0] | word5[2:0] | word6[2:0] | word7[2:0] | + word8[2:0] | word9[2:0] | word10[2:0] | word11[2:0] | + word12[2:0] | word13[2:0] | word14[2:0] | word15[2:0] ); + +endmodule diff --git a/design/src/main/resources/vsrc/uart_sync_flops.v b/design/src/main/resources/vsrc/uart_sync_flops.v new file mode 100644 index 00000000..82a3a615 --- /dev/null +++ b/design/src/main/resources/vsrc/uart_sync_flops.v @@ -0,0 +1,117 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_sync_flops.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core receiver logic //// +//// //// +//// Known problems (limits): //// +//// None known //// +//// //// +//// To Do: //// +//// Thourough testing. //// +//// //// +//// Author(s): //// +//// - Andrej Erzen (andreje@flextronics.si) //// +//// - Tadej Markovic (tadejm@flextronics.si) //// +//// //// +//// Created: 2004/05/20 //// +//// Last Updated: 2004/05/20 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// + +module uart_sync_flops +( + // internal signals + rst_i, + clk_i, + stage1_rst_i, + stage1_clk_en_i, + async_dat_i, + sync_dat_o +); + +parameter width = 1; +parameter init_value = 1'b0; + +input rst_i; // reset input +input clk_i; // clock input +input stage1_rst_i; // synchronous reset for stage 1 FF +input stage1_clk_en_i; // synchronous clock enable for stage 1 FF +input [width-1:0] async_dat_i; // asynchronous data input +output [width-1:0] sync_dat_o; // synchronous data output + + +// +// Interal signal declarations +// + +reg [width-1:0] sync_dat_o; +reg [width-1:0] flop_0; + + +// first stage +always @ (posedge clk_i or posedge rst_i) +begin + if (rst_i) + flop_0 <= {width{init_value}}; + else + flop_0 <= async_dat_i; +end + +// second stage +always @ (posedge clk_i or posedge rst_i) +begin + if (rst_i) + sync_dat_o <= {width{init_value}}; + else if (stage1_rst_i) + sync_dat_o <= {width{init_value}}; + else if (stage1_clk_en_i) + sync_dat_o <= flop_0; +end + +endmodule diff --git a/design/src/main/resources/vsrc/uart_tfifo.v b/design/src/main/resources/vsrc/uart_tfifo.v new file mode 100644 index 00000000..5b254cba --- /dev/null +++ b/design/src/main/resources/vsrc/uart_tfifo.v @@ -0,0 +1,239 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_tfifo.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core transmitter FIFO //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2002/07/22 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.1 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.16 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.15 2001/12/18 09:01:07 mohor +// Bug that was entered in the last update fixed (rx state machine). +// +// Revision 1.14 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.13 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.12 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.11 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/24 08:48:10 mohor +// FIFO was not cleared after the data was read bug fixed. +// +// Revision 1.7 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.3 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:48 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +module uart_tfifo (clk, + wb_rst_i, data_in, data_out, +// Control signals + push, // push strobe, active high + pop, // pop strobe, active high +// status signals + overrun, + count, + fifo_reset, + reset_status + ); + + +// FIFO parameters +parameter fifo_width = `UART_FIFO_WIDTH; +parameter fifo_depth = `UART_FIFO_DEPTH; +parameter fifo_pointer_w = `UART_FIFO_POINTER_W; +parameter fifo_counter_w = `UART_FIFO_COUNTER_W; + +input clk; +input wb_rst_i; +input push; +input pop; +input [fifo_width-1:0] data_in; +input fifo_reset; +input reset_status; + +output [fifo_width-1:0] data_out; +output overrun; +output [fifo_counter_w-1:0] count; + +wire [fifo_width-1:0] data_out; + +// FIFO pointers +reg [fifo_pointer_w-1:0] top; +reg [fifo_pointer_w-1:0] bottom; + +reg [fifo_counter_w-1:0] count; +reg overrun; +wire [fifo_pointer_w-1:0] top_plus_1 = top + 4'd1; + +raminfr #(fifo_pointer_w,fifo_width,fifo_depth) tfifo + (.clk(clk), + .we(push), + .a(top), + .dpra(bottom), + .di(data_in), + .dpo(data_out) + ); + + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + begin + top <= 0; + bottom <= 0; + count <= 0; + end + else + if (fifo_reset) begin + top <= 0; + bottom <= 0; + count <= 0; + end + else + begin + case ({push, pop}) + 2'b10 : if (count0) + begin + bottom <= bottom + 4'd1; + count <= count - 5'd1; + end + 2'b11 : begin + bottom <= bottom + 4'd1; + top <= top_plus_1; + end + default: ; + endcase + end +end // always + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + overrun <= 1'b0; + else + if(fifo_reset | reset_status) + overrun <= 1'b0; + else + if(push & (count==fifo_depth)) + overrun <= 1'b1; +end // always + +endmodule diff --git a/design/src/main/resources/vsrc/uart_top.v b/design/src/main/resources/vsrc/uart_top.v new file mode 100644 index 00000000..528f2f72 --- /dev/null +++ b/design/src/main/resources/vsrc/uart_top.v @@ -0,0 +1,261 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_top.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core top level. //// +//// //// +//// Known problems (limits): //// +//// Note that transmitter and receiver instances are inside //// +//// the uart_regs.v file. //// +//// //// +//// To Do: //// +//// Nothing so far. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.18 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.17 2001/12/19 08:40:03 mohor +// Warnings fixed (unused signals removed). +// +// Revision 1.16 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.15 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.14 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.13 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.12 2001/08/25 15:46:19 gorban +// Modified port names again +// +// Revision 1.11 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.10 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +module uart_top ( + wb_clk_i, + + // Wishbone signals + wb_rst_i, wb_adr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_sel_i, + int_o, // interrupt request + + // UART signals + // serial input/output + stx_pad_o, srx_pad_i, + + // modem signals + rts_pad_o, cts_pad_i, dtr_pad_o, dsr_pad_i, ri_pad_i, dcd_pad_i +`ifdef UART_HAS_BAUDRATE_OUTPUT + , baud_o +`endif + ); +parameter SIM = 0; +parameter debug = 0; + +input wb_clk_i; + +// WISHBONE interface +input wb_rst_i; +input [2:0] wb_adr_i; +input [7:0] wb_dat_i; +output [7:0] wb_dat_o; +input wb_we_i; +input wb_stb_i; +input wb_cyc_i; +input [3:0] wb_sel_i; +output wb_ack_o; +output int_o; + +// UART signals +input srx_pad_i; +output stx_pad_o; +output rts_pad_o; +input cts_pad_i; +output dtr_pad_o; +input dsr_pad_i; +input ri_pad_i; +input dcd_pad_i; + +// optional baudrate output +`ifdef UART_HAS_BAUDRATE_OUTPUT +output baud_o; +`endif + + +wire stx_pad_o; +wire rts_pad_o; +wire dtr_pad_o; + +wire [2:0] wb_adr_i; +wire [7:0] wb_dat_i; +wire [7:0] wb_dat_o; + +wire [7:0] wb_dat8_i; // 8-bit internal data input +wire [7:0] wb_dat8_o; // 8-bit internal data output +wire [31:0] wb_dat32_o; // debug interface 32-bit output +wire [3:0] wb_sel_i; // WISHBONE select signal +wire [2:0] wb_adr_int; +wire we_o; // Write enable for registers +wire re_o; // Read enable for registers +// +// MODULE INSTANCES +// + +//// WISHBONE interface module +uart_wb wb_interface( + .clk( wb_clk_i ), + .wb_rst_i( wb_rst_i ), + .wb_dat_i(wb_dat_i), + .wb_dat_o(wb_dat_o), + .wb_dat8_i(wb_dat8_i), + .wb_dat8_o(wb_dat8_o), + .wb_dat32_o(32'b0), + .wb_sel_i(4'b0), + .wb_we_i( wb_we_i ), + .wb_stb_i( wb_stb_i ), + .wb_cyc_i( wb_cyc_i ), + .wb_ack_o( wb_ack_o ), + .wb_adr_i(wb_adr_i), + .wb_adr_int(wb_adr_int), + .we_o( we_o ), + .re_o(re_o) + ); + +// Registers +uart_regs #(.SIM (SIM)) regs( + .clk( wb_clk_i ), + .wb_rst_i( wb_rst_i ), + .wb_addr_i( wb_adr_int ), + .wb_dat_i( wb_dat8_i ), + .wb_dat_o( wb_dat8_o ), + .wb_we_i( we_o ), + .wb_re_i(re_o), + .modem_inputs( {cts_pad_i, dsr_pad_i, + ri_pad_i, dcd_pad_i} ), + .stx_pad_o( stx_pad_o ), + .srx_pad_i( srx_pad_i ), + .rts_pad_o( rts_pad_o ), + .dtr_pad_o( dtr_pad_o ), + .int_o( int_o ) +`ifdef UART_HAS_BAUDRATE_OUTPUT + , .baud_o(baud_o) +`endif + +); + +initial +begin + if(debug) begin + `ifdef UART_HAS_BAUDRATE_OUTPUT + $display("(%m) UART INFO: Has baudrate output\n"); + `else + $display("(%m) UART INFO: Doesn't have baudrate output\n"); + `endif + end +end + +endmodule + + diff --git a/design/src/main/resources/vsrc/uart_transmitter.v b/design/src/main/resources/vsrc/uart_transmitter.v new file mode 100644 index 00000000..e2e8cf39 --- /dev/null +++ b/design/src/main/resources/vsrc/uart_transmitter.v @@ -0,0 +1,354 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_transmitter.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core transmitter logic //// +//// //// +//// Known problems (limits): //// +//// None known //// +//// //// +//// To Do: //// +//// Thourough testing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.18 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.16 2002/01/08 11:29:40 mohor +// tf_pop was too wide. Now it is only 1 clk cycle width. +// +// Revision 1.15 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.14 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.13 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.12 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.11 2001/10/29 17:00:46 gorban +// fixed parity sending and tx_fifo resets over- and underrun +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.6 2001/06/23 11:21:48 gorban +// DL made 16-bit long. Fixed transmission/reception bugs. +// +// Revision 1.5 2001/06/02 14:28:14 gorban +// Fixed receiver and transmitter. Major bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:49 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.1 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +module uart_transmitter +#(parameter SIM = 0) + (clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, stx_pad_o, tstate, tf_count, tx_reset, lsr_mask); + +input clk; +input wb_rst_i; +input [7:0] lcr; +input tf_push; +input [7:0] wb_dat_i; +input enable; +input tx_reset; +input lsr_mask; //reset of fifo +output stx_pad_o; +output [2:0] tstate; +output [`UART_FIFO_COUNTER_W-1:0] tf_count; + +reg [2:0] tstate; +reg [4:0] counter; +reg [2:0] bit_counter; // counts the bits to be sent +reg [6:0] shift_out; // output shift register +reg stx_o_tmp; +reg parity_xor; // parity of the word +reg tf_pop; +reg bit_out; + +// TX FIFO instance +// +// Transmitter FIFO signals +wire [`UART_FIFO_WIDTH-1:0] tf_data_in; +wire [`UART_FIFO_WIDTH-1:0] tf_data_out; +wire tf_push; +wire tf_overrun; +wire [`UART_FIFO_COUNTER_W-1:0] tf_count; + +assign tf_data_in = wb_dat_i; + +uart_tfifo fifo_tx( // error bit signal is not used in transmitter FIFO + .clk( clk ), + .wb_rst_i( wb_rst_i ), + .data_in( tf_data_in ), + .data_out( tf_data_out ), + .push( tf_push ), + .pop( tf_pop ), + .overrun( tf_overrun ), + .count( tf_count ), + .fifo_reset( tx_reset ), + .reset_status(lsr_mask) +); + +// TRANSMITTER FINAL STATE MACHINE + +localparam s_idle = 3'd0; +localparam s_send_start = 3'd1; +localparam s_send_byte = 3'd2; +localparam s_send_parity = 3'd3; +localparam s_send_stop = 3'd4; +localparam s_pop_byte = 3'd5; + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + begin + tstate <= s_idle; + stx_o_tmp <= 1'b1; + counter <= 5'b0; + shift_out <= 7'b0; + bit_out <= 1'b0; + parity_xor <= 1'b0; + tf_pop <= 1'b0; + bit_counter <= 3'b0; + end + else + if (enable | SIM) + begin + case (tstate) + s_idle : if (~|tf_count) // if tf_count==0 + begin + tstate <= s_idle; + stx_o_tmp <= 1'b1; + end + else + begin + tf_pop <= 1'b0; + stx_o_tmp <= 1'b1; + tstate <= s_pop_byte; + end + s_pop_byte : begin + tf_pop <= 1'b1; + case (lcr[/*`UART_LC_BITS*/1:0]) // number of bits in a word + 2'b00 : begin + bit_counter <= 3'b100; + parity_xor <= ^tf_data_out[4:0]; + end + 2'b01 : begin + bit_counter <= 3'b101; + parity_xor <= ^tf_data_out[5:0]; + end + 2'b10 : begin + bit_counter <= 3'b110; + parity_xor <= ^tf_data_out[6:0]; + end + 2'b11 : begin + bit_counter <= 3'b111; + parity_xor <= ^tf_data_out[7:0]; + end + endcase + {shift_out[6:0], bit_out} <= tf_data_out; + tstate <= s_send_start; + end + s_send_start : begin + tf_pop <= 1'b0; + if (~|counter) + counter <= 5'b01111; + else + if (counter == 5'b00001) + begin + counter <= 0; + tstate <= s_send_byte; + end + else + counter <= counter - 5'd1; + stx_o_tmp <= 1'b0; + if (SIM) begin + tstate <= s_idle; + $write("%c", tf_data_out); + $fflush(32'h80000001); + end + end + s_send_byte : begin + if (~|counter) + counter <= 5'b01111; + else + if (counter == 5'b00001) + begin + if (bit_counter > 3'b0) + begin + bit_counter <= bit_counter - 3'd1; + {shift_out[5:0],bit_out } <= {shift_out[6:1], shift_out[0]}; + tstate <= s_send_byte; + end + else // end of byte + if (~lcr[`UART_LC_PE]) + begin + tstate <= s_send_stop; + end + else + begin + case ({lcr[`UART_LC_EP],lcr[`UART_LC_SP]}) + 2'b00: bit_out <= ~parity_xor; + 2'b01: bit_out <= 1'b1; + 2'b10: bit_out <= parity_xor; + 2'b11: bit_out <= 1'b0; + endcase + tstate <= s_send_parity; + end + counter <= 0; + end + else + counter <= counter - 5'd1; + stx_o_tmp <= bit_out; // set output pin + end + s_send_parity : begin + if (~|counter) + counter <= 5'b01111; + else + if (counter == 5'b00001) + begin + counter <= 5'd0; + tstate <= s_send_stop; + end + else + counter <= counter - 5'd1; + stx_o_tmp <= bit_out; + end + s_send_stop : begin + if (~|counter) + begin + casez ({lcr[`UART_LC_SB],lcr[`UART_LC_BITS]}) + 3'b0??: counter <= 5'b01101; // 1 stop bit ok igor + 3'b100: counter <= 5'b10101; // 1.5 stop bit + default: counter <= 5'b11101; // 2 stop bits + endcase + end + else + if (counter == 5'b00001) + begin + counter <= 0; + tstate <= s_idle; + end + else + counter <= counter - 5'd1; + stx_o_tmp <= 1'b1; + end + + default : // should never get here + tstate <= s_idle; + endcase + end // end if enable + else + tf_pop <= 1'b0; // tf_pop must be 1 cycle width +end // transmitter logic + +assign stx_pad_o = lcr[`UART_LC_BC] ? 1'b0 : stx_o_tmp; // Break condition + +endmodule diff --git a/design/src/main/resources/vsrc/uart_wb.v b/design/src/main/resources/vsrc/uart_wb.v new file mode 100644 index 00000000..d537b700 --- /dev/null +++ b/design/src/main/resources/vsrc/uart_wb.v @@ -0,0 +1,258 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_wb.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core WISHBONE interface. //// +//// //// +//// Known problems (limits): //// +//// Inserts one wait state on all transfers. //// +//// Note affected signals and the way they are affected. //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.16 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.15 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.12 2001/12/19 08:03:34 mohor +// Warnings cleared. +// +// Revision 1.11 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.10 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.9 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.8 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.7 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/21 19:12:01 gorban +// Corrected some Linter messages. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:13+02 jacob +// Initial revision +// +// + +// UART core WISHBONE interface +// +// Author: Jacob Gorban (jacob.gorban@flextronicssemi.com) +// Company: Flextronics Semiconductor +// + +`include "uart_defines.v" + +module uart_wb (clk, wb_rst_i, + wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_adr_i, + wb_adr_int, wb_dat_i, wb_dat_o, wb_dat8_i, wb_dat8_o, wb_dat32_o, wb_sel_i, + we_o, re_o // Write and read enable output for the core +); + +input clk; + +// WISHBONE interface +input wb_rst_i; +input wb_we_i; +input wb_stb_i; +input wb_cyc_i; +input [3:0] wb_sel_i; +input [2:0] wb_adr_i; //WISHBONE address line + +input [7:0] wb_dat_i; //input WISHBONE bus +output [7:0] wb_dat_o; +reg [7:0] wb_dat_o; +wire [7:0] wb_dat_i; +reg [7:0] wb_dat_is; + +output [2:0] wb_adr_int; // internal signal for address bus +input [7:0] wb_dat8_o; // internal 8 bit output to be put into wb_dat_o +output [7:0] wb_dat8_i; +input [31:0] wb_dat32_o; // 32 bit data output (for debug interface) +output wb_ack_o; +output we_o; +output re_o; + +wire we_o; +reg wb_ack_o; +reg [7:0] wb_dat8_i; +wire [7:0] wb_dat8_o; +wire [2:0] wb_adr_int; // internal signal for address bus +reg [2:0] wb_adr_is; +reg wb_we_is; +reg wb_cyc_is; +reg wb_stb_is; +wire [3:0] wb_sel_i; +reg wre ;// timing control signal for write or read enable + +// wb_ack_o FSM +reg [1:0] wbstate; +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) begin + wb_ack_o <= 1'b0; + wbstate <= 0; + wre <= 1'b1; + end else + case (wbstate) + 0: begin + if (wb_stb_is & wb_cyc_is) begin + wre <= 0; + wbstate <= 1; + wb_ack_o <= 1; + end else begin + wre <= 1; + wb_ack_o <= 0; + end + end + 1: begin + wb_ack_o <= 0; + wbstate <= 2; + wre <= 0; + end + 2: begin + wb_ack_o <= 0; + wbstate <= 3; + wre <= 0; + end + 3: begin + wb_ack_o <= 0; + wbstate <= 0; + wre <= 1; + end + endcase + +assign we_o = wb_we_is & wb_stb_is & wb_cyc_is & wre ; //WE for registers +assign re_o = ~wb_we_is & wb_stb_is & wb_cyc_is & wre ; //RE for registers + +// Sample input signals +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) begin + wb_adr_is <= 0; + wb_we_is <= 0; + wb_cyc_is <= 0; + wb_stb_is <= 0; + wb_dat_is <= 0; + end else begin + wb_adr_is <= wb_adr_i; + wb_we_is <= wb_we_i; + wb_cyc_is <= wb_cyc_i; + wb_stb_is <= wb_stb_i; + wb_dat_is <= wb_dat_i; + end + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + wb_dat_o <= 0; + else + wb_dat_o <= wb_dat8_o; + +always @(wb_dat_is) + wb_dat8_i = wb_dat_is; + +assign wb_adr_int = wb_adr_is; + + +endmodule + + + + + + + + + + diff --git a/design/src/main/resources/vsrc/wb_mem_wrapper.v b/design/src/main/resources/vsrc/wb_mem_wrapper.v new file mode 100644 index 00000000..283e268a --- /dev/null +++ b/design/src/main/resources/vsrc/wb_mem_wrapper.v @@ -0,0 +1,72 @@ +// SPDX-License-Identifier: Apache-2.0 +// Copyright 2019 Western Digital Corporation or its affiliates. +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. + +//******************************************************************************** +// $Id$ +// +// Function: Wrapper for on-chip memory instantiations +// Comments: +// +//******************************************************************************** + +`default_nettype none +module wb_mem_wrapper + #(parameter MEM_SIZE = 0, + parameter mem_clear = 0, + parameter INIT_FILE = "") + ( + input wire i_clk, + input wire i_rst, + input wire [$clog2(MEM_SIZE)-1:2] i_wb_adr, + input wire [31:0] i_wb_dat, + input wire [3:0] i_wb_sel, + input wire i_wb_we , + input wire i_wb_cyc, + input wire i_wb_stb, + output reg o_wb_ack, + output wire [31:0] o_wb_rdt); + + wire [31:0] mem_addr; + wire [63:0] mem_wdata; + wire [63:0] mem_rdata; + + wire [7:0] mem_we; + + assign mem_we[3:0] = (i_wb_cyc & i_wb_stb & i_wb_we & !i_wb_adr[2]) ? i_wb_sel : 4'd0; + assign mem_we[7:4] = (i_wb_cyc & i_wb_stb & i_wb_we & i_wb_adr[2]) ? i_wb_sel : 4'd0; + + assign mem_wdata = {i_wb_dat, i_wb_dat}; + + assign o_wb_rdt = i_wb_adr[2] ? mem_rdata[63:32] : mem_rdata[31:0]; + + always @(posedge i_clk) begin + o_wb_ack <= i_wb_cyc & i_wb_stb & !o_wb_ack; + if (i_rst) + o_wb_ack <= 1'b0; + end + + dpram64 + #(.SIZE (MEM_SIZE), + .mem_clear (mem_clear), + .memfile (INIT_FILE)) + ram + (.clk (i_clk), + .we (mem_we), + .din (mem_wdata), + .waddr ({i_wb_adr[$clog2(MEM_SIZE)-1:3],3'b000}), + .raddr ({i_wb_adr[$clog2(MEM_SIZE)-1:3],3'b000}), + .dout (mem_rdata)); + +endmodule diff --git a/design/target/scala-2.12/classes/lsu/lsu.class b/design/target/scala-2.12/classes/lsu/lsu.class index 44af4b176d37e6b2c12cfece46022b467e15e72c..0bbc9b0df09f42e7a7feac2bb3a9453aea770ab8 100644 GIT binary patch delta 2927 zcmZ8jTW=!C8C6x$Ky#foi~$>LHO9;^226vYZLo(l*v8Gpv$kh|<~D#0HZ~U{Y~$<_ zh!m~nAyJe!Cy^26yQAP%o!Z(iz##jUF)rAf)OAv(h zUbQ?97Iti;VB}?RYZ4?6_7IHr2!`7$uV1!4O;XrgnXsqX)j4*}KvF>$C+o*`B~zym zP$Q6{=@|#o20u%97TD%vygwlc$Qwc=<3cc{P?7eP!p6-}(rs#$w|a@>3U(Bs2sqE|Wqt4N(~v=>)RHL252NIrSFUX8G{SSmT1197lokJb^f!p~f9Vdc5DQs6&5= zkS&un0caEc(y(paY&MeVgr}f}e2fCm3IxjN3>IY$VDZ zrfGVCMS8}X@0mBw6ZVaMPYT4Dr}irfUquZEVxZRq8v2cWv4zpu?YurN8?!0}Pl4~j zsePcC$ddg>JFtx=h-c^;=n&I@jg)Sive%$gF-;>#QdZVeQX^ynF`AY^W00cyP>#?N z$7ZJ-qP-M5+r_GX17TTl!b#qntJA>Q>w z$l`#sY8tSnf(l~W?3F|KjI%J_np&kGg0X#|sP~`onbDWE|kQOIxf)xz?fm$v@t8_<|EY>ZplGr+LC?-JZdG=Lp+XyXsndzP4Y}{kfkU}N6IO=$JpN| zWT-f|Tm$ZALUc&mu)_>?sJL!8H;10NaJA-j;GSYcdW8>&SR_QmUZ*Qe=V)f+TopE} zJPyo!@_`FHc=WLaA)^^1cACNalm7n>Xe9^bz}1D{aqG4cDx@e%0gysPA(72VH@mvP zUPpDKCojsr0fh&=B~5|F#1Z1S9S^;hK=t$xx2)u=mz$UawWS9R7)AeWTja7aI|}J> zqQY2>=YJ7bvN#Qtkh@~ta-^D(TlZGy*RP}d4zt$ER^#Ec$dTj&M=atP=7SAkb~KwQ zZQ<6HgCBb?6B7xT_RGFHKVwwd*evqP*;$qgcaXU@R*nY zS{tNrPqd}bEI~Y09D`-J=d@5%!H(2gJkLT1+NidvV-B1oLZcgffw_*$zt4F}OqJJJ zbKU%ABYvn+EOTU|C?D-&ez{&^T_=@j%CiG($I5yy&53*vYfggz{{&I9@V$3cfB!012UneDgM4*la}o zqhY!XW~QWOZliOXdt%^dQigRwMBHHRR3^UD-pokuE&2v%N9ySyUKuqz3xVo^bL7i?J@c=YPT0b?UW8v$a>{Wd%J+6^bg5i&yIa?nUj zeSYtS5IIE_i}cG8mII9WEJ1nzj(~K_7P=pspWaq^oPnGc_i1FKpRN|y&QeLYovXg7b6(C;G((WGRn_B} zI*1uB$QCEgD`s1wQ)25!VGUikuxZ3} zhXW=W`EO3gsZ-s;8jZN`_Ck0*%6d6c#9ETJOvN$Ol-8?7r~*@E(hPg%wObyOrHje2 zB;C{DdJkVB`hu@@G`_&>REX@%;t!5$OTIbO6o8(ee4AC~0F4Jb(XmCU<>wCk<>2eK zo*T`WEsfK|QL}3ebmQZTM9&(g5krgnjfAc}Y*zw36#VWpM+nk*)STAo{`5Tlpcvh( z&S7L+Ou}0Uw)C?U22^p!58Aol zzsX?5@z^#yJf<bPut%9$(C1gbEu&0bc?S>}?BdKNZH61BIk;9_vOE3xQ%8 zC>AA1v0@BTyCTHW0Z~DuXC3+WQu~A7fY{rwe*1|Xynh>g_mf2s`_Y$Q-+%cv_}j_d z?_WaW{U2Y!rxw{aU#Pyh`+FCWn!EWPw1M#5Mi1uhJQt9>`$Z4lO#hiL;RJ;5 ze|`xWG&TFv06xWs|22UBoWA(#N_=r|x`u!G<=ubYL;3wb^ysfZ`upY`h47N$Mc^gJ bOM#aXFA^`+{X0tihcod0{>PuH|E>HlLX@q7 delta 2916 zcmY*bO-~|Q8qPUI6%_JuOB5&pdkR5q<*Ps`L|eM3Rzy)7ZGqxLLHQ81puM2jJzQ8! zCY?zpnORLIlguRZ2PT>H!dcC(H@%x#+{Glbp3P0>E^acLSj+FtWrIdbw&E&kl*X7GjjStd2-&okXl)IPVE zkJg)UiobZHhPDN=vVabDs7*28Xbv0Wb#s1^qj1(_j`It9xV~c96M_1jyK6B_|7;Qy zF=xdL4A_yDVG0p5)-*XQmVlbPwgKJ(_3x6NVZ&>S)C=ZrV*fQz9j>b|0l3R15^zbN z5-y{zgl`JhY_v==Lsg8W6Rkqvtz@2<_uE4CMVwKi__~Q?Q9LG`tXI{iaJh)9+$2)~ z8pPb4F3+7V;%T+Ohub#1GUwzuXmocueQ~w6GdrZN4R-hxNIA$zisf;b)LnyO^fnex zao$bPWk@mM$Kb7~UEy1$)pBLlu0D;gDL~+41mu}|al42oH3;bECQ{tm<5j4I{fz!( zl{DTIXK6+N-w~tzNwYmW!@s1|L$8ix1PE2^#0YTQ!|4SaY9ys%sKpsx5`(Qpk`w`s zK?A;lWw=L6m_c2Lb45#a0t;5<%K`jcfdj=SL*ABG+`O{EDAqw!bPRxa2SqTQ^% z7$qruBd9Q?*QK$1s4C}aTbHEEy;nV5F><%F-JdmLI1G>SRw_7Az7oR?ZJ#10k1y*I}z|>3o?5pwi=sSQV&tU%clTV5ld%h&$ER9T9lil zn+gC)yLnPp^Q=D~y%i7oVaXot#I|1nXT_;ZK}7^6g|TAfG&!(s`Dspy5nanSNCUdZ z@!eJOcbn@IIVl&t5rWlW&qGJvqe|Y5fM^RtaNWy6$RasbFG>i=c+5)TV65SLhBPu) zc+f~i8N}~6C9e!Pai=SVZ(;|CImTPWhsdcAb(15paksIstYYqBKk7+H zIgT{pM`2~maJ{L5%goF?iYMcvSF7p4r5Y7bdMv=9h%R{B7}#W|te2cTmCh;5uwEYT zCWvm&Q;gJu>tSrE=lnKn3$vPj}3o z$HFQTP#7{K7J6$2e?VQ`Bc&mG7n@q!lB~-Yv27iN z$>P}7^^lX@B?p3~WsNeJS4ki>4E?ZvnnTX$(46rec!7G$9@{XBLOIt>Aj*wJpok9{ zPBmt5Z5fh)95EI|&PP$~Gg9Rzj;x5;{w0Y-JVcktQvi`vy#8S$(KwjM?&p!HhN@u2 z90%w|pcwBw#Yt^KbPVj_0-<$iT<@0^>gcV?`ox|z1?5=}?CViDN92pImSFO9VAhtX z{6C6E-xE@9t&W&s;#1shW9aN>TDjG0%*!Tx$I)gi+|L*hC+pnEEcH^Q(6>_1g`U9NIOwBKwm`S3^_(N`ub<_s@*VIf-fAK4X~+!HiV+1{ z6*KYy#0aCjup-0Q_E_2|!1ib$qu&hp)Y553UWfVDsZ|+Him#hPUto*u4MU zTgYOg=?3z@J7h{@ayb+)v)X?|%;t?|(32{{rCf!xsueONADW7J-%;Ee%>E VTH5 diff --git a/design/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class b/design/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class index 4eee6d2a576d53004ddb9e00bcbef945b9d70cc8..8b581ba5260da1b31b043853449a8e0b00b12276 100644 GIT binary patch literal 391027 zcmcd!2YejG)!%X_?VaWHvviV$RqT`G0>+R4 zA-zBvX@n%C5+IEvq=!_JkdSAH#_COZ~i+o z`*v>TzW?6yX~QrUkFPY1@%_W2b?}$(?&=!Ica8Ly8>VT@>N?mrTl+*?mIe#` zb?wF8Lf46nL*1kO#d5D`r?=Q;**Ytf4MJyk}rOR zFMf_Mex)ydi7);_Uwo@C{vu!eHedY3zWAaqew8nN)E9q=7oSNIe}E4MB(H!cnMuBQ z%a?wRFW&aWFY(1EeDSTm_@pm>n=ii17hm+n3qIE%o>5=C<4d0;o^$*uU;HFreA*X3 z#}{AWi(lf4XTJDWUwp87eCP#-|CB>$ikV9y=75a9O_VgWnfpMwQxDxv1rD!gXI^GtgV}V(P-Ne*0gcovN=UFT~o7X z+2mw$w8n1Ejkh;66l!Wx!2Hn~GjaCthUx9Si*`5l>;uCUa!U^9a?^5kg>)Q&Hm&+(Avd^h<^Hwnub4ZuGdr+j_LizG>vH4yfKp)A z*$p#y4ozJ=ykYXmr5iWackf!Xx@LAwm32<4ysavin`$={n(8jA@9w&4!twrTP5o8# zQ}gE(oEB?Bvg*hha{>_Lc>k=Ghl`MZ;l}#mRpYvw#@S`o`s7tL(`s5wdrG>B6RUae z+M%h9V2>qsOLK0XeL=cyZo;xU=1;3?*s#n>ZL6I+Zf`Pa*QHZya`ujvZ2Q_w(zYOK zYjZAFHz}1mF*ldEeBZe49hJKq)2U=;;udD5v3|C1>FBBsz+IT`;+|{I;kb|N=s39@ z@$_r)tVm5tUNrGY;((d9Q>l5TbD*ty;_(GDmhEpFH*?1z$Gb7nWv;Z2&Y4zqWb-mR zwQWOj+*!~r$I_x*S|{dKHx%2}pPamDZ+&s?xc*}cX2SKWPk+6A7F;i{kojx8{6{*+ zom`UvyiS?0Usrm|>}id~;;9Y2gHxAZzV_51Y~M9*`<9Y@Rhj9H!>fRwY~PaZRqN3X zwXPkO+c|EB;g-uz_6(fWxPRBhC+Ai|`4heJO&cHl_3Rk%;CS1qmMMc%(JuQYd3Gru z=-qyD>A>Em!OjlKKhw)!!`rv4cJ0P}^+n*viSq?Nsy3Sy*ggw5evZ4t!@Y&`vZcEA zydhrStjS(|Wj*_8jxwO~s1x*9H>JRMeLEH@oU6=MqAL%JDb4Hv!;0WogV2+ zFE}`W?NH6u*6pev$Wwdwc=gm|31{Q6%IVoF`X(;`UNjwEwG``H?A5o$t8e43i$*t0 z27c^WvVRZSWshf#-1G}<= ztLS>q+Hoh#7VW7YMjkBkcrZacPY3$SPHunRI}YFt!LbFqv)!vEPnWo`z=RMcc&-=4JLa z?%yzO=CK9SR}AOZ^M2o+=PT#HzQ%6B)4oo8uI%Q?UO9aY(thH3YKHMqjDySVhH2}7 zM?E4x-p90B?>;hZOBu9pwtLU1l{+^=zmyzu$L-dM1-o&u<&-^ja992D4J*)&m0r0^ zYIZEzRX@04>B%*9yB6c~S#!i4pP}D6x2k^%@Tz<5(vwFk`E${A4DGYpyRRyHchdne zaXaol$AE9SJ5EDCJlThD9p@SH9-en>k29xhIt=Mf_|lE04~$!>j5nU=DhAq4&6v7r@8W?Cr+B~IQ(S+N#+%eEFCDyfpC+Aner9;Mb0)N7 z)BZhWGnc{qfcIzE9*4d5$no)X6OA`(FYbr=;Yu1W?B*37-%jxHkNU+G#SJTnugAS| zj&R=7gnYOS`fqL@cixd2ooFVISLgEnd2L7cjux}|5}4oaT2oV#PNg!FV1DG|<&3qJ z$jeGGUZ&e+Lu ztEmp=J;_El|G=bzh4WG5b%mW=X*bstrr77qt*NQnma9w7qWM?nIB55p^F8~`nYL`< zv}ODETwJ?jq3OVUryuT1@MLF`b#|^DUqFW2PSH5K$4Udg@wu8nycPYv-KLC7-TCzs zkGIdNg6G9Ip6$#YhW6gR$V~Hj8PtRAwRB+Sis4mD6BEFG_*~7U=W4>#8dZ1ZnC1R_ z+ZW|jeO#N#aJ&)a&aE@~JjpH3nUgESd6Uo&O`-X_xDTv9iS`TJS1Zles2}I}=T^3v zRla%zepW^Ewpuzb32=A?2Ei{pXM18rkd~^wQgU0tbO-|Md}|`)Y$O+x$_Zs ze$c+poY31bamVJ}^}R#Y6Q_*7tg&a`sR?i&DYc!ZuGrt(maeg3zKQK3#yxnynBJI6 z9x?0oz=d>|6owo83EL9s%R-1u!pJ zHq868edctSuPm*D_rqP2soo91(@p#85f9jP+-dq9>@QFD4DK^$ohCot=kfNv(2fIP z_16#emK9EepU?C6@7t7^P*aXPJonh5v$DZ{68b+@li-ellRGv~Q|C*3o?@jN63||~ z@ct1PfB8HJ<|$K5=QQ@k`PQk%-b|Z0GxR>EFSeF9)E?{Kw`6E@U|xssGw|Nhn@djP z_X+bTdT(&&QDrsyc))EVZkU(R`w4GPyx*KYJ~5%d-@mE9Emq^ayV0uQJa+q$#-UZ! zYPzBIW6~YnypPi5R@3;_F6QUJe|KE%8tz!IW8>cXL3o}TDlc0$yy4UY_j#OJ2;}3> zlNbkEdun$soW5-Th6A<7@p%&Cv|AqdLtvbN=SdSk7oMk)zKbXB9GEKJD|R-X=;J)C z;Qe6#Su6Ip_9Uhb>|3$F?bIP0kFAB&-ySa_zI_cNy}+Xdd*QvsN^hZld*!?#C=VP# z%i#Tg{~nl^E;5}}Mq53N5rcj4K~5A<$2xn=?_l+RUk%`nL1;ur(ECg64sN`v!jPoL^%vbjt-^?3`~+bL|J?`+`YT)+|>SN0pg;q$+WvN>i-v=hB=0H2_rN@a;BG;S7P-h{k3PnjPr2Oja~-kPtEudz4! z=4HF;kAPo?zi-&^KIxnP%J^K;98)jwu3DKN&VqR}jCU??=|1@TFTR)i=85qBIVK(2 zttpTW-**%6X(sD_nrS_LxWBKfZ=_{t;Ba4mar5DkzM;Y4T2*c7FANWt8yWZ_6P~Z! zfbT^UG?`6flAH5zq3ckgw^+NaZE&Qv+^9B<%D|;^VVT70M(#`npFBmK)PeW1Jdi8a2Aw+J^>vebvn}jVjEiM4QH( z%L_*fb^V3GUic(-bm&mAZewxe;86Fv!eDoQak#d9Xz0-B;d0{~fEJ##+?Z_|Wt1N3 z5}(OxOGmr<4;}0-fzjreMoOw+KrcymPfrmN%!AKsrK4O?O2990!^4o^0$zepO=D{C z8((d0VQ^@$wxQfu1Z_8180Z`9Z5e`3eaA;?2MS%Kq1voz)VI6lk{DA!sd`uXz-W1M%Yy_rb@-Qg^<)FjB}5K!i+#M1T}k{PSapU+)?!mGUJ` z9Asp^bdbca!qp1=&Pav8MV|)O@I$A#=2j4lf>f2mBL_x%@;%48ITS=8WAJliagZZZ z?x&P*%m(ifGV+g}{oNNh9c4+i~Qe`RM(-W5Sv{w-;&pQDz6}$3*(SB z;$nD$cPbGVwtKzc<^z|*_x+XeVlIZFovnr5{End#u)%BtMwE_;t(WmOtiA#_!Bl=P|Rh6$a-pN9misp4&^SRZlJM!xQfv2@^hI;t5j*i@}{OZ`YB?RM|x3#se z&ga%O15viZy{1LP&bGzCU8&?Z<+iqMhwBj4nZLASD;jT2Zd?0S=yEyNaxE>bot@k^ z^_n=a>X>v{Ot=yF?SWR1?<(bo1kD-IQx?Zv}*!Siq=>1(cdtz^RD^q?%a3 zs)+@(npnWA-0Q|%=Z2s?F)nCNj0@Tm7}wVLb5I%dj8L6a z#-?oq1VB(39j$BIHg9qvb>>!gNSQy%R1n7@z-Lx(%;lT6t#LbpH0hoBHh5r}t03dC z4xY9%505kq32SnlTj9ao+S<{v?b5CJj@H#}9jz_!L}c1paxLpx^I0?!U>h%VpUuYk zqp*`wBJe4$@~04%^B2^a-vNsoj0tYC)|{`)lL;}FEasNS?J9b{i6S$PBlG&J_BTOV zB!y=4ZqRMAYH?9*G!aSV;yp4E)4nO+x!W@fZ$$tKmZ}sfFbZxD+f~GD-qa3v>)uyq z%cj-&cCf#ffI2i6N$1wwR_Lkd*4x>4!MH|E9QHfGblC3%)WiZ(O)U1~V@tq(d~7WC4NsexS%~TE@)4T z3)&Oog7(BX#Miz#w>rOdbADTAYyQ&qZJmInyuEW<7J50D2!Q1A##IDwT$S@?9BRnX zP0d)MX-rfjWG|1=oiHJyfr4vWeLZj0rp+rmkQyxe@{q*%?_ZP4{WS#0osd&rHWxA&s zdg?J;z!z}Ggu?vTA)$Z;(%4=BdUx=5_+44Vs7usRAekryGay1DiRfEkJP4FRSfK0l zV}WF%6wEND6c|A=-2>qFD-PsG;7uNGX1!Vfq!0ywG`0X3ZZh0?)Hj$1&s)Cam@t*{ zBY+g50FcHO0ArIsHK-yrNMln&!}wE!DpG4(Y`K~mRFN8_31e*007G`hPeITfK`#(B z8Gv<42GHFMAZjuI9~5hWxw`^oKoYkM5H)208gVxWW(~~>Z z(DUY)Cj(_b61NO3SF*?zSqA#_9P@c<8JI+rf#O&kS>VV-yeaAox`qY@`bP4F!4r=8 zfTCq>zH^mEiNuUsqSy?T!vH@nH?%sfwS=j)yPVvru<4XqlA_Fbj1zgO*uYpa}E1XyJ*xC15v?PncL^eB_gl{#lPaD2~n0h~)8Q zKwW@DQIi20-D4Z|^Y0Cm;ZdYfOA-7IqEzb90WWDP4bY*{qXUYX3`ht6GXb{zNT5=W zA&pvwGzJ)gx@#IOLmC4NLEX)uWk@5?0KOzir#e0VpAF#jXoKlB_X z07+1HacW7j7?|M4Nqx-M)M_!2C z@0bq;a(hHttR>Ro0Fh94L2HS$7>VS2K2UcvXj!v3z#7!u3|iJK4zLDwH-nZni-83S zB#$6?Nn1aZ z3MLXJKv7c)Qpr~ebwmFQl;TloiIz%BfFc>BlKb@)kUSYE!y^=?)*u1aFr2k=H1NAv z$Fc%NcobWrrPvbSQHnGA&!v}Ph-OZq-&Qf55lEEViUeZ8?=2tR!%vh>r#!_hh z6!;+q^YG2G=vc6dL&@(E1ygD&3=n0hM-&t_83IIE>JbG+O@;tbmU=`%QIjD+l%*a~ zP#l|~30mEM)2NGPK~a+dT35;7HGGq{;hUg!0}SFltc9LKA0aTETeFrBP0;Frf_Nuu z!E3NmkVh2EqPYcN1H&GrD+_BY;0v~6;n!O~7LPhjTIw_bA(RXrSMZXi&Hz`MJg%Uq z$q?X5lgAYlH5q^qbXN`TUF{2i^w`s+Wls}OB#nmQZUX3Q4+IME*n~;PVpxW3@(&qM zMz2~1CK1hyqNa=h!IpUhLs63f3FfbquL**hhXOTw1Y4#h*fL;?zfn+%32WFH09 z-Wx0eue)XjSyu#bM6DJgWFC-pd4MKr9*B^6K-T2}ri^nv)HHc@towuIKq6U=o?7S~ zR_ewGHxJ61x*LL2!U&m1Po)N=l5A3-@Wa7+eN@84x_X0DYVc7BWnCU%hkAcL>NM!7 z(-5Q%M#%fqQ>Ovwpw{E#3SQJ+x{~FY4+Eas}PKvK~EG(9x^b zBA8DH0a!r46(}6Tp9b({_>K)N#RYE${lqelo`mQOrdLP$umv^4VnVfMA1yJtuI3;u(R(aw*3%OG#cDl1TH-}r zJwPutkB@ukPuAsuhT+cjo>H-h@BR4JZ*K_}B(if;>Qf zudGMU1N7u7^#t-xMD}%NB*o0YhwFlXR zzF=9qo=xce6^jD++?m(?`e5BYhG7O>-9d(-msr-VXBhg0)t2`$3@>WxLD#U72P52i zP}b!E5+(4h&avMa%%2VKLuJVEZD|5x6bo;&FA zRqOGw1TX6939Q1uB=DT1N7sn_4s&z7j^XndBADmL=){%S z^gKYft%}XZ1H7oKC&&YI<;r^WJU|DnT91zhcu`kRkO%0rmG$U(fNt7}a%BmAcf@Zr zyeI!@00Aa-OXJzbItkH-J6@J1OZru?Bp+`ui{@U?xvS#B2|LI2`(SB4M)7PKTtFHR zmn`W|!IFGDL%*>OSCD7uBbHXt^9;ShljKMBWAY1c|Bz$lz{U1He@3?6V-1&e#Q_U~1jM2OK89?)#~d!}iV83% zTkkQ4%ep)P=49(V=5Se)C+K3%`drLh*5wH@$LC_sqO8jkWDdHK-4@lml(XnHR+_-) zQsx(R^#qxNZe&@Ho;g96a@OZk=CZCHV1tUyM-RPAIg1`*HII)6diQZQ=sxBV@_w{E zNESW9YCS$4=-tKHpu3nyxb^7W#aZ+YEA{x?#r&eiZb5f(79(UmAnWo3dEj#wXHnMW z3Gx74#Ihbe570ZT-jA;b!Hc?jf;>PMv8)GVU7jEheD2~b%DOz*A1I$AN=^cPT%+Rk z^$~g(au&VBY91dq^e*IV(1pw++b<~O zbo#1!Ai}K&FY4+6VyJn1tkL^?v*_Yg^Y~bU7j^XnS%ZFFiA~QMbnEgpKE#49cZpNt zt-<tdU@4)eEh(Rx_W~A;46UXLCfmhzFGA0 zs`dDIfERW31bKk2UU@$t>+%G7;B)(CQP$-N@&H}EvK~DT(95gdkBV@$mpJ>goyd03EW@26`T#FIKI`#{;~mt0%|yr`)My|PLkpUXC@ciCpq|ElKku|w~i&7zmpU62o_=fS7>WUx&z ziF=27r)(BItbrfUm7L^?ARHfw^gh`vx?t5?@bxcvQD>?#fPEy=J6^NsP*v;k(FHG7 zz?Pv|*dL_7SQvzlXY<6a(!|`h2bRIAiJ`vpy!vE1z5{6>8%uU1I}yoN z*sHZL+z)%i(rv&mHp)p4qF>LFJCnOG58P^^R6N{o8fVXIJ6$zm-&3;ydy`;dC~lqy zE<77c=9BxeCdiyVQ0nXME#`ZRVCaeS+IIWy#NB??hFuq6RdeCsf&2(;A_C3hWrBKV z`RW~;oh5sd2eGm~XhFQSp8meWuoDKas&BBXf3&+;S2*66?>bl*94z(^gUyqe21-6x zEm@nIB?ps3SUwaw4k3EjV1f6%v4G%NQIkPMGrfw&W?;#Y?W3?W7_b1fJhBJTGOKs}HDdLlL50w=lTfC7xx~f>h0WM#RnEn7j#Z{?%}! zrK828u=6TxyVEyv0yc^1Is~lYU#hU$6vgLJo-j5k-096!)Ej_d%60yLRt2LV!<)%8 zZ-K%~M-P<1YDKuSvZ01BvVWbNlj}@UjQg0^E{LrUiSrA||gXvMj z?i4nV^;ZIS)#BZiERs{`G#a;LpgNZPc=8^+-%r48$b4e7s_YsX?CI+rEfsJV=H6qb zamc%|mj0oxLn~z#@5Eg%PSbQUz2;f~8a!4ohk^e~!@#_1*f<#m+TjxHxKlim$BlI% za-i7Pd#r1u)So{*)XyIhJ{NE!X@G%W&|pMA5MzAcj=+i|8Y@2Q_r{W6N`4v5{uO9g zX%ngzMot;yvnqee@N;l+unT@?3wG(o=N_o;MFK1Drw90(GI@8AlCSfcE{r6aYk^_G zG@kzq73DqTnp&j|G}o{Lu<)TM!+S?Fln)nSbMs=iGzi@`wC@&8`)Y~|t1e&wmV7Ar zJ#4&(`BUkcKacJd>}X{xK@7CX52;Nak;w@hPad(W;E2aOAfhf=T#QaZS1?QdH2E{U z!Jl))Ue^xOD!##=yBEL4j%|vuu&dt?%9cR-P)cC4!!0X#;Fe*FH?iZk*Y!j|#*)8D z{ub{NepfRsSZa5%r!d+-QVXv+_PqIRFvUD=3P8X-1oPWi@(;;BV!7b^fdOOW*w8TS zbOaO13Qqx2{FO-YIB<915I?FMeliMkKG(L&qwk0$P*;Oz&M}9b!%mBu>@4}u(c}M_|%9 zPuV|y<^1iYu}6)22B+g#`m8aq+->Fw5x%uq*+cj2Do! zkr_9Tw3!(%BxwsXUPMwSGhR&6HfFqpq#ew7DM`DS@iLP3FyrMU?PJC(NXj$gl_V9I z@hXzKm~kUXMP}SYQZF-JO;R5-UPIC$X1tc90cO08q#J4t#OGu}ngE12grxT|<8G4P&y0_fbSE=DM$!kE z@o|zq#Eg4L`Uo>VLDEN=@kx?C&Wuly^a*Bsnxs!L<1-|Eh8dqF>2u8Z97*>w+SrN%|`@zE9HMnDGOW{=tkNlJo>K9wF)9%=i&WPcq}jBt6B9 zpKz(%WX4ZPvYGKSl9J5$IZ5Tr_ytKRX8e+*3TFI@qzp5DO;RN@enV0fGk#0b1ZMn> zq)E*9JxNoT@hC~tnDH1%GnnxQl4ddEk0hPVj6ac7!;C+ZbS^XgLed;&{FS8hnDIDC z^O^BCk}hDz-$`1?jDL_+$BciH)WD1u5E>=;;C8x1 z?VBmlTpVh2i8|z=A(U{=X%c#8iBP(8L(<{C)RgM%)1>lJXwl=LBWJutH&N&SB|;7Aurb6!{)anegzO7#F3N;ywcCZ9L@&l8BA zv>|%ZZ1kj!(US^OMY*jd(Mw$#J!umqJ^u`L73U!QFNuY1qm?YI8!fT0Z?we1!qE~7 z8%IkltQ;+|u=AN38qZ9qkJfsaG$Ma7X+-{F(un-Uq!IaxNh9(XlSbq(CKYzo^;>jA zkb8a*8TA|;&(Up-r$tZ_-LM=uZRiXMz4L4+;c3Civxz93Zrt@9Swx9+y=w3zqch<1 zj(#}D;MSl@p0Z&Sg zN(3VWpLreOM8Wvfb3=tRI*qrKY`%s}qA1@%Sd>=x+=#SvD{i~%$SxA{W3#BZkf!8) z1D>XJ#)>f|9-gAq!7IvmH;@lM~&ZaS9?di%Jk)Em+D@BBC=Zv@phF%;)#^=T6cGVmuv zPiH8JFnLv;)*gW&?6l}T?wuCcnMOG}4J~w(qa<>a({>W_95rfbZ3~Jt;iHo8)HNzf z+b;$WV~d_NWb)}hehj?^A(PKw(vZn#Flor-Gnh1F@)=ATGWiT94S9L;Cq3iK)7Zoz zFHd6=hrB$EO)TuI?Yw+kAj}~zPh(3Cd3hR}IOOGNY~qlYCx2pm9|(DQ3MP%nUo15u ze=%u9{$kRI{KcdZ`HM*-@)wha*mcaIebg_UrJ0Ms343^!A0^OrX?O%*Ge6dj(&)N0 zH%)k#=BC0SlMfKOejgKIw@>E;Qaar{FObeRgVhZIm|C1FNJZ+#CZ5PUY>*P_y4^8n z5K>Z|^}M4ADUEI>p`2Jq$#lLx_aMXYg5>#z;h6lx;pr;#reLQ-?H!89+f&yqY0ge0 zWV*&zk5r^|xRN|*JmFo5M$#nND*zizNF0EZve zZQ?=85JVpzX~T;>g{~re%cwUR;Olz%uVKNJFMBhs=w(LMXvE8~i~^Ujx(g8a3Jhi} zHkRN;_%5}SB=|1XL=t?LT1FClms(B|e3x255`33hNfLaQx{xIJE_D$}@LlR+lHj}4 zDlR$jUFs5&;JZ|gB=|1XOcH#TY9R@}ORXjezDu=|1mC6BkObeQ){+F@rPh%I-=*3} zg6~r6NrLZE8%TohQtc$ccd3me!FQ=mB*Ay7%_PBhsY^+M?^0Vxg6~otB*Ay7PLkle z)K-$V`_P<-f(t@Y$;D z!oI=2k&D$OGTWK6(b<$X7C3NVzy$A5!mS5OL&tEfVY#yiv(e{f3d%@uA|ty2_A*oatD^0S4(EQ(RgU4vp41Jao~i2DmT;UNMC{XdatGG@m(fwArm@_AdZjpC)ql*Ui1MF^DWaG&=nSRJZf4 z!?5~r3b4YfQnuVm=9HY_w6n{BHJi-6Ic$+~2UfhxnC8Bb;d19VEZ3uj!Izebu;dDC zb_FhoRN}b3PNtkIovWC+f^PU~FpUO&x$``z;~1O*+fnSvl}d#Zrg7msO+t5(TGvoN z5&~MjZeVl-saLlHavv^s^W1PZ*E-jwq3Y{RW3jjJ3em3=D1AkA7LWK=U{MEXRy#MO zp{-x& zq_A0ittyUVZNsHU@Wj48?Y!2x8GcCO7TJ3IaDQJ{-$)BA1MBNAZsw2BFx=J~O`}GF zK20iqmv2rvZ*pLugSwQLW8=Cf@4YnufC8W*YroJFSK#)ZUsvRXe>s z+Q2kkF10#e`|{%8bYABjY3KrO1H(hlw9se1Gxj#HoH2Q1w9pUv%&d?htlT4Q$2nB) z+#c)$v&>vYPr{wdTusskpcGiH$V-IKTr6Y=)eaO!i^a$f%lR0rQ0yC^M#KY&=lWYe ztV_7Z`2;={pNzyWv}d{VX;|Lq5=XUZxdVsMIo(5faV&C&|F;28yP4=QbUyFgn|6*l zUkDmwF*Do9eqZ)=PuRBQ&R4;T@cT1vQT$<=G7Lck{-P*24Edf`UNaN{zg3##-Z>uy zI^ahgrD1&jzXea6Y;5Hke>}dOavpHLjz2^@!dhnL-VZQfe=dtryx{0wUn8ibVGlKxiQ_rKOqDBewC9DLNxqRi!=}{ z+%bzZ5G>sPiZl=`+}VmW5NhQU%zwl=G>X4#;j8sarJ)kv$gsA7nMVo0BFqlAPuW43 z>t*lGkJ-^(>|Y2480!c(APvtVwqnFAYbgsru9UU#*={|&7tl4B$6rPgjQ6`qf_eNd zl2+j_!-n#;1zc)=na6qF3q5n)0ClIST&fwmfm93j1@PSMAq;Dnc_rCy9X^oI*;=^c z7a0(Qz^%b;@1u;H;8ljCOPP5M7105?aqlml8-+k_7z3}Tlsn+%h;r{@=8GwA4>Mmu z5=_o5+#8I_L7L*OU!;Lx;T~S3fe@&pk(oDADLwGoMRmZm`!y7IIWu2R5_EVL?(s$C zASZFhF4B;bxGxuJV;dMopf^$}qnOg=D+&QL@N&16FyJg%rO8rwRitZIjk(su%(qYt z*I*4UrBMi!0u<|@Qm%(rK$31?=G!UviEG`%H*uZ9lZph-;{bY_JMDyEKA+OUogFw8-14XsatKsFv{^iSkGBa?<}3g zQeUCJ?+X4Fn}SK@e#Y%Goxz`X*;@}4M9y$gEFLjQ;_&NN=&pNomC zkl^4@_d58YVSjPBwtZ;m(CFcnzMB&hc3+H=aVz}ZdZqSlXbi}fLVtfJyj-kgsrRJb zn@+tu^**o+%tPmB%p zUg~GAcLKTq;t!-gmwV$4Yk2CWQ;`}F=`2mtX z#mo`l2lKj9;Kqe#Fc#lk^j2-bd2UFt^*!pkUz+ZB!Qa5xCzP*H8%D4%nyKeK`4) zcuik_KlBT~_x~``yTE&f$5N?BQ-1)j8a36QaJscG4RL=NQ#^E{n<&-a#$1E>*Ei_~ z{)r&nt_y`3-iWHcy}*xy;N>{=pR|!k!6dA#79C!-%1`7qzth^zQctA~_)%6G`i>NQ z-KgWMkjv9HG$A}1zB<*VtZ`8zMb1 zZPcYFf%)3NbLZO-gHMP(R|d_G(^Jw@vBYVP0gue0G4~YenJ!Pygr7-666jWz`Fl&z zVf(s4(J}g6Rw5BgpOrqlB0Vd84m1Ccw|#mx@WS040_TaaK}~rYT9omfYD(}sQ+PXM z>AAq7^qlm0%zT*g&36nK%YR790%rc0q(zPay!sg_FuVLENsW#H3WWEwBxUf z>D4J?Lb?@SVtucyZZhd$+R@iNauBAQGt+CaOmO&`JHWZ)t6cK0r>|5R>8}$x((BV3 z(#DK*yJ^&UkB&aE#|j#Z&FVCGhbN?gKoea``0ueiy(OLQaBg#q6^^meF)nlru+PPg zvC1(nag3Z}G&A!*WH^}prD~z~9~$Hzdf?Zr$3nZh%`Cz-S(ovW*z}&_E{e-`(vUFei@^t!O z`Vh0o*P9*)c8}XJZ+UtM-qCn5EPVv?98Q;*RY5R-FNp)-vs7ykkKobtF-(0NeAq5j z&U!c}e55=LGtET*P@$WpPhzQ8reS*Nd%IBIsN)(@wgTOBDwn<*-t^PgFl!v$!1M9v z?gQ}9T}L3U$IAs~RncW|u8Jd#!I<)Wj-_8r#(N2~CQ`zeF>4A*ufU-aj?u_tv+xt^ zS^8D@L?2Aw2;ZCd2$6m@yxw3n(<#sEm~}QuH_O(8u7KA#;H2NktXjJKW>!{NHXf;P z3$xCnxLcWZK1pwfmg>UY6Vz`j^y`0?zAgPu%=s>6Eu{4CF^x8lw)_!M4JNg~z6IQx znuqIs@LeT+JF~J>{vFI(LegE#T1L_bnYEIn4>RjxlI~_!j--!`x&4xpzK2=liA;Zz zS>#hogVRrr<;VI)4sw7nEP=z%+vLEzzz3nvr_!HG-^(m=?WDg5-w51CmS-_^o`5|UZum`VQ>Zqj2T z?6bqtKPNK&f?4FUN&kvDU!nqj0|orH8s-jg7n7A2p(S$On0}Pc8`FIZFV|lw*W-}uZ`FnaxvT=UIn0RAzgIibH&7gA>3?wtK>FYCAqHc6OGTL8 zm)wx0pQP*mg&7g=6&johgIPVitO|=+he%4e*RxJV8M6i{)?pSofhy9>B5zCuV;1=q zD#kJE6r~%_tmlzb&8+K4n#imdku({;7V|rMQQk$>U&yTYl5{b%?j-3FW_^&P zX81udACW3nGwY)iw+7}h*kHvY^iS!{)xPB!>dh^2W)fv7QO0JbO`U*fkKH@Y~|dn*v72SQ@S0@qF0!TUC=l4&5>Ef9zN7m z>}3|c)Kpx?tZz{I{ml9{Ne7tqAW7ZK`W{I=%zA{RgUtE~NtZM0=Opzr>sKTVGV8Y_ z9d?WsX8nPbVP^fAq)}%5oup&TdV-`A%%Yi1#g)u@isG(fwnfs_%ubT@JZ3v2UCV5m z22@tI?UrN#Uu+&0& zRzC?((x<8omcHLH+L*nKu78fDvUL4kxc-G|BZJr1GkYgp{|ZYjq3id-^{-VMb>Bt5`v>NP9A;~4GC?xyJPGP{?g?=kywlD_X48<{;o$|KAsH+aR5nJs$2 zpE6tYfUp}&;utKjU=_b4YW|AZCn)1@fVSOq?RRwT_ds(#i>i2x*(WL0AK}9=&a9_a zzz~oTy+C*0QRoR+#b4Q|iAv#wKPzpOhyYV>7dFAO&_0*+BOFFD!@Q5;I_l z3`rKVsk3DXW>aSiJ9!wJ>8iu*SMyR>n%S=-iNRI`yq{v@U^4`g#xwg(bhVn59hGCt?qn0*_m)8LhLpg2$me|ea@ma`dXs#0-~u~}daHj|yjZ0ac4Im~`P<(kdx zyGVkUyF{rQlh38(=P~<3bZI`b?tNV4>7h7ZU{?WyJ1v9PFqsrWio!Qi@v7OAOUXAUBJt?TU_A;B^YuRP6V+FD;JBV+rcg*tIM{y&1cnB`%<=H?YJal3v6T4J5q;d>jW(F!nP3z_FLJ z#A3SeN?_B$LVpiqH`1k>SfYt8!6J)GS%P{#_Ij4Mh@#)X5|@zlCYER+=`D`2#W6Zy z%^2LKVX?Qd#2UKt4)O4U4|up1|6}Z(d_-gKa*R%vpt(1DFH5vjhTBiO7*Sc3XE_7Rq#-i$%lxs4^LLu2=_1a)ZalPp2K8T+(jY-fo+D(-VE(NEI7 zEHOmV7aaq91-_TXXXcGtzU|Qat69yy0^R`jRma%r7`q%}w`1&ajJ=Mr&oM4@jJ#v) zcMNzr-%ECUkR?il?;(~rM$*G9aRo^~2)be$>gDW>J;LW<>_?Sl?8ntcCCtMTS5Y?b zcd8!T2G4`benHuO3E6&CZH&ik*HE_Kj>(qwYWqE9dla%gR&7*aw(BX|pT=Zs^s@bh zvi%jZJzi~8W40GlwtukH2p_-L6L9fg)!<>dpCw*K7oTK_SCaG;OWeezjL8zOCCO%~ z6Fh4sSy`4Tt2QQL)|=^Kilt7{#R|B{s*Oo_k$Ue;B}-86ovC7}t0~z8NH($Bm<-8` zJa3iE6qcYFb!Hk%yo<`6!4lMGXJ)Yk_1T%TS?V=ZNDUM+yV{t7bx}8-nZpv)YiG`5 zsV`Hq`H<}VYGW!UqmDeYFlfH4XZ1`SH(#b6vNcp2)6jg>y=TBLt+sL2vwCJJWov?L z%c_m(n2q}V%u1G^em`>&OHjX`S;Z36!Dn(~3SBIlGSfnZu7*NetBo00D2)c0bu2-n zK?Y{k*s;64bq2>BHZ!x4C1{YyY-R}>4KiDNNumGD;Adq>3f%w=5*c`$X*9^}gpGxG zC!X2O5;QJk_Ob*G0GZ2Jf(C%hewfp9uP7gEGY7E0o0I8c37YI?iY!5s-Ape_&}28$ z#}bcH10P}u8Wu7GEJ4FU2HaRwA|H=4+?6#aQ(_4kEHWc3@h{4KlqH@d={QSTB!Rbz zau2|snJmLyR&z2}v1F32U(J#ZNzY?RniXfRWl5S9XRb%jcOUqKz#jzrh_cKLU?I4l z7s9qLWQ`ZIH4H^Ig+RMw3w zNptYbt66d)p@MIpgaFnsas;m@1UIwf6iV?%mYhz~oAFH!oL4yMp~Saf;)9vDvg9mE z@-~({hopC~+T=R4&fP3Yqh#h|EJ?#+2BzPS(=!Rv?+i@8XTmd?Tu!Jy%aRw9 z^m&&02POLgB>Q5uF$5fUgrAq-iwAuJ1Hr;men!LX&_j5(hX@KSa^mF*HEzVq#Dvdu<#5T(hv)tC_@@z!LwpWLo9d-3~7i3&w3#Z zvEa!qq#+hOpM^BUf~T#JhFI`S6|SLR;Rz|EAr?Fbg*3#1r<#z4Sa5?)(hv)tL_!*3 z!81lkLo9fb2x*7~&jle3vEb<+q#+hO*Mn;)Sa>K0X@~`n-XIOJ;6WOsAr?F$gEYi~ zCtZ++Snv!B(hv)tR6!bI!E+}_Lo9f@1Zju`&yC<33KpIMK^kJg^BqV-EO?3oX@~{S zWFQT(;Asn_Ar?F_fi%Q|XCaV=Sn$LH(hv)tUqBjS!IKHNhJuA>4v>ae@azE65DOjv zKpJAft^G+uEVyYuX@~{4<0lQV;JKxwAr?HHlr+SGXN{5ug57{;jF!`?GmtzKnFBJ2MKh*BXp2J2Sh>#33R|DbdW#?R6^(Ya8(@XDA^6T zE>7qiAFhlOI>(30*@VvV;es}yb9}g@P3RmSE@~4x$A`<>gwFBdIyIqle7NjN=o}xe zF(4f!y8#zO37zA^B~e1>_;68_&^bO_+$40450^Iyo#Vp=PD1DSaP^YVIX+x#By^4s zmkbG=Lg*YHF0K$d$A`-+gwFBdY6hWme7LYd=o}v|Z4f%g zhieps&hg=L1)+0%xK4p|l z-MY{@KJ3_q&hcT_E_99$`*hM#vKz2_7dpp>9lX#vKJ4O!&hcR(3oJn1Oe4cO%io#Vq!U+5ekcKbr-_^{&_I>(1yztA~8 z?EHn!@nQEbbdC=P0HJeyI0OitA{90r8W@!>!qbdC>)0-V3p>upVObDIh!+}ER93Ku9Lg)B!j36B)y8(v_p>upVU zxCFY3k4vDt__zeRi;qj7yZE>Sx{HrXHb3l`@bU43A7m_av|m<^A6x=m;zJ2^i4P^v zB|elum-tWuUE)Itbcqio&?P>UYsDhUgARubcqio&?P>U zK$rMX0$t)m33Q1MCD0{4lx%(|EaBnf2S4Oh=p3IMKe8x6dWjDu&?P>UK$rMX0$t)m z33Q1MCD0{4lt7pGP_p>}qlAZ#AN*udp>uq4{K%pN=_NjtK$rMX0$t)m33Q1MCD0{4 zlt7pGPy$`zL&@fcT@oHXe(=L9h0gKG@q-^%3F#$1lt7pGPy$`zLkV<=4<*ngK9oS0 z_)r2};zP;i2QLyHK7M57_`xN(E}vhNK$rMX0$t)m33Q1MCD0{4lt7pGPy$`zLkV<= z4<(x)2uS$&_`%Ql6FRq_96$K+evn?`LkV<=4<*ngK9oS0_)r2};zJ2^i4P^vB|emF zeh3}m;p0bEjvrit>(YKGfiCf(1iHkB66g{iN}x-8D1k2Vp#-|bhZ5)#A4)bq295CX z@q?d#CUkB;Iezd{&LF+ShZ5)#A4;H0d?G&NoQ<8FT(d(l40v6iL5gsmUb$hNYH~^gEW?NYbM$wVk9tuvC$xKe3c3?=LL% z2*o|lQokeV@31hVw!1hi)}t3`)j53VYVx}_#PV==)q1g;lIeUG@VigdYLs-fS4DZ{ zzf9vMP4Un4vUO!qJFSLS5R2>H8g?^N`JZ&n z3K2P;Zv4_h3H(v8I%XJLZ*6caS&9E>wFqjOet`=soT1cdKtbf=_P*ghC?q#HI5fh4 zqz(zk!&41qu;3a+SRTq_0KQRUSVjW=$_xkg9IwV59N=dP z)wopy4`EjZ9>SgsJcJz?cnJG3@DO%m;34eAz(d%HfrqdU0}o*r1|Gs53_OG#7f?6tr{*lB@>u+IVy zVV4CS!X67egdG-m2>UDW5O!DKA?&TdL)ck?hp?{#4`EjY9>SgqJcJz;cnJF`@DO%W z;34d#z(d$cfrqe<0uNyq1s=j43Os}z6nF^xC-4wo&S>D_ zOGWrH5x!i6uMpuYMffTa-YCMGMEGhEzD9(v72)ed_<9lEEW$U4@QosTlL+4|!ncU< z77@NxgtvX5q>~~9~9w-MEGG5enf&U?EpoAHq%& zuzJI=#wQ@xNf?Q+2V8iMIms~EFF5~hbLz$gUow&l?)sp4*7+06b1t~sti9WW_(dBp zIDf(2W_HJdyC8!-J_-L<80M2kH5@uoZZ02R1`%Zjz%fpZFNgonfs&KPJlOYOF~n9F zv*GvpOQElY+Dyl6GK}#K$Vt<5jPU?!MRZUXK7CLZNl+Jufm#(ER4xvvs})N%OHeIg zpx`T8)aG0B^g*qapw@+ff`u(nL2ZZw>iLSL+9jxsVW2ie2X$#2P}eG;wn$JNVW2vn zWl+~Cpted-+rmI?j}9tUm+(3T)D8)1XBenm(Lu%P5>!yTC8#}Np!P-w6{|~7LG6>E zE(-&dj}9tUm!N{$FF_T;KpltJI}2>z<=pDi+aIP=gZGP#7p!f*lo9ETXHRj!00YFi^wMLB%4v3Ti}x z8Vv(=G&-o`ah|^SDnvgaL0u6B>dNS#u8ITd9}1{b64cdUpkTpgR8BoV4yb=Bpstmm zt_uSN%Soexx*-mzClpXGl%QS|1_~CdMg{fKrw{6764cAXK)oV5s92m*E%izX>Q!N& zZj25pR`dN!X}+5zs8@%9dQEgtv6@c>^;!w)bzz`hA01Szr%wfSvjp{qFi>xd4k}jj z{ab0iH%U-$4g>X;=%C&j=jnS&0d=bc^|mljZ;uWtj-|{p1=KqvsN2Foy)!zfI7APC zdY1(C?l4gAi4N+0F`6#`>UIh0{b8W)hz=@N^OY;jcc%n(R~V=dLcU zC8!^Sf%;)|P_bs7U5ce1k)VDQ2I|MrLB)ETQ9=Dgg8FF~sGmg#6=&=-x)n?PT!Q*V z7^q)H2Nh>N6#(@s3F_Bjpnek_)bHXveMQAmzn7pM4FmO9bWneM`k?+KLH#)l)L){5 zdOQxO9>r3Blc4?{1`2-27M0kL1)E`?_H!+6 zq88ComK_Jwh#!=dkf4%bprU`+ww$LADkVXs!$84LS)*F&834s3s7x3r_*Lz52&z(o z8XpDC1Ff?6sq)f5J5S#(gbIHiJGEzZMRzY2(G@o3PXN9fF!%cCcf{HaqSJru2a!sBUwk8jE;f)IFvN!{>3Mw!Asr_O7 z6l}^C6;xLoP}eKX*DXO6!$9>!2X!zGs23=p`Xs2!!$2L14l0(VZcsq=OHc!0pa!FZ zIvmGRFH}Ium1b7hN;BMfIVz`OJ$)}#K*^P6R@h22+ypu*s90~vDk!sH-KYYr;T1FFL4LoO+qUspm^j*M@<*E;^_e#CiHuP&Y_WFAM|q zqUfMvS?cAArCuySy(A3OOP^&>uTVhAHF;LpnmpXcJ>t`6#+rGmpyZl7D{M_3ZYduX zRIE4TS1Oj0Yx1nHHF>zZeN<4fTtTl=K*=?ER@j<6+-N@{C@a?6%#8{txhBsFTa$-- z{znBBi&Hl#pyZl7D{M_39zPHjRIK^bs})eU$S&cnVO_$l(Lu$Uy1Z5a^)?CW?O~wa z5gk;l_dXTWZ4%Tw!$84qwh^1pjP+^lX2nwPmZ07f2I{?$L0PxQ8T(XF@0XzN2m^KJ zvkdADily$7pgs@=>VwfieK?M#-lBl|hy-XT33 zQgTh66}Bc1&;N+{^jXh%h!8XuQL4D!rTS~6Uv%=Qo;Rz_uAt<>f&k9?U zhexkGhoHVDoA2vk&G(JypuYL^o9|l^)B|Clz8xJ@tRDRqrJwqa1oeMmpdO44D%R7d zg8Hrm^-vh7??ngo{W#61g8G33^}{ewu(f(rqCW$c`jG_n<1kRL%X?H%vAiy-rG6?w z{VWU=Y%TvBg8GF7^~*3&zj{tV{aS+hO&F-(MhEr#r%&`pC8)>3K>Z;)s95uC1FG@o52 zL6wJrf^$Nmf{HbtdYiwWvgJBYJ8YdN9^@AlRIH~@1tr&c+F|QF@l3&}pkmFZR8VrA zryaJ=6OT8H3hEiKlw9X&hpqF(lNO_biZ!27EhX1^+F|QF@zBTT5R_c!X@{-z#PcVg zLr^nhmoPJ|OMp{#qJoMwpHiFeED7rDFi>#7PgGE`mVUoO>8ENWsM%ql&W#Mpo)c$2 zrGlC(L7f){3QjkQXes;rrw>Z5^R&a(dEzmt5kbZKIl*m8^DUB=stdDJePmE}HjbrK zP;#B89k$LB508y#DZAcn%(sVgL?E5ksYj1DT+7YCK-S4mK(!a!Xe9aJozrwZyC3F>)apq?KYlzm;C`P2uL zF5!9!>IGq-Zio)*MR7oVNC72R#M)siV(sWFV$C?aDj6SEK*<%ccG!woJNk-P`&Dr) zrGmOqHs4KQ&G+hOx%pI3uaTf$8wToi(LvoD$5J0rn(qw~)EmPTQuhS+Ty1s-WI3LA@gk)NPSL+3$+Ose2Sl$#tG~ z*g8);`Z~{e{gevoebQ35hgs_V(Jd9LM^{1JAwk_42I{Wppkg)OCzR&R8aRwP@f0`^~uPf;`QjC zQ7rW-3F^~fpgt2BRJT_YBJ|7)atf%jDily$ApuP|W>Wk4q#p09->Pr&T zm%~7PB{HaZW8b}srM@ab-4_PxYmq_4k`yA!a&_09aJn%eNnO0HzlZVg@Jk? zGN^c6f(lCR=V^!S=V?dZ&of?+{w2jy4@ygYH_TEGMYmL}NuCPodlJ;cVW7Sr8B{z= zeMPa9Tsv%stsSE%4AkS%LB;YbWpMOpHe}|6|r{MidZ}PidZ|=j}BE(Pq~$KHQn2{}&u;Xy*>;C3T z$o)JMVf%R|qVMNvzVzvXDwmdW!Yq}FY^iv|%>9a`(h^if7$_DURIFh}1(lJY#)W~Z zj1DSR=lM;=QsX74sxVO1(Lu%PJXKH=B&dmDpe98J6>C8LmSU;N64aD1P*bCWiZvjs zpr%Ps)5Ac`hz=^&F!O+7shJYgtT0e#MFy3Kb*P{UO0M%vgst;TL|^Bbh_%jB1tr&c zCc@TvCZezNj5o}DTWP-eviZ&rYrYF2H($JAMg_G%f?5~`YEfiRR;=~t4=R?blc4Iu zKs7w4pt2HFV;HE#&oZd*DwbLzK`jjf)$}ZbdPo5!SHvd5R>UTvuZWGeQ1D>|)Jkco z3&SjRQFKeiS|qQ6x>$l*6$a{(XBpJ@6-(tLsOB(GEzdHjA1I(!OHi$0pw>hO73;TH zk0_wz&Zmj6olg`0!_KF%mcRX20kvLQYD1W%+9O*ko+I{W3aE_|)TS^{nQV`6OBkq*=%8Z#m`4RA*A6Gb)($8Bhqc48zPA5dX+F7jI1#pXI1zpAuodg~eJUuq zb~q8Xb~y1rtR0Tkd@3lpb~q8Xb~y1rtR0Tke7{thPp%zKgsmM;{10n~WA#%iD7khx z5w>yM%*bT|!^vE+Jm$`75RQE|;JVg@Nji3@Y9tc@@-v1T`22 zYA7SP$GtD=MYdYtA{jz~|OlAx{*19eSgP>ERQ_dTI->RJivx-d}JM+bF7 z983L60rf%&>P2CoUK|-zyx(H|TLJYF3F@U`pk5XkR6MWCe-uzJm!Mt|2I`g3LB(o5 z71XOFs2jsT-4q#Ayq~D3pk6IOy(SFQYa@e-$Ehck=6jt4_4+VSH$Tgu{;PnJYljnI zYljoj*A6HCpS|k0{!3kW{~ zVnfP-C{jd3P{D?ZsMx!rB29$<%_O^-NoMyZ$pLrA{KCJ>%f9cudGp>kvy;hgRAb)- z&M9;6u)}WeutR?Duwz9uJjFX@J|os)_l#JF{4-*;Xx?zI#dDE*+RUldb~&|1I;Wz# zLk@Au+&k>B+dJ%tVDE4=vjqNS@(y$Fu)}WeutR?Da8x-J;*_~}*kQMK*df1nSc_)r zqH*tScbI#J9d>(%9rAmJql*;pl(~1C`zpr~Z?6%5fnY^5i1rM21sN&BiHboU~KXptG8 z1k)*(om2WHb;^A1u+#3j!%q3<4o8<$e5B0h4m<6hJM5Hy?r?NDm7mKg^SQ%LyXOu& zBY5s`G!Jpmc&F0LoJzOLsr=G86yi-L@r;6D*Rb1YwXm)UfI90-Qs-&G$rKFv5mWgJVDahqiS<|U0(izd;Fa5-h}M|axoM|aBaM|akZ-KlzJ_0_klzH6kb&v|V$P8H=M z)zEaRk)2bGrJah4>SmO8s)^}TQ#+@cNjnu?PL<#y)!cNdg`HC^rJahd`$}?7nNMhT z+B~6IbGCN22|W3<0g8kF5K5?0bgQ%Db8r^tO(6e98vMWii=Tr2E1aF2ok0elIoqNU zNb~A&?$qEPUZgp@IJ<^HR^96CxgIW(gS2x?)RbG*>Pq-?V=**PU(flH5RVgupnK^!;J!|(LX&glWj8qhk@K4+D! z&O5}2N&XA`VfT_=igVrqvAxqdBg`+zvAe`5;eO48E;Id_NrO3840%rskoSrqABX{R zzVpGbF0Tq{`=}T*JZ%?{meZtqh)vrCkW>%RI4rWT@VKmn#ioTNtcAs3VF|VHgg7kA z#RkGVj~XyI2Vfx|p0aRyl^8QTEHoi#SXQu3LuxozPzz5xSEG2Z11{-;9Pl4dVwIxB zs%>??AU09Oe~n2Px^r!yoOS0ja7L(Bcdi3t@qxTvLz|Qw4;Omj5-)s6n)aea(>99j zhBs}!*&kff)|*Y+tMsuQ_)F3{FKZ_z$6!Dqs*huoEY2b-pDw+s1#T8POEyPUn7_%PG!X&I8nJigPzH zOAwu}!x`zP6z3i|bJDXn;4FbUg%g}R!J%F7cQ^cf9scftzi&_n-xg=+A+clO8Tt;1 zhmoQ0P{{Y3?+5ayKlnnt@%Y=k)poxjK$B!#Cz*hpC#8B5C2D8?TQ!%&1qL4x65oxefHlB{9}uRq1J zC2sHn<-f#{|3~bzROC-OPYL|_SBx0q4;m^Mi8nd_lTZ$h#OQiKL^e4uNJS(8CBO`T zhO-6q2I&uPl}!opZ^B$`OM;7>5@+JQq+H+?e2HNbQh$@Yff~^g^aQs6FwqZ~0)UAT z0_IDAnhEnT%@0@r0MjA_ESOLzkh;McRn^VT|6YP7O3aQm9SsAA=u9Y~aDZY!2wo=O zgd%}53_=&(?7Sck9h@cmA<=kI?RmKJs9Zu$`39kKDLLhdLgg}Y%9Di3<>Ztn z3zaL#DNhk9SCUhnDpal_r+lMOIYUnQCZTe5Ipt|W<(hKJHw%?($th14D%X)yzD1~9 zS5Em>p>lmW<=ceH4dj$>7b-WDQ@%r}+*nTePN8yBIprBb<>qqAGlj}6<&iiV<-3K-UF4MK36;CaDc>Vh?jfgquTZ&{obr7_ zoO(36+P-DL*V!9wDdvh){Wy zobp1U@)$YgM}^AcRZjUaq4G^~%8v_`ZQ(h%h zzE@89X`%A{a>}cP%Jb!v*9est$SJQCDnBfz{ESd}p`7wMq4FX*dxXmS<&@tLD!(nK zyjQ6FuAK6lLghnp%KL=M@5?E_B~<=UPI{QDm5<0NzavyWDyRIe zQ27fv<%2@yV{*!egvwvbDZeLF{#H);eWCLAa>^eFm4B2|{!pm=vz+orLgioOln)D) zf0tAKSg3qlPWcm|@?Ubwp9+;v$SEHYDxZ{7{!FNRT2A?>Q2DH!^5;V3b8^aG2$j#v zDSs(ab|E?CV?yOPIpwc}$__c@uZ7A9a?0Nbm32AgZ-vSpIpyz!%1Ls{-wTyficTEQrJQn{P`Q>q0NF?ek-9|L56F=T@nAP0yc8^!=RPz>2P2FO8T z$fhws4i-Z;j{$Os7_wyykVD0gtzv*2CWdSi1LSZqWV;w3uNOmhhyikh7_w6gkR!#A zU1ES7C5G%41LSBiWRDmi$A}?&#Q-^04B00J$Z=xGzA-?K7en@s0dj&Ea$pRQH;5qz z#{fA|3^_Cg$VpYToME1{bI<+Vt{-=4EaP1kn_cm%VL0hPz?E0 z43G=NkSk+=d`JwrDh9}h#gMCGfP6#@xi$vKg<{BcF+e^lhI}pt$VFnv7h-^1EQVYk z1LP7h`b#Q^!37&0>k$j8NyTVsHHLJYYr2FNGHkgvu7xl9cCS`3iO#gIE= zfP6{}xjP2P6=KLeF+i>qL+*_M@_%B;eKA0;5<~8f0rF`vpLmrL+@>wzDCow=iCx$!{1LX5!$fGepz95GDA_mA8#gNBh zfLt$z{5l56m&B0Y#sIlN4EcQwkQ>F2KgIyLNeuaO43L|}kiW(NnJI?+JqE}vV#wn$ zKyDR7{uKk{%VNkAF+gq;L!OKQ@)a@U=@=ki6+@nl0dl(-@>~p%uZbbg#{juQ2D`+OAP6V0dlt(G9d=Y*Ts-}43K-oke(PI-w;D4#Q?ci44D!G<+?)U<8sRNgvx)(Dc2V&pO90&MyPyJPPu_l z`Lvw!wL;~ya>@;b%ID;i8wr)q%PBV&DeFj1xrtCYPENV0P}w1;+)SvPAgA11sI1E= zw-73Ohd1{*#%5~(FeM05Ba>{*$%Jt=x`w5jB z$SL<1DmRo<9w1b1ET=qBsN7Ued5}=Kxt#J~p>j((#&JyrruUc z8P(F;36wiXD5JClM*_S)n!dZ@dUz2Id0kAYD!vZ8{cqUFSLGFy;j6Mm@3J`|zUu31 zP(sz$cf16%bO$PgikWZSNJjDJP$3tJYw6OvSq+b+0`K2QOGvUb3Gd(_CMyt=-8UyB zW}C@$OOx=H4q~!0G1+5tLR$8i^kTiI-pl`@4XrEk(6{u=-JlQLpbx8(sdwm5HB%qG zRUcb5e!D(CQ=ha3xjSZL>NoD#sZZOfPv4;5;n=QE^Tm^EJ5{6C&ZuTyo7ljC9p=^3 zy6@CyW$JS?^?Nqx^W!u1hcfkrujq?>>H1>t9p0u}^v5>n%QN-=W$LQ|em!(@A}WH4 z>poNuwL)#-=zn?2Fyy#^ilaE_NSA&+(phV;>DG$St;DGggvfx~e#|iW z%1tPY;Wjf(W~ZVjtO0)lv|p?|NkuPNYrX-RzgcS@veD$4n~LtWzVtYdDR;HEqy5F1 zI;;n2=qdCf+F)i;ZIVSBP(08nWKfWGO+kW7f6t{KcIn4l`ZRjN=G1JOQ{-J~8z86t zhMbB=%OR&uKu*D6uD$BdDSCF0yjze`r#p^Nr+yF-`us;a!{$h5*c@+^88*i&pJAsY zGHg!N83vkJWY`&t34wqqP%?$fjKEuwL%-kC|lnfiy z{LFk_K)s8+%^-Njh~b~H@FT$@W+bR4;>-(1Mmxy6M3X>!wx2TtvoAkGxi#zj3C_O! zQ?1ck-SOCA5?W#dCw7{Y#!SFCLSCGT=33_g$H;K$eb$$L8}PdUyuFQZs~g^c$@t>J zF4Gr&>exWXI#qCCol$UOx2eE5Z;{U6+a7D*4AyVp!5(VLjXjL1M4V`vBEFf_52!y_ zqY~5?nFyXt*DJ=h>(}SG8IW4Gv>y@K4GsA*XR^a3pc@LN}wr&&)5N z*~rD}vlw9?I1L;al??0dP;C}L_@ReK33X^FN)$n|u$C9t51WfAh1>$%PzoiulPIYr zqhju4N@|^v?oI)j6J3K!yYqp}fj$G77i2E<2FOxDmY^kpEDdCCbP{CgAk(#JAj=Q3 zL~RJj3V_T*_{SKA;Y>UXy9>&ZR^f<9t4PG8wM3q@;L=D)t5~F@RU!t`0^cGbtx|HN z1*lPy7HDQcT4gLqYe}kB(3)Jh*#sDgfV7q<(n6JxkeB@TaxU?QmyNWRaHLfhNG2W; z(kcfe6NdO65Q>f(&DxHP-z{Y(#T50uf`Mz&Mc-t9nHMFJ&>2b zGkNJ6%*N%kbY`CRjwbOGrMw*$!8`Qh%z%lQ!+EVN0ylYESs5dX#|;h zS~4@Q)!Jzt`ONGXV`iQAXA>En}XM$V-!%`iT2~8st5!-3RZ-0rUmSGT{joE`8JbQXo{lgSl$b-|_}z zx<%Ci`j^(|z>ToT96lbLN!5_G7B?QirPiweZoBaSG_SHW;vWypC7OZOcRQ91*Q~!Z z;RgkX(O=e%gboUDbp(ZaMygQb(F)ixw-MGXN@_K=+PW{Ua9riM46|@|po9x(qfOxo z?$0lv>HK1aF%9RIHC&>x2)>d$9>MBGq6ovkxE?;RouTy64XS=4(8nM`AFzgB%srUU zhX&JU_Yjadwc>EUKNMt+xD1dD1DPwXAjpP;EP*hFK{Ie>F#uj~(oBZ{&0Jt;h93aW zN)yf5Xa~Tvkr2&DYoZy=5)FXL5)E7`O*CU8CK^DOBN}daKPyi(aA}l81DeuAGd^OX z0d!fSffz+VG;nnUL~~Y>X#NLZZEXgkDXrDgt_Gqh5?3{@I!`p4^90eHHHl_35X}Ti zG%JB6Jre*(Ap`}Y5+5B?noqVJj9 zw^`Y{LtyWGmiEqPW$#YYo<*N=nOoO2 z2fexMYJ`?xyWq?&N03V&w4Nc6yS{niX&BL5W36d?-4*x>@m}je4|2|&T@z62`!#NM zO)mY-(mt7u(Ouy{mFxwP9swh&}wE?U8WUu<@Gu@v4KlxTVCpZ zpXCdk?R&Ixn%LW$xpF#V1gSq~6(mIIw`l zd?5pkHRcMizux^ZEpymk@BW0Ac^N3_PidJaK}jE>Wj+IBpV2ZO1G1z3GS~hw${fxt z)~!A_$KO(c(m54YI$v6s4!b_2xw9@Een5xKII_h9m&z6oTq;{UaOsoQ>CG3Hi%t+$MDi_Xw7krj^@R&2^a@l1eO_^hak2PU1|(ywqm_~I0gn=77g z&Eolv7Ed`Sl<#Trq(GtkK#OM<$bO{7GY(`w1&ZgCSv;q#isxsec+hy*c0qoDb8oAQ#L#@p7J|A7J?qv(q-aerL`4H$0Et>ZyjE%=TWoRKWbQBWSn*-IWXqHL#0Opc|3{3e48ybWhEo z;p3cPx>*@;YGF5nLw6gC4bv^hpil=VG73pJ$=ry{8NNDb_%F_IGEOENnmzS!GJ}(X zQ%szY&DQyFKB{mH&c`TtvDZ}K3^xcGKEWAI#ip*(r}uI6Jj_Wr&EQ-IGs_* zkMo-foZ%)x!zTlV=Vy9aZ1A*#GWRyk^t8?NbjRe<{V?v>N2cgbK1~oPms*ee!qsXAfg+lp)P;uc`4VT*QCr z#GRgrnVu>1(xSL1z4S(0l)1DRF2-IueW&NvOb@fPg8}b*3u);dyg6@H*qM7$mnVOM5Y3+K*5&a z3Jh39T+#F;ggh(ZN>t%7T!~Srj4PW89C`)WLP=wJ+g1%(YkJtIccuF{z8|((5+jy3BR;a6R)nHYT^o#iTy2PeC{1`V8nb z_!raYLceTfMDqI>PMTMz|3LeHk}mKpW%6 z7NA3HK%sZjJ+GK~-hlRL6WoLv*p8bp2Ablgrh)yqfj8OfV#wz+Y#?Az(t zS7n>$AVQg*_ZddJgF^%^(!Vzlufx|-#1HXx3}P$XibMP)6!FebM9&ceu{CZ@5s%{5 z3}PGHhC@6Sf=GT|&sHgM@)t^&fE>JA@Ei%=2?RO^7D2)^J2YsE+fpyS#%&od+TnJ7 zFZ^TYcZSdHaeI3854b&ZbqCym^ZA!BpJ#EC0z&eSn%_Rb-SIZhac<=p&8ByN;%5#R zUq6}6njP9K4}3KRKdUESZBg)3JoubQz~_X4llmv$eR<*MnB?&sG~qhoPBbuQa3>}( zopEOx82TU(2b1Bc=q_(V%X;G0YT(N1mREYt1xu7*lA&K5HP40gM9t)Zj@0QcxC?PQ zF&=keobHOdnojS>9YdZ5G1ptx(uQvE0Q~NZ3mciPk+4Ch^I_|byHgLGxI5!P58T7_ zU^*YRyS>dV>Wq+gr!-NKtn>HWA{NZ97l z0uXl%6SjGL*w!SxL@oBly{W}S+?%o32lt^Cxe2Zh`{<>~*vDMj7x(pF%1&_m;ePbe ze7GNTX@A_Gy)wkP`nl2N}JRS-^w)U zHhh~^lOFT7v^38*=`n9z%PaXNJ?5=xePysok8w>}M3;&vW8IE#r;TWVZ)X~D2ficN z2x$9tj^R#r2D8kYVQJOfjso9_@1(%3@tq9t3_K$XVCx6^@F7}eGcikS&pSKN(%Hn$ z)Y+MMCUv$ep2;{n3&S(sj0JCD6mGNx4n$!#o=t(f($a~L zIM{8FO6<&s%=)%Fu@?>5UHC5AvOf4Ore$;ST-q{EU;@K|rlJ=uT_rm-fL-p!cT?29 z_-+Pu9-had(z#CJfDr6GSz+IU@1fX(@I4Inz4+cRY!5ftS(b{v$O`*Dd>_Rgg70In z@5lF>{mebL3LsBr1^EDefI<$#4=|AP@q80A^E zEbRyCaxq>^QOD!O4C)fRghdt9C15|F74}lRlw#k2monIoVYovE@(p0H zsY6rolZ->l@G{dOQB|+Vdc0kZms8-I@Nx$DDg0Cxz_R1*3hD87h1a_H0#&^Nub|G} zj8`zuuEZ;|a5l^F_J8<)6!;eWKL&UeUS$s~Ki;m8A8+AO>kY~Lc)P-z<#_uveww!I zHvBZxvekGsZJDU9!G4zG?Has>qTYemFsN(sS{7AM*MObnc>4@~hGNga&oJ2Q@VYQ; ze!KG5`D*5mC<_$BJ>Jp2;l>;}9c3umoMJ}};H#2YE_y?7%7 zya{j00$6suUFnq_Z&%8Xw=1(AZ#U!3v|ab(%}l#8aVBk-s4l^NmgDUfyoI99$6FZG zt#~VoDyU1q&T_nc8NW=i7vPr}>}_~k7&c#*fSu)d`wD)AVn2*uVX$AtubREg)g?g6 zj<+ka8gCy7j<-p{@pe1jP91s_Z)Y5O4ZjxN*gNPQ$PT=NDlEo37=@j9r?>^H?1sf9 z6mb{cMG+svyBNgXc()az&w5_*ID6Bx%4Va1yJdQ!`MvpOJtY{C*YWGron`oS#+^NQ zk5xq8z;956r|=t$!d|>r?ElkQN90ZXCPiF{-((Q?;eA$!vJrVYi-`O$m?K`=H*ev$ zs3%Y3w-`_MQ4g7tEjr?kx#i_tN`~W^ck)Oo}805F{+a@ws39G#WBzt|e zx1Z&e?v~~v)@pAVxXf649~$U?(iFJOeh0rpoqHa?!#MXYemCeGz3w1BNUwVlA7rjO zgb&f{tf%H{yj?AQOzg!*a*f@3B+TIxU*fMGXN}9%#v1P!%PUE3YzSsTnh~34Xq(@| z@6k4I!tXI{ejmRd5}Ob32lTp3`~h>_hxo%RVzX8{Hfytr%~m!xYrV3uS(`;{UJk}4 zKaGv?wem;!BiiIw@JCFO597oBCi}mxPuxLQz|#|V24MyCF$41n{)B_s z8-RH;2veAY`4oRjVcx=@GB8K*5f0{^0L;5Vm?D7=4{Qd41 zP^a3Ul6@zM9|c2Gj1SFM_$zAbWBe6k>udaV(3T)HFJ>K@Z}2x1_*4811N<%iHVDjy z=0&;Ce22fIsGs5Q7}W3a_g1J`hURE6G$jI|0s8y_|3K}0fq!7^{D^;~c8ob6Ws{%q zPxR7b_$TJlpYhM^rT)bY|NP?@{0mk18vnv5{EB}KQ%D>@E&PUmqYB^R-x!78@$caZ zKTw4~@E=s+C;SJaa2y{GSAb^}8rvFE(R%OgmYI|YPmN=*9Bz4~`+e%fpZHJe#Buy5 z)Q zM9Vhu>++jzUZ}yZ%WqCat1LnN3z^`5Jop$-{VzbyIR70WaY*@}>BW|&{Idx#m=7)9$Ga{8A@G^;v6FE>Smo3tL~w75ZjxLCY(- zN4=m;-rFp%Oe)M~?9tpc&ZYuxDz%wG&N!QCAW37Ltr2+l68K7(E1l|HMb0?A{2Kx5V8If zg-?Ws5zYq+c?dSJvdQ_H%|6A%_K;7t1@rRPZ0;w?qcoGQ7Ajd!amZ`Mg7c==yt-wc z1fDMRDamwJXNMi{T^FbcLaYU7cF&Y9iw1Cx6@5K;-aPjHEVA>m(FzCBBUh-$1!cxwd9Oz6rrUeY&tg% zrgKsFtSHrMO3paFVjw9N3w#W;&Myn3B{)OLE4UCHwe4uV)>jU^?%TPUl_1bS490Y1*h(IMWqCQi1iiOTgcX@IghY)Qy~RN|iuT$=2V!a{lfW z`db;`D^t@w$QfsvjKSa`?8gYqS$YTQqAGk=mFoG(8K+0a(Tt$WEM4r&nl7wHzqf4Z zBJi_BAi!#1y&CnbA35VZs}7RtLC;`d8sHet-DkdKbIVT4Ao$CBuvG)_YEWB)$Qfs= zCP->>wuXe+vi|nc-7feWpl{e2TA=PydZ}T*nAll9%nN5xQ5yqOU^i34M5U>vlSZW z12&sT#5qK!1=mu01L7S)tCFF>ACgXGx^K5Itx zZX#!#9+@6A4~B)!-gmPmPpi4sK}qs#0oGek&u%7XoM&WK(K6_nAn^~{Y&8i_{ObVk zI%?|{a>m&rgMBN`R%mh@^xj}IO0&sf6m@IBY)$RmM$R~UZ9vk7vlp89haw`+wt&}` z+PZ_Bakkolq#b7~v~7o?AkTwYC%!?RWKz_gIyZxyan5xBNr#|wZ2G+?NuFfl(~-i@ zB4-?YCy;as!V7ZfJ-Z)(l84N31k)MtI#XM7$Qfs=3rM3Suvpe8*r?%#iGtL&7to7h*g|_YeD9H1@taB(xp6^PN=er@~ zNq%q&Pu_9`#`2!fmY%eo_mVTNoxMQPi?);9caS&$p25W+^oFZ?Q-u4;8HdmZBz?jV zk{%=o{@YSGxP)IHePsJh0%M;{fqm5eL*$IJ-xnl(!|gBh+lSTLhb-+Mwwu^<`;tHM zj{@)V< zc?BP7W*eU`LgVvHFg_4!H$48t{U;xvFJFE|F~aXer=QA=JFr8dmKwmyhzSC6XQTKE(;Sq zEK`wA-OVic+H3R85PS92xC3kp}sEJH+#+jH5lF3<^kPYuQGU1&92B%PG zwvsc>nW-R|YC6M(_nR!j%j^NH&W86!fV`2Kdxe~F=ExoFO{O_fc)ybg?=*m!Monxd zXPgOgD|&MlCS=3=olJPAgTd+4nH}VebB5fj-eNk#h4;HG!prQ9tjUJ=R)D;fn%hmz zICJDy^)}O-D7@dxg!guUxt*HWL(Vu8V(-vj{J2T|Fnvna zAKp@s$FSLm>;|B>V*CooOemO{w3t32XIwFn^_N*@F-;G7?9mBtQ=1{5T|wiX>H$;I z*?>En+B`zeIGbc0W=_y1|AeR$-u^Zw*~O+4Hb27RABJ&)zL7$_O3X}NPk4)SlUH~h zF^RFa35joTRpTFWhW-35WXiw5~9Ipcy%7N6#t zL53%?2A-$}FAGZgihuUYDVs0M!yYViit~g%R0z8JZm@PY_2e6J#(6@Prsi3A@+0rb z=}0^|E%f9buyzmi6L;glk2Ii@9sr{cP=`*FGtQy;Aem1c3OukV=^XumMDXc~spwx09Cnkq zTLTx-=cxkvgMjcLML$o@IP?V|SztDoK1CEX$VwPc*66Lth@5d64};`kQ-j+cbCo5}< z*k~uiJ8rmVS*D`@!oa%*!50I-VhZjdXB_wvkSyWAQ^LT{hk{c+Aq!zkDXN#8aj1`h z|6Pzmr!(6$*xiWN3!h|ZB$*|)Ro>Z09z($t6o}{LW zkTcHoGLS4Y{S6t|wD_n8HnM=VoZ2i#&N!P-f#j*6O>STVlad1)Txq>+j2qbC$~KmR zWpH4F%R&dXZ6wAa1DocM8jIkvP_%gzjT_iBryQ6w6pSX~3JBy18srk>j0^HgkgPO= z%p~If;Di5BrBdXKQ(6U*Ra_#L3r$3LwH@0oV`L*MYEM(t3gnDKT@8}eB3IVH2WzNO zC340otp&+i&XtTXS6o6@o&n8gC@R^c@(hQ%4kYUsSD4{Gxi&YTz{`l5SSC&Aa1R%Y zfMls7!yde*TE`|6P_Y`sM7G$m)8oedz_U}L9?jUBs*_eQ|oNNqMJ zXPiy4^0g^wljkRo&F@6H)6$hY#FxuB7?yi7A_`vc2~TR^&nYP2V3oW@p=Y^56h zeI3c2VB#P0<*Sw?$B#+;6J-O|Uj_>=Q|n#G8E1VPNVbLfOIGDxp&H%D8K?0oNM2?A z?J4j#SAuZ8)$1Ef2shL4u9U$KfzQH*_meKZ72mG%ZN`_x$(V_ND_~1>dG=iLQO8Y>v&#%Nhco=5ZZ@~v| zQKeDjj8obVlKrew_~S^lRGaVE{J&)cBL@KJ05vj(oN-3p2Fcsh2>DivT>}Jc>y^kv z`s5A3eh2j5q1fbmk9RoicR}*574}FQk_klgAm|^Y*b~SZhkXbnhpez~vBVDkoCcal z9^h?!!)E-P<~_iDkJ_9_&N!RzgXDdGhtStjB~J-B`T>0K0aYTa_aAUdAA;mVzY^c| zAHfG7Q6;h-{}HEj7$k>TrN9rE*`iF7>H3cW=woVxth0a286j&}pNK=4X500~3aFMQ z4B@AM`zf_a7TZ7NY?3vsBWwuiZ?YkeK7$WFqe?T#8K-mjb!Pr6J9zXYH!sS&c=_9bWJ7)XwZLzpfX!gOH>zXIH^sLi?LjI;SQNWNx6 zcn_>u!h&e>eVNG*WF{}jOopu^{(C+UJ~G^PMUyNteM1dBO3pY#--6^@vvKfsNb)26 zrfMy}*QScOP1V8aMSh##NHNu!pNos}gPZTbrSGV_i^&=1?)M=1o{bCCcI0shZXEpq z@P44So*-wOtsg=1V=y#qSr+h)w`3o(s6xkzpFsa7ioJ}Sao9hD(C!ku@miJE)lAJjCtKmCI< zeHdwnSEYhf#c8~9ETWh%x}ZYF~0;4>&J}KKu@EX zFxiaLILtVZ#Mxq&CxNc=!PN*^{1`{7LVZ&;`^1 z^+N;UExfm)d(i`M+=D(spTO}SEkSd`TY4L5owTmOxAkh7+MC*b`1cRmY3*O#7gr;$ zX!rp|p z;CL+I&x8}Y&sEY@(^VUe<6O79;Fa30CtWYNUV`IM*Ke-lx=+{P4WY&0SW|DNw}j(e z`XYTP9FMy-w?p^2i@B@0Yr?UmyQdrQ-Amo;+|TPiPl~6c2VT|f8RnVlnFhx_o{v1x zzQkIIEfQP7adqOB#BFdqo#akR(tSzolKLea9`#(VR73&HV5?_BRaaD2-9l6Mmv4|tDyztnxH6;kV^Hh|;O z)OD%gPwJl3k5a*Ynj@`1T46Z0PwSsH2#zu!2(y zLVF8UDs)XDxUSI5LJt>uRQDCGUbso&=5V~b@RGuh>pnaLPsTUGaSPsu59q!k=|##F zsR+j#ip(f78;-k+d{E@D?kiffXhzW*aNJk))1pUpU$N4~Y8AU0j%SK{iYM#7;+=~R zE z!tuTePgDS$ibX0`tymq7?JM@L2=TA@WW^UMLcUe1SgC#`@T1bqN)K0pcvUV@xklw$ zaBNk%cjdmiuS$a|?W=TxM4 zn!s^R#-kZa;CLkCmyF+aU$s`%dRGISYRjvwueK46|5Q(?4s}+2K=ldLC&6)E^`+IH zfaA;6_g8-hjz3rbw>s2KjiNO&YCyYcJXPbR8jxQ#KdgBlH5HfXK;u64j`>>%FPHoUg$wctm?#~ZG12<>cmzEOIk zg1WEK2aSGg^o#CmtT!&+xD*^`H(t^h^04vN#_u!+yG?30Y15=V9LF}9(FF3Zsk3R3 zrp4hnsp;INP+v{IYI?Hi8Qs^cW3!>nu7~5#%`P;L(|yg`HXqo02pl&z-`^bK)}nli z1}z%F@u3#0TRfxtT25?vSIc>DT-$Pc%bmLKy5`sQz7G1~x)-i{{kpxnuT_awwOiGN z5w>$$BTZ@mnTf3%(e&G zz6;0G?ULJhbzi%i+da^3f$nQxrhUEk4Rl`zPlu8n%D}Nhhanw?!}0A7Uv+?Zb-bzL zeI4iPzD^%?`nl6@y07y;T|8Zqbzhgqy1WQ4*!6Y!uWMS@0=lp3y6X$h zRrhu4-)&O2sc`(M+xhOA?(5#C`}pn?;rL4TL){_&d$j2>pvPc1Zt3xMkAu3eXOW&Y zdP4s8T+{Q_o;!43pA$Z}FG=_LM)_{{&Cq>)-|72xU#P!+z59*pcLN;1>UXjq#JB(0 z{xkYR{QI99kUAj0?i%O5^4Q(~F zEgVM-y>;jvaC~*>dqY3eeZyJ}>o;s591jiqZrG2yZ@6=Kk>QXB!^aPwH5~G8_*cVE z4hKI+6dX}?M0Gf}88Ki4w0p$f5uc6t0*YeI)ezsLG=n zk7@?TexoLingYk8qy8LqLideM9bI8`WjMAP-ETD59sTU+ougmZePdi>ij64=$6;e` z9&;-kKOXbzm_Kyi*rc(g$CiU*v$4I#Lc7MU9{bAJ*L2^wBI9a|gLseYJZ|_n;N@|1 z#w{HO^*rvUap%WFe~lk7e)9Mm;kbAFXXC-o2{%r-cftd3{A$9<3DBM!8r{(ChMsU- zb;HXyysG;q&YZYt;!-%Co0K{U`e9O?No^+q|4mvj>FG%@9!&acvTHKr<>VEUGbg_c z$HS9j?pHRLB*nUfpsGmjCksb-t2odNm4_iqR{> zGk@BYL1nT5&%h*UkX?9YTGU15vdKlmO@Gr{qKesw%MC@@4jj*opOv%QZzC|I|1Ju+ zZulQMj@Ct0v#~KQ9i|Jx;fM@B{=P1Ys$D!YZ1gU&8K8pwq>IQ*s3fX!aofbU>k^o9 z7;S?-gywZXS6%$(u}!>0<`S4@9&>s2(Z&u%wJ%L$jRqTKarLD$YnUb^bBWFJWmE6c zwwZ1BWiTC9R@Yqm=CdKVOy-4UHx4ztJi*{*3zyRe2N{^jfMSqtoy=^Y!v+fln589^h8eoiTmI^NEH%ZC` zuF|;_nMnEPQqQ5TIXb+|oFceTG9C5EElzXkk-NMO%amTZCFsJW=^XL*7O-UqqdpNf z8pZfOgQflBNg34lGT1kKAS1=)vTv@emqGn6QwZ2FTuvWgI6P*2{nQN&yo}LcBXYU@ zV52ey4Zh4#F(PA>?a&%s0b7 zl43d0H%K@CJhvVilLJF%gwLOP&Cs|U>SL&%6LPShp}tPc;l76YJ2@Bl8|w4aT;enD zcNuh3F7n&(oltFV^Bd-B`;A59|Ip33Eb2z&{VZJ_-I5FaH`+k5C%5`fza$PWUF}D= z<|)PBv?xz> z7292Tr$ynV)e$YpGyTQ(S>9?@c)xW=kL9U;WBV@ewM^81Pvp7&WBV{~wl2IM=b>eJ zvLD&L%)2e*zbAPr&-NtSn|ZsHA$Q!X(8@gBscgsQ{g#GwZWpvF&v!1{!B<9W!^hb7 z(CRCqpV_{?a#|cx)E&{(9&`OjT(ozEt~mD&1` zBxr|TxFVB)O@u2q0wKxJ1+BkglYvc$D?19|Hv+5BhATQR*xXQ&2+xl$Xwwy+A8d}O zXpm@Fd{3RJQYGXe^eQ4GUC7^XYUzl!s(cAVhou-56(eWFdD9(jQ+dPW4VybEGHmWx z<Rxy(LD5;$|FG@vALuoB+4ZsWA{l^LOWF^S!5EMO)5%O*+k^# ziXS|}41T2C7VTC^Ws_8FVyQ^kB^H-l{@=OIMSE0oWszKLf~ja_m0;v^eoWk}GE5@F z*ep{KlgTo2P1snuPbHgVvat!Lq9&VgT+;c+(3xnzO1cP=&PY7}!@;_uw^j5ajGhre z@@O&@y+}&exRyR%FYut#h3H+Cfl*{2n}sTZMrK8NG-ojW!K5TQq>?epWMmUkMKRJu zWUmkZlJ^(%zRJrO@{-L>6-hSNqB##E%@0*_#+aOJf~sgnla!%4;UxMqI;`?E8}gLR zRTa_Dyo>hV2B`*KUD+6YqLMY6l9f$Z71d}HRHPS{v`19ZW@FN_iK`+jO2AlTA<5eW z9aYJj&B@Cqu!?Rh$!eu*Br$p0JbkAA7b=M_LK3rytRifcjIqj%dp45H{sq~N=$Ojq ziLY&{Hpt+M+fW;dJRD$=$Ynhm`WHWm3+C3iN2 zHLGqTubC%X5mdCZid1%dE}Eizuk!p7d*BiB{Lvm=+KFf67ngV2vE>n~B(vzf1= zE|s;})HCc>`ZDNemGRjWSJ^%^_+CX`He%UzoiUl${Xc`Ngnm`Ye~FWybfr-MD*D-# z*pc8ElYLSIMjiaF>fkce0b2{I2O`Pg?7TLC5Y-bAXLWY>oVZG~I_QL|lI)Ik6nMt%hU$&WUMbLfA@|?| z&`DJ!Q6%-n=t`qdRF7PY=thIdF4a~+r&XookV+waNvg&Fyn$Wltm>H@)H8hVltkxL z@8q!FF?xt>@ld@J&B$=Eb>dw5e!88nD>|>rCkK`fTSBUbE_OLYi|?Ri1lzv-JHMxC zKzLAE{^#Dy9ML8DpNuD0!ZrRophVS6C@1%}(?AvEquf-F*+nTweHJ#l(E(0drs--9nF}h;$R$#F?dcL#hUQT{my3Fi^q|3;s^^S+ z&MilH&arvl-C0Xgm6yvZkF7q{d%30RSU=ec9I2(K3e1HSXjGvwgYl|f%%#0(^yCGU zsHt9Lc`CP^^Sqj-Dl!*Wq*0ZAeyytISG}3bd(-Gq!n3M3a~rpc`~|*+rMJUm4M*#y z6;u_P2P%}UQq`lPtk0D)qf-4VKTxu80#9b`r4?3Hn@6gatz6Zsxw1+vGEo;*uYXs^ zKCOrt3MM> zyy$IHDOJ^Zw5r+4R=u37t2c`bwY{w>qY6BW@a6W@2;OE@(#omc&f~q!(z@#H++Mpf z{=?67{cB_svqgI$tp%#rWymqFT*Huj6;L1jUns`dyoI!VM1ur_$BOMf2zz!w4Vo$fHLmZFLX1Dn^9%6O=O z%7nbuvyrxk{chMlp#Z)x<`i`lQC#6fLDI<}3zZF#7FS-pJ~?cT;U|pHX~qJru3`_x z9*AWSl@WQ75u#}mJWdh_!<=xy3EY`<)9NeAP?SL_gQX3X715MfUe#gmSWFHv?pPWq z0#O8F5(rBoDl_sbAw=6Q_D+T+lF&PuVOm4QDvDJ&R$-Y%Wk<9Xn3wh3VRtyksKl75 zG*+CVI3b4%#t<$bNGA{s?BLZUIq#@JfiTxE*N6w6F8vW09z zR+$nDeP0Q>{FddGidhu1*fI;tE-G8D1cr#Yjm#vN-wNGIv5jJzEZK%-9F;LKSK}3u zagtlC+b9aULJ}f73dh)Csj?e=P5eu{qij@>AN#ztP9>|vv#&gIz2Vyk&4MMa8=BBmlzbOs%% z3{n&%M?s-+V(;A8ou}{{m_b?>MNEpAq9P`ioKzNt7M=RHJXx`KbSy!I-q8)yx+%6& zY!x+Iv5ciMNimc>Ly2OQ)t37nimMb?#l%%CU#V;o)u56^#$gd`<^N3Ur3g$BSj+^* zl9eHC#j;>tGSV#!NomLjRhNy;{6K>}kq>`AS^;xNTw*~(!okE!gktx1)6(Pf^H z%RbWvDlStQ6_J5gi5t(i>hhLz|#TP?6vzCqb47RR${3ySSuh6WmZ+JKEHHqYECbWd+=KnX)4mpF{H^7r^-x4jF*lWWnyiTr*Ut7n<7s|o;iv< zSprqrDO1$ynoCQv{5|^}ia`~F<~RmrSyW}HV#rI&kh1YMr=!Nb{0v2=icE7PnX-hc zvQ)Of)peJicui8J_xSNmwONW(6{+S}Qe}x%WvU{~OHY`Q#9Vj5n^p9|^#5;l>CeL- zc$fkFn0%x*N71aJ*&I!?EY+%Pjil_=wU>vM9h7K+ap?kq)<>JGNLG<-jwe}zXxr1N z!R^{Sm9;8sb4AvMX70Tzb5-W%n#>K&-uqSds_e~G*~@3}9BsbJV3onSE`yCMzJL-{ z2ItD&BRzPf|D{h!_Uxkfa9yC_)2HB+}wW3$fz=9B4znANG|s;h$Ikt4v_RQ zmuDZHM^DlgDXLafo$INZrE8VZimr1%U6Vd!*Py#-OB7Qprp^;g&9b%1YQ@%hgRMgu zyRG(^Vr<3Od4{nK*7omfuB1JovRh?$p2}_`!^zGimECGyl6U4MMqk1m<$hOSS5tX6nYK(#GSnm^$4)Yk&Ho6c7+XA47Au0yc?4_piA@sI%CmXw#Z!u& z6+P!#dS)qFWwJpB>X`GWIxC7MeHQk(jFpO<6*=c>ayAIsSaM#aGFoMHuFq&AtI0C6 z%4jv+$a&KZqfca}9mcin(sEmEwPI?;)Omub4YoGsAZt}-tIW#_Eb4mezR#{TZRPzxvAIX*T5i8~<6hnS)>HjLUytY+kxXSQ6m*Ga1lO=PN z;c8lubEXxcePPAZc5(wFj?SoIoqUqEP0_fb@jOZ629+BVmRD7#t4zeE0jdJ7xC$_;fJ}f?1!Of~s?RUqM96BA zV<(sGFPI1&qrY9Pr0rM3fEos_$YFpT3RD%yQirepJ2F@?znwhNK4M3P&f2?bWKbi+6+JSrql2o3NZCYP zf9XaDyHUXEvuOIqKSIC*6W|wKowY-1gis^I6+c3-qlBu6Xjnz*T$+)>Y9z3eN82~- zNHJ1-UyT%Mq);P8AP0gEJE^37s47EMhN=uE2S|004I8R5q8)pc-o>9!+0B`(K8v+q zn2j8V)v&=(My!EV`q^7BRs~=u&yGGYh7MI7*%3{Jk-b%6#M7<{tUfbzV>z;4@Ac6> zQ6q>NLDY?hAP0<5L{&&EY@>89&gfyp)oP@$lN-9R9@&v(q;^D&Bmx$RHO5Lmd+Nuo z9;`mg&e*eyy+>80s7g_DE}I-M=3c5&vXg@pR`!e~MjWli4LiA^n_Wj{G58BLtk_UW zb_HDFL{~(1MPc>X#fY^rw5V#i7|~Uj(N#xi+^j0XP9BPp9sg!;@%w1U)Ci+S7a$Rc?D{u5(u~x;RwIoB%VbyV6;5RJWmOw?@{1Yc z;No(B?ORnjs&dpEErJ{{=4z^PE+$7Q?8wHL(0JL6Emog}Vr2Kf-Nswf2h)>VUHbFz z2kHra7ravYUX4T%uuyjQjl#39H>;YllV6IM2Iq2vv>#OksR~kaxhQhLnA52Wx)hwH zY}k)AR`IbLYpg!A!pd&B$7*hOIPln)pVi^CHi8h1OF*;85)qYjulp3ehO;ijyV2o9&k}d{^Da^>mB&&E? zjYoEJE3AtpPqH7c{7=+8r2VdjtQhF(Vs)Ov^%tsLL0NrvIbs)FNuQt{R~4lyN=*W@ zAqRq0RaN^-RaG`b^m1_Hm0;6qEV7ed&bS!2S*ofoXD=w*_P1kp)noP9<%}upD~H=E z<4da(YQ$0_mYN4#kj%l}PQPwk`{yt0wFRLR(vOr-I# zn*7-Gp6)90)GX zSJKX^D!T{~&Iz2F7ksc9v+U$Ksoln`QB_$^>J{Y+KijmcCaceKQVe17e!t7Ji|*uY ztDRFLni|p6yzwID06Tv?ud3}LMmi_4Y;N?$YV@*`=frjz^GH=~Ik9(?PyYF&T~%3q zmJ?&g&L=a}_h~tHE|n8==TiG*RabWMOYNh`9=d=^#vwI%RFlUXOD}dMWc8US)i1To z@Qv6F-m0~Zi&NF6s!df}Hs=6aZG6R5R8z+sn{AhxlXIdyBXc7;=7e^j!rlag;@6>{ z!E-k%h;&pQC8Dd~*b)szYvK3`8m5&-x>g4b*B*o8^XU4xbfm{sKqKPrhvRZIGVWtI z{)|S&mqB{`)o675csR~LW8$~M@cCQ%IqH`{q;+&7BCcKDlbYXOpYY@_1!_YL>TW~y#rt3eWTioZ7?ukRUdR~I#Yv{Jb z40LqeHF!KnGhFL+`ce zjoxot8@<=2SM*W4ap-V6IJPf{K5oAl9d5r1&VNCA`}6424o%_j zPIROr96OFdpLP5N9qCj6ecGuB(mQoSM?2jI$EE1=&X7NyC!;SqKMTi~(U)BwKzf&l z(brwSKzi3d(Kp>2A-#JS^j(i9kly1(^nK5Nk=`o>{n)E19J`~Rdff%bCFti~`{DRG z`lYuQ>AlOMUwik0<7o6-Z_w-gBKp1e*Kqs?{n4iq()(PCj`x`W$C>EQKAYgU8~xp9 zKRVI33i_w-&FEy`H_)kmozdxjpQ1DUhoQ6me?$KcXot=X?1%mvxD}lrQ~+HV^gk_r zNWA74(p7T~S*9fnt*p6*ZqoE&jWzeMeVS)Pik39uH7$AM1TAG$X)WKV54F@W2eq_u zX_DDxLfV$efxRWM6_z zM}LFt7|2{&SCD-LGLLpG$i4=dTbl*4Z$Or$jRV=YAWPIBrpe!dEJfP{vhP8btU>&f ze*l?R`wnD3f-GNL3y}Q;vb4BrAp03)sc}<5_6x}J#|;G8uOLfz_6FH+AS>u>2D0Bl zR=^3KCI11k!p?_5b{u4doF9PfPmmRHZU@<4Aj3|m?c~2fR?PV$$WDN)XhI*5{R6TR z3C%%v5@f{_fIpH?fvi-*Mv$EbS;>SGAUgxHG6`RR>@3JiyJ~~%Uyzk^6$jZlkd<{o zT_*nrvWl)TAUhAT3NGND>6e9UyaqthW9;$UGpc<*o^`M37zW zE&{S7kkxTRdsC7@R?iLXO-TV+UH9`K%LlS++>1fx1zCL$aC1s3$QpW*K$ZrwYdzCI zmJYJUo*^L153)uc$d{A?AZzM@d`T$?vL=bGKvoE3%@b>atT4!$C2j*523gC*RUj(@ zvKC26AS(*8R*5G;Rt#jz78O(1hVel zLLjRQvR+;dWK}@c)Agag#Pc}18kP6F>Rj*H24@XY_GoJp5uA0*_b-~1m;h(py!U`^ zRnYC@odUWUpxfIEoRCrtWPQEMKvo@OKJS+xs{yk9-nT$j6J-5T8-VO8kPS>N2eMiq z8<0x+qc+F}r;`4t1F}J>B+gfZY-lQpb6t=PNh=JpdLSE~76-EWARCqjV_?cPARCd^ z7Gw=Tc758tAiEZ1qtd2=tRcuora@h%Gy>U}G^opz#vmJ=o*!gQKsGMzJjj}YY-~E| zk7gj7kWTueImpJRL%pT60NKQJsJE1sAiE*`FOXdavdQU3LDmXnlkztNS!<9@&0hgz zZ9q09|45Lv1=&scyMnA8$Zjk!9%Su7c5{K=AnO3KX$4k+tRu*7DKH;ooj^9dAj!YZ zAiJ#~$-gcjyR{Ip(G_HO6e2ddf$a7|kAkc_$YvC}6J$L=c4y({AnOUTS%ot|)(d1a z3qKCB-XNP(_%4w30oiPPBglLpn~Mj5tS`v!!UsUs4`lQ3W{~v<+1*7df@}cD?k$oE zvVkDGr^sxO4FcKyMaF|{Fv#vJau{SoKsLX~PLK@+*#kvk{*p2bWDAO7kPQdfgGG;m z?0S$rTy!tUMu6<0Vleke840q5#Y%!~6v!Sao(!_lAX`-I6v)Pa?9t-GKsFX+ONw^{ z**K6bF1`a~<3aXV@n=9b0c1-{6b0E0AbX;O6J!%X_IQcsKsE_v%StQ)*<_GCS<(rz zDIj~Q#2+A=3bN%TH-PL$kgY8F1judz*@{w$Ae#oVRVDuc+07vPU#agvHXUTEOC1E+ zEg*Zk^tB+n6=Z8mmj~HxAX`&~&@WnrF?ayQ5}m37xfgwyiwrqxm3vx%_<~ zdk|!=mcJ2X3qbZt1;W`6f$X&kgtH$8+4c$@LG}p9c2=kdvV|bqQGxjUD9CnKAU-bw z*{+J!LADrVdny(N*%FYwUXj%AQjqPfNb2`7kiAh6#*dW8LAI|V89$x?*_)L}OrHeV z{z@dK%Ru&4C6f2cLH2eflJ`%6>_FvOAX@>lcPkeI*-DVTQyJz3DgOi6p~@{mwhCki ztH4}4fQKxauj8JrA-YRlfq+3n2S6qY21f1liGy${<@0vd=P>fb1oZeUUK>WE(*C zdB*P`+X%8_8J~b`6Ue@-Mtt53vahQVpEE)BRke*E+XAw0t33&_tswiRI?Pp4UIy9s z)&2(AHjsT+eGYPXZw%BqTr* zMCly?X%?CRL^`N+X(A{{@4XkLcj;Amf8Wge&b)K~Wab}d_}p{fyL)oG&+cC4qS|(~ zhh=K0?NEDAhU@cAwZ~<+KJQX{6cnkpTkUC3h}s^tCqaGH_NqM(N>JOU_AH3&%YLv_LoA;2~i?Y9~9aeLconw^9d&DSfn&k$m9aXc+by7Q~ zmZ#hewc~0&<@TwaP_rvcQah>Utk6g8l$vjaeQKxG+!a=+ol$cIf24L+%|AF$?VOrl z@C>y-)$#@pRr^cL6MS6lyjo!JuWA?60xC9AyQub3#WHG_)bdpvrgmBFm5NDfSJYmv zbV2Q^T7gR2)UK)JuNwFhc%SKF)hQ0=XdqH2%S-U+eP9;+1# z$y9ry_Fl*UwWn(DhOn=mseKT_zIv|qe)WcG|ELwO{;}G>Y9Ce~qV_`Vqw0x9i2y^b zL}+2dKfqKg6>6$E)JleqRLi4QIy6d2Rj6@E&8=3xW(PGtwTdor>xPxpK2~ej@J+SSYHh>c zRx6_x6YirHq!t|>uU1yAeRw^!a%!>RI_?9?tHp)uxDTkH)}hf@wP3aQM(Ju5)jBr5 zsa8oXq49pT%4(gOdeo|@b#8J;t*TmL(`9Pa)RLP{R0~l{YL;KEx>`!pCu*T;U7E3< zYp8W?#(u7;mfAd@S}nEi%^s-LR_oThhgu!A^yaP9>Z+whm}+5aJ(^!stEZL`(Os>+ zTF;0`wFYXRL>yFWsPYT5Gl8 zZMLhmQ5)8_n_6475p5&X+Npismh&xIZB$#%w-~jN?R5SI#Hx*M$NAe{?ThGF)H`rI@zE{R;?>4Q&sOWC_Eq!=sn%2N`wsWiK2@9D;i%eYYO~_%tMyWw8&^`T zx7wVz{%U>H=EudU^;Me}cSxv~bc|6Op!Q?OnrZ{pe&~2bZIIfcj=R(b ztNj$uHF1dAl6bC(L)8|?>$4(YnA);`z4Wc@JqGziJXI9 zsr{PBweD-R-x9gjeWSLaa}Bi#Y8yMht2R;X_s$t=lhpp`+){0_+NRF;)uyOz>3mG> zTeZzeAF5@lZAWuG;=C@2JgFJJiLgHec;v7mkeuYDcY_?R?iUYHQRkbxl)St9G#~ z$H6aZSGsZ>tW&$(ElTZIwQJofsjXML+HIZM2DKaAW~lw9cD?%)wcpilb?>LPQSD|L z=kzAEzq@lz|Dkp}EnIE0+TFC$YFpIqr0JWmfURox(?+XpQ@fYWXU}%EhiQEF>`;4< zzD8}Q+T-+TYP-}PrQcH9t@bqifZ86lCmFTX_NqP4_&{x++Ov#aYWvmx&4^Yzp!QG3 z0kwn9`3@svrP?927d_rnJFMpD;Z{3hly#Vf(PN<9*luDNfdyStUDFIlpr!BitLZyJ z2jA}(FR?n{)armUOLWJ<7ytTFP%kol_)<|{{%1YO*^&wLpUb&7;H+T=IvG2hEsa3u zUL#O5Z_9D!KfP!6&DG5A8#&H=-fL!KNbY97m*dPAy=NYptC{^u=Q#6a@0lm%Zsy<| zXTIt^^W9(Y9KhByrU!YsJ4#O3w?|JpdO;bO?H2m~g9q6w<$|z#y zGfdOXx8|-)*9_N8*DTj;*IfOZ`M+(acQf7Yo$g)#_dJdCTD7Cuw6oc?Yu?S3>Avm# zGt?e>H`DD`>OWuWSJwM^u1vqm-c7Gv@BLb}&DpeF-p!Tif6jY8waeMGTiLV+-pzFT zKlA<>rrYD2P4i^a@@LbEWz$M{H&>=7$osvhRm!H-^=^89+3Y{hmjC}V0$vz}bp-9y z(Q(v$)_u)=+x^h5lwVoD%6{wpHv8@JKj(kh|Cav)|7RXwkH?eWQ_NGs6XdDnsq0zq z*%Ih6jJyp^!*S9T)uywF`f7)@6JM*1K#osE2K!$`Gn8b(*>2HhbI(jfzSz$efXK84Sq z7xacc&=>kae;5D*VGs<4Autq%!EpE-M!-lI1z*5u7z1Nr9E^uA;VbwWzJUoa5hlT8 zm;&EICdApj3}Y&zX)qnWgBkEW%!FAm8|J`VmhCQ$s_Q8HQ00-d^ z9EKxs6pq1hH~}Z&6r6@La2C$NpYRu)hYN5KF2QBE0$1T0T!$NQ6K=t6_#5uPUAPDL z;Q>5^N5cM$1%1rjC-4-W!E^Wr{)HF9G{6K0C7o3up^%gq>oi8g`gn&oF{~uL@@a z!>G-pwICcCL0xD7^`H(kgfQV#z~@!Nr=U+E!?zJMh9=Mynn81jfJlgf7SIw}L2GCO zZJ`}RLkz@1d*}dh&=KOH6C^+)bcQ5IhAxl-sn8X=L3c=lbjW}n@Co#UPvJA@1-+pU z^o4%V9|pic7zBf12n>Z`FdROI5ik-)!51(Z#=uzk8pgqR_!7Q?Z(ssUgh?`5A20~upbV&#H`~~OX0$dbEV@`-BP#jUWGzX7>YtM_y9^mX(%tuWJZ;tI@E+PXaJ3%DMUdlXe-QA zMlsL<;vwrDWX+fLXm?r$^n_l*%xZnPI{*g5=P(+^!8b4orowl^%$j8;cjv%D_z9N4 za##s#U>$4_X4cQ$$lcAb4R*pF*bj%`D4YP6$Lzz1HOg}luEA}%508LFu}t6qv0TDr zCz=Df>kk3&66A;1;B|NtSPzT!uu2GX7^6~92FgJ&FsW4yLZKGah5EuA#V8z_KyzTB zEEdXQp)A(OVvQ`;$Vw6>``Jo?ZjcV2LT~5?S=*8IuCji{7&Y@N9vBZ_!$g<@ER@AU zS+ijtutpYZWKLkjLRl=7#X?yul*K|>ER@9>S*(%88dLvVIsfWO=Yy0(F*%#!=7W$HSCM_CBx=dQy;^}G<_^4xU1(G m)%ny2f#whaO`#dIhYk=8F%S#wgx%C`W(4a0P1FV6F#ZSR?SCl% literal 389688 zcmcd!34C2e)xX8m;?i=EQyME`)nLGE+&Ghzq0e`=ybI#mZ{`buP z&dfb`-prT&efK?vVJw|gZW@yYM#pR6udAoKd$6l}Y@pOIO=C{?;r`LWKtpZ+&{&~3 zlpm;VEA-{NPi`6R86PN=8n$W7bd$6fj*j;i3%SvgL*4C#(ZX1%kuZ(Yf&N3a@K{og6ESnlqP_n3=(D z)2csYR<7G#eZHBnPOht*n>WpbGcQ$LZKWy`2TXHeE@4;XD(6<0QhdG?;xiVHU!ALL z%3mbX&q-CL=9TdHz9xvj*cZRd7r)3C-{Om3?2F&wi(lf4FZkkXeevVI_&Q&FqSV7v z?~9-6i_iMv=lS9reDTYC@k@R2Ex!0=zW5!!_~pL%f-k<&7eDTcU*U^SBt85qeeqL$ z@vD6C^L+8EeeuhD@t64GTYT}C`r>!^;@9}%3%>ZZzW8xp{AFHzCPDlGJ{*#~0-j{1 z`r<8L`gy*1+ZVsg7w`DuTYT{eU;GYVe2Fi<;ENZ0u0}lLzWAgseS&z-@uz(8Q+@Gi zU;I2@e3>tPnJ=FC;#++28DIPkUwpYQzTk_m@Wqe&;wSmy6Sm8rL-|Ty{8V3jl`npt zFMhHwewiZ{Re5yr?4-SY$v|cGIVJXva+WtIts3cSADc4QY_uve>!uGKXc+99 zSD7E$Zzl64vm2M}S=u`_k)Pg_TREDT**{*sclF@ri{Sd~l-<8`pfbNdVGpjEQrWzt zp?GBel--NBue$0$`IMc52O7q!Q@Is&>&&aBCbrMWn~hht%&*MVoo6|F=1-ZPv(CHn z!2FuS$@S)^uBpzXQf98yYA#uLXvv<&D-WDIdwlEc)xAgCYdV(f$&PkaRdqJ!a!z$+ zs99S{0V5Y09 z_pO+gNQ_t8O}R<-=K6efbqbh2UTr$(jc%UR*0*GDWA6blTt2sKB$u0+tIem=rHOgP zt)|m_WL3?EC9@J`_P*4~rutmMN?clHI*nsTPM>pO-|ohP8?yU%!Trpv&Q#B+-;i+Z zBPTDcZkjvTRldKpsW~^tUR#-|oNHQjiF|J8!0Lk=HeEG;cz1Si*WB%u+c)MW@c|{z ztn=z;?;f79baeByQ_Ht(sq5LZWL@>#>PqYURB3BvE;qxj&o|ayQP&?kPkP`!QR*w`Q|Kcrmqif518q4hxYg6Lt>Y3Herae7f$%)mp zf5Y&M2C&C6ySXX1z`i)$I^VIZ_JuPm>o>2kQafs9lPDH0e60ui+jF3kK;bNtNqkY z#5179vnn+;ap{z!&LJ~xr&0^dVxa=(ZJh zYRBe6`MJ<8$J3%+TBhXI)fZYfotn0Fe_dfi`M~i-v*G%)&wRaY4qPuSlliN?{6{;= zPp!`Y-eifeUw3-@+?fr9!s*R@Lo-%hx#9E?Y~S^6`xX=Zm6=%$qicbm?7*^~wVTim zHLe|2+Bt5A(dH{o^$wofaB$C>Q}ZjJ{3%}fri~B&CUzWnaH92e^Yoz^XqN+1J-d_+ z_U$~id~ko`P)9rEpY7$Z=IvWjvti4Dx&rXy61ys>1uZ*t?&F3;W9V)=@j5ox40c=9(LZTThp5$jn-GumC)- z-z9inJ;$ovQnO(3xl0cgrm@2nvl|XJuWCzz9rt^9j@DP4n?1Hg6t6) z-TVi=>-{4C#f^h|>JA;~Mcnls?$((bx9wTow|3Sg8#86|=He^-o&_yl5O*yBzCV>eaX1t8dGmOUE}) z1AgpVc5olsWuIr4^D23VKiXPSGh=YZvMY%{%e`_gt%mz)JXnx;CZpYkJUo?6gL|?= zYw3FLhVoM-OZL@`A`g~$JeVw=r$hZEr*=Ym$-^FxN834%vNgvUw-2vp%wG@oV|%Jj ztzSHI^`W)pr!L%ffY-C9)~jba?+^B_IM$L~bbQg?Y|q+hQx*)*CO#a!!n^N_x3u*Q z&1&pjJ8gF3;O>Ue!kQ^3&_0|uU1v$Re+5r>)R*p@EsGilXEfmbx%a=P&cjvSH*L1K zukPdYd|f@mYxmUHW_a%Tea&q<-gcm_Z_C{6)%7_KN3~b}`l0>M&(2y}+GIC5o_(sb zwR^J%c{}cI=-EKe@xd*#)>SvvQPGutnVm{Q}m)S$C|(k;8#;V z;gvIi7w~-BP0Yii<;76t!pc2!J-g_5f&I08zT00z|EKbT*Hh)yQ{RNVXkD{tL1ur$ z!Oi8fk1v|FYP4$;@AvHmzH$y7Xy_3oI(3$d-k1Py?YDvONn83+-{kYw;P6M3}HXafa zw-fGj4ER>M<23Zc(|q_ga-JdY;d#gQID5Lr5lDB^mu^yD`>6|S_Y#l9b5mWv*~gzP zH7B+nXdphKJz-pG%1`s|yTxbEnM;S)BJW{bOf-7qW_8P|@vcpa=((8%{TDrV)koXB zdS)v1z_^vlc;k7lY_Rq8IWxBIUplz?H1C&t3!6^Sc$1prrGvNbGo%yG&p96MoC)pN zcyM3I>=iIS;Qbl4$B5S+IX<3lrSWFNngN&}uBP$AZd&E>?Ia)ns9#)F*u0APdcrH` zDCa#*$VXeD|K|2_=N+l>DW-$Gx`6l38`^tzHJeSB!Tfg5`s(U*DwUZE^CKTG&)HCc zysQx8Woq73(?VV?nw!gCP?<_Cn^)gaYA4R?sLoZ-vr3mO+6D7pds_O^dHJTs-hHRL z8*5?SlW1`B4^GWnI3Gn`m)VKcc2jkJx_$oq>gviJx!S}WntyeaL%UaBDn~q zMBAK7cwT(t+3xHJwD-;>W}45-pdM_m<%6?VjjmnpOa}Ymb2XoytI0E}RXsV+EcNHx zxg@9R<*g>e@kW$8zt-gQB)7ceyj%&+n}mL3I?dn3ePI0wv|r%9T4=sT{W!-zzoONw z^wlHqvr3w`)$sYqhBYwG?QX2LFZITKnrBR&VZw9NvUBP2w!N1WsDD^hZNu~D&PUw& zLE8aya$ozDUEB87^$k}|nLg=?hTa3GC&PWD)OMP&>R?}My4r^MCbo+h_u&0vRzogv z)U4eH^MOrr9G{VQVBX5@O#KD6(>(s((O3}uDQ~|;`^_nQ{D%3N@BVj!ohR>_-?L$e zk89@~-#TX%pFh;*(gPjY9va7p7cgG}yyk>>=v5?TZi+Tom=Lt8Y!IS<9M~5&u<5oVP3Lg zl=o)`%vmsBSzZh8hkK?`y_EKE=3+*aD2(R*gMD$nb-JN1(`wERz0X-ot(EmP#|I898{QU}*WvpNytnk_5;OUI z!aR!J8{BzRNwq#6aNCF*=4JGL!rK$?H>ZzxCg=J4H}$uLDx7yWSk;`zZa>m6ytYbB zH@s;=x?|f8P`cbY8sFN){2ci2j;r0H?TdD8*R?N+Gh^_;s)MbkkKlN0EvEkVL;>*~s2}SC9xd7r?=4n(JN4VE7Ysvr;0Rg) z@Bat)!Mt>d$@JyzTUKrFpniRL)2aE3&s%w@Z|kY`gV5jXS;O+W^6)u?`bggpSmQ9fzph=*=kM)yUXu^}F5Bmy znpW0o&d}7ilfQ?pFEbsQ2L|pN__cdswY}Ia7kIIIp*7RBAB^vdrdC>WTwRQR7nGdL z@%O7M;QfTp|H?|{nJLju^u7Unf_^HMC7#f@nTL53^5Q~eezX#J#GiX>p+3Ia-r}2= z?WsEoejWb4VZ-~RZ~iOea|v@oy}-LFWqvpZ=FKqPxxA(O;P1cqUhbPG!u#ihbZEE6 zKstQib>P!X*8Mcoa$;nlzq@~|d3bQ7f1t2!WUPO9XtYLEn+Nivqoqa$zQ}~W)i zW3nc*X-suho1M$22N2pAu~v^RCPv z%hwL%hx*`?*sUGmVr~!GK{&~C_mUg)Ym)=pZZRW)ePpli^DZp)2M56%_TnqQp(z`{tVDsg<@~M z8^73D{lm~&GGEg;8VBObNBZI8VzH;ICqI_&8iWX$28jSED*5NfBEQ}}Tr74KHF1!U z`O-lWzY15&@H-rlGxx8Tr+j{44G7_#vgrVpnf(Sk5zEMXWsUWW-eH?#lP{6uWqB zm`90~X)8De{1jd60bBPV{oRk#$BPtGJ~}pBEOd$H0HdlAXiy~uuo^ixF%nWv<$O9` zgwjT!8|>=o8U!Qj;}7@i;;~Wm@tA(PrhG8LcKsDF16(N+dEjnAD|buagoKDmbaPxC z0Xx85Ob$d57lN@wo?vz&JsOmk2w4L$kPTuo>vEmBt}QKFy4qT|w%`*?U++7|M+OQ@ z;R)WYL|oG5^@7_DT?ya!SI3K414So0^L<^rhKs-kvlSRoJSMufLB1RT?!V3JBgG}i z2I)kfs!Jy>LAs3SOWjnJzS4Ln3u(%lHg_fs=k|21Yq`90 zBNzu`Hf)5%xsHup_0Uhb5go02!FWkjNi1vXZ1NZEYE&?4{(>`@x~Vg_p=Qvek)bUcbt99$TmfakHNX8@TIewl^=va}bX9L%>UF!9Q!1V@xJ&Dy4g7T&vt!?YN zavPg~D4lSxX%Vrzbt!OHDqUN1ovl0JIz)AJUEbb_##^7;(bfrFF6UaVxw)mIgWIM~ z69-nEkS?o$@ zQ)_1jOkOC0%M~Q=>1^rR)w&L!E{fr8AY!P1mgZ(RNg2;XO#>9;+IoKuDubR8s*}pt zx`TiK2r8q!Wkc(>tuCaF+`4ut^GBHq;y48O%(^YPuBIL9-OeCQdPi3)Jh04Fknvat zPutN2k2DMk>vJ8Q@Zff~w72iLytAvlWnF7~OEWwXnbzi9^Tw90EE);0O%l4#X661U z?4*s^i*`~ZZ7PJ#htrm!zk~@-G;+hG=$qI0Oj&r^JX5QavyHV zjlesE>Rr_uN?wxGDKi- zfZu}8t|IvCs+`Z2ty_W5fqpy~hy6}44*Q*8T!SVK`<-Aq?0153*zW}6upjrwdHwjr zSnS6q#$rD{F&1!YVgacp7W?suC15{3F&6uAe=PQ$*pCO}g7(C8L3?6c(4H6**X3D(6i(YRJ*8 zO<1C7Oi?3bFOSh3Fd?FWf@__=p0{%Awl3m3hS-4uipyJ@yRyxDn%h9hpwg5Bv^cec zc^TJvY5Wp&#a+9$wXcI-gXeP}4W5p=2cE8TTW78fJ8oz>EJEt870TONV8Yi4PrR5W zr4Y7whRTFYrbr8oEYaDzrKP>Atz`q0-vYx>6|R;g*Fy~jVB^ILd~<8=&RMXpj98dEJ~Y-pSg7q7D~@-=n%w+AbADi;0~|A@W*Llv zhlYp8M#qZz5z}~yA3=zN#Qzci#lq{YKSs&ed2*x>e$fwKWOV_qW@g4LPn*oFs3dv9Lk+B4@tWAzorsQpdjGJj))jr#8VzbU!a ztV8Pn>lxVc@NiFazWZtsB%gKW`my^9SRS&@@S;?_rRECV(+oZJ z1TNqUc+!Nz{MaF(fCbXTUIBV{@OSuKS;VNz)KVasC-1xR zWTF(zFrgF}K{7pq;P)#Gc8$TCJlxDCwE##V3IJ(h0WjQTxbvugs0%!AUB#pcQz<_J zNFfRUX<`8|Hu+P7DpG?qF*P)dKQ*W#wYJ4ps;NO0sX>}N!4~x}WM}*o1U)I}1)?Sc zuujPUx|;z+O$OkDVl6Otcc2VN;+6rTrVL<%QYq+e1`stFpy8Dapt~7B)MP+X`0W6? zn*l^k1|)$$1L$rB5H%T~ZIuk5yBR>#WPs+KrgwoR&EtW>Agx;%h?>Hn^)u-7%c=1ATf)^Eql6m_(F;;zS%-;K&rbDe4TmhX)7y$GY-ECzIw4 zfucNOVJ1ycKo#(`VxPiqiWl<2Kp`HpvRYTU)t zv$6qZq3&kTGAkQk7V2&WEwi#f5$1Ez!V~$1fZaSkVPcK(kxxGQXFc+uI59&5lE;?; zbpaAZO$KOmk8RY?zcWyVN0A0CMesX_QmID=yrii#K!*m84k&6eARYYA1laOJfl57w zG-w&p5MT)Eu4%LkX$UX`bvJ{SAq_wS_>v@@>h%17DuC0Y4W`%JD=X{0BcZpGiX_}6j-1@ z@(6;LG$sQURPfJ3^v#o+@0Wp6Jk~7LvSukz!(R%K^>=|%JSt)OiCC5)l~gQ1f;q9y~hx01o5!g4JYmP6~N;EjE#3%>3Zk`}yC zEBQShU`kDe(7H+nui=+#8-979;Zb*QN!#$t0}YS5n?c*~%L5IMx|>1U@XMiftN5e9 z=WV$7fcF$u(E1)BFpI_*(CQNl8cbTjTkwdoTuYSY0ivMp-h!4WjRB~riwr1EgxUyf z2teiinguVw{`SOlZb4cCH3BUr76kW6 zMVL}!s{o5ucq~FulOe#O6&{OF)MN;-Xobfj6g3%u82XocxWB-g@CuJlC{8SFCGyGF zWT*>+qNvFLj8HOoWLc>t%Svc@C4)x_yriiiK#G+fDNxj82#{i>M+y`*8K9{ZNFEDT zYFV%{zyj1=D`{DT4=mI1P^3}|?@UWkx+K-T4fw)g7oNm_RW%YX#3 z43KqY03FnNAwuQ>S(gVGkuD7NP;UwK-V-bX638+@)|CMyQR{^WnFnND9^i@WxuDw5 z1&hGzZc~A*D*~9KRtpg_56HSaKpr&@M94gPW}zpz-1TbH>?~=0Jx~rNa?3$kQ+GYE zMX4JjWF9@0>Vs6m2$@GurFx{2Y*L``_k#8MsDz1iSc6om_fZLDT^?YEdVfCZ)a$8J zAEXXO$otb%ryl5_*5l&}UesYjt|)B?cKL0v93Ow`_57(1@&_X%UOj)%-|Mvp%p?9B zs23BsWuUCVkB(mDo-sn^(Q^m=x@sODckrUF9-xDo$Hx_P0qgPvxq_ZwiA~QH^!2Ls z__%@>b@c?fg8pAwkDe>&@m1^baRo2x>OrpfhYfV}%6jy?K-aFC$Hxo2sHq3NyGkC6 zaO*)?mnX;rbnnVMdLHmK@BaJ22$@IE1N7;t*nB*|i#lvU9-vcK)}!YEdUVx#d_2I5 zx_Y3gr)n46C+!P^#rY_L&R|_}zykVxLH;=YoPaOgPuh!uCHaVhUSM5GLE@nER~kT1 z9Q6E7ycz!1Z%?qNk5iaW0|EWM%FR$okXW%YCUb$ItSbq~G*$N-g<{fP6D-b0Li8Ey ziUXdh5PT#=Pq8i!@Qhw4>0=nwygpcpkCy03)|C=8BRY`f&Fg82E@Ks~kCu2*R}auj z&Ew-9x|DT!fJodS-&-sc&>Mih0J}3-kdJ!kU)B{Aq#k;ZgoxS1D(LK9z8kG?W@+~;{jgO)f40ax`JgrdLE$1SFOj#1H7oI2c5o39*l77 zL0Ok4$OCi)%RG7>ps!c0$HxP_sH+E>+WV+*yfBos!Ed0J;$sGShjpbu<7S{0;~|-gSGqEgjsa82ib(4 zV_CbNP3RLA3kmq#nb-Z=VBJ23VFq2@L586lS=Oy*7A|M&LzVJwZmGKUmhIX9T)?m3lD3&4aS09(4Aqd3=n( zi@H3})M_3d576nW%M;`Q`g$ccJrB^ktJdS=0bbPA6XXH+01H0}%DOy39-wPiV$<^g zow+JD9}n=NuAU$d(7`L~(enV^wrV{-9^geyJ?Obr@?eBp56Zec*wk`03Vv9_k4(HL z|4FbkA4AaRt1AsiFiDmsOM>tDDlQ*y&>5^N38^7VazFTST<~3AEzQR$^c3q#1JZc7 zaO%%X`eU#pAJ5Q*tSc$VGxQuw8|!(7ZsV!)WBzgZ33FgLY5yY#!AC*Nr-J}2s|*we zML9;WC?7M?5v?l<$d{o}6itl7n3D(=<0C7&r**{y$%?LMX%sD4v*>d6HzSV_dAK~W zo@^bkPR-*{l3e-1@Jo07hwB*ay0gKc;9>w@YT|K}THIGN8Y@L=&*}4Fkc!acp zmQ2|?Acb0w#~6N5hYea^N5I4VNe8xaP>b_eldaRTCR+zA2oeyB3iud!I(au*=49&v z%;6C-kDfW)?d)^gWPL7XE^90hbTMarE@m$4@&uXVb1`R8*5wH@2i?f-{pelFS@aq! zP2h7W^NYHAg3LiTvaCnXoS;iN>vJh{SyvA*K*i>xhu)=}MGvu>2O=akJr9EJU) zIg37I6|Ijgcu`k#kS*v)b}gxQDreC-tk&aWi{5jbMK^Gzw|KaBh+N0cb-|*1B+|Q% zv*;;Si{g%3=l_C5K{|OSdTya-SiKV;kMwTiEc$?zJU$08zo@Y?I(pSS5aD9edx5j) z=vDJTgv_Jo5xRBNJU-UweZEfOFs^y8}a_;{dq@@COftLA|S*9LkwZWg_^Y91dC@S^U1f;>Pct*l4S z1N6_T_4s&z7j^Xnd4LXCS&yCv=!;eB@$mpJYU&9(XR|)%ESGh8f;>Qntcy+WoXw&K zwhVm$_+wJ^Dmc=$DmwK-T3662s@C&7!Q!18uMT z2DB%cFoU<~;4t)yiAca|OM$YCS%#;6+V6LAPzz=eFgt zE>Dmv=&E(G>D{(j^vo*QFhb@5SyxYx8a}se7G+(YAT`icE9=oy13j}UHi(dU^wdBv zt(wQj1H7oi20WO=w>%uoj~)@5I3^RY!+~0ouP4zvbF=8XRrC1RffseP2ibuRTzQvz zb_AWdS)VhP%bI%7E306`2)7=+%QlPtS2d519eU?%7QL+QGJQC44?fK&f>yyKvSvMB z(8C(|(Oof_xHJgIM7B6Omv_4w$57t3Iq(JbsK zGEm45!N;=&tqWmCC-V>(%Xa}dms#NM&$k+qu*8G^ppjydwqA}ZrN(XP7Sb{I)C_3*BER(0?p%Pf_mrp>YbRK zC9X^y!OGx%OlU=?cc6a+cF*8d^$&FqjQ133^C$Ydx)0}vh6)3tVDki~fs!v!OV*}l ziKB@kmJh96ju1U;uylRFL_qMYsL7zB*-@*7Z zK~)h5&$F|{^@$s>;^%>v!CyvK@9;nmJckqFYFOe0lm+(U_G8;TJ1x`n~*F7qs_7Nlz4Ga_#O6^UE$=3fanT0B-b z2D`PwRz3Y=Ct+im?jyh&{-p}5O;LOo%9AH1g*&~Kih3O|Ou5b<(8^#GWOyT)=1ov| z@z|jvSg`sfgQUC^JCrcc&rNLk$N+M=7&BF%Yp!#8BC8FcBioE ztiKYtt7h-6WRaXgXVADM1J$v_#}l8x`^Dc;$b4e7s^}gb>h13vFXnOQ=Dy>mam2f^ z=7HhvBdcW=@04CIPSbQUz2;f~8a!4ohk^f#qrki>*lZaF+R-BH(o;Cvg`4q0(k4_b zjGQvYXI1``;pgDOP&fSI80^x6&plAxiv(7FogUx^W%8Z^CEw^ZT^LC;*8; z73DqTnp&j|G}o{Lu<)TM!FxwDl#Ui)L-azAGzi@`wC{FJ`)Y~|t1e&wmUtxbU2Ht~ zp$a^ep831b1%n-}Y$b?+HhGlV1)ga<^_C5wyEDd-AjiJv5XiZ}Q( zj@awkVOqsE1$6iC*VwUDF&1|9n?uGryw2*_CC*NNZY zUBd5bW(G^`DfH&Y2gYjP9m!s>uob45XG{SIn1^6tD@#0)_yd;vM?PST9UmTr-H~9H zT2?&fDnN=Si4=bU?hYQ|$D6|sNny_C+E#h=lOhS!RqvT|!U5^9JEJB$OZ+48&oZMV z@h@f^GP+ZSmG}=c3PvwTCE&eDy4#+^as&V{amRCJ^cjaSF)Tuv>L#ukxauU{*Z5cg zH+=*v?PMjTCCN0TOYuUX_l9#Pf`w9S&1z=!8&_hX8D6M}_7}=ZDyXNpAa zBcxr_nQ_Dzz@nz`c@U3O3z|j+O^1E?;Pz_p4^9pkgUt`SYWUW{I3LFS=eueOM`6;r zK-qJC^}?N|u}_VA8mHq}`kV={+^y*e5x%wVWX2#h+PTaaBI$f)43jjM86zasFykmm z^O;d3X#q1vNxFy`Vq*+mj2lS0 zoEgs}sht_mC#jPe|3?y7=mjM0X2uIi+RKa=k+h!~FDB^6a*_s^@d}cLm~jh9Bg}XuNkwM7ili}SyqctA%y1)jRJV_5S;|nA`#EdVJ^e{8NMAEmJ@nw=8Va8WT z`W`dBO41LQaX(2vWX1y|{g@eFBk3p1_&P~HW5$Cd{el_aAn8}kc!;FmFyosf{f-$A zlk|ILe2b(%Fyq@K{fQahA?ZnGJVMf6neknc{?3f=k@Qbye4nI$GvfzbDm9q#C`lGG zen^tTj31Fy!i*o2lw`(ZB&C`06OtG+eo9g~Gk!+WBxd}aq$+0of}|YA5IQ=9MhQN+ zohebihl->$MsV^xBTAvRkColSN2dv}klqLd+6`J>6tbE2fWnW8|z zlhUIS!3e=;UPm}lFh2F%P$7*@<1Hmys3DUm%6E7crPVz*A}!sD+wMBDi-i2BEh;Xg zDS6+3Cvcs$VoZq#b5TOw^CK$8Gpf#7uRO-Jr5&LxB1K5i=YMs)o8dUX^FGM_>p$BYKZ}X9RY(QBIzwMoo0asytq5I|+IA8Wp2$L6IhWRPvqF zMn!4+#oz&M(UXQuKK)0Qq1PZ}@)=ATGWiT94VioflZH$_gGoaspTVRdFHioYXMK5^ zm^kF+X=37#m#2w|g?+W1myZjCIppPOV#y&dPZJY|ygW@z9P;wyPmJ#aAumtCq!Iax zrAFj0CXL8nOd65Dm^31PF=<5pV$u-1PB`d~`h{~fa}hX|56}Oj1iCH_kL_#XN8wQ# zU61;ttr<>;m()nhvx*-5li<1bcNZr`P6L|*|QbJv~ zJK@|yN~*J-cbp-m(aj{3Qw}Ma&e!K2ei&YmJQFb-lYcloQ)S*zcP7-{L5jRRb={KY zyhTE$Ykc(>MoOm}iRI~xltkC!zC#<&Yzgly$1`J6k9eeXS>Fl+SWZ<| z#!_P$UWD&b%SnRoQjH|Rcc~R5!FQ>ZB*Ay7RV2Z8snsOGcd1KAg6~q7aw!SlrPh!H z-=)@)1mC4DBMH7sQcWbmcd2HQ;Jeg1lHj{k3rX-@YCTEtU1|eK@Lg&nN$_2& zl_dBswTUG7F148?_%78(5`351LK1wJ+Da09m)b@We3!bMB=|11oh0}!)lL$8m+Bx1 zzDsqI1mC50kObeQc9I0&rFM}7-=%hw1mC6hkObeQ_L2nOrS_2o-=+4G1mC3&kObeQ zt{|z28C@i;W5z*})-xkd(neei;Wa8>r?Q$Q{+9SVtn)~2RvFO_OU;TsK$vXlZ}2jF zwko-#f2e;9HemEGk=eq#ZMldy=d+|5Q$Q)v>{#seaLC?&0S@!*RiIg|a#E7Qrt z$s^1xfzw05(1QTlOGYQImL`Y6{B(S&X{_|0cqxu#^&ibCqWq_5iYS&mnk=Txl}T6< z&ynTFM*;YBV1-wuY`N7eIi5V0PVP^_YEkCi9JWYl5>~v+n5O=*(bD9zV7VSG48FWr zfF)O8v#W7Iq!P#N_3Tvgbn+TzuA&>h7EGgoUz)rQ>NpN3$F>)GbH!pFs=Q=@CZW4X zt$TO?2>~r%J2*au)T`YExkm~;JU86U4aw)Fq3Y+G#!_$L6{24)Q2L7KC>-^zz@iS& ztV+Hh4Q>5GAFoF8-AD3$aH?za#eQD7(fo#QN+oYhzQi=%ba=ejUFaX`9j@)*Vq5OVDRX#qaWsspK1yu-Lo=tLlbjzRP``%^5PPwYks4ZOON!p{(0YW58>tb+Clm zTN9{iXSPQxn8wScR_AM9UL2h0n|xaux`4NX;h|?*?0YEA+S|Z##>CO_`~c)LvqFZj zVxP1f=TK?#eZf93%gnX(B;3i&btHWdN`d8yyhI31Sc}SK2-Oa>0Y+uyhn4&otWfM9 zq(;O;jOY7XKdejmMDiYdDn1#BUue(L-Z>uy+TlkX#Zi3zzX4C2Y;5Hke>}dKN9K9lRafS@&)@0?D#0!5!Ny@_nz=dY4XSLg9&WIQB+Oia_=d8x~l$3 z@~7$KW67Vv)Q@iQ7tGvBZTG8m@)Tak(S_f_Y?GwNP2(yLiTa}hwLeg`r;M3;2Qb$Y zX=s)|KtGXqZW>ziPe6#}f>RKxoLbJz4!WVg!Q7bc;2)5oQDlHn&Cm$bTb?Xs1TU>% z=1yK#%4X&sk`m0^Pf{r}yGTkg_$egLB2r~AGpE8}NiLou&4~}8xl+gwTDYxO3GcN) zuyDgJ(m=3q`z_KyP?4@=W*=2EixfT@Hqaig|F5x7Ke*``@x!eW*#E| z{Ep!EDLV-Bz3e?*6LxeL`xin1#zw*o^@nE>TQOpm4U`2eTp?@Wvt5|^chEJM$6rAb z%;Wcx1oQYkBsJkL!-l(R^0?Ie3Xk)=7kc`-0qRau>rySy4W!m%UjWbDKElw-%x979 zHsb>covnpChLHg$-WuHY0m`@?US&w?VCFSc#16=f`-Sn`C!OWXTg2}msdx%jv*r>Qu7-=9_xbGKfAOz}YVCKuHlzwKuf+U!BzltQ7c3Zdu z80AJL;yz!bAro=eF48~j;_UNb2Pzfha5J{l`)@;&*H zG5pmJOwK0^#lESSoXfalmZ0(LQm@CJ?+q0tsWTuYo%P);br-ywr9K?wXcl^=gY+ES&CK@_=ReNO z_mgxFGe1bur;zJzZ-Rn_`@#|Pah$>(-$(;NeGafkQ~8{&clpdxUnF9F3C#cHDqtvB zG>{#-zmF1#2Y^Rl?eU>}@nl!cA^0IKOMRWsl~NBf^W&80A!dG(q=ylg+fSij;eK(1 z5(Epkd?Rf_UzCOZ@Uv9Pqu_=j3;l?hpQpITnE54=ev0L|Jq`*M?&LR^Ws@%tvPl?y}4-7yL@jL$yBfSf}kNABm^?2$D@Tw7{KjL)jU>f57JfV2#PPbC3 zzfQOY^REZ!24L1@;ihl|5`=TS5mkMAfgcCK%W>*IX~RjwB&?(c9bPbF@%=9b#bZI&SG(>uO+Ne#>0Q0qOQ;fhT#GWgI=Evz->2t8e*+~N)o+T6RDbzDvnubGn z9Y_Kl%nEdn|ACY>%=`;Umn98&Zj!tDiv=7o;j9OrqXc8ui61PkX6feiI&cN0 zTm0{quIC8HJ^s3w-jFgTr@_5@L8yaiSAWmgVQ??aPH)08!QpG}0_Tpea>>8m{$g=# zpjPBaZ%J=W8|S2f1hw9yqfhLyf`(rWadA~gy4;x;x;Sp(dF*pQksVO1Dy?y(Zyph zl0%IDTL!)RrhC(U>2x7|m{}$jd1bJx+KG8f)A0VA;Ki`?5at<74>S0wCB9{)j{;{8 zf&WmgK|Btl=`l<_4*p~pDrX>^6+Tv)J`Pz2hVwlveG*GOk-kcq>8o$I($o|DeG&az zbknJI=~LjZkzF&l3H9q&;eeT zz8*2$z$``>z#S{jPKFkfFK{gV0&1ZbGOL0TzL;56B;ACgB%GVk#T%I(;g{kgTu8qR zJ}~hiA^mcApTTOTQl3|`lB$x)*lMq4)(nb!Ewj!c33k;;bmJ}v>NgVl6+cVAA^k?o z`6gzaOX+VjjaJV%oa)jzYTE_&AK(`?56SKDeI)(Xbn>eR`2wos9n6|f(z}?okfir8 zYY|EBV^%Fm?`PIhl0Lw!l_Y(LS(lLXVP=tkG5t|yk$*A$F=mmcEd2>)wNW~70LroY zc>ma84jhIZaQ1nd7AoH12`rh1# z>EqF6pmjI{P2XQ-*y#rpf4#7$Is>f(U#>R;c83Ef;Ol7s_#m@73E)F4`F=w4FrfKX z6^!!_z?ViM%p=U&MajO$l6O^1(hjEd3MW-cOlzfYSV& zCBH}o{1OWIRTaz);4Y>quRP1-3^Dy%K0{3Z4$?keWt67wfG?+n=?}qN%e`EGqFjH5 zTu)XRNyuf9_b2@~W|4y?4Ras#KqUF6DPt zHkDZ~rgYPp^%9b1GV5lN&SBOqB+X>4TVKS+|l@&8#<&bOE#8Owv4N-A>YlEcqX9 z{IZ1=C1n>?8L5fJUm?v{wwRl-Yzd^TtuoSR{M7^zrZH-h$(K}Cwv=)$gIvq2j50L- z+o}4M%z6(=tC@8tNtZI~LnN(*9|rT$tSrZ@yD6?2<|Eh~g`@OO841+BC2q&7c22CU zr3~FYWgA>aFV^z(I(j*7R@Pbula0s9HZ$uJbhBHSbuUTVgqQ13zR1e96Z6`cbst^r zWEQ=El$@a%GwX*W6`1uH zNqx-v8A<)j`Xxz6nDrZy29riJvz{Pjgjs(gsmQFqku=6EnvIklW7dBt?gXV=^f18MAExpMFypNPtw@H>@5_12eU6H=}uUvK?y#XG&VAu zeB)&wW~n-QRzC_)(%n@COFxh_TA96@vfaZ{%jo*2;QFVlj0|4i#O!@^{XUjjLDxSI z*S}C@l;icy%)WxIe}&n3lI~}A4@qB38g0y`4zlbU%)XMMzsc-DlD?HRwlI5ylt-9N zPVBPpF`K-}Wj|o{NxJ$&n8qE4g%Ygn$3)G?m@T?QcyCVh(6yh_wO;_u`3$V=SIidO zl)*c3M)U$b{l}muU}e9jr}PPCUrW{fF==dN_VuJZnKZUBTXd}Okzq60 z_aDsIL=x-~(n`{Qn0*t^#7t(B7nIqsn1x?u31+{Nu9h9OJ&zY@gSdfV#x8;zf|4(0 z_Qxr~VpzpPH(3iSdq}Eh_9y9T1GDcXX&JNcBMBTOvdF{zjNx|+C~_6Ezd#8tVfL3v zTEp!7NxF>LUndD%_i1>oc@mb}*ZIHMR@(mZ0X^!|Y#B_Itl{XasAAp*9LZkIgH}KZI0IB!0;Z13D^j8XwuG#%%Mp; z8)FVl#o00D&;*>FU=B^d*;UM;sWm&roN6lkG;^p+W7jZ;dNXz{bC%H6>zGqd(hba6 zN)o(FScgtB#@`)Iuop6?kuJO#*mO8Q(976O{8?l#Va_VL^s=OJIdiDzW3OP&Wfc8N z<}{P^YUZpb>9t8?d(vo!wO??XhQ;2%9GXV6H;IQAyt<<`_#b1p@ez%^C24dpr;Q4D z8*^x?%-+GAc8Yr!b9RsfU1ukAsOMvMFo*g$b|-VFH)GIs?qCjeXzatxp$?6GlsVL! zv5zHY3xZF zdy~e#q_IC~97q~hB#o}5aWHA*VJ#ck@j>Q{6TXL-a}`MsGv{iOz8!R~*4N3|8+(M$ z!`OE#O4#?Rj0%{CIoD9OM<-%%Ofivx+&??`JMy4t4OEH4_S5Dw{HM85Np? zLYu0LbFfev4Kgjvq0t}%vufqu|U%8 z%%MRd1APaL2ALhOK@ji6GrO2W<3eT+b7%m_>|+iM02x@{nBv||KG}C!zhbFt39_IXpnzffXkCSwmIW#O}u4E1k3mI^MQHgv!&Tu!_g3K^;Xt2l}WzN4S z_b5vkB#pB~f+X;CQSL$5`;uk2yK6z_Dwasn^;0ZSM$&1PpjmO|8kV40aRxk6Wx`jB zV=BvB2Nr_+xgNG}A!|I3CH5rtLU)|`Km1&@$#br_j#6A=*9JQ+``6f=mw6#LK{GE( z8|Nl(fem1&teaSZ=HQu^vcz;kb+d~A)*^BQxF8P@+`g1NpE6_1tftti5${mC}o-3sgAd@1dV%{x3dI|dzp9QP$TN# zCmpiPyQz}*ump{WnfJlAQglb}XNgUT&1jtuump{gnGdl94T~9=e*cA@Ntk|TVER29 zp2@@|gz6J4kt6AoEcJIv_Gw6VZc}vx`y3lD4v9|}rm<7^ zS-|x+$@k*WuMAo*QRczSHxTMW_?ZY^`ROwe2o|33LmGbn!?Sxx1Hr-*dPoDo!gF;< z!>?|5Vh(8_Sa=o=X&_j5;tgr|?F`Sd;Tj4So=ig;2o|0{LmFbilVwOlEO<@~X@~_+ zgCPyE;Mp#uAr?Hrg*3#1=dqB6Sn$*p(hv)tr@}Q9EIb{BG{l1Eo{)xE@I({R5DRXU zNg86oQ%FcdEO^!kX@~_+4j~P(;Q1h=Ar?ICgEYi~XL@iA1q)B;APuqLu^Xfz7Ccac zG{k~OWsrtg@Zby55DT7PK^kJgQz}S9EO`C|X@~_+lOPST;F%FzL&3rmAV@ z3!WrE8e+lI0Z2nExUD~Fhy^$7Ck?USw)~_a7Tjc?G{l0Zk&=d3@XS%tK(Ooae9=-` zJq8l!fI{dXfetu?4ie~qMCc%a4p@W^66k~rU{+n!^LSr=lF1Wn$S5uJf%_S z93L*95<16+s|H9%$*#wRO+x4RaA}j!IX+z6By^4smn#XKK4+)*)!?iy`=lF2-59uh`^|%m7=o}xekq|n^hsz~|&hg=b388a*xIRJX93L)K z5IV<)ixq^<@!?tnp>uq=>_F%oAFekb9VNRSzwry5IRVYe=Hjt@I_p>urLwF{l&!#urL$qSw1 z!){*a93OV{Lg)Cfs~0-Qhn>C9IX>*}h0gI|KTkSJc0G3aLg)Cf(-%6&huyx=IX>+8 zh0gI|*DrLA4?BOMb9~tS3!US`0YK;+9}WRR=lHPiCmkib9)|&;b9^`u2%Y1@p+M*y z9}WgW=lF0q5IV<)1A@>wJ{%H+&hg=(AasrohXtW?d^jGEj*?xELxa#cJ{%l`&hg>! zAasro2MD2ad^ki1o#VqnLg*YH4iiG>_;8>QI>(1Yh0r-Z93x0a$*#xYLg*YH4j4k` z_;AP&I>(2DhR``a95#f`@!`NBbdC>)4xw{=ICu!1tC>A=} zFDu6nE`cubp#-|bhZ5)#A4;H0d?UK$rMXviaengolqGSvh`i39d{2p#-|bhZ5)#A4;H0 zd?J03G4u<40DGA6$a#5+6#SOMEDSF7crRy2OVP=n@}Fpi6uxfiCf(1iHkB zlFg4mBYb@P$jb49OK@G{LkV<=4<*ngK9oS0_)r2};zJ2^i4Ub&w8En}K7?x*YMX{n z6h_;}hdMzit#}w53gbhEhEH@3^AFdsgwb;-h~*y(TUo`oE54Ji_*TUuEOmYI>)-^b zfMXu2CMIR6kJ9BwS@LTn{fH(1O44I2Ws&q#mYPY@&sl0UNxx*N%Srk*OYI@)w=8v- zq{mt6ev+PGsUMK^N0$06Nq>fA7&SeGQL*B@Ka#FhK73J`w^yLEikxg+ch>i2ERF`G9jv>18U5-4h;YnT&f4lK6wnlH>wTGaNw`R zNWxy?Rk$+){1BlEw_xBQ?6$x|*lU4@u+st$VV?ya!Y&Iuggq8`2sT5)JcK;34d$z(d$efrqe@0uNyy1s=jK3Os~8 z6nF?bDDV*WPv9Z!p1?!cJAsF=a{>=x-vl1Qt_eJZJrj5cJ0|cD_DkR)?3Tbo*eijD zuu}pLVV?vZ!Y&Csggp{?2sT5&JcMI340DnN zvSsvu{R>7f$W3@o1`pwA3m(F`6cAS7xeYuV65+52M?`p3ghdgKif~MX<03pJ!s8-5 zA;Oa)yh?=665%NkUM<4YB7C+8uMy#MM0l+TpDV)aM0mXj;S>gbA8-Z(58(s`9>Vzx zJcQF1cnD`N@bE<;VmM3r3hao!dHv%H6nbi z2yYeP>qPi^5xzl$ZxrF1MEGVA-X_Agi12n1!YK;;zTgZ69>NIU z;NiPP_#P3ySA_2q;T;WtG1kO;pi!iPopEfIcOgx?Y2BO?5+2)`%7?~CvUB79VYKNR7Q zMEGM7J|@DSi14Q(gi{RoeZd(9JcJVrcnIeg@DNTf;31q{z{B5&@V6rTod_Qn;qOKG zgb4p2!as`ePa^!Y2%i+;Uqtv<5&lhte;46DMEFk;{!4`a7U6#=#1jb+r)i4N5}_?Z zM}!Fst?$DQ5hZ4+VNJrHzny}S2*bs9n^O&=?c$5>GG}aA^f@E3=#D$hb1#}~o`3OO zX3bqD#4p)$@kNX7GPAoD-2oZwNe=v9W|;pns!B~(YOb7=fQS+pqoLGECGh|GP;$ap z0Q(s%h1fD~iLuSSVUJr9hIPpVW38% zgNj9T71WpnH68})SaeV);yitCQ;2?2g1RaU)U%?4x;hT1KPjM2OHj`a0|o0wqjKun zIH3NlfO@V3bzK;!>!X8uUK~(QDxjV(LH%DCDEQ4mR7<_+se^j41a)H=sGFjLip43_ zQZJF9UK$4KWzj*!YQDcH&3Cf|_3|)KuZRvRR`aQ#Zjqo~83yWA(Lu#}`czP_mY`k} z2I{rZLB(pmzbegls|5ABFi@|L4(g3@p1yx7pxz`wy*UijZP7u+v6N{mpxz=u-5v(& ztaIA=r-J&h1oe?HQ1B~?r~`7W=1VBecee!fu`p2Z8;z)-Vl|%% z>Jt*wJz=2W7a&nVeL7C_si5wapgt1@>a)>7#cIA1rTOlYpgtD{>hsY-#cDnk)E6YE zFNT5oQgl#XiPL;4sIN*;_lJRcAUde8#{o4%X}$*~sBeUUf*%+~CHliLKpBS=P~VcE zz8wbYJO5Kqk4RA84FmPP=%8ZFJi8T3eP4q5K^UkOL+XL zgZjM$^+Xsb__bkFP=AU8s#meppCza#!$83gAESc$+fxVicM0krVW9pQ9n`<$fErUQ z^&c0M1)E%-@pCQio)*zkmi?4LS&jsi2m=-U!}ikws#JnXhJi}`Pc4;}pvuBPvB;pz zSezR7bIQs{P~~BuDx!mm<+4^mO_HE0!$4I<2Nlaw#}rFVmY}ADfr1S+B0ha)tYPMa z0&1EBH9ZUz?ClX1RIDCd1vOKGniU2Lwi$^ED$X3;P(jU>pyq^uf*nsHg0f`dw3{-7&Q1x+U30Enc%1TfTVW40)gos49mOph+jS|#~FiNO&$c$`u}ZIYbY9LA}($e`kJN(Hq=g4!AeYFl(r+v9Wz%8FR4U4rTe z1J(HqgHjfsT011DonfGMJ;R_*D^K5U32ILmsJ)Rv#e17k7N1)CB&hvipbkU^Wp%~j zlnP3&$+N=N5VGl;)Fb@~p5mdAMhBR8akKETw|FQa0a_u;v?x4r(Y4 zsOuF=4NFiXVW5si2NlawDyX6aH5vwLEHbEgPv8G3mKv9!j)j3b9vPH%GEVcUpstdj zo)re_)c+LJ)e_X{Fi_9_pMttZf_hFEsB0sGipQxJD4ddO@~p5mdAO^2)P5??=UPJr zCD-IxVQcbmgY}4@;#um2iltsCo9{(o%?G<&KBJ&sq=33ng1RXT6zr}U6;!OBsHmV` zDnY$03>0ki85LA4ugi-SOTAozdPNv0*mE>0s95gB8x>Hml%QS}2I|$3L0PeU2{$RA zUL!%hHVo9Q(Lu#}8GVTY>U9#->%&03Av&m7lf0KIpx!7!y(tXTo1=q@HJ`d!0d<=M z^_DPDw?_vR>%C6}^;QY$ZDF9^9v##><4j#{Q7rW?3F_TppkVjkh+Ts9zNZfA4hic0 zVW94OhC#hjvD617s1JsL`cQOGAC63bS1^&<)D$6=sgEBdIQVtHLuOZ`NG z`e_)bpZ!lk{ak|jMHr}G{!c;uN`m@z7^vSw2lczBPV~nmsNaWydLlZgSo5jZEB(|T zB&a`zf%;Q)P*29OlnUxE64YPAK>aN`s95tU6_i}PXoam_#Iw?(b_q{|rT!%?_3toC z{UC1FHeX7D zN{4}h!%U)riZ!2lgTJ4$nFN&y0|n=*LfNKli)KvhNu6>C1Ff~u0BCWnEV z5*^gjV5zAR)U+^A)1!lmHJ?%~HA8}$83qbYzlqAJrvcPC64dN4P;d~>{}9x<64ZHN zpy24AsGwrar_|pbnSb)I-`XjD+KR_?z^>8Ip6PdjX#CmvxM5tO|k&U{J*wNN(S zMPbbcM=(VNwfL!nS|UN!hJmV!3@YAl9Nw%nU%dpC4FlB>8I-*&j-^yk%O$ABFi?F)%u^_L!1{H6WwF*kE^R&a(dE(LQ5iMoK za#_DyX}-hKQvG3;x-v4Tc+IDRIwC<0gn=523@Tppsi1}=sNpbBBhf*{@=v`-X+F94 zq8+yPq8)wjMeAt*CHG#m!}eaZqwl@=bbvZ8o9{$e^PT*kHlJJ(Ylp3fwf~D1u}?$u z$rZ77*os*DzgQ6)>x+X*^lN1EJtwUBu8rP&v3#B?sOL&h*M)()J~Al#d2!}b?^U`4 zxgypMTM=tVUlD7^*}%xSLjm<-X{j5-EOk?4OPR4O^?n7E+@;bE+ojTuzDuS3@;J?> zf_jCt)Gc9_dSzrw#cMtl)T<<@SBHUmO>|JV#pbnS zb)I(gb)ND1DHYUvW%Io+toiPU+hQV&W{-v|Ts zP-IZ?x&#%}HzlZt!$5s2GN^bx`ezhNeOrS1P8g_1B7=%YbQRQhC8+O(f%<-AQ1L8v zpJFMwcGwPEJ8VZ^I~;F5rGk=chwZSn!*=ww!}d?(ykdP$vDD9G^Zh)m`F;_-`F{1( zLH$~S`b`+9-$n-&>o=pHS1cv>^R&bE^R%Py=V`~<{Y?e+XKAS?!z}fe=$4A*b@_r~ zslQ54e+vWk_voPh8HZCUD7hlm4qFjxM_&=^m`@p$W4V@c><~*iPGn0tC2>G~(cgTI z+|Sbq+t1U9zMrQVYm%peN=r+Xg;|P4wp6@f=F5tu?*LgZ& z>pY$4>pY!U>pWFZa-F9Ww$9UuzRok=F!O-Ye2ZlBEe>nGC6Svi-Y}zrs+FMX!a&tW z1{H5tr3V#DWhJPFFi=bXr=XTeP|L$WH9o_jzM)uZg#@)S4AiP;7}P@wD7hlm30o2C zL|+jbZ=vAB3aB;GQftF3by;*v#abk#rl1p3QDdWcEZ*UJO9Pn;aJV5f|6^8ov^jT&VR9XI9BuhSZO}FcGwA9 zJM8=yYlma?Qz|IAcGwA9JM2VXJM26SpyVF0PS_r?PV_xu<8_{oDa|)1`>CO@erh;+ zKNV|{yb5YWf;t)osu&qmyyjCujY?2sVW7sNgE}7P4fzSB`A$esC&NHp6&X~#Me-`B zXGu_}!a!Xe8I&34^h`rJx7|4{K|MPR)HTsTeI-uwDd)C3&yk?64FmPu$e^6-+&}R z)Jr9(mxY14IXb9VU$InBa*tRiY>!wc`W~_Iexjm+l6%BDVSB_n(f5dr$Em+7%_sMW zb;9jYlofaYloe;#ObG0P`Asc z@2z1^-`k=;eQ%5b>i^lh4ge`?E}XgBy`9@D>~XZvdj}~30@8c$ed)bd8%?FCSV5W! zf+7kEDn$-N6r>19QA9yNY^aFcUulB!zhrl2likc*Zufw@BP!1DHt);J%X?oknaqra zI8UuMje6D2sMn;83TuHQ$S8B~u)}Weup8>`MtwhI8ztR!Nruhci3UKci17ncQ`yx@kW_@haGl%haK{Jhr^mrX->{l z=H6k4-QHn`{N7B+dJ%#-#Z)@qiZhCQ|8`bhuz*`hy32*@B*DT z%G^8bu-iNAkl#BT*40dqr_8;>4!ga>4*9*qVO{qH8D;JrcG&G5cF6A?)-H!L_Qi5B zW$qnz*zFy5q+##yYmpme?j3g6?HzW=?;X~{+5V=vIZv5;haGl%haK{Jhr`+x6l9dS zci3UKci17ncQ`7XPvvQGoTtpa!w$Q>!w&hq!{K>~H_F^Q?6BKA?2z9(9M*>_wRp}` z=H6k4-QHnG8uku{m3_QX=H6k4-QHn`{N7>hSU8lB$a%_q@36z}y~B<)ymvU9d&nAZ zl=~>i@R;)YN)My{GmW}tW0Vs~8|91&C;K>0Iin4uoDMsqoYF>x7gHWKrkpXRQ7${9 zbZMi)>ptG7SkoxCol$W&)F|`4!%n;R4m;)FI~-n2@t!i@JM6T3@32$;y~E+fR1z0c z=6i>ocJCc_rs2KA;XLxI@kZq|V=9+jOy!o2sqj3No%2*4(XZx2xpi{=3=U(X;djY zqe@E~6<(m{;EXc&qdV>PqdU{EA3dx|9&ePnAKhuUAKjUT{pkON(|x>A=6-ai-F|eZ z{C@QCR)=|`%>C$2yZz`+`TgkHk#Ibfn~N!PKf2RyKe|(XKf1GK zJAw>;bGAl9kmhm0xl@C`c#!7oIt^!-E)ZhKJASDbA^W z$lIWGqII(5ko#6 z0pt^6$hi?f&T~E;(&gnKY@ZQhhKB8Y(sCM93)rxo4?(qn`r%oN8kWh{@SIu061Ilt zpoS&1hUH?ntPoca+Ih4BgL42D;^8F=qgRPBL)}6Hg1TiTYczz0b0w`|wewXJ?IAqT z9y#D&pv1EI@>kg6TraMotgnno7`k(fKb>{wS~w%ItUF(a%A);o{TgjjLNpZgKoJl8 zNSe06qG>7OdPAGG(d-YdX&cR^ZFX+)$5fzc<;p|Twu`F>ZQ9%ZDs<;o;yv25cbq$* zX@nJ_NpC}CTj9?;v`Ozfcls-wgk111LWyOeLhQg!9J9rFP+VqD&!-&w2DdeZl&;0S@1zU(UZeN?XI8TdVZ}*il+v|27_q*BcBoz=h zyPcoI8ELQEc>>NH{#ZIjD^GHOiU$gNpqK{=ka+r1+_rDTMo6{oTjv>Z0G$^1g(PFS@&&dyf0M0{Bp#jDK(-kXOVZLv#-EIeAqkCygo=N6 z{sA3J{LKzte~V{J+~5Vu=f$4CB(_<~^Ov3f2<-V!j2L7O8Xy>n--4HkIh zt(Yh&kHkdBIQ-@}g5Dtg;VJu8Ow3-Gi*1f^lT%_$w1=cId>1e-WJ2m|lEJv32bcC6`WAQ(hv zLNWRL6azxY?3ny91^j6kfX=rmCQ2SUFiZA9qS3uXpB&~h@A3Rp>i=f<#9sg z5^~Dph03MmlqU$4%g8BD6e^dKQ=TMLt{|s;mr(gOIpw>B%9Z7m?-43jl~cY~s9a4> z`97g?4LRk>Lgkur%2R~OwdItj3YF`~DNhqB*OOD8E>vzHr+mLqxsjam1488{a>@@1 zm7B>a&k!oNkW-#1RBk1wJWHtDMoxLQP`RC)@$ArqglsY<)?(o1Lc(G3Y7=TDbEus50z7X zTBtl+PI~nu$`8sZFBdA$lv92|s61Ov`9-1f!*a?igvxW| zlwT4mKPIQVQmFidobt;;<)`G7UlA(LlT%(LRGu%VyjrNdP)_+(q4Hum<=2GD&&nyU z5h^c{Q(h}neqK)bb)oWdIpsHm$}h?(zbRCHNltm4Q2Av!<@G}4RdUK3gvzhVDQ^@i zuaQ%JOQ`(1obo22@|$wXDMID-a>|>9${XdBw+NLt$tk}rRNgG7yj7_Dww&@iLgjbl zl(z|$-<4B-SE#&QPWe5d^80ei+l9)zkK~j;5Go&$Q{F37J}RfYPpJHvobrC5@^Lxk4~5DnqeA7M<&-}aD*q~{ z{FzYscRA%_Lghc@l#dIQ|B_SwT&R3rPWgmT`J$ZiNuly(IptGA_pnm2=7|pA#zQmQ(&osEp;5e-EQnVfQzP`QPia?ek-7Xf5{ zF=T@XAP0yc8$|#)Pz>250?0vP$Yv2h4i-bUhyZek7_wCakVD0gZ6bgiCWdSm0pxHo zWQPbKM~ERiMF2Tc4A~_D$Wda*ZV^C^7DM)k0CJ2NvR4FwfSfOed^rNh1!Blm5kM{!L%tdTc+0P-0zWPwa+w(Nod_V8iy_~Q0P+PfW z9|7bFG32fYAYT$g?uh_$r5JK=1duO_A@@fB`HC3wKm?Gh#E^#~fLtwx{3rs*SH+M= zB7l5N40$vH$Tecf&mw?aD~3EC0p#mq$P*Djz9EJ@6#?X%V#w1GK&}%*eiZ@adNJfT z5kPJbL!OBMa-$gX`v@T45<{Mi0CJNU@>~RvDPqWX-y?v0TMYSU z1dvB7po%3|S@u$YWy2auGlt7eiKv0P=G&vkf+3u)gplWLJV0W0?5;1$eIyAekq2m9RcK5V#qoXKz=QTtQP^~H)6;J5kP(` zhHMl8{Ll%0=Xq+X|J7$tkxJDwmK`ZZA|WC8yj$s9Z)) zxua0IoSbqep>hQ|<<3Io+vJqH2$d_#DR&hrSCv!lCRDB_r`%nrTtiN|hfukuoN`a0 za&0-~UP9$Ma>`zzay>ca-a_RDa>{*#%8lfd`wEqt$SL;|DmRl;?k`ksA))MP;JmE2 z44Js5=&hxcQ5C(7K)IcSGRhv~iqZYbgW*FsO+70j}6 zwH(-CmY&>chdw(+pOd0LzCoWCouV&D(HFm?KieZ&f6g=2Q-8Dm{04nRivCK9{wlz) zgHDb^c~Jq~i)x^zs0AE-A5R&G9M=$h9Rxbkr4NHonCsqaV7T%3XqVo{r4MxJ0(nOP}u2*TH`PIF9)^%T)TcK=J{j0w_j*OmYNW>ZqXp2FS<m@wBF%6#H6qcu_Wn52B<2;>WdU~_z z=`E(G-?sDgR>RY126Pqq6i;`EX$aD7oTY5&2-uX-$c8+CC$;v5h{L6d+k0+rSp1#&D|AsF)(7NPV06yo@^9}R3`jG>#c%QW*^eQo` z9icH`wx7$JY!yogjA1r^kbucaXsI>eFM#%&l_g1Ny|v~((EP(%^J5!LuDMC*QR||A z0hMy4Y0OxJJSm)_!)lO*UO;c64Q3QoB~i2iMFW{a1O+(P6vVjnPhI*6mwv{jPo^hq zOwFJ%MZT1_0b=R`#8fm|4l#8RVhVYpG&o|mK}Y^HRC z&GdvBVKY7Q5%!Nngv|^)!ay^X2)k+#VW4@yI&2_K43`Qmye-h9oF#^n0xsqTBEka#$bm@XmPci*lAK4GyUQSc|j6-$T|) zZlI}O`!hRL`zLApN(dad7oJq4W|ADp0@UdDfK&1 zB207(1NGNNtx-ET?nR%YQ*gYb#cJ`oR~x8J((W;a2CW@(UqdimupAm3#?auKl9xtK zqiA3xaq+@fMunf3UqdsIi`8c_LVj=>I4~+1wZ{f)vk1Z;-8@RLK?6{n2$F@hEMPxu zE}#@L8MvV^iiyoeNi6~8kM&SeYlrmMB#=2#O;jW{JIEa96v&c6=0bZwmIGulT0F>d zf-DwY09h`O>DoOY%MG$Ptv|@}fXq$!#~6m;Ogs$7VmZ>vmnPCGkY>_aC{J2YG%ci6 zD6OPbBm&X`+tNZ>#pFl}P{SlG&`gE2N?4HA!Xz!1HMwxJ38*9uq_t3y7AlQ|yyUx< zbBTYvX{5D~BdwA^GSP^TRw*EvC`3rBG{}6URR&}}(kcrwA8C~XnUA!}gUm--6+q@A zt%@M?k=AV>lO(N5=FCof%^h1s{+fH0rzONWKka$BSKAnBqNe!Q6n-(* z6k_XeQ`o;f1FX4+o5B9=8Dd=$))ZpvS@7H6unb_W>6>20HUNM|?EDP0R#BQ){lZ zGA5cZ%Za+CwX&IL!cuO~8ia2#f?bLDn?@b1L;zAuY+J~*XzdQjw04kbWF_JkV+sUk z7E_@1W?WA5$K`KKT)O(PemO54ndd#jtQ|-qv!g{XotKTw&YWTLkqH%q8JVCd8JS(v z7MTDn8<|iv4Uu_XGBRsxEwwg$WVVSgGS8cl*#;uB8;#6b5SiWmk@=e$nZH>@W)CAW z9W7BZtYM(2f0@W+yZ?f8TwVy5F)v8OrO8aa#Qi@R;=VU$cM^KTGEI0wh5Gke7X?Dq z+nK8-eJw9QrdnhjpnqkJ4%`Tf%%S7Kg(MAGYjNWN6t!Ljh_xFJK(n%CCBE^%T%zf3 zeYIoRaLxLj2|p-+kN#(ENbsNlrPGk87o-w38oh|tp^dO+QAn$#Rn@&wd7{chl{XW2 zJBqo6Hrgbv;QIU;x{qJ1Fs9+$vW81E7Qqe4Khm&z5hud%Ev|X3|V+ zKh0cYXoepEFG>^5#c&6}i)kU65t2j$pt3{*MWu;mRGNtf(B+7R8{RL<6Acs%lW0Iw znrOzPnP>oAmT167X&@RXod%-0C`mLg!L6-LKr}_PDq1xln!HivqAK!4vnfju%|(-F zHUZI$r9`s=h-Mrmnrc8Q<0;V;0#cbkiKZgRCQ_ow1F}heqPb=g%{42cxy!ubw771+ zNB+9~lBWrbMd^Iqeu?_RxNg4}+UUD(zYp4IdEGwQY*o;8JKT8)+7^?9{t=kXU$_55 zZSd_l5Z-5+W>w$)g8HtcTHlq_>U+Sf&!X36$oJY+PxJKewX0mO%`|&$mR+ySHU_=u z$#B(2=GN8CLGNL9HA0KFU2tZXBS_JwtY=8%s&9^X8b&l%S!)`%yZpBhAG03x*t=Z> z)cSgjn_ZKlzgpH$rl-v8`iZm-dVsFQSfjV0=*C^fr_wsI5cJ|U=*;y7Y3AFOMT6Hh zv}n)0_J!#UwrCVIR%rKYbG7;ASdl^|r8jh}=#qv>sl*}+_yJ~;h|ERF5HS}LEE!n8 z3b})!5N_VAIpj$Miq5kRJ3=DwSda*4%9F^u)+7Q0iFwPMST0Kkwx^jy9BCkt z_tQ)wfG$fS(9ATDh(nS@UPf=hT7p+Arroa9fa8PO)7nCwL^j{Z31@nfh{Gh2%|IeM zDT%BE64^ybqy`YiZb~9WfH3w@5?Khc4=9P;53;>}61l@9kvptNWMAqea)>7pM|dRS zNR>otjEsX%4&VV^7dDFA6DrlBRh)r1UA8<`01E3!3I5Kz7=f z=Gu89&Ed>q-Res-bqf1a=VD0ed~KaN-?C8~lX~j#1A2^n@<379_?@&NiKYji$&en@lj0J`;-5pL00i%Dbhcwoh*I3&*)n1#;>D|ukj$u0c~*MqN5 z^2Bh-bJk3rA8GOwheSC?lP3`p$6zTxGKG#q2&>n6Y1^|03{S^tU{5!&%RYCviDiM3WYSi zz5hwG=#}MBt|%xr@%^$sH*I!nWw*GU@W%~*;>&JuC#AS^Y;yfvwrq+!7d(S@8$5&N z#wqRs$?k%0yNgGo9s00MuHWd-+;d~@lA)e)m)_wno#HMBWE$AM?0a;~T|x9flcCVb ze0nU|UD03dG2L&XqY#hd&5g)h#dicMKF?L0fD_1uW_K-| zz~Cg}L=z`yvvoF{jVj!UvoQ)D>@gL%it7X_zQ|Ragp(*vJ)FegWXIV}oS=%6aWYkC zfRh=895{!mz*XEZQ1NAd#q(0!O*gn(K$?5%r?^|ExZ9_=JB1{@XQ+oG&|MumCPVE1ZK1FclWW1z86@PKy@8g=oxF}oGeCWM}2Fl$W$|7n+FS|h5IZPi8cfW=MqkLSg@;LB(_#x9L6)=4`+`SgFLwrSC z(KO5FliToZ)ciN_ZH)Pqa3!-G>y!KBd{P-#rl9L^Wd^hgu3`Zy>680Bp+2dKtJ11A z;Hpejx8vK*sscW#hO5!CZ{cc8+3L8uS=Q&18n^~6mx60BTh}xHhe7E3VB{btk^ltSaD>I=BukyA9W2%GSko z&9Xk9)Wh{?x%Y5Ard)ko-z>-aWQv?m8sG*LbO&z0fHuSpEkGrGG9|<(Uv6;kp()e| zH=;G}#f_L68{@{bM)&?5?)_!Ax<5uJ#eJ0Fxv3l?VW@KkViVkiB7TOOFo;cYQx5S& zFygdeME5BJu^Dbg5l`c03}SQKoJ0I37;$qF#5 z5?ttRb^pmN0>h*1XL_g_5tB_4ccTEJ%pgTwIB2DhPJ_y@OP zywDc6rCy-pCbSTYnCF3~FUh+&Xh^oh?Wo#S+>TLekK3DSQyt7J6q3*^J44xZO(=Pr zrN7*;h0K^&5X>@`CF7z@pU&c%?HiRk;10z8I0x>)*xwO%H0>vB10BmZyA$q2)nafb zMy)gMY^nt{d$y;MrHR~%6q@a+W?7PN_G}vmG0mRMH+xmgdfIbca2MJH9d}`x&=q&3 zP2eV--EcQr)Q!6_MZ4qfzM|};vj^@$i^k&~OwpdWCtEaR(wT(jcn%oH7hhX^cwTu{|eJe2C^$3q$YVR%?TKjdON2|eM-54~Zy*_VXF z@o)NKXooM&gkasW2YNAdSMKY>}Q4AdSYODN<29nn49)Uu45xJi9OQSnq-5*?p0xoF#2B z?7oO=Hsn*RMo1!fz`76LN1Id^-^Vm*GKTlJ7#Di{IK9}@*s^-QNsB$zElcuETI{K0 zS(0heVy;Px=&B24tSNX3ZA5)Mg=xf8JT=e=XnRA)ASXLJSmG&fS!-+?3Oo%@qri>v zGzNG&o}LOYd7qNSgpQlaEb-iFSu(CUZ)_jylC7z+_v8Div2F4FjIj^k2U0QiE=yp) z7aqh9QsDOZK?ZmRo?#Dccc+q%lqE@Mwxtn4ez4nX6bJ9X3e5hN*sMy#b)qhtiD%N5 zb-^>4md(PmXv^IG2@D6Cgx<6?m27qZcA1T5Q`ByFHiP;Qeuzb-bDg-JLD;)f!+sb) zOtHQAVFvpV{74A4o15%_i@!__dk&sMvHRdT4ECe=QL~@9S3m*eh14J)!;ewOe)us4 z@^SpQ2{~1enM*x6t$}@+`2>D~0uR7XFu+gZCsP5orX)TjmwHNAn!rcoQcpAMlD^D* z3O_}S9fY4^jGc?;redsh%KI~O9-c>mhv0b(@YDF|RDkcdv^dxcV=PMsc_9hSwJyr# z}T*ZA=rFg0=CUlKR!Nr7C%d|$KYof?C0=vW-oJj36M6A`mj5p z(2^uA&a!23os#3m25wCx1jgGXcnLLVJYK>Wv=lEj4H9Mbved`h=P?YMaMe8#KhFR! z!^=_umK|@GNsqV7Jl4q<=gaElcsVupF1(yE_67VxD#oTd-oA)mq`>#!7a8Ccc!fQ% z{CK-ee!PXE)_Z&T@pf72`coZmU&b#})G7F72K5#E z3X3YpYrsx*yj_J?QS51W6@$GRuMWZH^BS;I9dBR7uTt#$@v98>Yxp&@pSipS$W+JM zHFyn$d=Rf;AlKrxCZs4cm#047zK&m~z%%jd4DcKHjZ}bT$J^!7Vb8vJdYwErEL#aVz1^E3D^VvRRz+&%^iQ z{S#R4l0EA7@JVGbYn z248xVH7=JMD?KADOOo7pGY|MdD$cDo0n7ZO-jHwxv6iA+qy^bQQG7!_$brlPw}U|Ci`xd z$8Do4;K_0C24FA;^BMk(!feN%F)+vQF%D*zA7*y|CLafL93Q7JAK>E*%;)%X4(3BY z%z*$*0e^@4cMF}sCn(M#e1gF_iBD1-`jrKULs$akZo00qS#|bLc~0R|6!;^2iUIxt ze-Qv?J8+Gsr)9`8`-@KF(-idxKFy$hiNCZ$on(Uw8%L7kJ_)#{5bv6=@K?01Pw`hw zU0>s`19b^pvo>|te1pHCz{l`64Dh%3+W;`@nzeGSIfKto)X(u52K77qofT@Tt~n8K zO%cCqfIh#+-_v?d;qRGxe!xG_dW<E(pJj^vh<{{@`WVzV|2T)wQH8JY zIY!|p{8NZRTu)lV&-iDm@D2W%QTPS_5~}bWRrnSEN)>*S&t2OR- z&Lq2MBI5oRRMdKY9^BCWmNvPkbD*B4mL-ERt?#Y)H;ev>|D?|Q8~@2T?|=Azrt`SD z1-Ndzb>$0_>hHdeBkM&+s{{-?1zCw|8e1$>2 zimwKcc|@BDBsb++@2P3yKcBVGvVZZvw0<}Km#P0h{GVArtcS$M!=J={jzQ#6~lF&*^P~Y+;*rc3FRDl`7iWRKd45RUZW$x|MF#5qC0msF{0P zxp1bN6(KAM_2j0Fx`Ui?jmiU(JZw1E4ums?-!RpyL(Vw8ydcRNa0c79_awp@CVQfA z-kw@Giz0Ko&0LX&vnU6)+kIw=3+L@&g!2xWaLxxV%tzf@kDPJt%@30N0rv{Rd55Q> zO|1DdrU1Ycpj9*=XIvFzTq&4J71r;(;AaRsQuk3HoZpiU=l6u+TnG#=L>twJoN6wg` zWvE_Pa>nVE1ql>0c0saqu}6X~EJnW%Z0W-P*gH709MoQpTGpMMah8<_N%??fFfjED zTG#u)=0csOLEzDKsH+0tRiJfw$r)D{nH5yz>gp3xm-Ri%*fxO&a{_I<4KQz`_4OlX zTz!>5Qi-cCxNUpsJpu91656)PfLEE;HGrIPbyWdL6|Sz}w(X^#pRlTnZCijVK1iKd zjPR=p22`cS4I*cpab&)5d%!q0{Px*UHJN-3@ke(xfT%{{hmbQ4p3D`h2jB%Uw9n=i zSg4xejpY650WTHgqA#?@B~B(=Euf@5euee}hu zU%}U0e$)oM+O)1wf{qz%mR&|MEXkY3v6bQII(u30;A$gLSQXSg7 zG31PEUR{vXrOoqS@Qn|UCz%4&qk0p`8K+ktB=rMsVWane1bJG_wGK*>Cz&}kpqAZ5 z&N#~&f}~-I!b#p)knvVCsQykY@`ppanH< z8ad;PBeRy40pr;4J1j|_tpK7Gg}NlqMVLd*I0Q01?HYm*|2RSLU6#VZCA?|$iS7LZrv7eFM>kskljMx6pG-o# zht@yOS3fKXF0icssNKY#+l~B*XQU+=!iI~0uX}(sJ*cJg$r)#9PmuHsu{7>GpQRpH zBeOR3Q)yF=daBx)$}BB?;;Cg>683P!F9_UzDn)NwG41RHZRthZxrm%`?IcrmZ)iJ% zVyZWk>P-=zA!i&yACUA3L6C~6W3n;T7wYIs>wk`%arKkQf4|WBWn${MbVnVNjj8@% zO@C_XQgX&wN-iA+gjgyUQ=dzldR#iDj-?V)&j(_vj4!5i=ABo%^&69t&s<^{A6k) zskxMK(q^?Z{$-{Zx#428(DxJ}kXPWHV7C4_73`l^0{*E0{;30h{^tGjg^Yg&gAWE% z|EwlwoPWsG+Yl@Nd?D?hFXa65gi9hF3rZ6)lC(+_iGvVjs=*pw2F=7 zjH_ZCNXDg7g{*tOmT~WRsBkiA0H%RVIr3zX1ek>(lqO;nL5qG3M)^2^(o7oVw_ubb z0hAVSZ47U*jDe+^_@l5M4VN^Y!Z62z9Y70UHvqj9;8q57OnLZz|$i+~gI$=SzI-sYm=9Sk?HS%?ma3eP*8hF9fX~MdLmEmmJt% z!C>?b8(CnQNu7LxoN-Q`1(I2&li_7Ifv@evf62e``J1z3wSV$TmY-%* zOTH#&oFxx|Fr>Mri zu>#&N%$1LGrW}zV%9(&b&x730)3xNTYza z$?DmBQhS0%&bZnafCR{zyl%nwNKAq=#M}RbfOignF9d*v6x>D5IB>F@wTJ_c3jx0p z3{E>_F=#HPs0rkZLnTXG&zKH76fosk_~BWql#QHmN@QK?InI>i5L2#lrmS8SGp1}x z0_?by7}%Bo{1RGqZgR#|O%|G#n)U__Y#IuCV0#{LpQm+Va>muU3?$0}b#en6R4F;I zK}qXvW8A<7C7W9gmVto{iUkjB?+_mc4Q!ewH5Ng!V6-_DjT_jsC^@jGU@#hp%fXS$ zsgv`OGtS8`faC?!$xI-=2tT|?l?sqEPKm6Gt>6N&NN^y+x8c}!86(?Ep!pI-Ek@2b z)RiDvDKh0{_~B)$RDzswO0R(A70#40A*MKlrmORMM(Q+jmwzMYw6{R=mgzKjI*BsW zCXjBT8qLWWr;!4Z6sqCd*OAZ)CjLP;U$s~{evIYcBkQkyGt{t|*4~DkakZ26w=E&| zz75j1sYW|;#%Yk{w5_bY&g6KZ$z-W)7d3#~ecZ(vup1=1sR6!k^(6H7hteMSVGmUrNX|H= z4?yyPPl$7wzS+>dCTqsbXp=f@!V*w-QSyG{x8OC{ihBk;o! zszh!G9O0Bc0m&ymCBEyA!VgEO5?R(i$|-#cl22JB|6|K+Qf8Csda_RS8LfmY?0?2p zattKL#4gNc+x5oEjFwI4Lb6VEoYqO!?~ikJeh!k)Sr^hLvB8BW;D-}bX&O1>lum-= zBglr0g^9RB|-LhWL$U}fKJm&$Wq*Cu97c7@}<~?9yu3!gf9FF zaKEB;&Ln4Con&3;Yu1Gi!I~v3h$cLelJICs!V@V8Pa4;JAe=kMc180W0Q-hk^fWo+ zD*6^A-a`AyYYlE)^CxlPr9=|z&wGg3@;CUJf-9=JIJCY_Y#$>w1=)adrIwk{<%DVbe0ZXS5~zkVO?b={XDfXDRj)a>il* z2$COt?Vz7}NLVKDe0IARYnj^_&H>apS`E3@JI7V?6G(mvG(lX)TFa*J6WQ!GuhgLr zQn3^5KrCdJi-qjMSoj$l@H1^3xhDOYYuqm&`NeD;nQCt17v!~Mn}?QB)BlVX!Axj#AV|AFLxKAU_Y^+u34a@h6XK7S2=0m@&r26D;u7gxjIAo)9BeF*%$ zl7WM;Z0eE*7zOsFDU;@fyuP=Uk>;`ko?D3W8Muj$NYRe ztRM3l=v||jWY&6(#f(C`aTKz}%+F(zZ*V3YaJ+!BlijPy35VWJI1+_cp=kJl-uX*O z_^jJ{_=;z1)C={2&)7~ukD@2wxEp3mg*>rErsF-On z@XhI%#WAa6*1+*t%-NWqbgwJFtAeW%9Gkm(xV&&2=X%gJ3yynS$6P0LuU<)Spf`r2 zS0Am9ga6Oc7wXTz@r3@9{;TedZ5-PrwmTfh#XcAdda=*Mz8bq0j=#qK=hk$uySsb1 zdlVdJxfi-Y&%M_Du6u{>jnm??$K{0M#JE{;55sX!+_5;QFFtpC>G*PRToS)F{!KXU zk3SiITK6V=l<;-J8Qq&0lbAa(FC0HeJf3(Gj{jy$%$B5kv+c-sB-^KO{5jjzY}a(J zCzq#`rz{-DduDiM!|^-MdCw)?o0Ki7a8fZiwoB@lG!Tx9l2#?X2FLf4K1upa_h#3! zXU`6HWp9<;n;ra_ePQ-jvcC$)ud@G<{V&~{Tqe0zaveBMOrDhtb|)`OelvN4?#;0> z$EF-x;CL#>FFAhKy*b~=xjpAj-J5G-u35RDT&{z;PUres_vXGY_oKO?zjJ?*`%La1 zbZ?%udEU(f{=iW<8RvpyA3PRMfa6wt03X)9c@y#$%v%JGL-O8}ce3uymz=M7zEW@; zm2X-;=+FF(^LNP){?Gqh{x$jEfa8S%t^#h|TcBfsfdz)Z@l1ig3tZH_1@A1_reJ$G zo-6oI!GCpcp@xMz73!*c3+FFfp)mA+;R%Ij7JdkhhYNpI_*>muq->GeMe4$FT9GG< z%!A{xB4>+0ycC^TbV1R@aQvd^uSNgRy~W-twx`%W-CNvUJYVsGa2!(np5kC<@twtw z7C)wYOLQtRsKih>&ML961oVH2Vt)_6^Sg8*~abEcqfVZuia9Ihfn&9bO)Iv5{2`=}tI4S!sEt6>xmN(kGQ5ekwm!d1>Y4aNJmVcjdjhw@N~lf>ogZsytC;S(O*z z_*<20Rikuo)z_+SuewwB-k$UJvbR^zz14P9`>fjMaJ*bSu{y+a^)A&%R38n;{nfv$ z{*CUf5mh61jl6KYyT)TRo`mBYHFnkbK=;;6s9CgT2{?|cIlJZ~y0=!7T0Lv^hU2ta zPuE(gduxAD`_I~c>)t!--P!rhZo0Qljyh%Pl!s%BI(_Q&hvV)#C+d6w$IEpS>q5PC zC)J%(_i;F`t^0o6-MY74(|Wz?0Z-N2P;YO&4|Q+-qV;RkuLZ{^>%Ub072VsQT!Z=z z8o}|=2Fn|O9~xX}7}qdS_ck2Ta7x4JaQvj<*@n>Hjn+5%pwWIfo@?}9V@>xqp4s@B z#!KM%dlN?!m+o!Sx5=a?_rUQ$ldqdVe>EM}bXrr0@1}d3e$n(R-P^2cv*yiO!SSVL zTVNs2+dNzI;>}CJ@nVbk7Qk;UYPM+Gq5~Y?ZgIH95#8HzV9R@3PSL%sTD9ue3i7TNcXnQ)wW#Qin_O5^>(e=wT0uUc7L>kJa1pOeW&)2 zAMFpc|GGWc)1g*}b{#sx@%auLI&9Lt9m{sC*RdfSpY8ZY$MtZ$+9|114&B>nPN!v^ zpr1Qk>YUKoqkB8|>O8LVL^%G~`QI)`_ja$=y=C_{aD27aZT?X zy?5!}K6;-5eG0>|PoIf>?uO(1KCAlx&-YF2TdXhO_npyqao^{3Z@;tsuJ(iY?VrDY z<^H$BaYFxx`$L}eU)6tG|Lt)6cR=<5Id$)VhX*Vj0C7ApX<*5LW#HI!V6TA?2Ls<2 zxN9K9-Jqg_Y77E92X`AhYVcS%&KbOHFtmG!Ye@bfh2U6oNZTRMA44_|IXDFTJmlPv z|As=p4;?Y|{-HDAxOC|Hp>OHlVFiX&8CDIB9fu7aHUf?h4_i76>K*pWaBa9l_YQA9 zy!Y^aa9lb3?ctE0Bl3)>Far2$M4J%`C1_uFklQj*R?u-_UXdL}08-ihrd4w^XB|M~i8 z&w(h{HPi=1x!^y281j9Q-f8~bLFDejE`6X&A9oFvMpOU=1BG3jES6E2?9!*Z^mXtb zz=C!|6yvXkXtM~x@{nrvAVpSL^c!o&)F3nJA-4dHMYQ@o#H@G=AQsH7jJ=tOHt1{( zsg(;c+mi|~3ocXEz8TpiYGZ4ZEg#aX9Fi5Wm8C_;rkPRSqFiaH-LRk;&5NQaPa1U% z{cFe-mM-M_8GqGz!>F3AK3x51YJ$&-4k&+^Rtg27rd3>A#P8p9%^YYRt+W#Eyn zP7f@z>#L)p>0p{+p0BxeQ1Nuav$A!l6f{j@m)Q2FcB9HU9TEGUL5UdMWjx=8e1?|S%X zu5_JS#kTB5)D;r#Rc=57*+$-|`Yf{H_8Zh(qsc~gRKJ1s8g-LwxzY9V*>lGYZZ+HT zn^AX2cGbEG4q%;dv+B3VzB_M{I}Dc?*;w}`+F{s2vh!x!!Dnmzo9q?VGa12_kZf+4 z0UX3SDWljU%=Y1^aRzZ0>#~ew6J+0HmwP9jr?)^&Gmy7fk7YEwc&|0jU|wTAml17a zy*CB5%z)lAJm?#%OQ6;n)JDTjGLC0t8`&QJ7q!j6-ZVVw>-mbPeFnGHu$M$YX0Vmc zXJE)7|G`SvX4EkgIM{Hq5fq&>iOq)HBsemY%|Su(0!qu>B6JO5)HMw~gd+UM@UkyD zilXi}xNf6<(#toaZu8DYQPlG$G@Wh!&8i>9w=>4Ay^hFxlf1xs;%3^wdSe9Ya}&K` zc*ID}emB_`!yb~V8Nn7ab!(sj8NfA$dwkth7Y)iFHt}{9MME->U50HWq%xXa5MI7% zUJW!XgSpIbn=jNFpb;6+zF-?iWl$S~?HrSV?F_bcTn4u_*xm`5z+T?wqG(bkvDvVj zP-$ke8RlDuj5XYU(cPKI+lI$|EL;)Yo5^f9>?aYE8Ep@`(Eboj&V(Jnc0y*gA3DOf zCgz(jJ)hPUkAi6G4eU9&1rxSkGNyIW#AjreJ*-5wN7FN;2U#y>TninBCw=j|5lgvGQ59TA7>70gMzpXdMHyknRRsLu{g-t zozNqh#@VdHGndsNPJc90Ih}QU=CeG=`7P1ona=ra2V_p`gF2x-dNNaX0^1Rpw*{dS zo0Vv8rtJ^5PcnBaLi?pXdOB103)?rDza=rGFUVp5yAbmcT9E0xi0!7V(VEayYJ(PK ziGE`HD(kc;w7)u`XR=Ixv3-`cS|#eY=dx74v3-~IS{B-WkD#SluK(CR%$lv^?^7(x zl0C@wV%BY8(3SE^^g@>HOtwR_b}NHAwLMyqr8|}F*sR~u&@uD~TAAhhm+j+QqqRXv z+y=dJOLQ{Z(YH>EgF3qtT7AoOHrwI1R;xog{k2=F)7g%{^;#a(`7P1fTdwoj0Jt?< z9~1=b(Hpm95U_!8>v|w`V!sltyJh2njfq>^3!!n*9&Na#7LDV#JJ9+-y~G!^906JIXU`?1^_Ck=sgudf(T-xNO?#UMMP$<)WK`D;NjdBXom_Wi(p~{ zN_ojDptzv&J@5Gt+NpxdCaBoJQl7F4Eb<#a_U%@Yl}co>(WShVT6B@$L&n4pRFFvo z85?NIV={rp1)FcId=TwZ!6q4OM!@-AYSjUKs63Yj&l%n$uhdeWlTBBn9DNm>|D~G? z&_NY>X^cEJ`jq#Ks7lMxoTc~%jzZ|L3c@ggkPSrT!L$S*TQ>9t?9b?96^~)YBO8;- zi)@^w^*n?mKT$y$K~S=RsXUq1U<@uFN}4~RPgQ(I7@us6DsKkITsVI>NYwxJ!n){~ z3et24QZ`VPN5ctBkxodkey)Nwor0AOSmjkw@P#W23fg+;qzc+}3|cmDm1n~ZQY$?p zcu^Vu``y1#!JE#(%LcIWu2mpLA~&wZND%uLRNJ60RUBW3IA&v6c{q}owbdoD3oqI9 z$MYGNdp~98f#_=$)z>Mi*~nI2wvEmRdmv=W@vRE#2wj?5cWEx&OSTFqPp9UoNPibi zMZQzV6s0fvK}Guwh;}yOmB*zbHXV9}-M(HF{iq^69egU= zcLu*JugiKYJ<1uAb=~)fS!wi>3i=xu^rQ=oz*nA6kAO}KhB28Z!EeO>FDm|TaQw45 zpuC@!_)V{}F(l^6M}K^IV5GutDiv-*DzNFGdLX?*Jk2;EDdEeCspt=t6*nm>*vwG9 zkmiU^&t4N}hju&qpURH(^m7$hit`AJrmAoa6NUR zT>2rp&8`Ews?sKdr45@ps&}qu8ib4Opy>nKuYEhP??L~mB+7tE#3qvJp>Tu!dh0oj zWP(*?Up{r$VA23XlP!f`6)A<^IYmt znV3m4(a1(0rRFbWRnKO|+_bZqzx2tkGB=B5E}OlockMDE)BYx!WYJ5bf-0M{U^cTE zt$H}qW^F2wX?y8ZSS5BU?#s+!5xA5ptrb;0orQavrE=BNnK@@=Y==kse47!+XvI}p zXYsT)QrmaCzqD3T_4qB&<3_KOZ2_vsWk@kAlwpXyv`X_^B+ZRfH}-RtRXu+T^}Nyh zgy>bzX9W^ZOB%*irknVT-KZxpt*45Ux?_SGsXHn;`Z zfMo;~0a+%?(-_I|B36Jkzv(W09sCCi`*2@&pjJsyL>e8Nl>*9mtXV}tR_fKXwuQaF z>zhCTPZ)EEDvBU(VS*r`WRQf4gtR7BRx6(nGNabL0^k$Of*zPgp*!bQHQ-UF}cIIGPy$$hawL1eYysD zsHn(_L=bM9*ee#6K!UGW25Pkwn=t@LYp57SF^VOlu&kmYC95+-#O*Vf1+Ja>ZOe@n%P5wyWf_)fRJ25# ziMK$sNp6R3s;K7{2!`}X7~}4MikS59=Bv^rG;x5Hq>Be0wf2qi_%|{h=X^%P~ zhn>>Aio;Yir9GNb@tLs|OGTIBv2>h=`J*m$*{hFYu~afr#bJ1HXfT+@`qgx^WxHWP{&Sq$ysz4!p)@ zuZZKcj@lr_ajcir`E}ttgXdJVDW1E|JO>%hITw^%$l@&uGIG(rb&B1t54(x{8f{$1!czoYwGoQ(6yx16 z#$#DeMV=@-mF)U4p~GN4dSQzm&_*fpQ{;C;$&V#K6@7~At{>T1`PL*s_#Ow$00(Ge z6bUL4yx}Cs5}}Gft7KL3>r0UQweUE_go+7o3KOzysG?A@-t}cYJO7%~L*p8Gf+9sl ziZ_iESz=U?XqUL^x9d-uCOI0{&XW{5DssH3WJuq-k7(PgbOa%bqGa6*JyAW|Z}{IsG)Q*{3TKRV13>NR%Z~ z6```pt;*e4!Zpd1Udu<<*B(%0s>n1$k||55DoPbm-dLhci@$Xje7Qs)NdG_GrLTkk z;6(%QsLxPshN4$RuNjtJS&CJWnwFGTWp6wkJ1D^d)6#_it-Cf$k*gxt3{9>E!M38) zfoa-9Dq>Z{X84E=j@n05)T*e>6j2)-xsR&IRgs%%BA1WeS=!?&dR6phs^~Q$_!^2+ z(VOXdj`ZHG{wJC&FSvKo>$MKrlZt^=Ok~QOFy3^mBKTHkw9MLm%EYJ)W#SUrT*btS zi8Cb=ll(K-SVeJWWg}(xFhp;_5k~gy#Opsa;7C^mY7u}D}u}1iytwBmi^>> z#^v5m=gs4_1&X2-MQ3V?W@%bQv!dzDP1B_R*fr+%+9Ji!ilH+-L$fTcB3iL@=4a_( zrf#7cI@2?>!P3UO;}sRvDyp+YR2z{^<{v7mjWJ9e zGuAvLE%Ohf@A!GhYQ@-!v9kIM!L~usyrTImP4g_(t7uo$o-wFBxF6Ds>Ip9Fodvo#KLw|& z#~?E#!3BrFt@w9%46=~+mYRd8IY@>~Q9D+)`i-P9c|6j0i?f85qM}ixmZfup(v8W>dn(#hv}fsPHzJ-)VpOyT4}9wH z8DlbIHBqsXTlJkenVF>RQ1q_oJjYeGs)Sl=w8wNEkpMP<@+W(rL_-K^sDH`_+w8Ls-P$R=FJuuMa6#l#C|w_%m`C3!){}AQQ2a6L>+HlW{7;B zk+OvyVTNj7s}V+xFzVVxA_f8r$X@MRl{FF`6EUHZUEh%@BaJjhUmNo?l{JP()baXe zL0bKr5wj-N_#*j0dNSRmuY>;}m*836720=d1X3fAnzyAP1_JZ9zS<8ed(z;!w6dux zAO7f;5l14UKaF{u${xcb>KJ~cUk~49OP?VwGYnZqUk5U( zoc4#xD3wuad`gEHFfOZ9Mj1S#j_EVDq?aEv(-=kC4Ew|3GRn9q^*=RIr2|W)S1c)< zKyGKYydr&Pj8-bER3rx?IV1TZGiH^;7_oeJs=8=@t3gW*TI%vD9b>>4xKw6kB(hev z1P0N}IB=2vb25XM@AlOS?SdM=(viDVmISgSQeR}&EFEWnr6E~yMt8K!24*C7Us znWDP-br`mw$T1jBiVIeF*aV*d3br4+4GF_J3D8* zsxnPwnwm3S#~3i?jw;jCm=oTZbG`kR6~;8;BX$m1UfnOtkgR^aV>vV6XV!c}*H9tt zKQ&>zj+2GV5WX4J#!Q=5e#41h1D9saqL9iom1!Ar5YGyk#%Eh8HC@b*k(N=%nj2|X zrp>lI9w$Q4ccatLf>@Lb>8J#XLzUs!7!5$H;rI?3s1-rFb~_rRJqO3v(cq|Lq(_xR zL!uss<8m}K>Np&KLc^kqB0ahq8Xi3wjt`&_(Ockn0F87+Bi)e;jdHYwV}CT-@hlwI zqA`x|;CK;@b>4<_XB{-r*#u3B8G`PL`54{p%7yOL%cA>Y>!Qi-j%Z5U5HvMz3z`=1 zM$_ZH=>GVH=z)Z6Xhy;)G&5m8nw7W%&Ca$J&GCGR9!+Y29!r{w9#6W0p2*$=J(>L} z^i=i}Xl`;bG%tBHnxFg~T9BgwT9{)QT9k7kTAXVb(sPYQOY*wV(gMrTk^&zhy})U- ztjIlRd5LUjS&3RmFVP0QSh6+JOZG)8O7%f{sZr>q(mj!0x-VK;2K38JK`)n`h4iwE z(WQD{y1Nk}jMFj`yTDWq3efnKk47t$*|g5Id~Ivn3Y z>nhJi>#NK}8>+5A8*e{^HdJek)>qqtHdlWiZK*K^ZM`E3y>rK>Xj{!oXlt!H=)GD; z(DvFdp&fVbMeo&#M?33mL%ZsZLVM~V^g;dHXm9<$&<70)qkRo~q5X|&pnZ)7p*@XW zMF$$!K?j?3Mu(bKLI<1nMu(d{gFb3L2_0_!B0A9g2c$Ru8GX{C0{nR$9c>B6mfg^& zEk8#`TScKyT9rq7t9s~Is|V4s)*3qAnjF`l&)bwo$J=y8$J#uA^fvR+i8cq}coLm# z`v%h6ZbYZr{sPB;&=>6vBfZ^G^i_vKNbgVuecf?7(mT#W-*!5U^iF@EGhOaPdY5+S z`z{Z{aRK_F%Li~gfzEb~LweVO=*O-d;5Z1K>$(_@uc4p1f?n4@(9hk9A-!95^h>wl zaGZ*M?e-=dccS0Aokx22X!J+-IP_+-#s7S&okU6zjkbMC%9sLEe(;#zc;G={uLFU$KgX}Ai#cDG^_BF`jwNW7Z z24ry>#CgKEAWPKV0@)dmC1_Vb_8rJP+V>#)9%R{~8iDKwkY$gm0J5_nONxS6PWTaI zIimW2>>S9Foqa*}6UcHoTY&6mkmYnf3bJ27md7~>WWRzew{sK7egj!v=W>w!4l*2* z4P<|SEWh(I$o>Rbz8Hw5g#Ur8V9W@R{ROfDG0@V4zd=?wW+BMVgRD@@PawMhvZ67c zg6ty5inuC)>=MX|yYhnUGRTU#yde7rWF=iqL3RaXC0vlR30FZ@+BF7b|AMTP>jcRD z16f(uE|6UVSsA@C(i0KL%Ig(Tkwgt-<@9kNivn3iy(h?`K~_P324oJ9RnljG%n7pF z^j|?11F|amagez{Rynpi$aIk19@`LPu^_7&3$`S>K~_B$Y)Om*S+&@;Ad3gt9kGi* zmH@IEZVhCKAgdMoGsv=mtfqSu$UGps)7=$hNg%83Cbnb;SzR}=B^hLO+&e&)17!8x zuYoKl$m+%A1X(VSHFRGCS#FRuhc;kIxCR0w8M^54o3E5M(Xmp9NVVkhO@1JWVVNvexl?K~@B0tsvs`#G)W;n{WtZ z#X#03F)zr9gRFg`17syY)-LfR$V!5&W8!X*l>%9ZY)K$14YJOOS3p(zeI7kd*^jmu%NSRvu*Cvz-H31(0>~lm%HukoENB0NHII>*1LVvPvNHdd7mRGRS&) zE`h8H$ohD`1sU`Z>YW5UlXyGG`XwcRtQyGrCJh8xb&w56Y6G$wAnTv>8p!Sd*`TBa zAgc+ofk~f%tQN?IBy9&-ZIBJlPJDkS$c7~m-`4@z(Cm;$iFH9XB0JVs@l_W2-d0J4$U{{mSXAe)URfXoZBN3a)U zy+QUchA}3w56B+HTR_$qWOMSu944_J$R5ud2eSSkdo1r{kPQIYlX(Y$Y#_*<$X5zv zgFrSnUlPa$gY2n%z(a{cK=yP#;Gx8!Ae)z;~4^)C{!P0_kirh!oYut_kwI?Vc@^S z`#|`<9! zLADZPAD5X4vX?>jQJMEa_6o>8DYFJ-t3Y<7>{}pP4YE(mE(6)CAUj$X#_q(|Kz6Jw zjNOTAK=xU=h9FxDvd_zv2ifZ&J6`Tlki7x2ljSCX>`joJC`Y(>9mu{YN4R)B$WE27 z39=0!`?7p7kZlCn>GH(pw?OuFd1CV>kbPDDKaiz>?A!7`f^0L$zNyd|WLrS?U4^;%Z7t9=f#lOT(!whd&bK<2ET z1hOwcrdK-;veO`QRUZwqFG1$6-T`D^fh@NAHz4~OWbxJafb1KP#ns3QvTs3_Sp7ec zodH=wjVD3&9mqU2Cj7rr`;Lm@Z1gxjv&;ayK){x$iN?Gp_C%A|6$^-p6~T%KVgW=& z#1^~JXw+!z9edYk?7jEiqS4rUtkKvt8sFcSbMHC#?1ew@96$5ycb5lto|)NoQSFwR zf0=!1x7D(iS)%r*T9$HOsNGS^Ue2j@S1nt)p=$TkJ}lQk?Y>%$@-5UJsO2nQRqdhL zN98A|{iT+>d{4DUYPl*rQ+urTNriK2f2)05v5DFfwY(K8s6AE76Ie{`nOeTU0JZ08 zp9aRNy-@p4V2IjFwa)@Cs=ZSCJaC8FYqk89*jI1V3IwvR{!#m)(g?M;YK1B#tG!bz zSZR;iziLG)EmC{0R=9Gs;j6c(OU+`HgN&m7rdrX;htwQuUsYbN=ArgwmGWwqT8S!o z)oiumRTijus(n*sxSE&R*HwenywyrpEvV*H`?l&FHJ4iHszcO#)Jg??pysPqw(1i# zKeaMJ{nS2CD<9NK&0not&^@&PwTeN<)Uv2m2tKHmRjpF+GPP`Kfz?d4>}pklZ>i-_ zt6XiG+J|aE)%vS_q*gV=OD(5bwQBd(a;XJ}^ia#KRz0M-+Q(`kAve@MQL7oUUoDSX zjp_r`@~YLU-bU?Hwb1HU)bgp-slHq7Gqu_^YODQ6tzM1dYWdab*4V7}xms9_nQC9C z)vsAlt$JTGP1xtn$>QtRzfYRb`7S8!qvPJcq*}{5I_~{T zsm0Veu2x#DRh`vpWz=HpeWg}btxdhGYUR{g*RQ8mUM;TvH)<8s+SY%nR#7dX{#ms^ zwfL~cYL(Oy!^*2wR%;i=ey*a{A&mW8Rjqx4aJ3+{qz0wcg4H@USgTe|ExExIwGg#V z;X!KE)l$L>sMS#G9KKSmrdrqV32LEgT_Uop)l%yo{z|R3TDOSVYIW4Uix{j{SFK0G z0JVB*y&|5e)mQ7;u!~xlTAzkZ)EcPuZe*y1tMzMmQ!PTRZ_~PJ4b=uT{aUS&TK}dy z)f%e}Y&u`9iQ4y(1=O0V{SfI=Yo<0Ra)nx?+K|ZcYR%OKH|KndQXATw^R0#2kIi-d z`bVn`Z?5y#KSpg>R3o*PY9pe`sl}@O6t!BdmD=S z`zNVQjUJ`eNo`6D&o@~uHHPQgS#4U(RJ9bf88Q9Tx~NTW`ChH7+N_p0)Vit7j4i3w zU2RTmPPHCtvtx&=eWx}rHc73g+T7UfYQ5AJ#LiXgtv0_^4z)gNi(+4^^;KKgYNlF0 zwI!_vsr6S|+`6#Z0JUFQ`>B1ewzM_Z#DQwdTXRhuq_(WJUMu{6P+QSjuND4-)qZWm z8Vylf)rK|tQEg@0PHIEd*0haK8>Y6pEyvn$wRLSd)_zi38~3T&2(=Ay9%>`i*2i(4 z|E#ttj`Mt!+QvA}!O?14;y4G#sBMntS~pg0TO8NAacW!R2da%%+Yuk9HbHHB{2H~1 zYP;emt4&hdnNUh?vf7@6TxwI)b|)mNO;y{MP)}`|+TH~ARjS&71oqW*wf*fZwHa!M z67HzYR6E$NrP?gDBkiiG%~m_yZj0I+wPWpOsm)b8npi?@p4y4T>}vDXjwiNNTcCC- zF;s1#+Q~$YjYVpw6FD{(tNoVvUTulm?}<0mma3g;&w2Ta+PU_em&?@7wqK;ST&7az%N@9GtX8|!VV&9A?M4#E!6voaNgM~8)ovwCRNJCL*z{bbJRU21AY? zezm_l^V)Mj?OA7Ddk(5S?fgdVklKsRm(>odJx?jFc0}z}N;b8lYA;g;s~uB&lhRJ@ zxZ3NKO=>69-loh@JE`_hmuzaM)c#F*srH-NyDsr+r@fatj4rj+&ZxaNj4nIv26nh% z1mto~cg`>z0Ur81K2V>bKCssZfTm%X9(;hP5AD+)Tb z`s4II<$u{Q1H2eJ^vP6!_bDSlGat-w=Bw^ATbY{K^)SPkue;4`49wij&oZ3(ru)o; zGBvZ$ml@7{+kNKonVY#}hBM!BpLs&2X7)Xw;mr5kXMUEsnXhFy^8@#ppJ#67I~mUW zm;1~wGB@+13}=4qKJ&{=&Foh!!R~eCg~zzuo`$ zd-(rrP2c;zPkdkbS$;0RtbY0Y z3i%cHEA1ETx7lxZfUjYE5M~;Vi_TKs%}i%mC?UL?GOFNx(&W-@I>Q+0QfoS!G18^c zbS5y;rOb48jPycgdWU)H^zLXsHjE_b1j*1D zQlJZTg>KLtdcb$k6M8{!=mUMBAM}R-@I4HKLGS|%h9U4H425AZ9DafkFcN--Q7{_D zz*rau<6#0!gh?I?RBXFbihG9GDC9U_LB>g|G+~!xC5uzrZqB z4y)l;SOF_x6|8}^unyM42G|IjU^8rit*{NY!w%R9yI?o$fxWN~_QL@<2#4S>9D$>7 z435JII0>iVH#iMv;CDC+=im=G4;SDfT!PDR1+Kz1xDGeqCftJC@F(1XyKoQg!vlB- zf59Vo41dEDcnZ(pIlO?E!tTw2zT)O`23ov*QhWq&>*6$Jk>Hdmy7>u+!dU7-hU38AfR+2W6oVG=+xH44Ob=XbzFW?qnw$ zb}hTMVSMBDKzP?RjB4B)40WI`REJtn6GEUi)DWJzJaZeKpLpgmyuzRXghK>0ghtR9 znm|)%29eMlqM!vtLkzTpSZD>Up$)W!IEaS?Xa|YV9y&lrNPkae;5GY!$24WKfquZ0zbk~7zV@PCl~=E;b#~HqhSn8gt0IV#=``d z1e0M3OoeHX3e#Z*%!FAm8|J`Vm5Vtc7*3 z9yY*6*aVwl3v7jLupM^5PS^#zVGrzueXt)6z(F_!hv5hug=26WPQXbx1;4>*I0L`K zSvUuOzFXgU@T06sW4NR zX|v4X=6ql&JeI)rCV z!B8DSg~?vC>Og%6hep6cSuB*r8dER@AUS*(%88dW@T6ai(xsegf+k}G1(<1yTsfB z`+*6}LvS3}5#}Fo5w5^>xCM8BgVjtsKzRBlPv3ki942^z6F5#C{*V%0eFycu&c$N-+W(PlkqYl)EhR_5ep@lFTGin7Kn2rw6 z8M;Cb=mY&>AaJIb%@}d;J2)^M9Fq=?Ne9QIV+y3gOqc`ng&kwJH0-&0)8^^p>1%rG zm$Rm4R?lpv=Z6~Er|mO_ecirc*vEM{cNwPe4sRx-RgBi#8w`7qz1Xnt*mn(^H>92( wo|ft9#RSjwIHNKz9hD#)A|MPJKud^)77z_F5GCvgyP*-_r;j~6^l9&Z0lq8?<^TWy diff --git a/design/target/scala-2.12/classes/lsu/lsu_ecc.class b/design/target/scala-2.12/classes/lsu/lsu_ecc.class index 44fd112e055561c760ad8b9be5cc5e4eb5693496..f9df86e7962a21a3fb9b88e8879326f917ff23d3 100644 GIT binary patch delta 1561 zcmYL|T}%{L6oBtxxpQZc9fqo{0vfPyP(u(2SrfJEnR$>DKB9wQb64d{_y22F~aE?e>UP&BTX zvhuPfGp-sTemccBCqnbF#}f~IuoveRz)OUzJZL1m{R&*cuU0@Gj^)8VoRJ3^Sf39$ zupfK!VGri#!&Wp_LI4w2QYtSG8lV$L3aH9iKvniaD7M$KD7Kg}^#iOZge5kwo`NTf zAP&zKLJrNAhh{M&qx{GO4{VsuyLGQVKfJ{020M5$!wo~W?fR;){M8N77~zIFIJ*dz zlCQLUJib>1OJF;-fxjf)bY18BXe}(b_mEWW%?Y~#F>xr0^ z4YTpQ2Nr>WKY1X{X3!UXXvw5fl73uU3TenoAtu;f3jMGtlF#I`xR-nQ23|?@^KRZt zoaBEgjC++eN|jPWyrq1j+~r=8D?Fl%_`cX7T8JmbsJKMDD;|j_+^bGg6I3U$KwYbr z2iwZvfz7s&#bMPl$i;#jQ1QE^uqycY4QN-^dD#QDJ))U4*=Dll$YpFtWI5XziOd)I zJ!^@4&RTgs+r@XW-TVu-hyTplluXvHyvhva8uN>6wpUcMeImg2i#x1CjbNQ>E<1pq zt%qm6Sxgo2ii^eZ2$m!gn2XKkmE`7-OW-Bs63NB$UUE)yiM*ZMTyk?1I)WmJ++6;I zTrxSQQbR6{{}m#x~#xyWUy<>VHVbE)~{a>y;R zt_`oJf63HF##r#`TTo}WHR>^#vISP#y6E;Cs;0B)tN{f(w!lW{!j>9H#;9tjpmc?m zPQliw^S-^3h^=K#9(O{GhgySDujPw2g delta 1548 zcmYL|X>3$g6vyx3^}hSsbcU${rVH(q?&}N%6cf5JW$2_NAh9ASG0=qqN@B#obeooz zzBX1Uxew0_oi0#lX-lBcIwKHFz!*)8=m$wdh>D33k}8pJqKKYZq95M*o&WDH@8l(K zz^k6{sxyzg@|#JseCpN1JmJ%!UnZV1s9ro}$R|@Kxp}Zx9-B7FpNR#-X4&l5!(2S1 z!+^vggKFWhAw8pJd3#t7vu;F(7P&BDQ0*8E)upFHbt+uT&lvLWF|+*Xj1Fyb@0daL z*_a`7&Y9)tSsglL?l}XF$Q$Pj`OCOjzBR5xw|p>eK#y#lFrZf^oHw9Pj-NNeQ&&%h zrv7#^GVi-np?S?xOo!$)$-(Jf7?{nx@Nkh#n>EX%OL~}>qO6*UwH)^1L>U~y zvYv;(+pJ*-li zEg5*a3X*VQJ(N&d8%}T;A3Goy(;N^B&6wwaDW%0y8QJ-}1L7&=gi%oKfolu#Pz&b1`LGHi8Cjxvrz;EOSR8G%i z^i%@tM8`&Oz!B6#=)$i;=*Gp>kOoK5Rt=7f9!v87SE$d?@tgEy|96FMNyVaKNW_cP zU{iW6>muVnvVn#NU66pS#b83K3vAGbTU?M0eZfO6n1P*~FXM^a&0Ty4-%0G_r}z-@ zH~vfo?p9w>cdHG=&(!bKdF~c#g-dKAz9ZU2C-ID!6#?SBcqkUQTZ`A0YgS^VwpFVQ zcGtjtMR|iI;jT6C5>}MZJa<<^W$?jf=uvB%*?rcssFk%UOIcgY8rB~3D)Yo3>xj9> zI%ED~M|e5w;$5tpf5DFO2dqaeV7gkvdes}OPuSQ8qMr2&ANx?;X2&#z9oN>f0lf7Z zJnf7CCGwr*mXk~28_A`TOXfr5(#WN9ot%~2auvv>le6*#ax2KC zsSV^Z$gNN}lglKRuFjLoBA2P&B$rJtLu?|KquMacB$-DWbH#pg`Q&m$ zfLsB&d@)L{kX)WvAXh}LP~0I`Os+t)lCzO3*5b(7$rWj}v8$t?}w!1)GPf)fp3$K*!p7N`Tnltx+_3yJp{N%-(= zBizEgCRmK`?}0h+2lJcY+OjNn>~<#O9y24pkDZMFntfzSU}&mkUXzdcOy9FX^CXUHdmY8cUsaw8|dq4rP zA|N6nA|fJk2#5&C5lM=aqlk!t0^))9g^G$IBHoJpXC`}1W=Zlq`QCSC-rw9a?|k3v zGjBco7=UGBk-+3wI@`+sU@RRDhBL9IrcgW)Z))>H2uuj?j;6iX($;m^cqHceQ7KSY zWV|FD4#h$OHC85mj1y?j!JT`Pv1mA&S)b@nMq^%2G80Y2(@mwp^|4So?Z+Vkv)-BW zBOuV=fCVPy$YdzIC$!6JT9-(~n*12g8d^zy)Cl-=x`R8lE1n@&PZO;cRVtTtUnuACuTyrEvmMbyc`6*dGkX_OK4!xk{{$CBl0;3I-`-VC>s-_POA2YQ4%W zok^s;qCCgz(TD^ip-d>4Vjc2jsEV@)O~r-{!iiK$SvBgcqVZiZFX)BCK`)g`6cQqd z>`ptOq@4b8Iph*XFxSZeRahtzNvTW= z0o4`x6m~$si$@A(`G7LZ2WTeE$m?-tT8*lACYBBMr$XUiU!Op=%GBE%R@JGEri1Bd z#Pj<4yl^J1qReDU^!G*D&NDcv#w zJ$+PA+i{xeeu>6|kudw3{Zksj`j$qp4&!qOher`5VFD##)e3Fz?ag?pcqpd2ps+U7 zy62QQG}0f+?R(q>@)M^hnpV1FRm)gtUT1cBorP6%Ba8?86A_Pdp}8yCj;77L{0Fv^^3Fjn*%5n9&kkJ}k^$pAyYjq{?FtG!|8+WQ`u~ zOmMGKi548LGCW6Ou_=15#1f;OFVSkW_evaTwD(E08SVWN?MC~6#8RVOBC*V9ACx%C zXdjYTZnO_e9Bs6ZNUSj0M1qkUOoiz(=Uz_?Y>cr>$y+jO%% ztveQNV|r5}%Vj7qJLdq)90~k5NRP0&XTz!8olOFB^V?1tdoGr*;K^z{fv>WZ-b^YQ z&Il|o8ig{T8V-JVBH zq^{)i)p!ms6aji?An;-_U?Mf}-D-RX-=hZ%C6lr9BwoVF0ml45H7{Sl273LDe8{e8 zK0%wQEPfPVEq=_sGFNR~pdUZwe8^kpPB{AHqwDhXYBb;%tY{9)nfUQ5o-js`8v1oL zUdC?(<~Mg0_QM=ldQzhOWV{lf{qF?Cb`E^e2u9;(2XCeONM&NJ`n*Nr4|p|zM*NZ9 zsn8*A<3jnv+vH1lEx;!InQk%HB$eXFU+6ciUCxic3RE_masOKYZ{qJoJ+UzsO(wkv z2lAiAJ&}vnJ@M~q{0sl#7Md%ous@RfpYlA86pjAB8gH>nP*+?j?PdLZJ``y_LA@gnAx}hE5j*JKe9-_sAltX@jcm$p3>q_bu_bLRprF^Y9YmhfRHM` z$;CA++T;A9E+FbvJx9(kef-v0O{~TQF-c9)`vTM^7Y&Ru@{6hLzFY}K+m&BT4~Q8m z_qq`~55014bXPo-$)@O)tJZ7hTtg@3os{k0>7}-Yc*<3Smf%awwoodn!o!iZo4w5L zL}W`S73%jm|LC!0S3K^e^lOU8U`;0vs|j_2GNwGP^(L~Zu(y$~I85$UZ$@457>nzQ z_tAm+bPU~91-A}R)~iziwR+|7wWPb(lFnXBx_T|?)wQHg*ODGxOZsyy>CLsIFV~Wu zTub_KE$PLzq_@_RPL^+XDy{-Yuzb2&9ZyHACEcu+bh28~#cD|ht0mp5mUOOK(zR+y z$EqdWs+M%BTGFLzNr$Q>-KmyzrdraKYDq_`C0$~^e#fzXbc{NlZc$4*MJ?$PwWLGT zlI~DTIzuh#3bmxy(~_P|OZv5Z{;K`-ZY5#*xRNkE9l3U{!znnKDRUTVWk^u^S{_92 z{ZuN%Tt3I?$njzxb!iN+6{j*JILt11yA4lp!qc7b4jZm9M zc##uc>Vz+~;Uk>zGADeQ4KH@WM>*lkZFq?jUhagiu;Df*e6$n3(uUie@Cqk6JF_rud(43PPoGfUu(lFo$x9re4Pz&<%HKb;TvptwG%$p zxgFhP!)r#u!`snkxTCCf*5DR9ljBBaLU^4MzSV};I^p$B_;wrK;Dk3g;X7=&(+PJv z;X7@3qZ8ifgzvK9O-}fDCwz|$cRArrPWWCMKEVlh;Xa4sd%%Xfo$!emaKI1RaE}w- z?3|`UHhjuRxX5dsrtk1mT|u zA1Zi=pVBgbr-ty2{rD!eZx7)6L-?VI8p2Nw;%9^CEtjypT*8iW38$4yIKw3Tasa=r zoil{r58+Qkc)h3|Zw%tBG1%-Z*i@CtCNjE7U%{koZFU!I%9V8X=q9nzP2!`QBwG&P z%^mykk3pnq{oes04v5Mf`$g4|@DF#QIOL!R4C36;b33nKQY*`J;sr%E<;Ls6G1%;D z84xuCaF4sFJqV8bMPsnJcnmg|j=|=#G1y!_2AeC!U~}adY_1xE&DCS*=W7c2jF_#% z-F)rnCfAK_a{cHgH_(Lhv!3&_f%9_^Hx-!L+4h7RuH7vK8($fdTMH)T+IaiuCU=Z( za_8tKca3gx&*&!iY7@~oB&Ot6aqU4dZ4eK%91t_ue=`U1AZ?0P`yhtwh==TmhYJ&C zL`SJTpKI=s2l$q0zVkfCJ0|soCxG$1Oq;-ap&EYWsYM)hxQw5c@5V$tk4bm~jbaj} zh+{BSoQ`Q?A7+SKF;hH++2RGv6|ZAnMFZwn9E}APr(t2mJ~UU{hD8-m?`3TDy@_q(CPQk!*l2v;@b@ZuK|C&I z@rW^>E%gaJURz7i#6Sz(WHk+RD@#83@*p@ueDHNq@a~Tfe!J7(;CQ>EG=38kyu@PyznTc%jq<_6 zyWnLXHGY!N$8dd|7Q9Vm9KY-Ehal>~Zw`XDV|?%kBzPyr2j7T!0jM5)f8bYn_24%s X!3$d!#MgYm8#6xmIwnLj`v7kPK)%z+ literal 8962 zcma)?33!}E8OQ&VWV`G#X*Nyo(w4R92)&I<7k4$FSok%@+**+gSwB$-M!w)!CiCPsI~GhU*-<-}Yvmhk+j z7N{>VUYdzU5)pwqD-%B^2(;zl&OHN(cr>0}m+BvgC%m44Y&@0BG>#6gOGGjmKMoO? z^VXanL4gJbEHEWc4n(56BRjpuEnUfMqaU@bp_SxEoq$iLmz0?2`qHU>qMK9O;<4;5 zKPIs&M#l+A)eq6uaDOuS_W9sQ>Lit0CYwroC3)8B zu8xIck!&QKW*rJ;sEV@)O(jMQqN#LRSxwbh#gjV|Uf7F9!(KX_Dkj8IxgB=GsB-$t z<&aMplLHfieTiIV7u%K_5b&D-tKwHx@%H36YP#deXd)N$^jKpQYwuI3w4YpPRxTS) zv}}ze;xQ&Kazw8hmCeBJY&hGonzjuwFUk>|3!kUpa5{2+I3^Iv$EN!FGG10k4aB4U z;r>Wwx9L^Zy>3S?6Ha@Pk#1y+em7|^lS^d74C)a-63lmUP!$%5#nLL%VnB68A%z_f z^pdfnSs|dz3IUo)^XBz?W?G%9cQ%m=_opM#a9^K5KxOLfiK^;^;+b$J9`n4uJ};Wh zs3tqrwD6g;guGy|*XprIV3_>ViXK{gM0{#+9Hj zPD-LVPIN!2hOmr+PP(8o?*JFIWVk;S^Z4qUilflXYl$hC8bB3J6{yK_d1QBYvrk=X zXoti!V|=>AbfaySm|?W75;KkVcD~e-g7oB;n1#bsIcG}DHrhKR<`^w3G1q7jiNlQ+ zm6&HVPh!5&c1kQTT3n*hXk4orSeQK>B^KfcRbGG1|it-6pSLfeEYP$#`}(m*6IQ40kNr%Jjxmj#ErvZr-_-@#TK( z=W4&HXZ@D$&PIWGg(anoJ!i(3@K^v}#Ftr0Z#Er|W(Ag)ba@%j;rE%>SMb#!^Lkuh zR#Tzq(WY#V#3Ohjh#GvID^R{cUCDu*+9P~}bFhG#NL|UN0{AALE&=p1K;YY@fQi(= zvjIGV=jh!c0|SW*B%a5nAY;C(npY@bJw19yA!OIIkf6;}7T*uD7C+!Rn6I`j(2pN+ zJQS?+yBEEy(RKMr02A?3Ry2?0P5k&7cMoGo4gDg3pW~MT3!1u$i(eiby$hoKWc)fv z``-wNZG7=1Z!n%Tt8)uoLpqyi(R(Qpzr*i?Xuyl~JjD)i8yCwTSrebfAA@YtpXl=P zO;Ra-{Fy$%TGIS@MWDLLy!Y3Fcolys>4^=A_`rY{;(1rHKcP1m*T$(PlW}Wt=b@Vvisk#0gUV3wcJ5bf>DSka{jilo$ zJQ5k&@!!Wi9D~wWI^qlI~YaI$tg6 zdbOnE)sk*kOFCUG>2kHCYt@n-k)Kr!)T^+F<Awo~JD&BU7t`_dVOr9I zX-WU3CB2uH^j%ugb7@Jxr6s+Vmh?+Zf^ycf!3kJkbeHcfwn2xXuaBaKhVcIOK$9 zI^pd$T6Tnam%X3E`uh@cA~pzzHvQ!WY=^LMOb$314KxM>yf7PWWOQZgRpc zPI#{kFLJ_1JK;-gc(D_1b;6h0@DeB7=7cY|;iXQv-3eb|!>vwunG?R!hTEL*F;4g@ z8*X>P%boDmHoV*kAM1p#vEda?c!d+b)`nL);p3d}bvE4LgjYJ@>uq?I6Yg-rH`ws; zPI#4bIl9q?SC559mZOhzMOp2v!A*81YsO|m_yi|>iw&=J!fTxHZ8p5#39og+x7%>1 z6F$)i-)X}eobWm)e3uQM?1a}l;k#|P%L#Wn;d^X&qZ8iXgzvNAZYO*aKIib7_uFuf z6Yg>j(+6$%^s#V>*WBpL=q;D9rCh?catYf_!V81= zRp{_x{B{_B7{*H_^>}#*XN|+=?4nIgnQYFfY_g+hQnog+qD{Gy`YN03s%&y@Ws^kn ze!RMUA6_3qiq`)e#GCtZkP-hI7F8piD5?(#-w-mDbITP?Lb6OJo?l{9?tNV_4x5Xb z2SsoY?)NSZJpjJU=IrlO6njL9uUlX7jmt+L7O zl}+xfY;sp+le;UM+*8@)zUKWR#Gb4l!u`5m3-f*m584qA*%3pG;F_UGt0(yNfW3%rdwoaG_Db&f;RO1ClPOj(=@u--@&BipQ`2-#f zg(#*oFrR0c0UXMsE_K8oVv_@Ga)?boz`khQR}E z_2B1&;H4cO{9sf?@xjwOeF`Ud3rdZrVuE*WOyIc*FPGGV+jqgcIchwV&>L~Rp%%RS zWE@X+c(&U3B(@OIolc#pqA`+o)+(nmZx(o&58CITtR8PK`{pqwTUJF4XaE7S4cs zDLmFVooq?A%cX%@$jILjE^<|h3z>)3@lu6z<5aMZl6Y#q$JR2sEgg(nK8#hZ;<{R) z)_myJ)#?L`AvK@Ses%#F-Et#_jPBJkut!d0X-aI%CaM0k6BFzj@1IVqG>u$SQ!`tS z%5IYBpP80wZ>Mg?rRJ+?cc+o=r57;Dx6C_g zh^m>~@aTTMrgjJ=_QeD3rz-8xnp8R`VSGwjPDXkExV+v820qgks9lIUu42S=Rcqa# zh_NZnE8P8U-!sipSt;_q-Fy;86)SdSs?_|r@IetniaSpYv;^Kv>0$HoTp_QMeWo0E zad*Cg_jIBKse}Dn5A9~?-ZG=yBZ~I20Oh^Es+m*k@NN;sDV_NV;lzII&<>M3lzJo- zl+zkg;2k}5s9V59egM%7_Kc1T_rwU&n#|PPgjOlee7+*pF8QkH@!74Wx@kS5#)7A& z4M;4_p6-yL^-PfMn+Q?GhT`c{hISi}Fr;L9Q}@(%k;R=lPaWUgGrFP+hECgwICn`1 zn9vf2Hg@f!$~&1ISp_g!X`sbW-GGRKPR_1UfKJ`|7G;$6ud_#>PEy0gx_13avttcz zcHA^oA!(y3XeRhac5A6pMnVe2n+Y4xYNRTdlOit4bn}kWbt3w7=YYWS{!x=k++2r+ z32_)&;w<;jtzE}(&xG>yR&wBu={oOzrMU@t-RxU-#8eimlDN3i?5K*N)1%x&edETZ zIICS!+xLhYKGeR*z09-qkWRG)d}Py{n2PjPT_<$Qi7HO<rdBmb7WlSFtSDqa?eyAH7Hn!1w6K7+llNap~Wh`@QtSVNO8b>?%3@=W#E6LOj zZk0E%ySIDjpoAfq+I(AoE^M<@erWH`Q#+Jqw<=4q_l^r4kWi80<{DJ)osd^-pIQ*= zg{ecw%KAqZWw?2_#z2fMPIkp&aH3;`uE@RCqjHUTdN&OnXZ6;@5MQtJo%zvCvRf5( z>FzzT>ww7d*}bMVckD!51~&Kh(B{Mp%XJHwVBz7ZnzG*A`HZlE(dAv7rw&2iOG~Cp zvC8XUh!j(o&aM-?=SEo8fz$`{`WS)r!VgXTPn{b0Upm#&+qP4#eE&F`Zn+xz$N8)3 zcP;j{uJuLUG}N`eVGVXo3Yi%)T8F(Pw^hN2?ky*@%ps0l3cMk)$Mp5?Un;emlpkln znlJ5yJkSn`9GW}b#e>*Mdq-hLsYg^niGB3YGLHm*Ri?Z*SlM|^=C;E_3(bj` z*vUS?qqKiQNpH`9{@MY=y;CfYh@;9<1_ej~<_&Gqb*Oew6cRB%(s5Ahu~Gs{ypw&2 z?R#1?y1*xKR521-3M?gds$2IQ;xx3^)WGlo5yk1fB)<{Eb7_Ny6j~dmxk^JrH|-XH zY>0wm1mqEqhNK~7rxQVznf3%f@~$L3P*IG+B|$f zq|(!D$%Pu~~bF*Bxo_D!EMb8%wD;ZlnGAcZ+ zynH0XTr%#R*NR*<{yi_k{-%afGq;RE^INvL&D?RI?3up^#f>Z}2p^R{Ccl(n?h#5C z)U_5Q2KVKe+sxk%%zfq`N%ME0Sms~j{Q1G;k#X1j(4Z$A^O$)`D2Gww#tus_FRLh? zSiv*T?e}WHU+`22G>T?k866jRGAz+h!7OczSP;ajSvwT4_Qt#gv4k^<3)=N`V0i~t z!)ghowRE9h$(Z5*hIJ&AV^Q(NpV4izkj_*hGv7l)+NyW5z}fF5=lF`;!{B z4V%o9;Y!7}7zRtlqSAcW77i|~7|F0{Xj%fL#ifJui;BkZY&)rLI@_L5#%IP^o*8UM z4T9~&QZYO!SG;v4RIi{;#?4}vz^qPX6^T5X!FHD-?LjDKo6+u2GIAtFA=Bu%(95$o z$M$0T@T5ZV(ibDDMhAPb{f+GwmWB^t2WprsHixC+cq#@_U}OffRBN7!Q{EJ?R6I{6 zD7A}?mlk>(hO)z?aW6r;Bg^xP29GQnTQRs`(y08gW2JEz!F-5GWJhY4%hD)LRjQWr zRBOfKXr4+?w6Q!DuV~|V^(^%;sqqOch1r^^RGLgE^|1VrLwI(o;&d9Jni}VP7-(36N)J+9~@AS zk5QkibbcOBDi!kugt9AA?2U?j6-%{2rrL~edVU3lS2WHMJ?%f@*hTEe#`R)fZ3eqo z8p5>H9#gz?zOQpl)xmH4*sRI-A%X_&22<~v#<>sDSgZlts@YY=W-@+(x%|D7DW zL(lHwnIQWslIJfS?b$uXO&~ z=7)C}S5{b2QeGATUk>c|&J9FnJ3E>?Fvs;D%w?^7}hB2 zb)KwKw3|HHq-cNgWSgSh;mJ-#yUUX=741Gx_A1&xJo#GD{^iL*MSH}P?-cC`PmU_u zGoGAOv===2QPF~5@#L(ma)c+pDw>KXmlVy8Cs!4XF%~Zl3gkEqGM958l&fXtqz$!Q z@sRv+Bav2|R>SGA+ihDMp5Ota+L@eCQZY;(J9#tXJULF_ym)d$aqh!2p-dQNmGg77 z=NcP*mW0_ib;jEOW9E`XLvszR16isEPwprcLU^XHQlTX(ggR3yE`X(a@&w0?$XW$?60(K_)ot7u)Yfn!;WE6X1(0|SjieBJ@-z+_ zN$W)@74}n}>mx5(E{mseyhtVec(jdD>Hf19_^moM&7vPjykW!94A!l;-nv z6GbcJ>1K*njJ-^1IY@as46AlXJ~q9QvI?FXt~5GA+V4Vmx`kp>MkxK*lCq&Aiw74Q z3JV7pj~P=whUZ41Ikp)$ny14QyRkftlSm4198X6p+611CQ?yCA7APr0OBItw74zH_ zrS?>wPEg9HW5XI-Q9cG`Gn6o9@^q3?_9mh%o>+*gyj1sXrS3b%D$`KIEN-?mnD6p5 z4k@W|XXL(XNr>wi zrF1_Ezjme^q(bE==MM6;atw3d^0ac4a))_ZITpF^c^W5`G&09{+MsABcv?AwxKli> z9537tJgscxGwvQu)u@brA8{%<^ORJ4mc{h^{==IM_V?F!F~ zX2wV@UPZ9i^!5jxDPD?qsZxH6rDFJcyz5M9VMc}7A4FvX_cx*J$CZsO z8Cu4350nJ@m#05fsy;GbrW6$xmJS|MJeud8NG9prQ=VR}m^{bMZn=_~Fs7se$@5aN zd4-c#x;o%BME0wpd3vo}Nu%buS<+&@Y|z*fiY}PMYgomGgLG-yh>R|niD+pn>^W?Q;znU)6SH)v}w{tkT%}q|R7*T#?=5^(pde2&S-a9ksm`|`cY>L|3Q%leyAkVja`4N!t3Q9tBjhTbjCN& zR2of?9zRu*nZ{*5RT&TetTU$lTxlG1R%iSfa@IMWapc)b^0x88*($uwK37E+7=6!I z88d#-8TXy9H2w;i^-CqGGXC~U6vO>Zc22jt6JI%Bt+iv0a%C0St{eya+vujJRNKdX#;ZtIM( zw=2mi<5#z98UMXgN!A#r{Z(b0_qWdIcehfO+sv}lJ>~rcvmATB zl58|SyI+OZ`F~fDO~z*bR2jQJ&>6q|r_wm_U!5`ML8VdqP-nbvmhb#qX*~K!XY_ig z$W0F`Nwu-{qbj@}!|N_%!Q(39drx#m^^;0tdQ)U)Oh%1l{iX8bjA^{DrKdLD01OK zvm%BNi?&zN!tIDo>_c0$o3+|>74AAtiuP(!1@Va@BJ4<&_?JDZoVG(1SsQ8(Z9NP2 zyjEMlfc?x7t=NnuvlML?G;&5v=iu;+cosrz!K2n>4Qyr2(E-{%iG5nD;pVQAoD=u+ zsC5o^F{owG!Q!zNw%7u6;vAhtYvKqEyMa!usnxpb!LTvt#LKnXcqdYcJwhvLoQbqq zXhjng@tP~FVq9P^YeysvdxuUO>}t^-K*Kho6=yX5=KI0Z9r2+e-fJwsYwRzJ?GWOHCUD=c35@?1FE#=Awi&?8rtl+cZUGi; zouuV7M`_z;7VV6rc?E$DZ*I|EN!lifRRvnK;2<>cSlk?h20m(mqTazMlC>MP+O!a` zu$CxwZeh_@OWK`Kur@6%+9_z{g_s?RUc3oY-?SDHVmyB#!(bW^N|I5K}f2f6;LZ;l(wZ zNqaGG3tEiWLL#sk47n^WuO@C{)^1Yh@H?}eqA7;*X0C{*b`WnE?%PHhWBp#>_=H zYg%`Tq8d{w$`cPruh-VOA=MGYUw0GUur!czCZAALp?Am@rfW6RvxfOIWCwE>{~zH0 zL(pf{%**Ze`$IyiSt?{V6`W0KSXDL4xUlR_R;Qx&G0V5xACQZ;ur6CzkKL?ib`9%Q z&HCED*1J7QO&H{!M2j7sF9A1-on&6$rF3-A>IY8CA03KvROi?Y7g^yHM4#- z<&dBj)YZ)9z5CcU_*c!QNOlQ!f}L!cD%e?>+6(rIY5G1ky_)S{-HP5@3e~e&=Y33; z3){uq$E@x4r{y?huZ+ORUb~4}tS;why@SfiMZUhJ>>{b>7B)-I%Qf(fM6S_K;H=`% z2pTyKCJT*Kv)R^8#Ccy4@7}pC?4Y_3el&-`=DD!>b@(R>E_7jw>hM|XflY7_9OMXw zh%e!|(eQn&XEj@D)nbu8O%B_!OpaJ9Xl46RV*kCw$8n5}r7}had5p;Bx+c#nkzf&2 z;Q#n)cA^VAsjm1}b3|G8aube%quj(4!O?0^^nzX)l=1r*cu)eJE{{$kW;hvHW?#+D ztY+VKs%B?dg;k>heq`}lX zh~2*-K|V_nlzI=7m90{!b?TMMUJ^~c_p!^X*;Uo-nl0=nQWkP`Yg5UyS`TaOY#m_7 z_wrz^J=871QgQUx#5;Js3%j8%$V6I~U zvb0`MFtc@)E4vaJ4y#NbC>M`FU{=ZayPRZ=ghuitZ50|>C7GMxR=e`HBChS0t*Tt_ zVYN3^nvMJ=gJ!TH34$Q=^MYU%j;G+MY)F!wC6o$%h)pPF&t8I;?BQ4O-2=pjToC_41J2c! zhJ6Gdx%iTJ62-x_9h=awH$TBoHve68J4ga?L?$03O~fMyiLTCNQ=zGBgA-G-xgoBB zP2))oI}8*8W#e1ot%JnJ2}z;%wi>v}qSGy;kjc`?$qjo67J_9jx5eB;#HV?M#e7PA za|~$#9ZV zVdCv+iS52+Y|@2vx!hh%Ka8wn#Sw=|)3CQ27)|VL)g1N}`RW6LQH}>}=^{Bo+zpN{ zoW3rMf8;3Y*HPEjF{hdnHCrEExBfUdajJ9j@Bh`wyZZ2WTQAOaULO4qFXBma_%3yI zp8StGwc)>CKYZ6ZC(jymV)yzO%y}*RMs;3ZH0Y&nBt_Hr%ILe*)p_+l>ePlmuYUOM zwN4nKIN`kI6`dhu$cf@BWLPC(N1>yfga-EPKK5+LF0L6xRdYcuTyUKqm5rZHLMPcz z3!#&hpUy&O*^k9dxYy7s(Blf_!<}Rltpdba`qHC)VsIuFemG z?hM~z?o~ITo9rfD+;|k%_~u$ka;>^U!ilQVH@C{KWVk4`xacADkX^JDdRTR+r_j?T zkan-RT=<$xKTSfWkSROsAa*}S!iXr&e?Ytg=hx1csMpuU!76)gZ=ttbr=z&<7?yBn z@rES2iu&WExoVNVt$6t~@znLGJ06^B>u<*=!+OuAeEsf1CtH^%e$N^&>~f zk^8||T_0 zdYNXA9O7VMuv|Sy+<1aCb{-T`&E;9QwphG;0{xjU8|Mr8vT=dPpTr0(HeddjOACcU zxwJ?ebdm(4v``KtRT+z7p;#^*A{1KxbHerJra$2t$RTvhxzL z^(o@*E?>!VBW)@;QAXL1ldT^oYJu#if8B5`*8A2C7gs9xyhJFG8z>h_tfC(-46he` zvubXPTw#PTLatCDvZs+Vn=suRVHr-`?#(ixk? zSR!6TiS39i(GQiK1lDzAi9XD#Bhu3HOBgMTmYq))yZ)fuMj_;Ba?w~}tXyOer=h4& zY4?rVELy4$e9hZ9VVqp$En%G1u#6YRzdkIL^)t()50S?r@V(k5Obs+KL6{&nF-J`L zk$8I`Dg=ys82NTPVUjROF8x3p{Uhgr!IzptZx^d{TpLS@Gx(miPH z04!@@0Hz328v|x<%!^yTX$`RezZK2&86YN;|u9FvR(xw z;!I(t-0DiP=g*e=d2XcKtv7`?<)T&M%%4ef^$I6yh3wGjGn;0dsJ$|dwVDR2c4{xD zteNF$jN~%>74dPAhTq1kzP~(XPOlZn_q>rd)3rk1q;5$_X9iNreEhvFye;=(jd=Vl zaZ55Ee_yX8np}-{3@TP?Ftd9|HbDt`%Lk zy>HNa)n%W2PF2W{n`+OXkA#n8*C)ltzvAioM$3L^6VFC@KQtdbp9r7GHBO6Dut_#F zG8^^9a(K3f&qniEZ>WoIqrQbzbkgBd8=Z38ED@H-ZT%=LvC596!qR$Yhi5fccL;6z z&t7rBF#1u}Yk4zLMmhkHsilV-(4Pl zXKC(>tQ*<#p&jkz#MQGdlC4Run5w56si`gc@v_qv=NmpTn4z{O#ug`Pq3l6tvlDNT zFUAUO_Mk2Qc|?>$V!5zf?%%J%a;tG(A*@iwIcpzV|J>NBPm~*vsyjEfzShbdo4^*Em57KKV$Tq!lecnKU@?72a$lvz*%}T;* zVYS?Y-^H816Yo~aZQ|#`=Q8|5_}pq7)(UHFcGH{6X1w7FE+>_nn03NBx!#{*-WB3K zKykKSSTDmn!g?!b8-xuu&hEdqHCJ0lE1!7(m>+R-4@J8_h`#~X%UmtPc4+xXrS=kJ zXZ>vp=FB22E5C$|!bUl&$HGRds5S|kl&IuSJGf`Ho_6WothN}XVT1C(UAmEyFU{~_ zPObg!23j_ozah83S=cPM|3cVo)&3S?i&gs?s@C6^4fsn_9BS}z8y(szY?T~p?1ZgW z4z~&0Y#dszh&_5=ae)WznaR{Pv!{V(%&lnTR<;Y<J^QREfW2VK|NO1J|+7`e1k1ev(LsbFKt@1{&2yG+AnUtO1zs$WB6Y_eUa?&5Wp*ts|#oUe+)0{{`l32IwC*0ca%SWP1rB&m+JLlW1wSg?Qx_iE%t?Q>TR(_$OIozNoUfP&{sa zlw^BRbzGk$$Dy~m_dKo-m5(jk$4vE|0rzCR4Mt324h$(mRC52Kk_Al~QLysR$$~S~gw%4^M^{H~Sws*=W zr7IRMN88JzlOp+xGy%H?B>bn&Nr(Am(gZS^6k z)g{%>;ye%9+r899Q&zVa9hJq%Mu;>2CIJnl$yt4hJbjJu>A7Z1y$15{Fm&@nmXT0V>40VCHD1mU^;-Lp5z{NbjZ_D%R zqIm~~;)@5Q6_O_6-@2rk``Y~fYMsEyw5k8%!Lqlw4#JX)AIg=)(GM{$)gu1>BN_&= z59SwPtd$C@#?vG@zT_4p|+VEPXOZ6(PP%8b5N|sowl~9&E zmSYWlEPE|@T<2A}(YJnh|9d^JJCsUytSTLPEtD(uDy2UmVa#Q?#d8C3BHl!pXXN;6 zRsXQ9T04(Fp;l9KtsmuDE}FUz{TTOJ!@#E`%rfD>m<@lGCVbdaGQtTrLTc-Lydi%b zL8!Y8x?#QIG2_BB5+huwJtJ<_D<1lcd}7{d-w?UyB-)(xHoL-YX*Ug4-PQ+7`?Qzn z`+_i{={bo5%4=Y&zb%p%B(tG=^V|9|#op!y|F$^)1$NupdKa6G^WY0oD0SE-mOFBX z)zTM##O#-(t?G`xz3kGZhw=@F+OFQQ>}Aq@w0QkxZQpR2QumPUj{J}f3%c7Yl7?@F zB62TRR@xbCtn}IP&Jk4i^x%%om$jrPYxu~*F$xR+IoeyenGPk!h2eCX=uc=Ld@z$j zXiow6_YP|Lwp8=d+W+6u$?rvT#)TK@G}YgFf4Mbpo7?EWZ7$G~#Q_9=ag-&_BXq2J z1|Nz?!OSzLvG)H!+H(DbZ0UOL zf5bhsRl84VI$8;Woi6TB(=F>arLSvhvWoT=U#V#y2diepB0D&x{(gmnFKX ztr~j_Q(O>CQ(M~9XvAE5x}~?=J$x0%Lz)CVV?6*5@eIUUEqw__?y7j%zFzwrQ@{Ox z<^5V|f43bSYrf8SXzliqn5U+_5lj<%%fPeKZ4ENDv>#)ROq_2|yQ&`H0hM$NDd9-N z0rcNT{*gEu@#FI6IYWmiA>}i4tVX^@Vx_NJiOU(<1z#zazCL7o#yplTyu2JSYgTFh zNNmf{K0@7xiw~@`3;RY2D`!^)Yc=qj!5Yf0r~fOvA~_8HQzz<;|C(M;tUu;R~Rp?-86Y14XOSi0@ z#8VEK#OL}ER+A`oQ2b6y``YC6eJveqdujX(Pi^I?m$MpwLf2qcy|82zr?td@e(%!J zLE#EWx5%OLtCh76Fp(^<@p61Sr^xfAFWBBD=C@(g^N{Va~sK-@8a6<2-u4@d)Z7^+LhQ#`>IR5FBeMv7l*siAvUYQ zl1v5>a`sh?DZWO9kbnmkt9|hmS6`y<1Qzs#=~lcGCUfd8t(tF z1AEyK?tg5-gtJb>c)_aW@q(pgn*)w$-P>Qr?vb(R52wfbB25yoWK$FA6J z7-M4oVqUQ{V`8VU@3ZqDSF+pK8px~cL-q+{;#zQRxD?3I+#B56kRNetx%H60aJRX; zj7g)?xN6)X+iUu2vLSb94r`7=K6Bt592t{CbB8#G1ju5C@eYPbQ06-sXUJ*#x%vf=2lQw3XBm@|#>vac7c$qW%-}Q{%I8kIoc1s#XD{cL z&f$>h&V8KwL5^^q>WmR`zV7_k`59w!adc_y(iF1FWtGbs#^kDT^>T$H*P*TxU8g|q zbUosFj4?IRHS%lJ1k%{((?+WqlUpmdbhi$Wg>DsY6CmGnTkK|HOzs+YgO@uTxUY8K z;l3O4tou#(+l-=mdB4CEq@&pp0?JnHeA$0f$(+26Cob0p+!&yPKqKtA+hyflo- zYn|7ZUV9;b^}6GAk1_cK`y}`zK~D9Vnzm(30Ve`31)zau$C_Peb{Xq0g#rWSo#6t_Tf zw$!!sYl)!^^9*Yd76#cqtZx{SEKCer69zxwe7H||W5}N2`Qb&7^TU^iBe%kLhaU|` z!{OJ$ABCgg2wj9<1pF8xu17qMz}!X-j2szR4*5=`82K^ehRD55ygdhWIxiKZ;))k7XBsHU42dmSuulLW=~9NW#d3nF*+$usoqU zVJGCHL_X1xF(tN4OigSD`9`9chz=&!BpyvXf$VOhZPTPpGsv_y{n`wGT-0WLn@y0% z+Wg)IJx*$x)H*28B>t_LGtS4wUECg-%I|7 zF{Nlznxw#Q%3CQPr7VU#opL<|xzkpSuT6VH#<%U>){qIMqV4Rq?=z-URjNm-7v${J zrK!sqQ(8n?`?QXb1!)t~Fal|Z(tb(1z?jl|r4LOX4!I-!So%rE)NX0Jt?jBAQ~U1i z3)^Fe+aGLyzWr~I&pSAEaAiy#;yQHg(1S5$gk+>-q(K&EOwO3bm^!}Magm|pCs1y5 ze9?(wOr3)|Cw0bDbe_|BMdwwFsmt*$SGrtdOkLM@-P;wp(DicHhg~t$-MqU+c8g|A z-Syp@bq|8<(mk&`R!@(T9@BfwggoBkN)Ob_bj%FM41}!6oSlgd_NwmneXrw;srTaE zn|p7AJl@-Ir8f-wP<}5Zx8O7bF|Cq7uaYz3B;x zSxPHd8)e66b19>!xZbWJg+e(ISDu&}?@QWjw>R9}cjk!FOYA2PyCyts!YihoU zqesxZhT#Ocm1L^3REX~lOF^GYpqC4UqU(r(VAr-V*RwBmZH%%mx zJdt*#wMxj6=jqg<8uBK7)=2R^d(U6BViCSa!gi+AfV77rcsY%_A)Kp5+w&RC~3b4_n}p{q!kXFB?mVC=JA2>a66h(CqH!L3)Th5Sic7muUyHDeU}e zHh)i-nyt2cPnQu}KR2iglAm&OpVu9yA6im3o%&rWnqV#p{eiYunUgY|x+WDFYh}^Xbxr4AN0q>ytyo@@w`U2S7GH#I~{_a zMm>;hKQY_t{-jq(w(>q$Q*)c{Z)MwydMw$lG}|7!k9BXe_wA#eN(QUV24Vlw8_c`M zrH6E=9nw(uoNjMt?pY_ImaKQn)@t#UR>jsdRjKA$dfth!Qu#O5<+EAU(zvqRB zBtY`^%-qo3Rw_|%N%AlfA(gq%V%yn>e_eZ(U`eIJ8LD<_DZd=Ms=CTbZco)+4e3?W zZJ=tj8tJ}wuxg@OEv0)+Vv%Z^S^aXDYL;2;SgN{Yj|#yRs-Atub5mCp~L27pi*GH3+iUVzTx<)pu4VeI%2CW>*(K zsI%*|P<6J}?gZ&yLw2YNX=&-nlV)GDXtC;&8c|MKuKLRo<;c%ekJb2rHYG|TF6c<_ ztJ$|hwT&nPb#uLHnkA4Cn^dfwrAPg1Ue%yTwyNHtdfQ_3?k<&wU0sKU)tow@deaa-fal_w2avzk#qpnEkXKd8pg%J5%2qq=Gy z^Y6~9?pbEyqG~FGj>TVB+1qL5;g=m;Baqi1 z;zXuP#g@qJpCEEe)&69LR90!O+VZ7pfpyg=@`hCWp1C+zrCw-N^)0Dvp1I7(sz0&} zNTh?hZS8=ZAhRSp(R%v4UDO@ztfmS_;=Y<0k?Kq4scPh<{>QP|TVd_a1G(Ac^Lo$2ST0Pss<|M1OI)zq^p?($fI;bNJfz%)}mEy=u zDv$0#adZKduX3a~RTHW})f_UODpVyw_N0o`b`+=fpoXdgAmgYK^%vA|JAbOgt}`{l zZXfiU6leFGDz(2)ag3TOW6nd~pvu`66vswTW7s0dF;oTnD>a^LLQUX?Q4o$YP86pNpx)GW zg&a)1rLBZqNWHD2C{AZb&CRsJ_$RDZq9PKI2QJ~&;>;gH6GR$$D z4`n4a*YOPG4Qig=lj8KD)B=4zWCitsehuVq%Ba5!`GTr)>PanhT1kn{ji^N~}H z)zo6QmedmW<9oBGtJoLc4cHT9WqBWktpFlvqOSJVdo zw$#QZrPQV--x#RPP2H$10bf#Eo5fPw0+Xrjf!nF-pqA8*pn=rRpm(WVK|fNvgH_a* z!M&+H!N;htLMo}fEmEm{EuK;PTW+VmZutlGO{fcXAT*8QLNlmCp_3u!P~V2`gZzQ| zE(|>mbES@i)71Cj9jK$>?^4GjyHh7xeMFs%GWu#e7fw z9DAJNVlPu?deCt^^{~@@>fg>@)T1sRQV+ZAryh45Og-xQF7=@63hHUs zAE~F^Rn)T{k<`;3In?u>XQ^kIH1(p_bn1Dp NaGpHB6$52mu&mnZ5a-zx#ChDw# zMBR5bvFleu)ct-Z_Sw0_V3%DbTa*aR?6 zRSGr{j92von*`>d`W9?5m`=4BYzmlGodq@(Os`G>n+E2nJ_0r!%vrq+%s?4JDJQ#O zfHMGH?Ro*ugrN&dgS`Rf#@q&b6RZ(C59}>44|WpR+hFc&4cI$ip6qh4N-%+a0yYcG zo4o=y8_bJK0ectBmkS1a56p*qn_>+P?*lgG$^qv9`f=-FI2Wu5CxXob^XKk@%?AtM z&VeleYpQVv`v9!DhJYEtnrX7Zs=$IY>0k@N0yRg$J_HNVRD+3N!48gKi@;iH3{L<* z0&L-s0QfOrm_q>ACt#r%T-ISRScF3%*b=aChfl$lg0*s(3uXd~bhrYx3@qB=7}#>K zC|(D)0xZ_yG1yA57+#u^Pr+LA(v+;CLJj!+=lOu20VeR10awE?p8p0#fOXY>4Ym`ki<2+d zF0k%SEZA?5p1;kZLmvV74AQQT?QNL5d$Xu*3)uc<2=3q`vYvE$A^@`-{C6Y1dmIA*8nGb9D(6=ut}aH!ES&}_3R6F6Ksm-60lof z(>*J}{sf!mr2)GQHpBA)*c~u~*Iux{z~1os9PBRGOs{)j_rTurIuCXq>`jAD65!u} z@Aw1){sZ{7kF+8lfX(ueR>Z$xl|IrEdkFTfkF>-dfz9@f1$zwkzHei&Ct&aS&IWr5 zHrID7*fX#>eokP|!RGru1A75B&#w^dC1co5ec;y(@D<+uL4`x_yCw1Y*FJ4V0K`l|4=Y{u#f#S!5FZQ{BMD=V2k~K1mnOyX;KBI z0bAN+I^}QR9RQa!Z41Z)E^8VBLoJvo06oTM8r!KA0q8OB2)4Z0WiUP1r_H_xa{^o0 z9ES<-4E9+woF%*q*s8$(V6I?m0@J`6fvpaF6U+^4ZD1*wJJ{!eh7*7ufL{de1QY<* z1wIAy1lth!2bdSw`k-}S-e8-87J~VJZ43?p^99=y>_lWab~8R1@N{H0U<%-=$dAF=g8dlz z7Fa6S50PJkrGfnv`2|=y*qK(lz}kVGZS^Twd$6BdT?Oj^cD~gKune$sQChH$V86C{ z1l9@cm#CLuoxuzjqHX|o0sJjG7_ckgrDzwhZeSOq$ANVR`#pLvSP!tv(aXSkg8dQw zE?6emm1t}}d@rzT(b#a-H1_xWr1Cf83NW9>{d)quzm)>n=#Uo=nr^1 z21|m^2KzHc>d64Gzhb1G35ZK?bQbz`Z-H&?!s8U@zlwp~8;nUA3IBkN17i}~fsF^#BnE*^0OJxr z2Ac@RCr$^O1m=)<0&FsvE^#Z^6fkX@W?)mn^ldn>X}JC)j%@}2P6u>ulMIL{Ax>>J zfz1GOZBqp{6U?Q}Rj@a}+}a!ldlRftQX<$}U>-?+U~hxDCyfGo2h2064_GCbkaP%a z7MOR^TCmw*UP;d=-oU>L=$mvA@I64Ez)+yeU$EF{Hn98d%dZtD%W z2(V>a3hX1W7Hu=ZJ_ZYG8w2(USZLe#!4`u>v>gSu1S~w&3v4M^tG2JeOkk0z%fXg` zMW?$$GEFtY{u+?Dk>BGU+ zfVD~Q4)!@%V){w2wP4BV+rZX=CAF&t`vRqLQl3D&dY9}?UH*rRh>z^?#%bq)aA3zpe=71%zo zKAmTS?FZ}K)6V12tB0s96ltLxWb2f+Gw{S53NSii2)Qal7UpzCj7--2a#iw64+ zET@|X*kLfk!0thSM*s(P=K;S5%`vI&tQ_7hi!G>i@IdcYVXs_d7KjHe14DYoC@Mpl1-rE4r zf{pCG2<#l#h~82o=fTQ)OO5;jR@%n{>{qZ+y`O{q23FqZG}r~OF@37RE`p8D>I8NP ztRf4yIQ(U>u~{>~eg_+$RYVzD@K*rGW$gz118`!N35Hj}CiL9^b`5ND-w(j9gH7st z6YK`q)V{~SZh}qe&w$+mo8IqFus^}3^&bg#8*E1Zo?v&t4B20R{RQ?$_I$9rU^5K^ zoB;0uzLoti;C;Y12lfK{8|d_pgRzn7I6=g&2pw3=P1|4X~O9*q#R1Hx01s+>P3f+%R|Kp4rZT9z&ITr|JB@Y{2V{XbKu& zZ#BT?*fLy%5tU}4EtJ`k2H2_w*!l+8_6Ar3qop~>8D5)X&9Me5|Ih$CZ_Tuhln?tA zawd$6!56O5xpmxTu7=ygeZyVn?rAz}dT9n|3N&wN=4cjbmS|RK)@!zF_Gk`@w{z5u N$saY^Ty;(a$t!F_3~t+wt~t#;A2R;^2It+i^k?{{VrNR zmG=p-OS9VL)8K;416;v7*>fK&H+Lr6(z#p}Od}>|3(g^Svb$6ERJ zbh;(IeUo}WV+ttL(f&?B?Km~vyw1K8N`=%iL#TlZEL3IDMkhnJ zE<}^px%ossKcOPSP4erk_|42rRW&IzB}NX28q>S`lwLZOv&!2kJU|G@BB~J@1KmGm zd`7GO?M8W}a1FQ$Lo_{zXjEKsP^MFPJLmEV&HE=6cf{zWz=aV(6ZyVGo1MTZ70LS-C6T*}Y?PZ$cJjy4vpmBZiFfez8tN4rpo%V(1~|Htw{IVp z0a3-Oc*pmdCa3IxQTaka_B5kc*#M#~?#!3-eWS)@4ZsMpl7@Eb+#E9yOB>VThL+{W zVhYv906L|7@YKehnTlkZWI7KW5mPjwxe!}iJgu=OQOAwXi<#o#;vG9qwr?F>oZVr{ z_|D#O9hec5XvYaEk2^omuGF$4Qw9laxu4 zOTXBn-p<_&QpW89nN7#(f4~QL??_4xNO5D%MH!-|#N_mDi-`mwU zwIJJhlA$awX2{UaQ-*}+rIwXUYm95H8QG&V-!7tG+^CLjwcN9?jVW>XQ0F4gk={u| zvZuv*hBr)9rnq(Nq;}IrB#z399zWDWp0XihbW?hb%#Rr~qH}XOaHNnUjl8H`!W0i{ zz+RpFQbx;{fQcIpnOK&WSdgXj?Om3iVyl_rOs8&x!hKQ-^3yTnro5Pu8P3)bc({j* z@=d`A^6CugYo|5c0=?6UG7@}~Fv9YVsGUs5gqyl%6R%E9-LWcSiuz5{B9Ykgq0^cL zcgas0m(hVIt^3BKHhdG!S6tmlius;vBh@%nnfW(YKhwBOr!go{$TNy^i@$a?9reg8+|3Fb4dK6IZ%Ip2}id`G^V?+DKq^KI^1f4M~d2LB5mvqRUy*UsM-kaQOZN$SW0LN0!dSZ#7*9&JX*Jp^iCO-6{D0&n_j*XbMj*cSw||_1%?kuDJb^fQEIV+ zN}OTG5h`ZzuR=fEQ}&Qntc{UIp5GwYUZZ7+oI+zeqnBFDQD&mp}5kLL6M^i z#}<|`%soQsLUL+BYG^N>`GvW!WqxITle7RW#WD}fyBCEzJtS1q9P_?KL7h{1;?6wc zm_M1n2&EleF>YAasFCHx6U%w#iSt1X_*0$=gGSNJGeWr|z_^M?{2N$0Zt%dt<)w0% zM$A7NDwL(nd5c3>npMG?Rh#E5P9Ti=(BjrU9IMf?EUP7y%iv)pK> z7qkBokAAKkt7G+qGTK@z%1cTkdli>%qNyK=^m(I8#B#VDwa^Z&3mVci3r#f zGg%u@LUN=Kjtyo*c~U?qX?)G>y0t1PAIGra=1t~8w@5Zh!$z>tJSkQTW6c3o?!DtN z_Tq`sv{eioJ1%-)5zi(%pVqJmY!Xj~E0!sk0oyQ&$_i~$%&;vGO9ExZWdjR~ipKJ6 zy3{v~%`nfadZR%m+e(AnvREpfC!-W!ZOzS=_Rh^@+e^u06UxmtM0sl4mXwxapdE2V zYX@4_AP=__$L6q|c~Y+U>x$V^CuqRBn=dXcGxcP1HB2tsi=`5IDjwNjO7d7LiKnDt z;Z1**O6JJ~rT0KWsRv<_2&E4Rt&P~au)HvpXA9Xu8fH8@7)h3nDl8gUS~RYF;GjvP z3&)L@|- z%*L@4MmR(1G#;4^D=ZztvlEp#lL*z=e6`Zg+)UIszoKE1*eT{zF^rwYnlzLbJKbC+ zCX9GhLmAnbJb6uVG7C;7j2eq%D-|cR3B?qR8W=RF5ZCGrWvb@#q*5`TPbjA%#eSh; zzlf!9jSUXhD66m>m&k0^z3J_|lw+&dW#))Cd%0w?Z%PyYmh_TsUi_vPS!w>@&93a* ztVP4T!oFjs-%8DXR|ESsJk>%O`&vS=QaYncE5`BcdL^F?ES1JnX^O>%ES1iaH@=#VqEuSI)#i8J@^atBu{G>&o(XaOQR=qW z)tTLA{_?FLa@hRaTfyWLv#@+6e}p}%VLxR*L*wV>!^^#$kGoNX{la{0`6N>&dqM*j zCs`_kr!o{TXEaP0(~u{aF3IV6yWJzJbkyJx410kmOO(b-JXxw}mw75xN&Xw2ELYff zJXxh^S9$V|qW!>=HH!8VPfQyW^=F=ZsAxBNvPIE;;R!}AWpIZlyA|yoPxdL=eV!at zwBLF1v7-IKlOu}uCr>_Cw7+=rrJ_CI$!SIVn;?PcF$SNActvMWcCgRngQu zxvpr=<{2wPSeD~Zn4HGEb*13UYd9BdX{T3463->8e1Zgy^WwaDa#QKy%QN9j1cGo4 zU7b09y)%LbnOj!6n|rKscMfzzLt}HWYztV<$-~ZRAj%%faT$30{ik*2f2*6{yf!D z(FX9eztUR3(}9XMh^Lz_ceE9dDrMH|o4iHbH6_W>m% z5vhFA=whCmtn_|`r*WQ0QKn+M8CO1PEZR&;8q;|?O=)`-Sr$(mjIO-Y_cf*OEb|u2 zP*Wv0Tbj%{Jl#?;o{L?rY~0|&ig7TVub3|2=`5vnk#uRJd8!>65Y^1nZIy9LBZ+AErcr+W~SY`B`Idntx%q`B+G(|O9SD>W*|H}@$|D~B(4l&8y;4xjV1as+b6d3v(KzT|0> zqMhVvnJ;%Q|gKZql&dD#aQMUw%_ zDJbu|K`HupwwP{&@)*i9cPI-!nJor;KxzExZ1EK@D7&EO1t^`4%q9cP7mifnb;MD; zF8Qp={LfLH`Q6VdWtsSSB^hi!_<0pxdmgJI!_2piRhe%d)0yWUuQX3Rt}{3KqLPd> zZ}_5$j5DWwDZQS>YlV5}i7NAu6FM_@veNt%@@=b(JymI5bW&&j+$!@=SDL$=)|r1l zT}dXJC!eV@PdcMB`<|_otIQ>Lb!PrvrTIDJ>U))BqdD}~D!d+$Uwhn_^qcbQ)ZePi`+wD${eQ2NilI`ZFe^lXh(Zee9!iPF@%%7FA{0wsUpE`5@M~eLI zQ6<@Jp7d80*=yE4t}?qk)|t0Ft|a@-Nl&WGiBELq%TFrJUqX(0T1gI>A3d!i$ISEo zmRCAneSDHIK*O~7=uOuhT75`L`6H7HjdbLQeVjPjhF^Hva6P-AM zCY6%1Or=P1DHJiEMpKyDrX8%+dOG1V!x`}#CwMs{j&UYc;%H~s8X4Hi+6GBm&VZ${ zHtnpWMY3Q+IYo03pK@e2xgfqKC3is-wD9Dmg~eViEMyJ$b(P{qiCwSNCc7a1Ws%mQ z*A-DzL~~bk#wMT>Pr9OutPR#f!+xL>|EksI8^EwN=)^!bn^q%f*d=sgj?t!FlQe7? zI&r+aP181jhP^{4zEi8kdw^jZ(TT@vwSk^s`y}>9tv1IC47-d@Y$!m(Hlq`_LnAlD zRBsIAhIm>Mx5Q!}h}+^5MJ)7{-v#-}Vz(kXHzLR%;mown}1OK_idF{80FOEdH*D$}qU@AByJCW-yes1Cq9-8CZ|zHtnXQU2YCG zHQc7TML-)B4z?Z|A!1}CTodtAMdU@nbwnhZpGLv(ZWOdd(Kf9~j7{4kX&=R)wM(o` z`$^I+#DYzVvuV0`Qn@T1XpK!tNE0z z)2bzHLo!&$6q|Nc($Z4EDpGBlrUkUWQ;Dv|(t=csbQ+@d%PB54yhlSHyZ+_IU3s&?o*iuWZSJ4F3fB;dORR$p%> z2C?rJygc1ZcyYoOlA}7K?pz{&^_Cb@nwB`rTMawaoBc}gB9OxH|YuS<#sY%9KW3m7B}x8ae$uxR&s3A{(%RLydgBS_Rc0qeQw-(HToV`VB);Sa5ue2yk)On_yGWS0Y!4Z% zylEKCWi| z-syZeEUcP&9=4YX%_B7|sb-ywtn+S`S5b$U)jOR(m7BM*hHb3JUe+tGh83z=-@Qy$ zo{?#-@2mH#VcIDHst2+SjjX@5>-e3{pa0LU{p`C+JsZ{aJYH{4@ytHrkq^s&I?FE| zEE^-eAiMPPUyRYDuIDLhPf7UaG^=LT?qZuuv)e$&Mr>oI*@ie!?D~}WCdNo+ox^3b zxNy~e=KX4B<9bS)q88NE%+`a4*aZAn%_d8BDNcfuY?&&$9v~hK(hjj{)oe?9uXytx2(cjcmU`43m*10svb4j+=C30EG5B8(+Z)`PNZe%O!n!mB;*~M9o>?*j*ktYbQc9Hdh zUWq*Z5Hr4-Rnng<&sr+3eEK#f)w!CTTFuTdRI{(yr8P{SB2Q(%-nbr01cP9ZBfKsc z>>{`cZZAieqeO6ah~OBYL?0ptaOrIyRkWNYzMbdQ#xx2>IpzYvXcyC6aDO@GVkM>? zRcALW1Qp3)65?Jr1#}s@c`m?0ef-QL1GQbF4y6)l8;Rt+gK3IXO5`&I4~xdlz*pxl{~bY2V=Y zjqC??IbT&u1Qnw9xA#>hHu{A4`mC^~U_`nf>3O>$mB|wwxcr5TVC3LNpDzzwYnPI! z`}Fo)!-*`9JJAHhdvhj8Cj%0j8bU#W0#V?N#U8|k8 z2@4j2Wt&?Oa193IHiFuz9oi%o>5G%Jl6tC9Cju+x(_DIxzP67+2z7QKZKex?NqL3(?J{A(~ za!3-AtT`CTvASBnVOw%?PRT;D-1VtA|8wH&FW-0I7`)|Rlq#gkM$g30Vbs@Vblbrw zO-PfC{t?pbl1LY@Z{U2!F-yLxOX99W*9;*;>dFx@{g_=6zd9IY3YoHzN}L2EZ(9<- z*(M=N$dcQg#LdT0PKPG{s? z>vDe}r}d%}^~+9X>m!pLz3A(_Jp2zYV%c%xX+mT}U7tt)(Wf^3H(pHNtTV!1~Cx>nwg^qH!XrZHB_#6SB8>Bm1tDAVMn}j+y46+}-%{quqLMPcv zlF&)<(ow!a?kse6aMMC|gWbQjSj_sSc9%H~KFe%Ay9ixmpBduF6U4*Cnn{-ILQFqF zyj>-8|CDY*H`%U@xaS0kP%YC3%KLBdyxJhk^!mCWI76jgJ%k=|uXbYdlUT9YVoynQ z6sMddO;w`4rP%EZ@z!^)+t>}1s85$YyY`kn z)1;Amepod(&_2`(eY6s4o@`zy6w2m>;>6RWDNZTrrqNYt8!QZ#+XjorPa};LV&->f zQ-)hC6w7VJqW_ut$GJl6f)@P{$KVs?;H?xVLxrKTlVQS8yJ{OI3{&=LyZdZzgo7Ic zWtOjq&e6O0&l%#^r*58B=o{9}6IUt^xI`$CV~!L`>|zcVhQAQAaWywa?l3|aA$J%j zmY>Citq@n9#iNOp`cCy^uu{B#wswj}38UnW6GWeL#Mh&qQdp@kQ3}gp7%TNd}{RwB=+EuU5T;Fr8r2o-Xd znL>r#w2T+VzdS9kzgSXl>%-(>1iw)m;_Z4uOb{l>A?AtipC`UvO2P|m9}Xu8ljPRL z;vm?aryS;VG#?yBEC9DYjO1-s7AXFNCwKHA1mh_ zvIHln^%Gp(0SvEI&USb3uwT}Y_S3I$2&E5~lL(VOan_FBQqSmL5nhqUw@fU#KzuWm z(Z4BQuBpOQxoNpD)o$*m3DcChmp|RxeIrEQOtRmVg2~SB zbYZ&9FSA{qeeP}hA+6T8mS?4zG%MCmoppz)(%~J)4rAmFO{ETa+97>ne4zt&*crkM zIhl9GeHWE-^{VDZ$m5?W%#@qn6YpLmP1UOn)Vs1n-CBn*V#X!nmtc3T?1I$ZK-n|f zlN$rIS}au4eg^3xIl4S_2@fJ(!q$(|@?(VB8D6aqtXnnGv4Ntqo_Di^S@HnZ3$yGd z`gP%Td7^En=7%pGcWdlja#+?}mCa?PP+1)!-H2`!D(!sE7G^v6+^U>^`Ck)n52^n< zw*GU3IdV$d#j9V}9hWtV`4*U)B=fgz=5vL)viWXdu3fZw!aRp)`(GNtx|c>U(B^W! zFkg0gP?&G$a)GcwahYvB!9RJ)=Xyt<>*am8yqb{~OA-E!w!efM^%3#{v>sUtg@tm^ zBckpKPVSBREc@2Q!eY7gGqKkBX$>GH_qWG*oa$4doQ9O%3e;0hrhu} zLGva#w83Go1O7@wb@Z)TUVbmrA&tekSIgjI6* zbK+v$moU~-P8{$Z@eFV<$ARToG+R(K(hXf=-GQ~`-=ozYQ5L}>2mDG*`<{fUw(7eo z*WF?Ax9U5~b1xlBOp$%5ZWRxGk0a;>>mu3O8wZno1mVe+Lm&NkIYzUut?dx@M-(&E z@rc@Lpq9!G9gnH4)|n@%L&x)EyIA-G@k{>yI#1wojy%mTogLe)ck=Z#G?#niI$VP7FMZ(g zPgyUlm(#x{thZZM8-xwD%WAuAk9}WwUpDhQkJVeMhK(>`zcF#O&6M4Wpl{s!_;v2*_2=}nICr;L{EF8X2fE_;EN^6jOEgrZ|Jd^F`h@C8ls}XACaC)Jpc1+ro zUBWKAA!&4??q|}hffUd2D-!9ugw8*c&~9JX4)DOg4v?KAN8By!mLs|eyX_+G5%xGn z{M;7NdM{cxLXo{eLgVTp9{l%+i{*%Wg}rh_chUbQ@nEb|D!zJyG?VA>e|#xppblB* zuxVYuL;o63<0&r+pTiFK@eb22p4o`+jla7|bUJ&k@s@jvF1LuzR9f#7DSe}tABG*V zU%_~+sPU_y&QG)5@FQ%*16ICmm6{GE#m2i*nV}LT;z%0FYK2m-d}9?3-L{o z9Qmgl6b{NTKsac3{SOI;vRC-5OtT8xgLXitdIPPCu+%N-J=taa{*{g6)R`%10^ zG3_qVx}LEA-iRv|d*3Cm)%Qf=r1is}QSa6SIqTk(LNf_}Fz3!8s5alG&bbRR~ zI-~cszer-yeYw*@yG}h`>U8#nPFL>Zme)tb&wPWdPZl-(5ynf; z8I-R#>)WjDz8bZ=b-EyZf$r;BX4H(VTT!mcGGxQVyNFs}tz6V+$hmnA53AOUec>+e zqV>B}JpUW_0K=1i-JqH9lGS9TL>wNET(mxY^_FgWjGBpcNf~OB()~fglv31q+86L8 z>xT_8TrBy61RGww`@AGS(ednnl&8Ir%q2Y7k>(mHfAN5XTBDolq8pXyoR1jukOUd6 z#WhR5phnH>FceE3k{Fc4O0?UGZ^27-bj5yIu{*_^4@m?%dHzWvY<09usge^9k`xL0gLh$I^ZNEnUcY_8>t9Nz@JDrC*C}4V{ZsZj^O5Xz7YuA(H@)Qb`xm_G{*pUo z{Z-eg`lU`+U+DCf(&;2R*#>w(NoCq!aw%1O)$?Fd^iyJOqt@Vk>RvBy6oPWcW*<843U zJM!~5tnA(YkXB;pGdvMtr7gn2MxQ4o>z!Kn5aW)+uehW~aQNQCH5NO--|`1f&2xJP z_i_Hq_pnQruAp1tho~*}v~OdFk6-s4?#J*6R;z}irDb$DSt52MbgQM`(tf1%(x>=e zqSC~p1pnhv7x5{f6Rg+tp_oL|wh`jTDRoaAA4of~ztBVa)ky8VwjRHCl71f~-TcmT zqJ8lr*i1Lt*W2zVAr3y2tL&|oem5kBs_eoJQQ5+>lkL0wA>9UfI7K5DV=DxPLdO5DEeYSr}PoaF3B&1mpH{f>4A%)XUbg1JjJQS~a(tb(;Cm1@R zq5RN^m42cn2XK5!|49Cs5e}ha&U6UP_7*J+9VXO$5&6Kr`fz%D$jbF~m9-n0A7{JJ z8i)FN{9pC;oe%BfL_Ic8uga_F-)ii!Lyfs=>_X>gY@r=%?8$%C*q0jGSM@|cLJsWp zFGco5E;55dks;eRVy8Gz4}iw7>Pk6?byIOOqqw z{}^oOP-->&i>3Bd`m#xxhn+e)p^<&1A%bJ2J+t1Rqt>>#D799pqr)24)!JjnT3heB z44Yk)v`4RUr6Y{ecT9GRgAjeZoHL0LPr1^g@w@kzHc!~tKT@`Bo}x)ld#h;cX9GMx zsL|7{#0X1G63#_JvMV|5fOJxns{!{jZIbs2qxXsheHc zvu?Jq4nKQ~e|zqFAeQ|YTH<-*=G)?47j$yVUG?zTEZfx8&(HZ*Lc z+9*9^f6biaWSm&J%;Z^ddU;!2W_+RAiP~XXH8-%EoM!HFwLfWK%L3KD$lWdrY^%t^ zzS@bi-L@*tk?7vwg$385!3(vH@z!mt$(}E4s|esw?D#pA^y^KOdc22H>RM0xZ53DB zt}d~ydV0y#mg8kNvN>S&RU7+X)rM`vSIz#%u1Z*kf_p`Izdyn z#fpUuX`_m-+f{x2lu~=(M~9hecU4?xhfW8Gfjp!6L35q4 zX!Y6vttkjfsy0X41#+@>u661dGoE5Bd@!HHr$P?m$MNGKSMi(pt&o@a zTl^iy;$n0Oa=}PlvR(SRB?9lQzLUCQCpEiA}2&5aOC31cOwxva(CqMNcb^DEs0tag=-t_9PJtH4H+HXD!L71 zUi9$jGR6|qEoM+mG31n(`7w(i-;3E9vx~9BE{$Cm`#$8M*fX)`8A}`==NH!qGBK`w zTt~>6aaD1+lyO_*K8`yA`7B-+Z(uC(&Ei|cW9H(g#Ltgk#F#Ac7vpcnV+s?NCag<< z!$hCN=82Jz)rp@a9%n2`hNQrxCXkntZYSMiEcj(}SaLXI>*U;IEW6}I$*YsGERzo> zUr5G`qWS3T zkat_qEu0uji>wxXTJ(dQ*kXPQjJU+IG8S{Fh-Zli01DQ+{m&8#-FA=kIr*XAJP4{aW`dBRxQe%1Duws#pz zJAJz*?Lrt!`-t{gruJ>2nA>k?{~=?^K9_wn8<(O(Qio0*x-yoIlR7TwxR|lz| zK`rFW%UPX+sm}Q<=bN1G8B3?VolbW;2l;1bZD*{WF791IyEKQK)MY^z^y|8(>#441 z8A~_+ZgJf(!0v_J$9JE^SbDVU(Z9z)$TdAoyL#+}@>P#tdLXl&3wyrTb3J3pbKNvF}VzzBkpTTcUH@Obip);;zt}FOy^5DNBkm~9#U(a6he=*y{Xw4Lg#RVt$7kn zI}yTG$Kub{;H@r>Za_HrM=CK?OL0tFdZu`_Db0#C@$?(D4XvbxN~PiJ1bTtBA)IP0 zHOv+7htirFrzCm=&9e;WCA&+fI!KM8(#t26ej7P*UN!qu=}tsZb!l`iv6^?Fx=QA2 z6m!oE`U7i27S&y9Sg!Ci(sbs^ai>tj#NT?-=M+bC zy3iLStHQ2yTNf@^vLG|5DU!vH;^|P@OL4n%0G;JzRIUmpwoa+h7SZ9fM;(waoYkbJ z^AI|ot{uQ^#p{+ZI;m!T2|j|=w!C3&iKOG?v}$gRpc~dT&#P@tQkr8&;*b8+_Fhoi z+(K!dHJWZph*!-=W9S34G`iAqT1{)~<{(EjO`xBNi!Q00*H4>3%kwmSBJD04FPlvJ z(smQJoLXKpV;0R2#resp^al(Hu9-B8UZk#fN_^j-bLoclPl-IiY19VEqbCsyLTJ6H zUq`bw2jm(%%T8J#IBy0_?TS;<>LcaxQmR?&Gh{)&lwUAIzu zYNB`0!PYd>SJPqgxQ4$=m)MMG6?H%|iX>v!#WY{zwT>Q7V=N0d(6eY>$rzPhN_{F> zrCMDL{)qmRk)|t$nGW&TQClH3ipx@&fn9ztxR3#2YfZ9}bXnq&A=KUVjXj?=enUWcBb zr>JWe#t${!ZsU*sC}SOUj&@enHvKF$nTS%|%q7}gC3(a0YjlO)ua-*1YTCYL`Zap7 zHSCOQ_}f0##oe8{FZnQAoA&-hFDKURq^hR>&vYA=M&5v=tz&9Um)rCzt7X^Q^t+O! zyozcb-=X`cuq)u5WZ9kitLE?ndJU=mo!(Ywwfg~GELmBTN}&F(8I!8|&^okhf6*hQ zn(6vC-Nwmkl1Ap85NzN(ApE4jUGHH~bdx-XeF3ROK*Df?MK zxXMYbsA18nx80Y^(Z4nd+)DI*ce+y{&f2!3>tv=q9N)s5Q`~364PH;UTJ6TG`zi zMXJ~u<8)OnZ8a`?Rkf?uxDV-CXB;F>PgALD-k77xw;A7=tNL8cT0QrvX=Fx|D7y?W ztBP$_bC;?v)w(=I`dD3JAB+=SpVQ7YmshH;s*%^;)v9~8q&KZo{Z-p3f)v;~Mba&m z2+cOBrrIKG+@fNg>hj3kg(k(S)h^X6o7I(&dRxuUvnyG-A! zrZ5=hD>qcmPFnfe$d}~j8vU=e2^)Sz!nPzM$dsBwquOL0OzZRxuCGaL3$1NmvFf+%yT*~%rDn6W z*+ZvZRU7pbnJu-6D6CN`zNWyaZd*Hl5oDg!wt|*6e6=#!y?xZ{?0i!<$O5a){hw6& znw^c*8*6<>kVSR<-D(8sx1_! z`h*&yItBRyHB8-`Dp7w4`H&j!G=eH|s-ifj^;D_zN{VydOqDUSD2_2xBbf`3SEw

HG#6Y&BUUT^natl@I@&n{UYPK$z;&dt0 z99=1-iF!k~74lPRuI^8YbLFUcuBninDbsw{=}^qn0@ovum#9U0p5pZW)M9-P$RX4c z{c^|+lv)3jsxow@mKhFFqH#U-mT@z+qQOOKrDqPc%JV4owpSWu5uQ=+c(0&V`+i2P z@$;tM^DCp)`W>RyH8fJ|8YF+BNE@bbpFVkD;!okA|E^ z-N*>0Ze>(Zw=>UCcUn`_z1A#szx6KaH&dHo)Ppw5sRwO8r~YX7C-tCx0QE3?CG|)4 zQR>f*nbgCMrPQMw4fSVEJoO-_6ZJU9L_O}biF(rc8|rZvhI-m{CiSH2M(XeGq14mv zJ*j8if2aQL;YU60kwi$()`af)oTzf6h^p5BqV7G9sCw5Br@R=V&g)N{`(7j_r+zeH z`n^M(`+Y%F{eC2D{|1E3Z%w!XV+lK8710z3ge%A*+QNfGQ}_$v2hAqhLEG^c@4rBy zc~X>KPgan&l(TlE^!HFmEaj^mMTJv@ETLHKXfQSYpkhPq7%&yt4>lIenXIP*wBx97 z6Xiq)1C|4_v;nXJh73I&Y&@8T9u77Ej8l1mO$6grG}t6Ct!gaTWH6noKiDf^E@}#F z3YcE?3)oaJS9Jy0G%z>yKrj=S!N~|_nhxl$eg-%L(8z2Ad=<=t5y57HHDFV~UIX)D zgTQ8id9s7RUI+7LbHFOW1ol0!*Jif~^LN)$Rs+7c4=$25b#jJTG06_rQ|0(j{37mdK}qtuq0p z@J#^M119t10XKlP;0wUs2TSF*f_(s%&aVLb5G;+q1NISEOa21bMz9PQsg5>*wQ`Z_ zXfs%*OFq~Zu+}c^z_x;Axy%CF2G-VP6lDs~ZU=1R`VepjV0+i^VYm~loxTNFHCP9I zQ?MGaZ2e5IU0^x-GO*oX9ree+_JDQPZwK28*2&-twhyeU!5M5nSQo=EumfP-4c);G zf^{<)E(0C{>}mKE@GxKxHyZ3?uwI5cV4r~Hx`ly#3f9NX9qb5LZ?|<|N5T5KEdu)t zEYIyE*ymvV-FAT;1M6q(0d^d0fH4j13$T2nl=PR3X(v@+l(IenIMBT*;7J$`a@T{M z0xNW%2zDB*$bAsl8L+|bC&12v4RNmlI|o+WpgY)kuwe~afPDovw83v+7r=%$xB_+& zti&S_>=Ib12Tui)93T zd$2K{BAE0Co60?BfL#L{=Xn+E2e9#;$H9ICtMKX#_7m7duS~G(U=zGda{+$_oa|Kr zcmr^fw=xK7-tEDD0h{I>19ls1syDVQ?H#b`-WIUCU?!j8VE4dY_2~)r zE7%O56=3(lUh|m+_8Ztt-%M0J9{|qsla|CEFr4itt%!$Um44C^`xER9 zKWT|Q0-NJ^4eT$ld46AjJqDZGFb?bq*n)-)!JdN6Z@3TaZ?HuT*MU6)Tj;L?dk(gw z;a^~;e*hQz52jdrfv}UR^6x|i@C3~4j|_PlY?(h2#9vzorNeGu#g<_Y$G@MJJAFw;lDMSud}hrw$By#Y4`F9h=e+ZfUd%ol7+NJ}t3u+1S~ zfi(o%7IF~GA8c!A6R<{LJ3?K-0>HM1TEGIqszc|1H3r)mmIoFDwks?PtceM*rWsBm zzA50IFdRgDFxc*9SYmt#*uG|1Vtgpr-te!%!oUuMe*)GFY<~pye!e-_p>XW|d^p&_ z2r20Zu#Y38q$9x&M|=Sm1@>vgPOxaOPa<6@6U)Z{9*uYm7z=nL68k(K2lja+_IW-Y z?6XK|x)Q*SM@qAm2zD$|nyn|~^rbSl`1C~Q)E3$W8s*rfP0 zuv5|AVCi6IP0?z=48SwdZ2(&Wo{x?M%LF?YT?W<)>_T*Juq?2zVv509gI$X00@eoX zV$342wqTcICWEyD`#NS9SbMN9wZ(I8wB=e;tsIEU=Nd;fE9uLm1J@S zECzg(bPsR{;FF|FU_-$kCx?R#1N%GK6RZU6X)Pfg#^C;ss8Wgm#{xR1v8f*%f zK6MA!R4~^TPGF{KfNrU`0I?K_p+!Hy>0s_HGQehl8Cxs@dlk&1MLF0^um&xD273+6 ztHp7!Szw-NK47nddAIlntP)H}8v`~Q%r`9;Yz~-D+B&c|z#68#VFH{B=$EbroCnw_ z?IzfKF#q&iumxa&>B(RV!2;6X23rIcl>RE%Vz9>P(&(0eHBFaBX9jDM(GIK%EF_~D z*ix|IjHO`9z``;nfr((I(2UOj-vn%)@gd+_fXy=g23rmmk#QAl1z32?M6i`$Q7wJI zR)IyfJPP(USWL?gz${?VnK@wZfW>7-fvpCM&3pj%E?7e5MX)ts@vWMGy@&fhlGKU= zTnm`kY7XE!u#{G1VC%t>vl@VH0Bh0eG1&WHsadapeE^o8H4yAWu(Z~NU>||CY~2QI zBUnZo1K1|8R;?d`Z3fG1GaGCRSnD>Wxc|lNWjM)da}aPFVB0opV7MKuO`9iRJHXnv z`3`I+Si81&!K%SJv^@h>1D4$`1Z)>rPCFiKH(1B^ZNc_{b#5O9wim2Z`wzkPfpu-a z5NtnK7gP3azypBYvrhpY1nkzKE7&2ho*m-A4ukdRxESnXuwET2z&-)X%_#%>6s%89 zH?SjMy>q0ccoeK}&TO#H!18jw2m2hXf6gah$H4k^ItO+f_kUzSry9U70P{QR0KbG` zL8srrPJj*U(j4q0*q|^rcrJ?Dab4>l&ZA=p*0@?0A18rZm8X*K--Ha=HcO+SKFzX8td7Yz723}5f31$zKC ztG^2D53t$&Zh$=mtL&cw_9xgI{TqTk0-Mvn0_-oadHwUi9)r!zF9CZ3wjjR~*i*3i z`BHuS4Ynv>st?mMz=Z=o27C^#MFb;ZC2Z z*!}DQ_8@zR{TTlaurlKsaRD|4O=02nu(*0yYCWt~J?sNhJ#b4sthyeyuO9YsJ?v-h zM(sduSO@Z})y{+wLzPFT>F~0lrh7fC@5@0ngX&?g)x+jHGTej{m1e0Ul-a6!*xGv7 z#(LP!df5JY*b%PyrE9D?QIFxdde~)q=Hg2EvHMXo;anV-%C+J?;I?qp+&=DO?q}{- R(Klb6LVl>}ov-f7|37tlHsk;R diff --git a/design/target/scala-2.12/classes/lsu/lsu_stbuf.class b/design/target/scala-2.12/classes/lsu/lsu_stbuf.class index 4b69755680828ee16262b1b7d71fd958bdb2a637..814d912968db874cd5da8669fcbb7b7bae004565 100644 GIT binary patch delta 10978 zcmaJ{c|cT0((mqpH}B0nU}ivW5Kt5b1QbP4qk=JBh!S0+@iuBSF=)Kvu4{Bnys}24 zqjnBA7&V$rHXaZeC5gv=+1zUuFyes%0S$^MAOe9XU-j!b-oWhs0aabcud1u7-!=VC zt^S=_{qdtYIvMxVe5XT1{LXUzRH$WXjugATOJKq#lXVD9fx5WAPZv z)(?C2$&jS6(m0ItOII#mIDAR=iutQo$kKSvFLWTEAfrLRL4r@q!fRrr4)v2JS*kyb z5hqK}F!2;i;NcWJ%`*P*lj~+kGxgGRX_kzy;So$ZN5YR)|`<66!w zl5qp)UX*b&=U$R=E9aKTxSew^%fy3o%Vj9M5@RJs;xmhu%^#g@F&*hDz9OyG2|J`e zT2haMd#sUAVBY*Am0EnoGWu8+{D1G*v+%#^*bw;N?|2%%lK0B-wHO8uPYi`Yzuc)B z;fhW;EMCvseR332T+h#d|7L5t#}$mA-*N&ineT~tZ&^Rb#(Jew^ndjc^xI5m0CdXq z(@z>7a_JtB?lqx-;+4D;rz5cO5f>MNxX6SCgYho~iAD{VUIpnj6B+{2sLvCPdM>R5 zX_W~L1L<6l8o3l2QxA=q4$=dkry7H}v>Bu=CiEmo?}9W^k;=qJkToXs6eN-I#aL{N z=Hihc9%VwKzk7cPl=l&r}F`PRpOYxjLE=zqmmlyv{_yBy> zGPZp;zF{e6|M#rK@Sp0iLUK6iOJGz+zvE=4Mj1WeWQ&I~3iHIfEoJTA^sFb|tT2-V z8*|&vysEWHd_}yO&d^|Q`jLZsLbA(>9NhCdyX<%1a1DK355a0^kPPH5J<7>&FT9%u z%7Av$3+xr{Pu{?N%49x1cJ2^xxSqxvz`35DXQY8nHY%hB2t8-OUUZuYZ{b{|FK~B^ zfMN9o428?^1B_OB(GR@Z=%Ow_2z|_mXtns`3k8}&!%`agHylFO72-gTLL=HpUw#({ z(+PjaUbv7N6G;fI3n8BLr(*2Q^t+jPPh7b7JPxA+ixjJ!792_^T*M~uc%=xx2BfVB zKdmWp0WWd|FX{*mdK-9=6TFBz!Hei~7XiG8fhW)|KjVJ5nBKbte0C`jXjBO{(Vaiz zr#y;{$U=Aag4EwB!3L(4sp%3#kNPK!4P zIw#c7nWZF@4!DfF1(b=Kpx@6Sgw`W1(g002=ZnA4pDy8Wd{K!FB&-An^e9J%5M~C# zo4^sTuYmW`2>T5Nu^bsdXfOncmGs#X9N}@%HNS5mzwQZQ6&eOU2qlO&fx`-dL_ll+ z0rS2^uaw|$=Ok)6PU6055~u8wz;$%`WgMfqWSGuZdUh-<=aI{}3%*3tN@3|QUxvcP zU&bO^*1@>iA6%zgdY7*B;wKeSsv!X$ve= zEByWLl2Rp$AB!t#{SBCSgo$`-Dh&&G%ipiUM$oLk21z}l#}DFxNtLQBg2czREP}*# zbrz2yi*}ZUglNtU+{Kr43R}g)R;j{PxrD7^VS5ot!{b(|<4PX(xRR$TE{F{vU~vT{ zuBT|umvpr5DxOH!_afdj>l$p)h$GlY*TI~Kq*uV%K<*wS$qrO6HL47#UJ9x=M>Qy@ z$)$GG-KBQa`0LOz7fPX5j2syR-cfLmgEd1aNb*(VngG{V!S$mPDsUI0zstf@8^$W# zX@Y2ht{Pg`4|ei|a=5b9-GJD`MB=5XHY{|m8XZ*znaDRGlOUc66TlpU9l3<4a|woA zLX=$Kvc+-I*%TZM^7b>7@mh~Wm;5uxK^fx=h0%%qeYcR3(q4~$zxKBOCDxN9&zeC%#cT% zk_S8m*mm~6kf`kJozNl)F3Zx&_tlOH?1__T*-f~#wbHa-p)Re4Wwibhj@x?^8f3~X zXpr7C=_VfaR3As&WOdv=5I0$g3(p*G;-@xNWw$aMvAo3wj|{nY+2o zW$ptVISf+gFc5MWMB}S*Wcm>EDd|c0dkX$Wn{%bid@1Xs=NU6pV50eR)NiWUD*Yi} zn(8Y(ds>>UfxFk0lb+|z`ND>bxP0OIDQPaWNH!gM2gp*og^^{nkdYNMxCY27`dkejYMhuW?8=w^lq;=$6q@kduf+N&1#4Y4aP zaHaHSuJjh0Bg~eLyN?6u?php&XVY`FI8aocBMPMV>52Q;pElKEU+;;zE-nH0@bE|l z^YBT}i{^aoE4kthxH)R_MWuA`98w_to%`>+*V#W8{CQ5`e+m5m;_6Qg_iC{97R{CE$(%;NO3e`T?b{vLvZ(QR0U{oXE9A} z(df?NBeg}7JBx>Ei!|!;n z!z+MW^mv5#IAlRw_T3{q#AMr1LWm-RjhsaP{Rjupq{q0M!y$}2%z2E5K$!iH@z?$n zUH3bCdn(XP*i%8e#1|Imp0T}e`0A$EZa*-ANCm~!kAB&XL&L#ij>BWF)1w=Y+uV+m z9aD~0WP1jXWITJ%ViG9vSGNM)VisHisHF3Q&OUnG^Cd!>}U3Qp5n@n)Jo-&-Gx5TkTAfrnmov#HF%f04B`Pv=zV0_)T`Q}}IXzh=PR!Nom7Dw!nLOd>kz@|K zRVH(7pa9U13xD$hA)alW?n9_k-e#8u;cl^j3Zo5yP#R`*LSfKxdeIfOeoxLUx8a)NaVT>UB#haR=tS(>X&g;G54e};=g9|ii^u? zr_0DrF2ZL#%35%VwSTnm)xYZW8RN!>9`h#wX12sEkqmCZSJYgf-wIf&H}y+~H1;+X zl&1H~*T2C&i0HRFs){_)#`e`8P51$Zm2#KQFAkqi;{U5+%?SHJ;8~F81zKP4>B`k_w3nBwR zo)ijvN-!CqxnWqtiq{i_h2bO&f_!WX0$XD;5At0w1Sw-dCINBcmoa=MQlOhd0J@Ap zKdULTqn6oGPdZVjaMYWjq(7|+gdH|CjP#+YL1Y{~!?;sHAoC3;1E_B>aM_H@4kn{$ z_}kck%V~Q!abEVH^8B>sWWM;C1ka8BFgSma7NFN*Y_zkrDuOs=~zsWSMx^Z|Sopixo8 z3>SI*EybRG8c8DHAz_tG%0GTl97Vd)Z=*;&3*PDmxthw|$l&zC0@>4z_O`*-B`$l@ z%GEX+*tZpbm!_(rf60aCLHL>`(qrAAZ)zyc1Ht}yA_MOeOQL-OJ9rH1PM-0oF``Yp z|7+ka@#P+*r>4d*fqiWYi_tmjI8#{`M{EyuL*G@!o*E7J$h)f8cWtpdcvQrbXlm#| zl0EJk(Pkw9a9h%Y!~)sTi*z;mID^mTJ+Zzg@uyq6!CHCtB+0a*8<}7X^i*e3Ygpp= zswmPgzzWyWx}Ib1;yM>>br1{-91aw+D+BJ^&!xv5GQhjKKrK(qztDcuD!ey!IDJ)eqofb#> zc+@-AtKPm|_4f6OaZYQ2%e2B>s^6eWV0=8(s6mxLgDrs$93 zwEcN*p=(f=rFozV+SUuITVjUlM!4Fjsw>jm1W4@xFYyCj;s<>B`Z(wLf@?^DhQ-73 z9Z7@$8{%02m*s182{1DW0yOdfjXXf362PNB1faU!B+d6>hh@x{Qs~Uy@bnKmc8r@H z+iWnoEIWK0qPbuX`(K{u#=eC%_9nBLcBUI`D_z`&%pL|>e%FUz1XLHUHbaOi7Jul@ z)jkh5sCt+7c}&Zb;r5V_OuPtsOxLH92s$H~_^^TU$$eH|u1Oj|qIxWL7B|~gTm{ml zA=(*a5<%?>n(0M@k3m%LlXmt2E`)O6cFKT_FFApiD!}xEzQm8}li@mkxi9gdgBhpm zM|?11Up8YY1wl}@(`}hLAAuGplSFzH?5VLYc$PCy%spQMfyWZIio;UK{8(ZL`Y)CG zU0o^c!KM{K@1+m}@KC5IA8iK%d%?WHK&jWCo%yX&<@dX~Uf5FsHl=o`6UbQ*+SDIX z_jJ_E8+gEu_P1}W)oNf*dOigv{_X(q5xD=4oL7oAwLcWi_BbZM8_=KBz5?CTAAFal zLeYxB(9qQos9HCy<>gBp0Oec9%0~v0`AMQ{`Pi2~d7H<6#6FKt^p&@tmUj_!1ZhB_ z1hdkCB+e60r?ZBUFj_v8yvq55c2Ptc*$(`%G?FJx*9sNwXf%qVX_LrEx_&6!!3xqz zCg`Q%QO zk-eZkl|?RSY|)}nCOyBLcB?T%Sy4Gn^q)$U;VsOeIUP!(lRWIK~}HrjdLw z*gl=CXNEpA$reW6o<**B*c@h|dCcJl#lbpmKG{fYngq0vX^Ocfe<69%!SPSZUJOVHYsP&SC*1$tb@5VDb;$Ko?T9Ax#hX#q(OFQcA!=CjU^6_dS5+_OqJ-3ED;(453LkM=lJdIvuFtEL0Ijon~5`p<&f0aZKppVy+Xa%Q6 z$!3)`?RDaXxo!IE#H0esK%1-pF_t< zW(p0#rx^ODhsZ%@oppqK#Axf^Ngks||3OYM`us8Se~iZEl5ZJp{EQSc`mL2* zX7qysQt1*U*IIa-OoYqO5P0k?pF}*Y?Po|f3zdD2^q1BZ_Nqc+uVz$~5P-x4Gb&CP z19S$un6MV;Tj)|k3D7&JB(XOV6NjR!iPwStg03a`A~C54DovUUbP>AIXBiUvY(!;! zwI|M+6Y(%xg!jU+vH@cVhJrdI{qx@eeeqhy>HnVq)Y!yC)aJtIe-mx{*o0Dk6dKj&ZD*1mkk+ z_r>Ip2xxPzkp%j;QW6Jf3f~MoLkOU$*U4}SKY}YYj8_?i4Bz>ChKm%@EbOKy9_SCk>%h6zsyxY8fzF2 zzm75fXU4-XR25|E-U_hWS^?uWdj4k;Z>^{x-SOxP;``dKwclvJ(|!Zof8bzQv3N-= zamY#(85QrivAd_XqnVXtr%$nNvWxIuB?;sXOwH@7FMLvYcN7X``bDkS#tJ;pfaFeX|10JIKQbD+MF$JoT=K5djG0KE>;U|&X?Udm#XdPOzwPBEwnkiS$w_g z;vePCzjbzojo7KfWDm6+eXKakqt!wlS%A+amDfswb175rrNSgwY@$^ zncA@w{lfYi zYzRl-FgpN-^}oVUcu&}dr9AO(Sb|ebrx_|7cEjw<7?$TS!&GX$Lq>FkL#LRXD#aok vW5fD&pc3JH4;JCrD29_cAvGjE7|yj}{YIv$XO@j@_!b*Jw9cs^1N8q7d^7iy delta 10977 zcmaJ{X+RW57OtupX1b>vm>F(4*Vqnl`S zqLpmisPW3RYA`ZhF}k}+)~tz96hQ$+JXjDx1ylmMueyerZk_B8c(1;9ef6rkr>Cbr zs+B&fmG9L#)?FUKfN`|_UqQ|(mfgd#p55W!~N zu&3>*ZM`HP8I9qnpY1Ie2g_(2ABWmbY}+h#meC4BCL|N%Z40*dlDf-iB_F5Q4sOr% z>uExIu@^?-tQk`h=T4qKdA3n}%4XgXV(lyTlf*t^hAj3q{8>T67Fj#!UD7{z4SX6=aX(M!f-#37qkycJrq5U~byj!i&`UEXzcMxY`N6phqm?=TK}3P#A7z}P zI{`fRP2E*##OG~;_vFC;kN1p)|4n=P!2guJ8TkCZ*Y_^PFlhX`FAP%h$LI{_O@^Jq z#eE;_AH)o01zGSv&YlV8+4gd5sZ+Lz^cQb~^sW`9!8RFx@M+6kj&1;SqZRcO&hPvB zU^uq?#^KWdpRuCe;C$s!q6KsG0-zVIs1Kl#hZ8L#M=JrnYDN73odT#gM{fdJYekuW zetJ0F62Q@WfZn&FrvbeQXnO^fiMK)4SDFVK!68X(I9YM|81hBBS*6V z&9R~(fS&m_-IB=Bae$7uqAWl|j;33BaC91=uUOG=K&Ktuf(P4--zDR*w%*^ph6nG< z|E|OXm+gD^`v{zO)vy^_@A+3*xW%05Q;@h*B=*8I_Si08vRl z<|0W{M&ENW)})N;K+suUP1z}Zu0 z*kU#d<;V=6*&HNP&~_y_3OiViDZycpS5QCjL?}V11Pv>3A`z}2GXN~+DlIvW!#X&p z@!!X%QR|upy-*BmlU58hZqf0@xRb8fJf5%kq*z$iUB%cB7t@SV*aF4H*a~_L%oBFw z*z-7CUu;3kT@6es_WUOh^G8IXSMMZxTTQech~8F+-eDEnSzZp#Hyk-^I6B71@SO#f zJXya)RlkJSzsu{FXzC9s(bR8n)^AkTZ-DxZN`1I1ITq^(5*5<#z+&Bpzh=6q3_nN1 zt;CC-FN2+2cNJUcoO0Muf4K;~`i<`0hI=x=GfHT2)Cm$=)pc5+PODPqA>CY#{XCG8 z_+WWk;&zwX5)ZnB6EWsFL6@V0MADX2)TxpJYz6>US=1{@eGG90qM&Ku4c4t#e1qOD z$FaH_=4teH5$+7*WfyTg5k;j;(hXIsf<%+Enxw8~f@+ddP3G0So~Tx(RHG9v!(8Ty z#8X%0C`iLAc()>n=*_1Fh*zZ9S<0d=Wrk7~rIeLsS71MjuVzx!=Ap{2v;qNtt%cU7 zzy+Lr8GGSsHs=u9PXHd(=IQ)a#g+~%_!?~606zI@&Ex}}jDpmR0)bJG!U%46j8TZD zZjFjjnAW0VRHI^4qhVCT8MWt(YBY?(os1&XjKYCYgu*CNVdSmt(F1xrl!VatE3n>M z+oK26QVDzEfq6Ks_!REW4`}@+=#$c!2b@O~=kY+pBihNMgPKP)@aUlMh~Yf6ooQC_ z&~~Po^Js44(d^E!aENQwa7c4>YPN z%!I$E;csAEzL-@Yj@a*UG!D9v6L%P;jES?0&lQL-_=uwqiW7A3DOR%I<9J+wVR=^P z0z=sWaS~lyh5O?vw6F>f#4pp}|KdS-8hx3G>GbbRyh@vxm__?mgUF@tFfo^&W@0{V zR|8@peW?cbwdCX*))$D2^Tj2g0EMOWcq1&qFE!XVOetQo-{W5jH}{UgH&u>5{2#~Z zYR7;7AIJS_$DjU>BdNu{0c{*lY8`2OElv(@GycMj;(Phx`)rOdTRN-`2htB};g&mr z9;?NHg18i(Ck~09(68&TKW(bTK3+Nbnvj57xPOF_bLW1KvvCE+*Ykz7@S&(H5R}#_ z@t^bfb+?|3&xd%<3F3<&{!MK>HP_+9$BP2b{y=dZ&?T@sU%GLr;x1k4U9vzSu< zB#XD|RV+$@Mcrd8D(Z1!fM#Fh8}eD(3Ji9>J2G!$-{`jHmTNl?+vIWg4dCwE=6+e_ zPQSg4yErXZ)RtDY<*M59)E(T_8FO82S$+p6IW1Lc%MWTxwb}yNLTSgls-1LGWo8>? z^IaTC7pgsOJ?W9^+=%s$8N(YP+^a!V;Ep?wacYkScOIXqJ(}EkG^#!BKk4Bj+5Dt2 zlCEu3k!*42QL6TM=*}ay$(6%^9`k@}GMp|{dyvOGHaFqqwoV%KPa4Ci=^plNyRHoe z?xDFzZ{LHfcf>v1x$R0b2*2m`rP|Bn-YdhIMt1MuPhYx^J9{~93kEO6%f9J8Zp0j{ z*>5l_Al=-I&v2}1zrlxNdB5SYaCu+&4e!D^ba@Nj=!^>B9x<(WgA)tl*oju$$LhGE z48aNp7jhE)xfPzBk{;qHXFwv8Bi!9N9@RZ?yElE4%2(hV0#2m}AX!AB&*`!VV?5t9%LxGZ;4cnc`d@mQ7o9d;}9 zqw6t=a29-zW2e>FdXAZi%8znvgc{q#u{D6P8}iqLJjGpco3I#NgCon^mi zo96*;{7h|BZrOhHu8xF4KD$*<{-nb>_O(XRi#S`@S!toYSS0;j+bf>;I#wcify$_egi1bd^k|IFdqw6b?S{1Q1WKkM|~{ zu}4l^fs{%u77|5E&1607s5usLolXA*D@kW^y_Gb;MqcSd#(}lchpdKCt}ls$(MP^y zwcFh%<*>$dKXpiYzHK3;QLeqzSD~Waeafzt#<9505I4~oH^~*30oTMOZ5Tc7r!0>& zgRj7KKN6Am*skKK=c&Gq`$+S7Rv~}CVZiUQd0i8-*cCGHcSDv!NNn573m@qXSIiJM zF?5eV35a7$%!p)h55A&thon`IOZ5%C*qp(>Sp{WCz6H{1_F_a@YMHoLq>D&F+(fusiw359KH z3?kj=yihWXzRk3HhFuIIX>@29XsPW$+Zjd%L6$EZSzzyv;#p3#gDkJK2fwIbh&|FC zvV6+4Ug3b<3x?Q@OnWv2wA66&|sFwZm88 zD|8vdk8&Ko7>)%fDFmS0Fp{RbVqU`5up@w{!$>@cM?l+o;g01MlG;OsN?u`gd#F&U zs!+)*h~Wwj6(Z?`aFPZ-N|}S8>nhvIRPr*FtW3E1Kd!!xhr5O^-Re(#>6!@Q54UCM zEWd)Dk0jynB~>w9ahS@u>GMd^o{0Y3mKsU?10n3XWAhv{HaR!Q4SFPk#L(3ampV5t zRrEk4>CF-}yRlYNIg0eoJatI+aAU18`#iD#@{J8H-2ENG=t0ABgci6OBd*2a_eoxEMiCux&=s8iLcrsB~N zK62|Q-UoBr(uK&Nd&iOv7JqGod5-gyqCk)EnEH-z>#k$3cVj`^js*g~E&@}u1Pp2d z^(q2icLDsjqc_z>}pBN<`0%>lo=q9HnZA|86YBMx@_ zT~|#yI)TJ^hiTUGE`_!1K*x0^-Sl_af_6=Sc{-N!ZrgHpa!q)eX2KzQ`dT8C8qo!o z^FkslXP9O=8`yG=);Bm;vVpH;16#?+4s8!`)3r%8i_)m-;&1WL#YWeRKxfNHe~c$y zx<*y=1e%|qxGEiNk1!pa4`>Yb1^?KTQy4$?~r)V_Yeg42l+WS zLCv(GE1ATs6Wmx^=&WvJ5Gfn1O(%jzFyb%5Cnf`&nL?t2X1RKv>*%=>4|jtUlBn}G3-oJH%%G^QAb8ab?*oIW zk|!{gL|bON^2}B8(5=bD7ZZB9JKWZbli@cfLYJkJa4Mt_3+V99H5Not8i|a_bp_8? zlTa@r*6~!kQy`U&rz&TubUc+#Nu{5|matzcnHr)u2mMZST6A<~8Z@Uq6<*GNo<^d) zjp|De8&plwr$dweH*x0s@7b9=$NIh=*8-2^~Hn*HoI{8 zVH(UxV6%&)C(|ICz-K4$MmZkB4Dc=HkLpyKMu|LCZaSnAS*orely-}}M|rGAq$io0 zWYYGCz44RZ)o(%UC3%jIyyl?1o}gVw2L`2_o%ST1J@8mMu^$Pgm-~`8xW26o3eO;G zK;M%=_K9PShKfgMFp8uZBgsIztS@|W9m*ub!7lbEd+}KNq5fnMhGEwM&Ipo(jXYg@8lFSe@njJ>WFwdlup4u{?c7lj%(E8bjt{XTT^Hu!jenA4dwnVa<55j5&HwAn!2w;Y3oRcLYpCFSCIE zC;|3iQ^^YAuq2@A%u>iL1=Gn)y@PZXHRqBFUc!<~u0zWEx#TiST{4$cVH3=)N$J+J z^t%P5mU|vsK<>y+%UZOE&Raw3xNZMyqzR(GT0~k|mM<0)fw;0{(PK+UE9Y^12_e{( z=2`RxOY?{ui-eGdtW@003Mx5ks^oiZFoAh;LpSF!W!?OQm~8%> z>|=8GSL6Vbuk0cJWU_NU`8Sgd-;hEk|7|D5Om00yDm7K|?WgyW=P<4BLjvjLkwkBQ zbd=<>Qn|-S4>7MW{wfmU@1e5^0Z2%QL+28PfP4`ZB`gK`J}OQ)53(ASBz8qYVqa96 zSO)S6DogS~LQ)JWPZ|Ys2D;pBJ`%dEKo!YrkdV9;T}drLLh4OanI4UV^ls={`rGJw z`UP~OX9B9~xg1sZEJ8KC;_{HtD-qT9{s9TSOVF)8kfhHFRM#&I3H`dF`i!5DkWq|o zXNDsovje)@{|FNLpF#}-rXyj%64W@*7YPG9qNYK|kTB>MbZ@XfdNBA^)I9hoY8jG> zT8HdL!jOF!4PA(Yp(`=Yg2`pQh{-U>H>^9>4NGi7!f-v-51$M2HEbA>ii8n^u`ydl zLUwyBWM2Wdz;1e|I3~5J0 z3gIqxjwTe6-uN1aRxqfDK>@g&?kObgaJl`bLb6?;%9((KGB_e|sTA`5t(0`8e<~vZ zY}g;t96v|=X?hvyPpjEa`zOzVCkzAWmt~|g{4zcHB1xbg<)D|)uH|G5|G{?FMffIl z5K#KzCAiD`Tmt(I?mHWF{yXKvOW^b)GgWg_#AVRoH@XT)xrFKPI~mi@f$sRNsse6? zEgW4{0UoW4U>AEu1&PLkPYRzHe=z=NJYoD1v|r)8*=gadaL$RHQ!px2x$(QH_M^iq z$vW@TrcoO3RwW7QaoRLV4f2epnNMak%~AW&K3A3C#cI$Iywpwbn`%G0<|^3%m3ON_ zNANy3!FIJDef1hy+T*kopayxcl>KC|G*azH!>%*h(gZc=2!7d3@N~5w&Am=$K=6BN z&=G8N6HL{9)a!;)xlj!{f{Wb*m#Y2f1Ri`%4LX9W-2~rK`_bJz_<wUvb%Zhe zWQ^reFg9#rSr4+Ta10K!(_~nl%p7}Ado>x*9!{ZRcGwgPaKH^qd0-OYj1LyzG%1GD gIl(ogOFKC6hNTtERL4B;u;Ke`c-KC;hNMaV1E=rMtpET3 diff --git a/design/target/scala-2.12/classes/vsrc/axi2wb.v b/design/target/scala-2.12/classes/vsrc/axi2wb.v new file mode 100644 index 00000000..8592b471 --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/axi2wb.v @@ -0,0 +1,410 @@ +// SPDX-License-Identifier: Apache-2.0 +// Copyright 2019 Peter Gustavsson +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. + +//******************************************************************************** +// $Id$ +// +// Function: AXI lite to Wishbone non pipelined bridge +// Comments: Assumes single accesses to a 32bit register on an 64bit aligned address +// +//******************************************************************************** + +`default_nettype none +module axi2wb + #(parameter AW = 12, + parameter IW = 0) + ( + input wire i_clk, + input wire i_rst, + + // Wishbone master + output reg [AW-1:2] o_wb_adr, + output reg [31:0] o_wb_dat, + output reg [3:0] o_wb_sel, + output reg o_wb_we, + output reg o_wb_cyc, + output reg o_wb_stb, + + input wire [31:0] i_wb_rdt, + input wire i_wb_ack, + input wire i_wb_err, + + // AXI slave + // AXI adress write channel + input wire [AW-1:0] i_awaddr, + input wire [IW-1:0] i_awid, + input wire i_awvalid, + output reg o_awready, + //AXI adress read channel + input wire [AW-1:0] i_araddr, + input wire [IW-1:0] i_arid, + input wire i_arvalid, + output reg o_arready, + //AXI write channel + input wire [63:0] i_wdata, + input wire [7:0] i_wstrb, + input wire i_wvalid, + output reg o_wready, + //AXI response channel + output reg [IW-1:0] o_bid, + output wire [1:0] o_bresp, + output reg o_bvalid, + input wire i_bready, + //AXI read channel + output reg [63:0] o_rdata, + output reg [IW-1:0] o_rid, + output wire [1:0] o_rresp, + output wire o_rlast, + output reg o_rvalid, + input wire i_rready + ); + + assign o_bresp = 2'b00; + assign o_rresp = 2'b00; + assign o_rlast = 1'b1; + + reg hi_32b_w; + reg arbiter; + reg [31:0] wb_rdt_low; + + + parameter STATESIZE = 4; + + parameter [STATESIZE-1:0] + IDLE = 4'd0, + AWACK = 4'd1, + WBWACK= 4'd2, + WBRACK1 = 4'd3, + WBR2 = 4'd4, + WBRACK2 = 4'd5, + BAXI = 4'd6, + RRAXI = 4'd7; + + reg [STATESIZE-1:0] cs; + + // formal helper registers + reg aw_req; + reg w_req; + reg ar_req; + + + initial o_rvalid = 1'b0; + initial o_bvalid = 1'b0; + initial o_wb_stb = 1'b0; + initial o_wb_cyc = 1'b0; + initial o_wb_we = 1'b0; + initial cs = 4'd0; + initial aw_req = 1'b0; + initial w_req = 1'b0; + initial ar_req = 1'b0; + + + always @(posedge i_clk) begin + if (i_rst) begin + o_awready <= 1'b0; + o_wready <= 1'b0; + o_arready <= 1'b0; + o_rvalid <= 1'b0; + o_bvalid <= 1'b0; + o_wb_adr <= {AW-2{1'b0}}; + o_wb_cyc <= 1'b0; + o_wb_stb <= 1'b0; + o_wb_sel <= 4'd0; + o_wb_we <= 1'b0; + arbiter <= 1'b1; + wb_rdt_low <= 32'hDEADBEEF; + cs <= IDLE; + + aw_req <= 1'b0; + w_req <= 1'b0; + ar_req <= 1'b0; + o_bid <= {IW{1'b0}}; + o_rid <= {IW{1'b0}}; + + end + else begin + if (i_awvalid & o_awready) + o_bid <= i_awid; + + if (i_arvalid & o_arready) + o_rid <= i_arid; + + o_awready <= 1'b0; + o_wready <= 1'b0; + o_arready <= 1'b0; + + if (i_awvalid && o_awready) + aw_req <= 1'b1; + else if (i_bready && o_bvalid) + aw_req <= 1'b0; + + if (i_wvalid && o_wready) + w_req <= 1'b1; + else if (i_bready && o_bvalid) + w_req <= 1'b0; + + if (i_arvalid && o_arready) + ar_req <= 1'b1; + else if (i_rready && o_rvalid) + ar_req <= 1'b0; + + case (cs) + IDLE : begin + arbiter <= 1'b1; + if (i_awvalid && arbiter) begin + o_wb_adr[AW-1:3] <= i_awaddr[AW-1:3]; + o_awready <= 1'b1; + arbiter <= 1'b0; + if (i_wvalid) begin + hi_32b_w = (i_wstrb[3:0] == 4'h0) ? 1'b1 : 1'b0; + o_wb_adr[2] <= hi_32b_w; + o_wb_cyc <= 1'b1; + o_wb_stb <= 1'b1; + o_wb_sel <= hi_32b_w ? i_wstrb[7:4] : i_wstrb[3:0]; + o_wb_dat <= hi_32b_w ? i_wdata[63:32] : i_wdata[31:0]; + o_wb_we <= 1'b1; + o_wready <= 1'b1; + cs <= WBWACK; + end + else begin + cs <= AWACK; + end + end + else if (i_arvalid) begin + o_wb_adr[AW-1:2] <= i_araddr[AW-1:2]; + o_wb_sel <= 4'hF; + o_wb_cyc <= 1'b1; + o_wb_stb <= 1'b1; + o_arready <= 1'b1; + cs <= WBRACK1; + end + end + + AWACK : begin + if (i_wvalid) begin + hi_32b_w = (i_wstrb[3:0] == 4'h0) ? 1'b1 : 1'b0; + o_wb_adr[2] <= hi_32b_w; + o_wb_cyc <= 1'b1; + o_wb_stb <= 1'b1; + o_wb_sel <= hi_32b_w ? i_wstrb[7:4] : i_wstrb[3:0]; + o_wb_dat <= hi_32b_w ? i_wdata[63:32] : i_wdata[31:0]; + o_wb_we <= 1'b1; + o_wready <= 1'b1; + cs <= WBWACK; + end + end + + WBWACK : begin + if ( i_wb_err || i_wb_ack ) begin + o_wb_cyc <= 1'b0; + o_wb_stb <= 1'b0; + o_wb_sel <= 4'h0; + o_wb_we <= 1'b0; + o_bvalid <= 1'b1; + cs <= BAXI; + end + end + + WBRACK1 : begin + if ( i_wb_err || i_wb_ack) begin + o_wb_cyc <= 1'b0; + o_wb_stb <= 1'b0; + o_wb_sel <= 4'h0; + wb_rdt_low <= i_wb_rdt; + cs <= WBR2; + end + end + + WBR2 : begin + o_wb_adr[2] <= 1'b1; + o_wb_sel <= 4'hF; + o_wb_cyc <= 1'b1; + o_wb_stb <= 1'b1; + cs <= WBRACK2; + end + + + WBRACK2 : begin + if ( i_wb_err || i_wb_ack) begin + o_wb_cyc <= 1'b0; + o_wb_stb <= 1'b0; + o_wb_sel <= 4'h0; + o_rvalid <= 1'b1; + o_rdata <= {i_wb_rdt, wb_rdt_low}; + cs <= RRAXI; + end + end + + BAXI : begin + o_bvalid <= 1'b1; + if (i_bready) begin + o_bvalid <= 1'b0; + cs <= IDLE; + end + end + + RRAXI : begin + o_rvalid <= 1'b1; + if (i_rready) begin + o_rvalid <= 1'b0; + cs <= IDLE; + end + end + + default : begin + o_awready <= 1'b0; + o_wready <= 1'b0; + o_arready <= 1'b0; + o_rvalid <= 1'b0; + o_bvalid <= 1'b0; + o_wb_adr <= {AW-2{1'b0}}; + o_wb_cyc <= 1'b0; + o_wb_stb <= 1'b0; + o_wb_sel <= 4'd0; + o_wb_we <= 1'b0; + arbiter <= 1'b1; + cs <= IDLE; + end + endcase + end + end + +`ifdef FORMAL + localparam F_LGDEPTH = 4; + + wire [(F_LGDEPTH-1):0] faxi_awr_outstanding, + faxi_wr_outstanding, + faxi_rd_outstanding; + + + faxil_slave + #( + .C_AXI_DATA_WIDTH(64), + .C_AXI_ADDR_WIDTH(AW), + .F_OPT_BRESP (1'b1), + .F_OPT_RRESP (1'b1), + .F_AXI_MAXWAIT (16), + .F_AXI_MAXDELAY (4), + .F_AXI_MAXRSTALL (1), + .F_LGDEPTH(F_LGDEPTH)) + faxil_slave + ( + .i_clk(i_clk), + .i_axi_reset_n(~i_rst), + // + .i_axi_awaddr(i_awaddr), + .i_axi_awcache(4'h0), + .i_axi_awprot(3'd0), + .i_axi_awvalid(i_awvalid), + .i_axi_awready(o_awready), + // + .i_axi_wdata(i_wdata), + .i_axi_wstrb(i_wstrb), + .i_axi_wvalid(i_wvalid), + .i_axi_wready(o_wready), + // + .i_axi_bresp(2'd0), + .i_axi_bvalid(o_bvalid), + .i_axi_bready(i_bready), + // + .i_axi_araddr(i_araddr), + .i_axi_arprot(3'd0), + .i_axi_arcache(4'h0), + .i_axi_arvalid(i_arvalid), + .i_axi_arready(o_arready), + // + .i_axi_rdata(o_rdata), + .i_axi_rresp(2'd0), + .i_axi_rvalid(o_rvalid), + .i_axi_rready(i_rready), + // + .f_axi_rd_outstanding(faxi_rd_outstanding), + .f_axi_wr_outstanding(faxi_wr_outstanding), + .f_axi_awr_outstanding(faxi_awr_outstanding)); + + + always @(*) begin + + assert(faxi_awr_outstanding <= 1); + assert(faxi_wr_outstanding <= 1); + assert(faxi_rd_outstanding <= 1); + + case (cs) + IDLE : begin + assert(!o_wb_we); + assert(!o_wb_stb); + assert(!o_wb_cyc); + assert(!aw_req); + assert(!ar_req); + assert(!w_req); + assert(faxi_awr_outstanding == 0); + assert(faxi_wr_outstanding == 0); + assert(faxi_rd_outstanding == 0); + end + AWACK : begin + assert(!o_wb_we); + assert(!o_wb_stb); + assert(!o_wb_cyc); + assert(faxi_awr_outstanding == (aw_req ? 1:0)); + assert(faxi_wr_outstanding == 0); + assert(faxi_rd_outstanding == 0); + end + WBWACK : begin + assert(faxi_awr_outstanding == (aw_req ? 1:0)); + assert(faxi_wr_outstanding == (w_req ? 1:0)); + assert(faxi_rd_outstanding == 0); + end + WBRACK : begin + assert(faxi_awr_outstanding == 0); + assert(faxi_wr_outstanding == 0); + assert(faxi_rd_outstanding == (ar_req ? 1:0)); + end + BAXI : begin + assert(faxi_rd_outstanding == 0); + end + RRAXI : begin + assert(faxi_awr_outstanding == 0); + assert(faxi_wr_outstanding == 0); + end + + default: + assert(0); + endcase // case (cs) + end + + fwbc_master + #(.AW (AW-2), + .DW (32), + .F_MAX_DELAY (4), + .OPT_BUS_ABORT (0)) + fwbc_master + (.i_clk (i_clk), + .i_reset (i_rst), + .i_wb_addr (o_wb_adr), + .i_wb_data (o_wb_dat), + .i_wb_sel (o_wb_sel), + .i_wb_we (o_wb_we), + .i_wb_cyc (o_wb_cyc), + .i_wb_stb (o_wb_stb), + .i_wb_cti (3'd0), + .i_wb_bte (2'd0), + .i_wb_idata (i_wb_rdt), + .i_wb_ack (i_wb_ack), + .i_wb_err (i_wb_err), + .i_wb_rty (1'b0)); + +`endif +endmodule +`default_nettype wire diff --git a/design/target/scala-2.12/classes/vsrc/dpram64.v b/design/target/scala-2.12/classes/vsrc/dpram64.v new file mode 100644 index 00000000..56abe104 --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/dpram64.v @@ -0,0 +1,66 @@ +// SPDX-License-Identifier: Apache-2.0 +// Copyright 2019 Western Digital Corporation or its affiliates. +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. + +//******************************************************************************** +// $Id$ +// +// Function: Basic RAM model with separate read/write ports and byte-wise write enable +// Comments: +// +//******************************************************************************** + +module dpram64 + #(parameter SIZE=0, + parameter mem_clear = 0, + parameter memfile = "") + (input wire clk, + input wire [7:0] we, + input wire [63:0] din, + input wire [$clog2(SIZE)-1:0] waddr, + input wire [$clog2(SIZE)-1:0] raddr, + output reg [63:0] dout); + + localparam AW = $clog2(SIZE); + + reg [63:0] mem [0:SIZE/8-1] /* verilator public */; + + integer i; + wire [AW-4:0] wadd = waddr[AW-1:3]; + + always @(posedge clk) begin + if (we[0]) mem[wadd][ 7: 0] <= din[ 7: 0]; + if (we[1]) mem[wadd][15: 8] <= din[15: 8]; + if (we[2]) mem[wadd][23:16] <= din[23:16]; + if (we[3]) mem[wadd][31:24] <= din[31:24]; + if (we[4]) mem[wadd][39:32] <= din[39:32]; + if (we[5]) mem[wadd][47:40] <= din[47:40]; + if (we[6]) mem[wadd][55:48] <= din[55:48]; + if (we[7]) mem[wadd][63:56] <= din[63:56]; + dout <= mem[raddr[AW-1:3]]; + end + + generate + initial begin + if (mem_clear) + for (i=0;i= mtimecmp); + + if (i_rst) begin + mtime <= 64'd0; + mtimecmp <= 64'd0; + o_wb_ack <= 1'b0; + end + end +endmodule diff --git a/design/target/scala-2.12/classes/vsrc/uart_defines.v b/design/target/scala-2.12/classes/vsrc/uart_defines.v new file mode 100644 index 00000000..fca7b6a5 --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/uart_defines.v @@ -0,0 +1,233 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_defines.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// Defines of the Core //// +//// //// +//// Known problems (limits): //// +//// None //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.13 2003/06/11 16:37:47 gorban +// This fixes errors in some cases when data is being read and put to the FIFO at the same time. Patch is submitted by Scott Furman. Update is very recommended. +// +// Revision 1.12 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.10 2001/12/11 08:55:40 mohor +// Scratch register define added. +// +// Revision 1.9 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.8 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.7 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.6 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.5 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.4 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.3 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:11+02 jacob +// Initial revision +// +// + +// Uncomment this if you want your UART to have +// 16xBaudrate output port. +// If defined, the enable signal will be used to drive baudrate_o signal +// It's frequency is 16xbaudrate + +// `define UART_HAS_BAUDRATE_OUTPUT + +// Register addresses +`define UART_REG_RB 3'd0 // receiver buffer +`define UART_REG_TR 3'd0 // transmitter +`define UART_REG_IE 3'd1 // Interrupt enable +`define UART_REG_II 3'd2 // Interrupt identification +`define UART_REG_FC 3'd2 // FIFO control +`define UART_REG_LC 3'd3 // Line Control +`define UART_REG_MC 3'd4 // Modem control +`define UART_REG_LS 3'd5 // Line status +`define UART_REG_MS 3'd6 // Modem status +`define UART_REG_SR 3'd7 // Scratch register +`define UART_REG_DL1 3'd0 // Divisor latch bytes (1-2) +`define UART_REG_DL2 3'd1 + +// Interrupt Enable register bits +`define UART_IE_RDA 0 // Received Data available interrupt +`define UART_IE_THRE 1 // Transmitter Holding Register empty interrupt +`define UART_IE_RLS 2 // Receiver Line Status Interrupt +`define UART_IE_MS 3 // Modem Status Interrupt + +// Interrupt Identification register bits +`define UART_II_IP 0 // Interrupt pending when 0 +`define UART_II_II 3:1 // Interrupt identification + +// Interrupt identification values for bits 3:1 +`define UART_II_RLS 3'b011 // Receiver Line Status +`define UART_II_RDA 3'b010 // Receiver Data available +`define UART_II_TI 3'b110 // Timeout Indication +`define UART_II_THRE 3'b001 // Transmitter Holding Register empty +`define UART_II_MS 3'b000 // Modem Status + +// FIFO Control Register bits +`define UART_FC_TL 1:0 // Trigger level + +// FIFO trigger level values +`define UART_FC_1 2'b00 +`define UART_FC_4 2'b01 +`define UART_FC_8 2'b10 +`define UART_FC_14 2'b11 + +// Line Control register bits +`define UART_LC_BITS 1:0 // bits in character +`define UART_LC_SB 2 // stop bits +`define UART_LC_PE 3 // parity enable +`define UART_LC_EP 4 // even parity +`define UART_LC_SP 5 // stick parity +`define UART_LC_BC 6 // Break control +`define UART_LC_DL 7 // Divisor Latch access bit + +// Modem Control register bits +`define UART_MC_DTR 0 +`define UART_MC_RTS 1 +`define UART_MC_OUT1 2 +`define UART_MC_OUT2 3 +`define UART_MC_LB 4 // Loopback mode + +// Line Status Register bits +`define UART_LS_DR 0 // Data ready +`define UART_LS_OE 1 // Overrun Error +`define UART_LS_PE 2 // Parity Error +`define UART_LS_FE 3 // Framing Error +`define UART_LS_BI 4 // Break interrupt +`define UART_LS_TFE 5 // Transmit FIFO is empty +`define UART_LS_TE 6 // Transmitter Empty indicator +`define UART_LS_EI 7 // Error indicator + +// Modem Status Register bits +`define UART_MS_DCTS 0 // Delta signals +`define UART_MS_DDSR 1 +`define UART_MS_TERI 2 +`define UART_MS_DDCD 3 +`define UART_MS_CCTS 4 // Complement signals +`define UART_MS_CDSR 5 +`define UART_MS_CRI 6 +`define UART_MS_CDCD 7 + +// FIFO parameter defines + +`define UART_FIFO_WIDTH 8 +`define UART_FIFO_DEPTH 16 +`define UART_FIFO_POINTER_W 4 +`define UART_FIFO_COUNTER_W 5 +// receiver fifo has width 11 because it has break, parity and framing error bits +`define UART_FIFO_REC_WIDTH 11 + + +`define VERBOSE_WB 0 // All activity on the WISHBONE is recorded +`define VERBOSE_LINE_STATUS 0 // Details about the lsr (line status register) +`define FAST_TEST 1 // 64/1024 packets are sent + + + + + + + diff --git a/design/target/scala-2.12/classes/vsrc/uart_receiver.v b/design/target/scala-2.12/classes/vsrc/uart_receiver.v new file mode 100644 index 00000000..44c29367 --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/uart_receiver.v @@ -0,0 +1,475 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_receiver.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core receiver logic //// +//// //// +//// Known problems (limits): //// +//// None known //// +//// //// +//// To Do: //// +//// Thourough testing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.29 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.28 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.27 2001/12/30 20:39:13 mohor +// More than one character was stored in case of break. End of the break +// was not detected correctly. +// +// Revision 1.26 2001/12/20 13:28:27 mohor +// Missing declaration of rf_push_q fixed. +// +// Revision 1.25 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.24 2001/12/19 08:03:34 mohor +// Warnings cleared. +// +// Revision 1.23 2001/12/19 07:33:54 mohor +// Synplicity was having troubles with the comment. +// +// Revision 1.22 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.21 2001/12/13 10:31:16 mohor +// timeout irq must be set regardless of the rda irq (rda irq does not reset the +// timeout counter). +// +// Revision 1.20 2001/12/10 19:52:05 gorban +// Igor fixed break condition bugs +// +// Revision 1.19 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.18 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.17 2001/11/28 19:36:39 gorban +// Fixed: timeout and break didn't pay attention to current data format when counting time +// +// Revision 1.16 2001/11/27 22:17:09 gorban +// Fixed bug that prevented synthesis in uart_receiver.v +// +// Revision 1.15 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.14 2001/11/10 12:43:21 gorban +// Logic Synthesis bugs fixed. Some other minor changes +// +// Revision 1.13 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.12 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.11 2001/10/31 15:19:22 gorban +// Fixes to break and timeout conditions +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.6 2001/06/23 11:21:48 gorban +// DL made 16-bit long. Fixed transmission/reception bugs. +// +// Revision 1.5 2001/06/02 14:28:14 gorban +// Fixed receiver and transmitter. Major bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:49 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.1 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:11+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +module uart_receiver (clk, wb_rst_i, lcr, rf_pop, srx_pad_i, enable, + counter_t, rf_count, rf_data_out, rf_error_bit, rf_overrun, rx_reset, lsr_mask, rstate, rf_push_pulse); + +input clk; +input wb_rst_i; +input [7:0] lcr; +input rf_pop; +input srx_pad_i; +input enable; +input rx_reset; +input lsr_mask; + +output [9:0] counter_t; +output [`UART_FIFO_COUNTER_W-1:0] rf_count; +output [`UART_FIFO_REC_WIDTH-1:0] rf_data_out; +output rf_overrun; +output rf_error_bit; +output [3:0] rstate; +output rf_push_pulse; + +reg [3:0] rstate; +reg [3:0] rcounter16; +reg [2:0] rbit_counter; +reg [7:0] rshift; // receiver shift register +reg rparity; // received parity +reg rparity_error; +reg rframing_error; // framing error flag +reg rparity_xor; +reg [7:0] counter_b; // counts the 0 (low) signals +reg rf_push_q; + +// RX FIFO signals +reg [`UART_FIFO_REC_WIDTH-1:0] rf_data_in; +wire [`UART_FIFO_REC_WIDTH-1:0] rf_data_out; +wire rf_push_pulse; +reg rf_push; +wire rf_pop; +wire rf_overrun; +wire [`UART_FIFO_COUNTER_W-1:0] rf_count; +wire rf_error_bit; // an error (parity or framing) is inside the fifo +wire break_error = (counter_b == 0); + +// RX FIFO instance +uart_rfifo #(`UART_FIFO_REC_WIDTH) fifo_rx( + .clk( clk ), + .wb_rst_i( wb_rst_i ), + .data_in( rf_data_in ), + .data_out( rf_data_out ), + .push( rf_push_pulse ), + .pop( rf_pop ), + .overrun( rf_overrun ), + .count( rf_count ), + .error_bit( rf_error_bit ), + .fifo_reset( rx_reset ), + .reset_status(lsr_mask) +); + +wire rcounter16_eq_7 = (rcounter16 == 4'd7); +wire rcounter16_eq_0 = (rcounter16 == 4'd0); + +wire [3:0] rcounter16_minus_1 = rcounter16 - 3'd1; + +parameter sr_idle = 4'd0; +parameter sr_rec_start = 4'd1; +parameter sr_rec_bit = 4'd2; +parameter sr_rec_parity = 4'd3; +parameter sr_rec_stop = 4'd4; +parameter sr_check_parity = 4'd5; +parameter sr_rec_prepare = 4'd6; +parameter sr_end_bit = 4'd7; +parameter sr_ca_lc_parity = 4'd8; +parameter sr_wait1 = 4'd9; +parameter sr_push = 4'd10; + + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + begin + rstate <= sr_idle; + rcounter16 <= 0; + rbit_counter <= 0; + rparity_xor <= 1'b0; + rframing_error <= 1'b0; + rparity_error <= 1'b0; + rparity <= 1'b0; + rshift <= 0; + rf_push <= 1'b0; + rf_data_in <= 0; + end + else + if (enable) + begin + case (rstate) + sr_idle : begin + rf_push <= 1'b0; + rf_data_in <= 0; + rcounter16 <= 4'b1110; + if (srx_pad_i==1'b0 & ~break_error) // detected a pulse (start bit?) + begin + rstate <= sr_rec_start; + end + end + sr_rec_start : begin + rf_push <= 1'b0; + if (rcounter16_eq_7) // check the pulse + if (srx_pad_i==1'b1) // no start bit + rstate <= sr_idle; + else // start bit detected + rstate <= sr_rec_prepare; + rcounter16 <= rcounter16_minus_1; + end + sr_rec_prepare:begin + case (lcr[/*`UART_LC_BITS*/1:0]) // number of bits in a word + 2'b00 : rbit_counter <= 3'b100; + 2'b01 : rbit_counter <= 3'b101; + 2'b10 : rbit_counter <= 3'b110; + 2'b11 : rbit_counter <= 3'b111; + endcase + if (rcounter16_eq_0) + begin + rstate <= sr_rec_bit; + rcounter16 <= 4'b1110; + rshift <= 0; + end + else + rstate <= sr_rec_prepare; + rcounter16 <= rcounter16_minus_1; + end + sr_rec_bit : begin + if (rcounter16_eq_0) + rstate <= sr_end_bit; + if (rcounter16_eq_7) // read the bit + case (lcr[/*`UART_LC_BITS*/1:0]) // number of bits in a word + 2'b00 : rshift[4:0] <= {srx_pad_i, rshift[4:1]}; + 2'b01 : rshift[5:0] <= {srx_pad_i, rshift[5:1]}; + 2'b10 : rshift[6:0] <= {srx_pad_i, rshift[6:1]}; + 2'b11 : rshift[7:0] <= {srx_pad_i, rshift[7:1]}; + endcase + rcounter16 <= rcounter16_minus_1; + end + sr_end_bit : begin + if (rbit_counter==3'b0) // no more bits in word + if (lcr[`UART_LC_PE]) // choose state based on parity + rstate <= sr_rec_parity; + else + begin + rstate <= sr_rec_stop; + rparity_error <= 1'b0; // no parity - no error :) + end + else // else we have more bits to read + begin + rstate <= sr_rec_bit; + rbit_counter <= rbit_counter - 3'd1; + end + rcounter16 <= 4'b1110; + end + sr_rec_parity: begin + if (rcounter16_eq_7) // read the parity + begin + rparity <= srx_pad_i; + rstate <= sr_ca_lc_parity; + end + rcounter16 <= rcounter16_minus_1; + end + sr_ca_lc_parity : begin // rcounter equals 6 + rcounter16 <= rcounter16_minus_1; + rparity_xor <= ^{rshift,rparity}; // calculate parity on all incoming data + rstate <= sr_check_parity; + end + sr_check_parity: begin // rcounter equals 5 + case ({lcr[`UART_LC_EP],lcr[`UART_LC_SP]}) + 2'b00: rparity_error <= rparity_xor == 0; // no error if parity 1 + 2'b01: rparity_error <= ~rparity; // parity should sticked to 1 + 2'b10: rparity_error <= rparity_xor == 1; // error if parity is odd + 2'b11: rparity_error <= rparity; // parity should be sticked to 0 + endcase + rcounter16 <= rcounter16_minus_1; + rstate <= sr_wait1; + end + sr_wait1 : if (rcounter16_eq_0) + begin + rstate <= sr_rec_stop; + rcounter16 <= 4'b1110; + end + else + rcounter16 <= rcounter16_minus_1; + sr_rec_stop : begin + if (rcounter16_eq_7) // read the parity + begin + rframing_error <= !srx_pad_i; // no framing error if input is 1 (stop bit) + rstate <= sr_push; + end + rcounter16 <= rcounter16_minus_1; + end + sr_push : begin +/////////////////////////////////////// +// $display($time, ": received: %b", rf_data_in); + if(srx_pad_i | break_error) + begin + if(break_error) + rf_data_in <= {8'b0, 3'b100}; // break input (empty character) to receiver FIFO + else + rf_data_in <= {rshift, 1'b0, rparity_error, rframing_error}; + rf_push <= 1'b1; + rstate <= sr_idle; + end + else if(~rframing_error) // There's always a framing before break_error -> wait for break or srx_pad_i + begin + rf_data_in <= {rshift, 1'b0, rparity_error, rframing_error}; + rf_push <= 1'b1; + rcounter16 <= 4'b1110; + rstate <= sr_rec_start; + end + + end + default : rstate <= sr_idle; + endcase + end // if (enable) +end // always of receiver + +always @ (posedge clk or posedge wb_rst_i) +begin + if(wb_rst_i) + rf_push_q <= 0; + else + rf_push_q <= rf_push; +end + +assign rf_push_pulse = rf_push & ~rf_push_q; + + +// +// Break condition detection. +// Works in conjuction with the receiver state machine + +reg [9:0] toc_value; // value to be set to timeout counter + +always @(lcr) + case (lcr[3:0]) + 4'b0000 : toc_value = 447; // 7 bits + 4'b0100 : toc_value = 479; // 7.5 bits + 4'b0001, 4'b1000 : toc_value = 511; // 8 bits + 4'b1100 : toc_value = 543; // 8.5 bits + 4'b0010, 4'b0101, 4'b1001 : toc_value = 575; // 9 bits + 4'b0011, 4'b0110, 4'b1010, 4'b1101 : toc_value = 639; // 10 bits + 4'b0111, 4'b1011, 4'b1110 : toc_value = 703; // 11 bits + 4'b1111 : toc_value = 767; // 12 bits + endcase // case(lcr[3:0]) + +wire [7:0] brc_value; // value to be set to break counter +assign brc_value = toc_value[9:2]; // the same as timeout but 1 insead of 4 character times + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + counter_b <= 8'd159; + else + if (srx_pad_i) + counter_b <= brc_value; // character time length - 1 + else + if(enable & counter_b != 8'b0) // only work on enable times break not reached. + counter_b <= counter_b - 8'd1; // decrement break counter +end // always of break condition detection + +/// +/// Timeout condition detection +reg [9:0] counter_t; // counts the timeout condition clocks + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + counter_t <= 10'd639; // 10 bits for the default 8N1 + else + if(rf_push_pulse || rf_pop || rf_count == 0) // counter is reset when RX FIFO is empty, accessed or above trigger level + counter_t <= toc_value; + else + if (enable && counter_t != 10'b0) // we don't want to underflow + counter_t <= counter_t - 10'd1; +end + +endmodule diff --git a/design/target/scala-2.12/classes/vsrc/uart_regs.v b/design/target/scala-2.12/classes/vsrc/uart_regs.v new file mode 100644 index 00000000..931632c4 --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/uart_regs.v @@ -0,0 +1,888 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_regs.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// Registers of the uart 16550 core //// +//// //// +//// Known problems (limits): //// +//// Inserts 1 wait state in all WISHBONE transfers //// +//// //// +//// To Do: //// +//// Nothing or verification. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: (See log for the revision history //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.41 2004/05/21 11:44:41 tadejm +// Added synchronizer flops for RX input. +// +// Revision 1.40 2003/06/11 16:37:47 gorban +// This fixes errors in some cases when data is being read and put to the FIFO at the same time. Patch is submitted by Scott Furman. Update is very recommended. +// +// Revision 1.39 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.38 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.37 2001/12/27 13:24:09 mohor +// lsr[7] was not showing overrun errors. +// +// Revision 1.36 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.35 2001/12/19 08:03:34 mohor +// Warnings cleared. +// +// Revision 1.34 2001/12/19 07:33:54 mohor +// Synplicity was having troubles with the comment. +// +// Revision 1.33 2001/12/17 10:14:43 mohor +// Things related to msr register changed. After THRE IRQ occurs, and one +// character is written to the transmit fifo, the detection of the THRE bit in the +// LSR is delayed for one character time. +// +// Revision 1.32 2001/12/14 13:19:24 mohor +// MSR register fixed. +// +// Revision 1.31 2001/12/14 10:06:58 mohor +// After reset modem status register MSR should be reset. +// +// Revision 1.30 2001/12/13 10:09:13 mohor +// thre irq should be cleared only when being source of interrupt. +// +// Revision 1.29 2001/12/12 09:05:46 mohor +// LSR status bit 0 was not cleared correctly in case of reseting the FCR (rx fifo). +// +// Revision 1.28 2001/12/10 19:52:41 gorban +// Scratch register added +// +// Revision 1.27 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.26 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.25 2001/11/28 19:36:39 gorban +// Fixed: timeout and break didn't pay attention to current data format when counting time +// +// Revision 1.24 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.23 2001/11/12 21:57:29 gorban +// fixed more typo bugs +// +// Revision 1.22 2001/11/12 15:02:28 mohor +// lsr1r error fixed. +// +// Revision 1.21 2001/11/12 14:57:27 mohor +// ti_int_pnd error fixed. +// +// Revision 1.20 2001/11/12 14:50:27 mohor +// ti_int_d error fixed. +// +// Revision 1.19 2001/11/10 12:43:21 gorban +// Logic Synthesis bugs fixed. Some other minor changes +// +// Revision 1.18 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.17 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.16 2001/11/02 09:55:16 mohor +// no message +// +// Revision 1.15 2001/10/31 15:19:22 gorban +// Fixes to break and timeout conditions +// +// Revision 1.14 2001/10/29 17:00:46 gorban +// fixed parity sending and tx_fifo resets over- and underrun +// +// Revision 1.13 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.12 2001/10/19 16:21:40 gorban +// Changes data_out to be synchronous again as it should have been. +// +// Revision 1.11 2001/10/18 20:35:45 gorban +// small fix +// +// Revision 1.10 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.9 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.10 2001/06/23 11:21:48 gorban +// DL made 16-bit long. Fixed transmission/reception bugs. +// +// Revision 1.9 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.8 2001/05/29 20:05:04 gorban +// Fixed some bugs and synthesis problems. +// +// Revision 1.7 2001/05/27 17:37:49 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.6 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.5 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:11+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +`define UART_DL1 7:0 +`define UART_DL2 15:8 + +module uart_regs +#(parameter SIM = 0) + (clk, + wb_rst_i, wb_addr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_re_i, + +// additional signals + modem_inputs, + stx_pad_o, srx_pad_i, + + rts_pad_o, dtr_pad_o, int_o +`ifdef UART_HAS_BAUDRATE_OUTPUT + , baud_o +`endif + + ); + +input clk; +input wb_rst_i; +input [2:0] wb_addr_i; +input [7:0] wb_dat_i; +output [7:0] wb_dat_o; +input wb_we_i; +input wb_re_i; + +output stx_pad_o; +input srx_pad_i; + +input [3:0] modem_inputs; +output rts_pad_o; +output dtr_pad_o; +output int_o; +`ifdef UART_HAS_BAUDRATE_OUTPUT +output baud_o; +`endif + +wire [3:0] modem_inputs; +reg enable; +`ifdef UART_HAS_BAUDRATE_OUTPUT +assign baud_o = enable; // baud_o is actually the enable signal +`endif + + +wire stx_pad_o; // received from transmitter module +wire srx_pad_i; +wire srx_pad; + +reg [7:0] wb_dat_o; + +wire [2:0] wb_addr_i; +wire [7:0] wb_dat_i; + + +reg [3:0] ier; +reg [3:0] iir; +reg [1:0] fcr; /// bits 7 and 6 of fcr. Other bits are ignored +reg [4:0] mcr; +reg [7:0] lcr; +reg [7:0] msr; +reg [15:0] dl; // 32-bit divisor latch +reg [7:0] scratch; // UART scratch register +reg start_dlc; // activate dlc on writing to UART_DL1 +reg lsr_mask_d; // delay for lsr_mask condition +reg msi_reset; // reset MSR 4 lower bits indicator +//reg threi_clear; // THRE interrupt clear flag +reg [15:0] dlc; // 32-bit divisor latch counter +reg int_o; + +reg [3:0] trigger_level; // trigger level of the receiver FIFO +reg rx_reset; +reg tx_reset; + +wire dlab; // divisor latch access bit +wire cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i; // modem status bits +wire loopback; // loopback bit (MCR bit 4) +wire cts, dsr, ri, dcd; // effective signals +wire cts_c, dsr_c, ri_c, dcd_c; // Complement effective signals (considering loopback) +wire rts_pad_o, dtr_pad_o; // modem control outputs + +// LSR bits wires and regs +wire [7:0] lsr; +wire lsr0, lsr1, lsr2, lsr3, lsr4, lsr5, lsr6, lsr7; +reg lsr0r, lsr1r, lsr2r, lsr3r, lsr4r, lsr5r, lsr6r, lsr7r; +wire lsr_mask; // lsr_mask + +// +// ASSINGS +// + +assign lsr[7:0] = { lsr7r, lsr6r, lsr5r, lsr4r, lsr3r, lsr2r, lsr1r, lsr0r }; + +assign {cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i} = modem_inputs; +assign {cts, dsr, ri, dcd} = ~{cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i}; + +assign {cts_c, dsr_c, ri_c, dcd_c} = loopback ? {mcr[`UART_MC_RTS],mcr[`UART_MC_DTR],mcr[`UART_MC_OUT1],mcr[`UART_MC_OUT2]} + : {cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i}; + +assign dlab = lcr[`UART_LC_DL]; +assign loopback = mcr[4]; + +// assign modem outputs +assign rts_pad_o = mcr[`UART_MC_RTS]; +assign dtr_pad_o = mcr[`UART_MC_DTR]; + +// Interrupt signals +wire rls_int; // receiver line status interrupt +wire rda_int; // receiver data available interrupt +wire ti_int; // timeout indicator interrupt +wire thre_int; // transmitter holding register empty interrupt +wire ms_int; // modem status interrupt + +// FIFO signals +reg tf_push; +reg rf_pop; +wire [`UART_FIFO_REC_WIDTH-1:0] rf_data_out; +wire rf_error_bit; // an error (parity or framing) is inside the fifo +wire rf_overrun; +wire rf_push_pulse; +wire [`UART_FIFO_COUNTER_W-1:0] rf_count; +wire [`UART_FIFO_COUNTER_W-1:0] tf_count; +wire [2:0] tstate; +wire [3:0] rstate; +wire [9:0] counter_t; + +wire thre_set_en; // THRE status is delayed one character time when a character is written to fifo. +reg [7:0] block_cnt; // While counter counts, THRE status is blocked (delayed one character cycle) +reg [7:0] block_value; // One character length minus stop bit + +// Transmitter Instance +wire serial_out; + +uart_transmitter #(.SIM (SIM)) transmitter(clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, serial_out, tstate, tf_count, tx_reset, lsr_mask); + + // Synchronizing and sampling serial RX input + uart_sync_flops i_uart_sync_flops + ( + .rst_i (wb_rst_i), + .clk_i (clk), + .stage1_rst_i (1'b0), + .stage1_clk_en_i (1'b1), + .async_dat_i (srx_pad_i), + .sync_dat_o (srx_pad) + ); + defparam i_uart_sync_flops.width = 1; + defparam i_uart_sync_flops.init_value = 1'b1; + +// handle loopback +wire serial_in = loopback ? serial_out : srx_pad; +assign stx_pad_o = loopback ? 1'b1 : serial_out; + +// Receiver Instance +uart_receiver receiver(clk, wb_rst_i, lcr, rf_pop, serial_in, enable, + counter_t, rf_count, rf_data_out, rf_error_bit, rf_overrun, rx_reset, lsr_mask, rstate, rf_push_pulse); + + +// Asynchronous reading here because the outputs are sampled in uart_wb.v file +always @(dl or dlab or ier or iir or scratch + or lcr or lsr or msr or rf_data_out or wb_addr_i or wb_re_i) // asynchrounous reading +begin + case (wb_addr_i) + `UART_REG_RB : wb_dat_o = dlab ? dl[`UART_DL1] : rf_data_out[10:3]; + `UART_REG_IE : wb_dat_o = dlab ? dl[`UART_DL2] : {4'd0,ier}; + `UART_REG_II : wb_dat_o = {4'b1100,iir}; + `UART_REG_LC : wb_dat_o = lcr; + `UART_REG_LS : wb_dat_o = lsr; + `UART_REG_MS : wb_dat_o = msr; + `UART_REG_SR : wb_dat_o = scratch; + default: wb_dat_o = 8'b0; // ?? + endcase // case(wb_addr_i) +end // always @ (dl or dlab or ier or iir or scratch... + + +// rf_pop signal handling +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + rf_pop <= 0; + else + if (rf_pop) // restore the signal to 0 after one clock cycle + rf_pop <= 0; + else + if (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab) + rf_pop <= 1; // advance read pointer +end + +wire lsr_mask_condition; +wire iir_read; +wire msr_read; +wire fifo_read; +wire fifo_write; + +assign lsr_mask_condition = (wb_re_i && wb_addr_i == `UART_REG_LS && !dlab); +assign iir_read = (wb_re_i && wb_addr_i == `UART_REG_II && !dlab); +assign msr_read = (wb_re_i && wb_addr_i == `UART_REG_MS && !dlab); +assign fifo_read = (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab); +assign fifo_write = (wb_we_i && wb_addr_i == `UART_REG_TR && !dlab); + +// lsr_mask_d delayed signal handling +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + lsr_mask_d <= 0; + else // reset bits in the Line Status Register + lsr_mask_d <= lsr_mask_condition; +end + +// lsr_mask is rise detected +assign lsr_mask = lsr_mask_condition && ~lsr_mask_d; + +// msi_reset signal handling +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + msi_reset <= 1; + else + if (msi_reset) + msi_reset <= 0; + else + if (msr_read) + msi_reset <= 1; // reset bits in Modem Status Register +end + + +// +// WRITES AND RESETS // +// +// Line Control Register +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + lcr <= 8'b00000011; // 8n1 setting + else + if (wb_we_i && wb_addr_i==`UART_REG_LC) + lcr <= wb_dat_i; + +// Interrupt Enable Register or UART_DL2 +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + begin + ier <= 4'b0000; // no interrupts after reset +`ifdef PRESCALER_PRESET_HARD + dl[`UART_DL2] <= `PRESCALER_HIGH_PRESET; +`else + dl[`UART_DL2] <= 8'b0; +`endif + end + else + if (wb_we_i && wb_addr_i==`UART_REG_IE) + if (dlab) + begin + dl[`UART_DL2] <= +`ifdef PRESCALER_PRESET_HARD + dl[`UART_DL2]; +`else + wb_dat_i; +`endif + end + else + ier <= wb_dat_i[3:0]; // ier uses only 4 lsb + + +// FIFO Control Register and rx_reset, tx_reset signals +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) begin + fcr <= 2'b11; + rx_reset <= 0; + tx_reset <= 0; + end else + if (wb_we_i && wb_addr_i==`UART_REG_FC) begin + fcr <= wb_dat_i[7:6]; + rx_reset <= wb_dat_i[1]; + tx_reset <= wb_dat_i[2]; + end else begin + rx_reset <= 0; + tx_reset <= 0; + end + +// Modem Control Register +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + mcr <= 5'b0; + else + if (wb_we_i && wb_addr_i==`UART_REG_MC) + mcr <= wb_dat_i[4:0]; + +// Scratch register +// Line Control Register +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + scratch <= 0; // 8n1 setting + else + if (wb_we_i && wb_addr_i==`UART_REG_SR) + scratch <= wb_dat_i; + +// TX_FIFO or UART_DL1 +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + begin +`ifdef PRESCALER_PRESET_HARD + dl[`UART_DL1] <= `PRESCALER_LOW_PRESET; +`else + dl[`UART_DL1] <= 8'b0; +`endif + tf_push <= 1'b0; + start_dlc <= 1'b0; + end + else + if (wb_we_i && wb_addr_i==`UART_REG_TR) + if (dlab) + begin +`ifdef PRESCALER_PRESET_HARD + dl[`UART_DL1] <= dl[`UART_DL1]; +`else + dl[`UART_DL1] <= wb_dat_i; +`endif + start_dlc <= 1'b1; // enable DL counter + tf_push <= 1'b0; + end + else + begin + tf_push <= 1'b1; + start_dlc <= 1'b0; + end // else: !if(dlab) + else + begin + start_dlc <= 1'b0; + tf_push <= 1'b0; + end // else: !if(dlab) + +// Receiver FIFO trigger level selection logic (asynchronous mux) +always @(fcr) + case (fcr[`UART_FC_TL]) + 2'b00 : trigger_level = 1; + 2'b01 : trigger_level = 4; + 2'b10 : trigger_level = 8; + 2'b11 : trigger_level = 14; + endcase // case(fcr[`UART_FC_TL]) + +// +// STATUS REGISTERS // +// + +// Modem Status Register +reg [3:0] delayed_modem_signals; +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + begin + msr <= 0; + delayed_modem_signals[3:0] <= 0; + end + else begin + msr[`UART_MS_DDCD:`UART_MS_DCTS] <= msi_reset ? 4'b0 : + msr[`UART_MS_DDCD:`UART_MS_DCTS] | ({dcd, ri, dsr, cts} ^ delayed_modem_signals[3:0]); + msr[`UART_MS_CDCD:`UART_MS_CCTS] <= {dcd_c, ri_c, dsr_c, cts_c}; + delayed_modem_signals[3:0] <= {dcd, ri, dsr, cts}; + end +end + + +// Line Status Register + +// activation conditions +assign lsr0 = (rf_count==0 && rf_push_pulse); // data in receiver fifo available set condition +assign lsr1 = rf_overrun; // Receiver overrun error +assign lsr2 = rf_data_out[1]; // parity error bit +assign lsr3 = rf_data_out[0]; // framing error bit +assign lsr4 = rf_data_out[2]; // break error in the character +assign lsr5 = (tf_count==5'b0 && thre_set_en); // transmitter fifo is empty +assign lsr6 = (tf_count==5'b0 && thre_set_en && (tstate == /*`S_IDLE */ 0)); // transmitter empty +assign lsr7 = rf_error_bit | rf_overrun; + +// lsr bit0 (receiver data available) +reg lsr0_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr0_d <= 0; + else lsr0_d <= lsr0; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr0r <= 0; + else lsr0r <= (rf_count==1 && rf_pop && !rf_push_pulse || rx_reset) ? 1'b0 : // deassert condition + lsr0r || (lsr0 && ~lsr0_d); // set on rise of lsr0 and keep asserted until deasserted + +// lsr bit 1 (receiver overrun) +reg lsr1_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr1_d <= 0; + else lsr1_d <= lsr1; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr1r <= 0; + else lsr1r <= lsr_mask ? 1'b0 : lsr1r || (lsr1 && ~lsr1_d); // set on rise + +// lsr bit 2 (parity error) +reg lsr2_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr2_d <= 0; + else lsr2_d <= lsr2; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr2r <= 0; + else lsr2r <= lsr_mask ? 1'b0 : lsr2r || (lsr2 && ~lsr2_d); // set on rise + +// lsr bit 3 (framing error) +reg lsr3_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr3_d <= 0; + else lsr3_d <= lsr3; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr3r <= 0; + else lsr3r <= lsr_mask ? 1'b0 : lsr3r || (lsr3 && ~lsr3_d); // set on rise + +// lsr bit 4 (break indicator) +reg lsr4_d; // delayed + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr4_d <= 0; + else lsr4_d <= lsr4; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr4r <= 0; + else lsr4r <= lsr_mask ? 1'b0 : lsr4r || (lsr4 && ~lsr4_d); + +// lsr bit 5 (transmitter fifo is empty) +reg lsr5_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr5_d <= 1; + else lsr5_d <= lsr5; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr5r <= 1; + else lsr5r <= (fifo_write) ? 1'b0 : lsr5r || (lsr5 && ~lsr5_d); + +// lsr bit 6 (transmitter empty indicator) +reg lsr6_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr6_d <= 1; + else lsr6_d <= lsr6; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr6r <= 1; + else lsr6r <= (fifo_write) ? 1'b0 : lsr6r || (lsr6 && ~lsr6_d); + +// lsr bit 7 (error in fifo) +reg lsr7_d; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr7_d <= 0; + else lsr7_d <= lsr7; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) lsr7r <= 0; + else lsr7r <= lsr_mask ? 1'b0 : lsr7r || (lsr7 && ~lsr7_d); + +// Frequency divider +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + dlc <= 0; + else + if (start_dlc | ~ (|dlc)) + dlc <= dl - 16'd1; // preset counter + else + dlc <= dlc - 16'd1; // decrement counter +end + +// Enable signal generation logic +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + enable <= 1'b0; + else + if (|dl & ~(|dlc)) // dl>0 & dlc==0 + enable <= 1'b1; + else + enable <= 1'b0; +end + +// Delaying THRE status for one character cycle after a character is written to an empty fifo. +always @(lcr) + case (lcr[3:0]) + 4'b0000 : block_value = 95; // 6 bits + 4'b0100 : block_value = 103; // 6.5 bits + 4'b0001, 4'b1000 : block_value = 111; // 7 bits + 4'b1100 : block_value = 119; // 7.5 bits + 4'b0010, 4'b0101, 4'b1001 : block_value = 127; // 8 bits + 4'b0011, 4'b0110, 4'b1010, 4'b1101 : block_value = 143; // 9 bits + 4'b0111, 4'b1011, 4'b1110 : block_value = 159; // 10 bits + 4'b1111 : block_value = 175; // 11 bits + endcase // case(lcr[3:0]) + +// Counting time of one character minus stop bit +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + block_cnt <= 8'd0; + else + if(lsr5r & fifo_write) // THRE bit set & write to fifo occured + block_cnt <= SIM ? 8'd1 : block_value; + else + if (enable & block_cnt != 8'b0) // only work on enable times + block_cnt <= block_cnt - 8'd1; // decrement break counter +end // always of break condition detection + +// Generating THRE status enable signal +assign thre_set_en = ~(|block_cnt); + + +// +// INTERRUPT LOGIC +// + +assign rls_int = ier[`UART_IE_RLS] && (lsr[`UART_LS_OE] || lsr[`UART_LS_PE] || lsr[`UART_LS_FE] || lsr[`UART_LS_BI]); +assign rda_int = ier[`UART_IE_RDA] && (rf_count >= {1'b0,trigger_level}); +assign thre_int = ier[`UART_IE_THRE] && lsr[`UART_LS_TFE]; +assign ms_int = ier[`UART_IE_MS] && (| msr[3:0]); +assign ti_int = ier[`UART_IE_RDA] && (counter_t == 10'b0) && (|rf_count); + +reg rls_int_d; +reg thre_int_d; +reg ms_int_d; +reg ti_int_d; +reg rda_int_d; + +// delay lines +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rls_int_d <= 0; + else rls_int_d <= rls_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rda_int_d <= 0; + else rda_int_d <= rda_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) thre_int_d <= 0; + else thre_int_d <= thre_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ms_int_d <= 0; + else ms_int_d <= ms_int; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ti_int_d <= 0; + else ti_int_d <= ti_int; + +// rise detection signals + +wire rls_int_rise; +wire thre_int_rise; +wire ms_int_rise; +wire ti_int_rise; +wire rda_int_rise; + +assign rda_int_rise = rda_int & ~rda_int_d; +assign rls_int_rise = rls_int & ~rls_int_d; +assign thre_int_rise = thre_int & ~thre_int_d; +assign ms_int_rise = ms_int & ~ms_int_d; +assign ti_int_rise = ti_int & ~ti_int_d; + +// interrupt pending flags +reg rls_int_pnd; +reg rda_int_pnd; +reg thre_int_pnd; +reg ms_int_pnd; +reg ti_int_pnd; + +// interrupt pending flags assignments +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rls_int_pnd <= 0; + else + rls_int_pnd <= lsr_mask ? 1'b0 : // reset condition + rls_int_rise ? 1'b1 : // latch condition + rls_int_pnd && ier[`UART_IE_RLS]; // default operation: remove if masked + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) rda_int_pnd <= 0; + else + rda_int_pnd <= ((rf_count == {1'b0,trigger_level}) && fifo_read) ? 1'b0 : // reset condition + rda_int_rise ? 1'b1 : // latch condition + rda_int_pnd && ier[`UART_IE_RDA]; // default operation: remove if masked + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) thre_int_pnd <= 0; + else + thre_int_pnd <= fifo_write || (iir_read & ~iir[`UART_II_IP] & iir[`UART_II_II] == `UART_II_THRE)? 1'b0 : + thre_int_rise ? 1'b1 : + thre_int_pnd && ier[`UART_IE_THRE]; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ms_int_pnd <= 0; + else + ms_int_pnd <= msr_read ? 1'b0 : + ms_int_rise ? 1'b1 : + ms_int_pnd && ier[`UART_IE_MS]; + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) ti_int_pnd <= 0; + else + ti_int_pnd <= fifo_read ? 1'b0 : + ti_int_rise ? 1'b1 : + ti_int_pnd && ier[`UART_IE_RDA]; +// end of pending flags + +// INT_O logic +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + int_o <= 1'b0; + else + int_o <= + rls_int_pnd ? ~lsr_mask : + rda_int_pnd ? 1'b1 : + ti_int_pnd ? ~fifo_read : + thre_int_pnd ? !(fifo_write & iir_read) : + ms_int_pnd ? ~msr_read : + 1'd0; // if no interrupt are pending +end + + +// Interrupt Identification register +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + iir <= 1; + else + if (rls_int_pnd) // interrupt is pending + begin + iir[`UART_II_II] <= `UART_II_RLS; // set identification register to correct value + iir[`UART_II_IP] <= 1'b0; // and clear the IIR bit 0 (interrupt pending) + end else // the sequence of conditions determines priority of interrupt identification + if (rda_int) + begin + iir[`UART_II_II] <= `UART_II_RDA; + iir[`UART_II_IP] <= 1'b0; + end + else if (ti_int_pnd) + begin + iir[`UART_II_II] <= `UART_II_TI; + iir[`UART_II_IP] <= 1'b0; + end + else if (thre_int_pnd) + begin + iir[`UART_II_II] <= `UART_II_THRE; + iir[`UART_II_IP] <= 1'b0; + end + else if (ms_int_pnd) + begin + iir[`UART_II_II] <= `UART_II_MS; + iir[`UART_II_IP] <= 1'b0; + end else // no interrupt is pending + begin + iir[`UART_II_II] <= 0; + iir[`UART_II_IP] <= 1'b1; + end +end + +endmodule diff --git a/design/target/scala-2.12/classes/vsrc/uart_rfifo.v b/design/target/scala-2.12/classes/vsrc/uart_rfifo.v new file mode 100644 index 00000000..59a29b93 --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/uart_rfifo.v @@ -0,0 +1,316 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_rfifo.v (Modified from uart_fifo.v) //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core receiver FIFO //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2002/07/22 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.3 2003/06/11 16:37:47 gorban +// This fixes errors in some cases when data is being read and put to the FIFO at the same time. Patch is submitted by Scott Furman. Update is very recommended. +// +// Revision 1.2 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.1 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.16 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.15 2001/12/18 09:01:07 mohor +// Bug that was entered in the last update fixed (rx state machine). +// +// Revision 1.14 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.13 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.12 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.11 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/24 08:48:10 mohor +// FIFO was not cleared after the data was read bug fixed. +// +// Revision 1.7 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.3 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:48 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +module uart_rfifo (clk, + wb_rst_i, data_in, data_out, +// Control signals + push, // push strobe, active high + pop, // pop strobe, active high +// status signals + overrun, + count, + error_bit, + fifo_reset, + reset_status + ); + + +// FIFO parameters +parameter fifo_width = `UART_FIFO_WIDTH; +parameter fifo_depth = `UART_FIFO_DEPTH; +parameter fifo_pointer_w = `UART_FIFO_POINTER_W; +parameter fifo_counter_w = `UART_FIFO_COUNTER_W; + +input clk; +input wb_rst_i; +input push; +input pop; +input [fifo_width-1:0] data_in; +input fifo_reset; +input reset_status; + +output [fifo_width-1:0] data_out; +output overrun; +output [fifo_counter_w-1:0] count; +output error_bit; + +wire [fifo_width-1:0] data_out; +wire [7:0] data8_out; +// flags FIFO +reg [2:0] fifo[fifo_depth-1:0]; + +// FIFO pointers +reg [fifo_pointer_w-1:0] top; +reg [fifo_pointer_w-1:0] bottom; + +reg [fifo_counter_w-1:0] count; +reg overrun; + +wire [fifo_pointer_w-1:0] top_plus_1 = top + 4'h1; + +raminfr #(fifo_pointer_w,8,fifo_depth) rfifo + (.clk(clk), + .we(push), + .a(top), + .dpra(bottom), + .di(data_in[fifo_width-1:fifo_width-8]), + .dpo(data8_out) + ); + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + begin + top <= 0; + bottom <= 0; + count <= 0; + fifo[0] <= 0; + fifo[1] <= 0; + fifo[2] <= 0; + fifo[3] <= 0; + fifo[4] <= 0; + fifo[5] <= 0; + fifo[6] <= 0; + fifo[7] <= 0; + fifo[8] <= 0; + fifo[9] <= 0; + fifo[10] <= 0; + fifo[11] <= 0; + fifo[12] <= 0; + fifo[13] <= 0; + fifo[14] <= 0; + fifo[15] <= 0; + end + else + if (fifo_reset) begin + top <= 0; + bottom <= 0; + count <= 0; + fifo[0] <= 0; + fifo[1] <= 0; + fifo[2] <= 0; + fifo[3] <= 0; + fifo[4] <= 0; + fifo[5] <= 0; + fifo[6] <= 0; + fifo[7] <= 0; + fifo[8] <= 0; + fifo[9] <= 0; + fifo[10] <= 0; + fifo[11] <= 0; + fifo[12] <= 0; + fifo[13] <= 0; + fifo[14] <= 0; + fifo[15] <= 0; + end + else + begin + case ({push, pop}) + 2'b10 : if (count0) + begin + fifo[bottom] <= 0; + bottom <= bottom + 4'd1; + count <= count - 5'd1; + end + 2'b11 : begin + bottom <= bottom + 4'd1; + top <= top_plus_1; + fifo[top] <= data_in[2:0]; + end + default: ; + endcase + end +end // always + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + overrun <= 1'b0; + else + if(fifo_reset | reset_status) + overrun <= 1'b0; + else + if(push & ~pop & (count==fifo_depth)) + overrun <= 1'b1; +end // always + + +// please note though that data_out is only valid one clock after pop signal +assign data_out = {data8_out,fifo[bottom]}; + +// Additional logic for detection of error conditions (parity and framing) inside the FIFO +// for the Line Status Register bit 7 + +wire [2:0] word0 = fifo[0]; +wire [2:0] word1 = fifo[1]; +wire [2:0] word2 = fifo[2]; +wire [2:0] word3 = fifo[3]; +wire [2:0] word4 = fifo[4]; +wire [2:0] word5 = fifo[5]; +wire [2:0] word6 = fifo[6]; +wire [2:0] word7 = fifo[7]; + +wire [2:0] word8 = fifo[8]; +wire [2:0] word9 = fifo[9]; +wire [2:0] word10 = fifo[10]; +wire [2:0] word11 = fifo[11]; +wire [2:0] word12 = fifo[12]; +wire [2:0] word13 = fifo[13]; +wire [2:0] word14 = fifo[14]; +wire [2:0] word15 = fifo[15]; + +// a 1 is returned if any of the error bits in the fifo is 1 +assign error_bit = |(word0[2:0] | word1[2:0] | word2[2:0] | word3[2:0] | + word4[2:0] | word5[2:0] | word6[2:0] | word7[2:0] | + word8[2:0] | word9[2:0] | word10[2:0] | word11[2:0] | + word12[2:0] | word13[2:0] | word14[2:0] | word15[2:0] ); + +endmodule diff --git a/design/target/scala-2.12/classes/vsrc/uart_sync_flops.v b/design/target/scala-2.12/classes/vsrc/uart_sync_flops.v new file mode 100644 index 00000000..82a3a615 --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/uart_sync_flops.v @@ -0,0 +1,117 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_sync_flops.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core receiver logic //// +//// //// +//// Known problems (limits): //// +//// None known //// +//// //// +//// To Do: //// +//// Thourough testing. //// +//// //// +//// Author(s): //// +//// - Andrej Erzen (andreje@flextronics.si) //// +//// - Tadej Markovic (tadejm@flextronics.si) //// +//// //// +//// Created: 2004/05/20 //// +//// Last Updated: 2004/05/20 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// + +module uart_sync_flops +( + // internal signals + rst_i, + clk_i, + stage1_rst_i, + stage1_clk_en_i, + async_dat_i, + sync_dat_o +); + +parameter width = 1; +parameter init_value = 1'b0; + +input rst_i; // reset input +input clk_i; // clock input +input stage1_rst_i; // synchronous reset for stage 1 FF +input stage1_clk_en_i; // synchronous clock enable for stage 1 FF +input [width-1:0] async_dat_i; // asynchronous data input +output [width-1:0] sync_dat_o; // synchronous data output + + +// +// Interal signal declarations +// + +reg [width-1:0] sync_dat_o; +reg [width-1:0] flop_0; + + +// first stage +always @ (posedge clk_i or posedge rst_i) +begin + if (rst_i) + flop_0 <= {width{init_value}}; + else + flop_0 <= async_dat_i; +end + +// second stage +always @ (posedge clk_i or posedge rst_i) +begin + if (rst_i) + sync_dat_o <= {width{init_value}}; + else if (stage1_rst_i) + sync_dat_o <= {width{init_value}}; + else if (stage1_clk_en_i) + sync_dat_o <= flop_0; +end + +endmodule diff --git a/design/target/scala-2.12/classes/vsrc/uart_tfifo.v b/design/target/scala-2.12/classes/vsrc/uart_tfifo.v new file mode 100644 index 00000000..5b254cba --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/uart_tfifo.v @@ -0,0 +1,239 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_tfifo.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core transmitter FIFO //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2002/07/22 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.1 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.16 2001/12/20 13:25:46 mohor +// rx push changed to be only one cycle wide. +// +// Revision 1.15 2001/12/18 09:01:07 mohor +// Bug that was entered in the last update fixed (rx state machine). +// +// Revision 1.14 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.13 2001/11/26 21:38:54 gorban +// Lots of fixes: +// Break condition wasn't handled correctly at all. +// LSR bits could lose their values. +// LSR value after reset was wrong. +// Timing of THRE interrupt signal corrected. +// LSR bit 0 timing corrected. +// +// Revision 1.12 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.11 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/24 08:48:10 mohor +// FIFO was not cleared after the data was read bug fixed. +// +// Revision 1.7 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.3 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:48 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +module uart_tfifo (clk, + wb_rst_i, data_in, data_out, +// Control signals + push, // push strobe, active high + pop, // pop strobe, active high +// status signals + overrun, + count, + fifo_reset, + reset_status + ); + + +// FIFO parameters +parameter fifo_width = `UART_FIFO_WIDTH; +parameter fifo_depth = `UART_FIFO_DEPTH; +parameter fifo_pointer_w = `UART_FIFO_POINTER_W; +parameter fifo_counter_w = `UART_FIFO_COUNTER_W; + +input clk; +input wb_rst_i; +input push; +input pop; +input [fifo_width-1:0] data_in; +input fifo_reset; +input reset_status; + +output [fifo_width-1:0] data_out; +output overrun; +output [fifo_counter_w-1:0] count; + +wire [fifo_width-1:0] data_out; + +// FIFO pointers +reg [fifo_pointer_w-1:0] top; +reg [fifo_pointer_w-1:0] bottom; + +reg [fifo_counter_w-1:0] count; +reg overrun; +wire [fifo_pointer_w-1:0] top_plus_1 = top + 4'd1; + +raminfr #(fifo_pointer_w,fifo_width,fifo_depth) tfifo + (.clk(clk), + .we(push), + .a(top), + .dpra(bottom), + .di(data_in), + .dpo(data_out) + ); + + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + begin + top <= 0; + bottom <= 0; + count <= 0; + end + else + if (fifo_reset) begin + top <= 0; + bottom <= 0; + count <= 0; + end + else + begin + case ({push, pop}) + 2'b10 : if (count0) + begin + bottom <= bottom + 4'd1; + count <= count - 5'd1; + end + 2'b11 : begin + bottom <= bottom + 4'd1; + top <= top_plus_1; + end + default: ; + endcase + end +end // always + +always @(posedge clk or posedge wb_rst_i) // synchronous FIFO +begin + if (wb_rst_i) + overrun <= 1'b0; + else + if(fifo_reset | reset_status) + overrun <= 1'b0; + else + if(push & (count==fifo_depth)) + overrun <= 1'b1; +end // always + +endmodule diff --git a/design/target/scala-2.12/classes/vsrc/uart_top.v b/design/target/scala-2.12/classes/vsrc/uart_top.v new file mode 100644 index 00000000..528f2f72 --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/uart_top.v @@ -0,0 +1,261 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_top.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core top level. //// +//// //// +//// Known problems (limits): //// +//// Note that transmitter and receiver instances are inside //// +//// the uart_regs.v file. //// +//// //// +//// To Do: //// +//// Nothing so far. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.18 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.17 2001/12/19 08:40:03 mohor +// Warnings fixed (unused signals removed). +// +// Revision 1.16 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.15 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.14 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.13 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.12 2001/08/25 15:46:19 gorban +// Modified port names again +// +// Revision 1.11 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.10 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +module uart_top ( + wb_clk_i, + + // Wishbone signals + wb_rst_i, wb_adr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_sel_i, + int_o, // interrupt request + + // UART signals + // serial input/output + stx_pad_o, srx_pad_i, + + // modem signals + rts_pad_o, cts_pad_i, dtr_pad_o, dsr_pad_i, ri_pad_i, dcd_pad_i +`ifdef UART_HAS_BAUDRATE_OUTPUT + , baud_o +`endif + ); +parameter SIM = 0; +parameter debug = 0; + +input wb_clk_i; + +// WISHBONE interface +input wb_rst_i; +input [2:0] wb_adr_i; +input [7:0] wb_dat_i; +output [7:0] wb_dat_o; +input wb_we_i; +input wb_stb_i; +input wb_cyc_i; +input [3:0] wb_sel_i; +output wb_ack_o; +output int_o; + +// UART signals +input srx_pad_i; +output stx_pad_o; +output rts_pad_o; +input cts_pad_i; +output dtr_pad_o; +input dsr_pad_i; +input ri_pad_i; +input dcd_pad_i; + +// optional baudrate output +`ifdef UART_HAS_BAUDRATE_OUTPUT +output baud_o; +`endif + + +wire stx_pad_o; +wire rts_pad_o; +wire dtr_pad_o; + +wire [2:0] wb_adr_i; +wire [7:0] wb_dat_i; +wire [7:0] wb_dat_o; + +wire [7:0] wb_dat8_i; // 8-bit internal data input +wire [7:0] wb_dat8_o; // 8-bit internal data output +wire [31:0] wb_dat32_o; // debug interface 32-bit output +wire [3:0] wb_sel_i; // WISHBONE select signal +wire [2:0] wb_adr_int; +wire we_o; // Write enable for registers +wire re_o; // Read enable for registers +// +// MODULE INSTANCES +// + +//// WISHBONE interface module +uart_wb wb_interface( + .clk( wb_clk_i ), + .wb_rst_i( wb_rst_i ), + .wb_dat_i(wb_dat_i), + .wb_dat_o(wb_dat_o), + .wb_dat8_i(wb_dat8_i), + .wb_dat8_o(wb_dat8_o), + .wb_dat32_o(32'b0), + .wb_sel_i(4'b0), + .wb_we_i( wb_we_i ), + .wb_stb_i( wb_stb_i ), + .wb_cyc_i( wb_cyc_i ), + .wb_ack_o( wb_ack_o ), + .wb_adr_i(wb_adr_i), + .wb_adr_int(wb_adr_int), + .we_o( we_o ), + .re_o(re_o) + ); + +// Registers +uart_regs #(.SIM (SIM)) regs( + .clk( wb_clk_i ), + .wb_rst_i( wb_rst_i ), + .wb_addr_i( wb_adr_int ), + .wb_dat_i( wb_dat8_i ), + .wb_dat_o( wb_dat8_o ), + .wb_we_i( we_o ), + .wb_re_i(re_o), + .modem_inputs( {cts_pad_i, dsr_pad_i, + ri_pad_i, dcd_pad_i} ), + .stx_pad_o( stx_pad_o ), + .srx_pad_i( srx_pad_i ), + .rts_pad_o( rts_pad_o ), + .dtr_pad_o( dtr_pad_o ), + .int_o( int_o ) +`ifdef UART_HAS_BAUDRATE_OUTPUT + , .baud_o(baud_o) +`endif + +); + +initial +begin + if(debug) begin + `ifdef UART_HAS_BAUDRATE_OUTPUT + $display("(%m) UART INFO: Has baudrate output\n"); + `else + $display("(%m) UART INFO: Doesn't have baudrate output\n"); + `endif + end +end + +endmodule + + diff --git a/design/target/scala-2.12/classes/vsrc/uart_transmitter.v b/design/target/scala-2.12/classes/vsrc/uart_transmitter.v new file mode 100644 index 00000000..e2e8cf39 --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/uart_transmitter.v @@ -0,0 +1,354 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_transmitter.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core transmitter logic //// +//// //// +//// Known problems (limits): //// +//// None known //// +//// //// +//// To Do: //// +//// Thourough testing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.18 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.16 2002/01/08 11:29:40 mohor +// tf_pop was too wide. Now it is only 1 clk cycle width. +// +// Revision 1.15 2001/12/17 14:46:48 mohor +// overrun signal was moved to separate block because many sequential lsr +// reads were preventing data from being written to rx fifo. +// underrun signal was not used and was removed from the project. +// +// Revision 1.14 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.13 2001/11/08 14:54:23 mohor +// Comments in Slovene language deleted, few small fixes for better work of +// old tools. IRQs need to be fix. +// +// Revision 1.12 2001/11/07 17:51:52 gorban +// Heavily rewritten interrupt and LSR subsystems. +// Many bugs hopefully squashed. +// +// Revision 1.11 2001/10/29 17:00:46 gorban +// fixed parity sending and tx_fifo resets over- and underrun +// +// Revision 1.10 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.9 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.8 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.6 2001/06/23 11:21:48 gorban +// DL made 16-bit long. Fixed transmission/reception bugs. +// +// Revision 1.5 2001/06/02 14:28:14 gorban +// Fixed receiver and transmitter. Major bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/27 17:37:49 gorban +// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file. +// +// Revision 1.2 2001/05/21 19:12:02 gorban +// Corrected some Linter messages. +// +// Revision 1.1 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:12+02 jacob +// Initial revision +// +// + +`include "uart_defines.v" + +module uart_transmitter +#(parameter SIM = 0) + (clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, stx_pad_o, tstate, tf_count, tx_reset, lsr_mask); + +input clk; +input wb_rst_i; +input [7:0] lcr; +input tf_push; +input [7:0] wb_dat_i; +input enable; +input tx_reset; +input lsr_mask; //reset of fifo +output stx_pad_o; +output [2:0] tstate; +output [`UART_FIFO_COUNTER_W-1:0] tf_count; + +reg [2:0] tstate; +reg [4:0] counter; +reg [2:0] bit_counter; // counts the bits to be sent +reg [6:0] shift_out; // output shift register +reg stx_o_tmp; +reg parity_xor; // parity of the word +reg tf_pop; +reg bit_out; + +// TX FIFO instance +// +// Transmitter FIFO signals +wire [`UART_FIFO_WIDTH-1:0] tf_data_in; +wire [`UART_FIFO_WIDTH-1:0] tf_data_out; +wire tf_push; +wire tf_overrun; +wire [`UART_FIFO_COUNTER_W-1:0] tf_count; + +assign tf_data_in = wb_dat_i; + +uart_tfifo fifo_tx( // error bit signal is not used in transmitter FIFO + .clk( clk ), + .wb_rst_i( wb_rst_i ), + .data_in( tf_data_in ), + .data_out( tf_data_out ), + .push( tf_push ), + .pop( tf_pop ), + .overrun( tf_overrun ), + .count( tf_count ), + .fifo_reset( tx_reset ), + .reset_status(lsr_mask) +); + +// TRANSMITTER FINAL STATE MACHINE + +localparam s_idle = 3'd0; +localparam s_send_start = 3'd1; +localparam s_send_byte = 3'd2; +localparam s_send_parity = 3'd3; +localparam s_send_stop = 3'd4; +localparam s_pop_byte = 3'd5; + +always @(posedge clk or posedge wb_rst_i) +begin + if (wb_rst_i) + begin + tstate <= s_idle; + stx_o_tmp <= 1'b1; + counter <= 5'b0; + shift_out <= 7'b0; + bit_out <= 1'b0; + parity_xor <= 1'b0; + tf_pop <= 1'b0; + bit_counter <= 3'b0; + end + else + if (enable | SIM) + begin + case (tstate) + s_idle : if (~|tf_count) // if tf_count==0 + begin + tstate <= s_idle; + stx_o_tmp <= 1'b1; + end + else + begin + tf_pop <= 1'b0; + stx_o_tmp <= 1'b1; + tstate <= s_pop_byte; + end + s_pop_byte : begin + tf_pop <= 1'b1; + case (lcr[/*`UART_LC_BITS*/1:0]) // number of bits in a word + 2'b00 : begin + bit_counter <= 3'b100; + parity_xor <= ^tf_data_out[4:0]; + end + 2'b01 : begin + bit_counter <= 3'b101; + parity_xor <= ^tf_data_out[5:0]; + end + 2'b10 : begin + bit_counter <= 3'b110; + parity_xor <= ^tf_data_out[6:0]; + end + 2'b11 : begin + bit_counter <= 3'b111; + parity_xor <= ^tf_data_out[7:0]; + end + endcase + {shift_out[6:0], bit_out} <= tf_data_out; + tstate <= s_send_start; + end + s_send_start : begin + tf_pop <= 1'b0; + if (~|counter) + counter <= 5'b01111; + else + if (counter == 5'b00001) + begin + counter <= 0; + tstate <= s_send_byte; + end + else + counter <= counter - 5'd1; + stx_o_tmp <= 1'b0; + if (SIM) begin + tstate <= s_idle; + $write("%c", tf_data_out); + $fflush(32'h80000001); + end + end + s_send_byte : begin + if (~|counter) + counter <= 5'b01111; + else + if (counter == 5'b00001) + begin + if (bit_counter > 3'b0) + begin + bit_counter <= bit_counter - 3'd1; + {shift_out[5:0],bit_out } <= {shift_out[6:1], shift_out[0]}; + tstate <= s_send_byte; + end + else // end of byte + if (~lcr[`UART_LC_PE]) + begin + tstate <= s_send_stop; + end + else + begin + case ({lcr[`UART_LC_EP],lcr[`UART_LC_SP]}) + 2'b00: bit_out <= ~parity_xor; + 2'b01: bit_out <= 1'b1; + 2'b10: bit_out <= parity_xor; + 2'b11: bit_out <= 1'b0; + endcase + tstate <= s_send_parity; + end + counter <= 0; + end + else + counter <= counter - 5'd1; + stx_o_tmp <= bit_out; // set output pin + end + s_send_parity : begin + if (~|counter) + counter <= 5'b01111; + else + if (counter == 5'b00001) + begin + counter <= 5'd0; + tstate <= s_send_stop; + end + else + counter <= counter - 5'd1; + stx_o_tmp <= bit_out; + end + s_send_stop : begin + if (~|counter) + begin + casez ({lcr[`UART_LC_SB],lcr[`UART_LC_BITS]}) + 3'b0??: counter <= 5'b01101; // 1 stop bit ok igor + 3'b100: counter <= 5'b10101; // 1.5 stop bit + default: counter <= 5'b11101; // 2 stop bits + endcase + end + else + if (counter == 5'b00001) + begin + counter <= 0; + tstate <= s_idle; + end + else + counter <= counter - 5'd1; + stx_o_tmp <= 1'b1; + end + + default : // should never get here + tstate <= s_idle; + endcase + end // end if enable + else + tf_pop <= 1'b0; // tf_pop must be 1 cycle width +end // transmitter logic + +assign stx_pad_o = lcr[`UART_LC_BC] ? 1'b0 : stx_o_tmp; // Break condition + +endmodule diff --git a/design/target/scala-2.12/classes/vsrc/uart_wb.v b/design/target/scala-2.12/classes/vsrc/uart_wb.v new file mode 100644 index 00000000..d537b700 --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/uart_wb.v @@ -0,0 +1,258 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// uart_wb.v //// +//// //// +//// //// +//// This file is part of the "UART 16550 compatible" project //// +//// http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Documentation related to this project: //// +//// - http://www.opencores.org/cores/uart16550/ //// +//// //// +//// Projects compatibility: //// +//// - WISHBONE //// +//// RS232 Protocol //// +//// 16550D uart (mostly supported) //// +//// //// +//// Overview (main Features): //// +//// UART core WISHBONE interface. //// +//// //// +//// Known problems (limits): //// +//// Inserts one wait state on all transfers. //// +//// Note affected signals and the way they are affected. //// +//// //// +//// To Do: //// +//// Nothing. //// +//// //// +//// Author(s): //// +//// - gorban@opencores.org //// +//// - Jacob Gorban //// +//// - Igor Mohor (igorm@opencores.org) //// +//// //// +//// Created: 2001/05/12 //// +//// Last Updated: 2001/05/17 //// +//// (See log for the revision history) //// +//// //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000, 2001 Authors //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.16 2002/07/29 21:16:18 gorban +// The uart_defines.v file is included again in sources. +// +// Revision 1.15 2002/07/22 23:02:23 gorban +// Bug Fixes: +// * Possible loss of sync and bad reception of stop bit on slow baud rates fixed. +// Problem reported by Kenny.Tung. +// * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers. +// +// Improvements: +// * Made FIFO's as general inferrable memory where possible. +// So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx). +// This saves about 1/3 of the Slice count and reduces P&R and synthesis times. +// +// * Added optional baudrate output (baud_o). +// This is identical to BAUDOUT* signal on 16550 chip. +// It outputs 16xbit_clock_rate - the divided clock. +// It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use. +// +// Revision 1.12 2001/12/19 08:03:34 mohor +// Warnings cleared. +// +// Revision 1.11 2001/12/06 14:51:04 gorban +// Bug in LSR[0] is fixed. +// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers. +// +// Revision 1.10 2001/12/03 21:44:29 gorban +// Updated specification documentation. +// Added full 32-bit data bus interface, now as default. +// Address is 5-bit wide in 32-bit data bus mode. +// Added wb_sel_i input to the core. It's used in the 32-bit mode. +// Added debug interface with two 32-bit read-only registers in 32-bit mode. +// Bits 5 and 6 of LSR are now only cleared on TX FIFO write. +// My small test bench is modified to work with 32-bit mode. +// +// Revision 1.9 2001/10/20 09:58:40 gorban +// Small synopsis fixes +// +// Revision 1.8 2001/08/24 21:01:12 mohor +// Things connected to parity changed. +// Clock devider changed. +// +// Revision 1.7 2001/08/23 16:05:05 mohor +// Stop bit bug fixed. +// Parity bug fixed. +// WISHBONE read cycle bug fixed, +// OE indicator (Overrun Error) bug fixed. +// PE indicator (Parity Error) bug fixed. +// Register read bug fixed. +// +// Revision 1.4 2001/05/31 20:08:01 gorban +// FIFO changes and other corrections. +// +// Revision 1.3 2001/05/21 19:12:01 gorban +// Corrected some Linter messages. +// +// Revision 1.2 2001/05/17 18:34:18 gorban +// First 'stable' release. Should be sythesizable now. Also added new header. +// +// Revision 1.0 2001-05-17 21:27:13+02 jacob +// Initial revision +// +// + +// UART core WISHBONE interface +// +// Author: Jacob Gorban (jacob.gorban@flextronicssemi.com) +// Company: Flextronics Semiconductor +// + +`include "uart_defines.v" + +module uart_wb (clk, wb_rst_i, + wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o, wb_adr_i, + wb_adr_int, wb_dat_i, wb_dat_o, wb_dat8_i, wb_dat8_o, wb_dat32_o, wb_sel_i, + we_o, re_o // Write and read enable output for the core +); + +input clk; + +// WISHBONE interface +input wb_rst_i; +input wb_we_i; +input wb_stb_i; +input wb_cyc_i; +input [3:0] wb_sel_i; +input [2:0] wb_adr_i; //WISHBONE address line + +input [7:0] wb_dat_i; //input WISHBONE bus +output [7:0] wb_dat_o; +reg [7:0] wb_dat_o; +wire [7:0] wb_dat_i; +reg [7:0] wb_dat_is; + +output [2:0] wb_adr_int; // internal signal for address bus +input [7:0] wb_dat8_o; // internal 8 bit output to be put into wb_dat_o +output [7:0] wb_dat8_i; +input [31:0] wb_dat32_o; // 32 bit data output (for debug interface) +output wb_ack_o; +output we_o; +output re_o; + +wire we_o; +reg wb_ack_o; +reg [7:0] wb_dat8_i; +wire [7:0] wb_dat8_o; +wire [2:0] wb_adr_int; // internal signal for address bus +reg [2:0] wb_adr_is; +reg wb_we_is; +reg wb_cyc_is; +reg wb_stb_is; +wire [3:0] wb_sel_i; +reg wre ;// timing control signal for write or read enable + +// wb_ack_o FSM +reg [1:0] wbstate; +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) begin + wb_ack_o <= 1'b0; + wbstate <= 0; + wre <= 1'b1; + end else + case (wbstate) + 0: begin + if (wb_stb_is & wb_cyc_is) begin + wre <= 0; + wbstate <= 1; + wb_ack_o <= 1; + end else begin + wre <= 1; + wb_ack_o <= 0; + end + end + 1: begin + wb_ack_o <= 0; + wbstate <= 2; + wre <= 0; + end + 2: begin + wb_ack_o <= 0; + wbstate <= 3; + wre <= 0; + end + 3: begin + wb_ack_o <= 0; + wbstate <= 0; + wre <= 1; + end + endcase + +assign we_o = wb_we_is & wb_stb_is & wb_cyc_is & wre ; //WE for registers +assign re_o = ~wb_we_is & wb_stb_is & wb_cyc_is & wre ; //RE for registers + +// Sample input signals +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) begin + wb_adr_is <= 0; + wb_we_is <= 0; + wb_cyc_is <= 0; + wb_stb_is <= 0; + wb_dat_is <= 0; + end else begin + wb_adr_is <= wb_adr_i; + wb_we_is <= wb_we_i; + wb_cyc_is <= wb_cyc_i; + wb_stb_is <= wb_stb_i; + wb_dat_is <= wb_dat_i; + end + +always @(posedge clk or posedge wb_rst_i) + if (wb_rst_i) + wb_dat_o <= 0; + else + wb_dat_o <= wb_dat8_o; + +always @(wb_dat_is) + wb_dat8_i = wb_dat_is; + +assign wb_adr_int = wb_adr_is; + + +endmodule + + + + + + + + + + diff --git a/design/target/scala-2.12/classes/vsrc/wb_mem_wrapper.v b/design/target/scala-2.12/classes/vsrc/wb_mem_wrapper.v new file mode 100644 index 00000000..283e268a --- /dev/null +++ b/design/target/scala-2.12/classes/vsrc/wb_mem_wrapper.v @@ -0,0 +1,72 @@ +// SPDX-License-Identifier: Apache-2.0 +// Copyright 2019 Western Digital Corporation or its affiliates. +// +// Licensed under the Apache License, Version 2.0 (the "License"); +// you may not use this file except in compliance with the License. +// You may obtain a copy of the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in writing, software +// distributed under the License is distributed on an "AS IS" BASIS, +// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +// See the License for the specific language governing permissions and +// limitations under the License. + +//******************************************************************************** +// $Id$ +// +// Function: Wrapper for on-chip memory instantiations +// Comments: +// +//******************************************************************************** + +`default_nettype none +module wb_mem_wrapper + #(parameter MEM_SIZE = 0, + parameter mem_clear = 0, + parameter INIT_FILE = "") + ( + input wire i_clk, + input wire i_rst, + input wire [$clog2(MEM_SIZE)-1:2] i_wb_adr, + input wire [31:0] i_wb_dat, + input wire [3:0] i_wb_sel, + input wire i_wb_we , + input wire i_wb_cyc, + input wire i_wb_stb, + output reg o_wb_ack, + output wire [31:0] o_wb_rdt); + + wire [31:0] mem_addr; + wire [63:0] mem_wdata; + wire [63:0] mem_rdata; + + wire [7:0] mem_we; + + assign mem_we[3:0] = (i_wb_cyc & i_wb_stb & i_wb_we & !i_wb_adr[2]) ? i_wb_sel : 4'd0; + assign mem_we[7:4] = (i_wb_cyc & i_wb_stb & i_wb_we & i_wb_adr[2]) ? i_wb_sel : 4'd0; + + assign mem_wdata = {i_wb_dat, i_wb_dat}; + + assign o_wb_rdt = i_wb_adr[2] ? mem_rdata[63:32] : mem_rdata[31:0]; + + always @(posedge i_clk) begin + o_wb_ack <= i_wb_cyc & i_wb_stb & !o_wb_ack; + if (i_rst) + o_wb_ack <= 1'b0; + end + + dpram64 + #(.SIZE (MEM_SIZE), + .mem_clear (mem_clear), + .memfile (INIT_FILE)) + ram + (.clk (i_clk), + .we (mem_we), + .din (mem_wdata), + .waddr ({i_wb_adr[$clog2(MEM_SIZE)-1:3],3'b000}), + .raddr ({i_wb_adr[$clog2(MEM_SIZE)-1:3],3'b000}), + .dout (mem_rdata)); + +endmodule diff --git a/design/target/scala-2.12/quasar_2.12-3.3.0.jar b/design/target/scala-2.12/quasar_2.12-3.3.0.jar index 7d705b1bd70efe5b43d656bfb0df14d5edd1a499..5bbabb7415d308cf04900645fc6714c40df66f1a 100644 GIT binary patch delta 268103 zcmZU)V|3s>@HSk#-P*Qo+qP}n?XR|N+jh6MwY9t5+S_)keee5z{^z_8^5wc_lFZ4; zhsk6nc@DQN{IW+>mIH@C2Z4cs0ikkLPDUI6{}&d>{)68{|3WGj=>I~V|3SU~!GQn4 zLeT$)r;h!P{Of-Z7VLk`qXQ%U*G{V<*gFI`u?HeW8Cs{ik8rz-jeE z0uufeT0irg!2csoMcUB+k?Bff=Kl!8hQj&3q%&X$l=8p6)PJUS4orf!8vUpDpa$d9 z`H!^*gT4M|yt5$+%-!-o9mOr!R_VWM=>$s!2bKSiLG6Rfg9D$Ta;hNzTf_W^d%C%r zF?pD{x*NIqIGPz*+B-SBF?#lAT`O&KV-F7eYV>AT=+O-M?mQ7t#AQQJT8az4nvuJB z9=0>;z>m+@lK#z#6r zqVZ)Ca^$vd))lKYh&psRShG32wNm0NloF!(zsLrxnq)ZtRJN=ibe_Ub9JEo7Yoll9 z_Qh-+2-oe^x@bY(X6z>^bAIH|ynN4ltYLEDhA!nB2g!!&yYMPG&b{jDE~utY!@y-BP9eJ&w~PZ9}9Zq}%|X zjgpZ5Gdx>A&k|yn(2)9zySH<$`A~f{GlR#vJB#>?jiE$Z49VQpkcvZE{LDbYK{w6#kuVC?qd7YAYqH zyMzTclUL8)fZKz4HJ#>KlO=loelB_`I+2qJHTqCTevafw@??Yj1mAKC*dfL3B`LPY z5OcECSE8n*vpaSr)jzniu15-YDpHI){h}j**mv<+pbjz7V-x{_%>KgiNw09E9HyXm}#QY`bGKQG?!koFOZQ9KzCPytN z8I*Rjfj!4u;3t|GhL!2RwC9eVTUtfy6;yx=i}E=3(qzamED60c$>58?f%xwC%^aO)}bwD4AH*W%D9 z#4Ch@RP4nP#Hep-^+V`8?znT1z!xs%&+&H3O29*Ip_(PD5@(RQq&V~$3O{Q@g1WJcdJ3)$8Ahj$1!^oR6( z=?7)gP1^PTyof5pOKZzh&-&LaXv*Ik-#0YhNM0SvP&>t#sb&MP}AM zW-(cdooJK8+|iHMzH?Ki@%HT^t8r+iM_{+e#wpa#+tc7!bOE4Si+>i`^yLk`-w&~WJN!A3m;l7d%@Fwnu2F$%C1)oDG6RyD=b5>SH;B106Vd6E(M9zK=y!h-VhY^onjyihSk62q4yaZ;ul-}bjWq(Cc z2|#9zMjxs0tDqSe@q?ZM*mCEGpm8UlB-(7tv4_%Di3nFTY7#t}Uskb@>wV zHsiiOgu{@1*18`vWa;2X=1ZD&>;Lee2G^2WUiDyixa)+fPAK+d`T7vex8cg3A%*sD5!KQ{4Cm1(hl$sPgpc^}4vFcKHL(nC_jfT4)L3lO@ zN=`vyC*VIIqev+lpVB5+kTW(gIu5{{W{2V0j*cAfoRDPU#UH>{{H7Y_|4B3Wl;T}c zI(;nv$jtR_T2tB#pndeA9PWcWQcbLx-DeCH49;O}pxm;LqG5#JJ}QiVM@C<0JX(=f z-I~SNapcsCKUFDR`=ZUVn;1P3d}5^^Y{Q#7mVCc7?kJoVmOZ?z&JtEqZzSzjj#w5@ z^{*?{_^rZh^vKUOyHaR{aiJbrE3IH9JzA;3s@6Krsbn?>@S~n)cO8BoJtKE5cThT= zmyIizMxgCR^BxY(CgV`7YGK0QmOmn3hQY0K?fxdbYeb!h8=Q@{tvNVn!D)?2{u^Nx z8j^P}BKs23Q;}4_%E>Gv3US;uB>m3343l~Wo+g9$4aWM3h?ZFbd#hSR2{OT`2+?(V z?%>WQ&J&{(phsT*O~8?<^7*&O^a6q`EPW}}`&_(*PLmg|(hDMFL_cctbQ#L$gw@<0V+s+_^YpVyztPmY37Fa3c7UThzW zbKo+JWn&tTi(15LVZqN!KSt?PuX-Q9&MBUs_w)^n5QUN)nHnOveuV~ibzR36bcr#! zd-wvoz@?L$o7et8FF~GIciQy80g0X=i-}a|{W^1jc-EiO+_#ipovY9galvo_O0#*8 z8WdWz)A&de@1f0i!pyI{SuHO1TP+QX7`CF_rIh^r2>#dJ+r?Ao7qM2@0PdRyTkNmi z?U!Fa=2i+>|3@g3luJp4Iw@e`o zfpdo8>~1b31`DOrPAdXsq-seN z5HMjyD#ci22Ij$m{M6Fj+C+Bu6<$C@+@DZpTUq22k!Mfy3enzMTE%;W3c;Z#vi0?w z2^6(0D_DLDKjeZ*&ubS&DuUKIejXQ8p@vvC9+lve$CI-bE^HL|&%HZ;2*Dd5sc#6w z)tAy@soALf)3|LAAlJVqP$TiBberSTqY#j`8K_%i7HJ}&ugI(#V8 z&;{dDDTnW+I6H8~u9tu60-8S@QM-hy8Hr@k#;74k0D~%stR0Dg!94PbmBUZXmb7Ld z{taF{QQ{K$!5v8nPW*6DB@F_|4v1>I-XhLTE*{auPTeD^xfFIQitKSZaf02%@$)xJ zCnH#hqUiMzZ)dJJ1Di-ts5X;1wh)JY4>}+L*@s`YbObtHi{jF}8lH|?BUt;>wkTdj zD7|Z!0x7oY=Z9o|oi$~ZH+al;Tc=pna3Kf#DJ!|~g3TE-b!3P1DUku>20ix0H)}81 z_m?7t%NQPG=)Ka;`WYB+#1l&P?DwREa8{xWs4>xPmWGnNbDCltRG&e`hZcYFJriX} zO!92V%L^z6)9S2MXP3XnpF3EztxsDQBw^tbxK7XaAE+zL;lX-QpL45CeCJ7 zA~TeD$Ip9?&lxL^pzUrhM}TWloBRj=Y~pGWShglgIVPE%0_g@CBHhWvzfd9UnU@id zX_j9y)BY+hma%(NQ2mryg6B!hJObZEXO3WDrw@CE11G!F!B!=)Vkpo% z7+dwy65!L2l4|+p$Ue}i5nHzUgGq1doO78T-_X_o0)3rsz^io$qzRcZUI9?+RZVBJ^!(kyRkL0dJYlOLnIX~m)YPUh_SeNbp5A@5Qy;^ zLSozs&!us8S?1xsYU}8VQg(S(Hc>WyIok(aW)Ua8Rhb21dLsbWOtdo#gD3ye@DTyA zAa$0|7#FC&dA_)|?{lRA%2H8ni72E@xd#_oJxC{(bjterEqJuCs*Hb2z}A%(-TM#z z();LNDFob?=#QK5g3?|7<&KWI1nQz)ZFmSpa*}JBI}_ED0!8*!TF;rH$gV4^f27H& z|FkxLI9V4d=@=j)8MG{n2I9UY{^&iti#Y z7$hX+sn)H2oiWp0s6tUdhA35$2mE~C5giC7o431%_u_B!e)_tw_ukw!JS(2r)AjZY z>@+i};^|Gu$c?>qY;=tH^||!Ez}duH=)~RMzrYEkX#^oPhCx%FH%Mh$z%@$$94kg@ zUD{uNxFKu&DoxXEZSt+3f3ol`qP4}`*@$?A56Zf-q`NEQymWM&mgvCqfjKCTIT35J z^V22BbtSJnkI=vNRFe+@Ts-TJwHH9U44ZQ)a+qXA@M8>;s>BYdpU~KBhvL$vjbcUw z8YKXB{3q;G>5t-;?#2$xwhqtcnx-YH=}ngo)yOp(>{|5ra@7DDI`dQeGj(A`Ja3Z| z^*ZlAbQ&@W{f1?9*_yT9YS(ZOvBymL=_o>4&hsgRx>!kN+v)aMK6>fH8S_PKZAQ4D zvXnH5DtIBTG791pM%s+!PE+{h%Sw$Bt+7C`g_D4#!7ni!@7H5Io1q_q(Y8+x)}q>7 z_Pw$C^t69lY~WBHh?YhCoZ^P}i{TUC#6Hy9qN&;6ePZQ$W|_0ASB-`G8NJ0asjmO9 z z|0YBPY`nH5QwpbymZoM~r|RTE`6+DK33Vdp2&R@(o_KwHbfF+bU|C?8L8x`j_yz)M zYreUIFJjOhKB7$t*3{Nq-ca`Sf8saNewpC)voq6CDN;3irL#M7f&!278~kWl_w9vE z-9+99dzr!{v8VL?&l@j9ND*e1UVH?x-AktDWnb)f->qi2-`3n#@Ut%24W2tY*DpQD zbbX8Q#tfIEj%pp=+T!cTlr5r!gjA%Gdv(1{;JBqoV*3YW`f=pQh!Lt!(4UAP9&N!} z3JR;>6Ff^iN4|YLJp)LzH)I#b#!okMf8LMdn{X0x>M4)XBpuN|OqfUe$y6CM^~7ax zcUsKb^(9%Zv_F@OIel!5=bidq$6tN~#T-Xojk+ykT)BO+$p7#;HuxiRF&4c_L8?@v zdiB{Z{>c~jg9J{X>Xy``ebDIj{MdSV2w`|MR^-jT!}BAJq6pA8W)nSy5TR7DxeA-Y zr}>*2jVo1jl9>AWKG>HyNE)U^AA@7xFZ5!-iW5&2vUyS-%%fetuA=XEvbPgEN9Tz# zrbhLVY0~iplJ(bf$9j4XwF$8a2ZZ;E9_>E^+}Lt(lMg^N)@{w%-{%dT4Hjg_B;s zL+>AQ=1RqsmT$mJc9;g94NFwuvYd^+xYJ*7~?p0 zM9li-6vo0^CTVgiu-GSfK!nLud+NjBs0Mqg#~2J$X$!zC`)G{0ggBcwu@q7kuPn+A zlYzF^f}`Dv*JQy(s3&z#1%kMgL`2Dporg2z8+Zn>wbhh&E9-n$K%RW51_CMG6MW3n z*L9E8gnhh>y-FK>dcGCiFW`w+pLJ<0oY|cFry3M$aSw_>Uz`mHjLok__7+JCk}{;u z{CNI^_5>~{4puhwn4V0jmkw^d_;{@jCR4g!e?()j}1(w@fh^P=xb6l_#K%wIF}0%vYz40mF(zR0dBZ zgu+J+LtSn5zYvQUYH&Mgei@+-Fz`&K17{ z@dX5Rks_Zy4Gp@aa6({2DR=N4JG!W^Sb&5Mv)DP}Jp@v#3@rs#EiX&;p*2=(^7Zo) z{%mi@J^QbkWg*Yk0Wz4^@J?W&zyIv*-Nt>5>akuGm!0rNVOic$^w|r)v|=m1L*ZT; z?>M<)&c1iw@uiu?!*Z_?9zTFtEHQZ}0=V(O5B~y;Cr(A$zT@#|Im}=Pm-uZh)F&k_4)KoMp({?v_Lb=%W9f|@1{#Vhwl0aMz zNygFMH_V@#92-MJQ#@pmP#N37n>4SnP`%EoFSu5ewKQc!A!uY=vTB|!F<3}h8~~xx zA#sy?Cw1Ktm_M$S1p}qNZsWz*E4u;R0_ll1u`1tiL3KWl!lry zP7R5U?}bb$MQ%vV;5vEK4`x$HF(3%dpD)T=@?410nVBcG4Dd7Y-o|bmu8q)sMGR^T zriVy)hb>+Q4YlSOb6Rim5856t28+fi61!6!d`LWLKu3duM^L3kQc24XreCHUkYA)T zg!Ma&O!_^Bl+qV;24NiB7i6IiC+YkliK6P`djAaa^H_7MqMGXTID*{X8*m=CmCDf? zg35X+{dNX4xGC?z2CIOxSDcYwU1zjem4bj{WAVGn@sc8Qz>0y&GajZq=l3ua;$Nv(z{jS_?_1;OfkwZslhWT;LNzk8;7 zLzf^_unFT5se&dTxitpi{2j8*U&O)8xjJ(NEIZ%kJomxIbd5_QLPN>Fy1ifpGo*@} zjO=7HMMRD@s1YP^P8y&#Nhk9Iduv4!ghJP(8-f`Wy~kqRh@n)lfgmj~(;Yo5aT`Q= z>d?KZqV3+(sj*}bjC??4Tq}o=PG3Df z9KC0uM*f;4Up5?>1F>Z|8{tXD)>mEJaN@26rSXX6DitjVSq93VK5b(ZvvLAjTg3Ln z8`hED2$94}Qetp-Al-WMT!RgZdsXR^9hphHtCNn$H`~3$y11KGAUY`3b^3&H4k68N znw|TbOM}i0!Vrh$*!Q6xqoX$I=1nfev(t-{E$Q?Q<(Tztz;@^F0NKW&tUvcoxXdKF z`j%6Cu8q{BX2!Kd6;)I-Efl%ETPX@qmpVL%A~*1^&U*Ca;jSi8`Gcv(W9S_S`Rolc z z{bUBw&)znB0Q2uRdq_{Dq@8)j>%l)sxW!?}GK?QPf?A31P%PB7L;Wdilg{Qv=$6Kh z9hD_iF-T{se#E7&4KIQo(eET8XsB$NOf9=U27L7kC`QnL)5MLhi{9cEW4-Q z%@y)7mU&BurBa77P!205zn?AgvwKYD^JAmiIaL342U5I;Mt>z2tUAS&;O&ShONf@4 zfN3I)&gL#l!aRi$=t;S9OL{a)SD9%{%pIsX>(JG2SR$~p)4wcs*E=w%g zUMHzdIrGG;#=!idHS`y&>Qdo;vyfbUSZPD-+c;PewSD$|`UPh3Q3^@-7Ywe^%^B z0@}r}J87a-u!$b1BisYfg~Ol=1VOCne!-Q7gB(FJ54kxppl4RbV57xLpRPE#T^boBf4ypknEZ{nouekriz!l~YN88~*ddl7+qRt^oUs#&u z%;t1XS=666z7npMSw{AK=D8tX1nM{FsWxUfoR5GmFAG#H?8$6ZF2vtQh)20?%d#Q;TXxv3uCw($(8(aa4Q z!Ji<4XFBrUNNo7;GsgKaBcq6`P%B;9w^IyVwe{h!ZFg*OR!vv_jKFf2S)0o554dsVX2Wx7pPji(j<*|gr_9NA8Pd3t zxg{Ds@ji}d%oJ@qLBTGO{5yKNzjVu2#fM0ac%hmnPdtKMnsQh zh$}@X%o#;Y2kl;D`@3nJO~TeA;)O#w;TQHrbMR(KtvItFq2W4?IPP|mHo#^ac0^SR z3|73)^&V9$^kPe!0Py3tEh|*RRSuER8W|q9hRaK_$Z8flf8b-E)(&-4JO8iin?EE@$q1xH_wI7n|0dF|^m z_aJd=tedCPCV*(zyxe{U01}0PqeD(4qA+8BlC9*44t&}6w^(ZQmfJbeqnAaFm1#z) zN>1?BJf|{ki%Q+_Ne>L~O~{sueOqI;yzt04JjD48!PW~6O}9`by*0Se*TMMcf$$|k zWbD|)rnRlgD8YJxCG?d@T2yc~f0aShYV~%}&HJ#F2#5^nK_>cq00&IQ^lNFqMw8AZ zXYyGGcP0^gN_;SuN7>6NyIObCw2xo&6Ov#Ne{k)kMx&rg{RCcmXQopo#A0HB*d;S= zi|0>G=>*>i{n4bMhWf8*-_n+`B%5++fV06r=%34<(WaCj0R$A#O$ z%+3IIPnd(+$&ef_J7G@{9tKAv`)){l8CLAVFf&NTdnk&tupx=E8%|YmeDJi?0#QE) zR5auTllT}$zYgEHPU+mU48g%suq-e&Jk>vE76t@^j)ozbK*v5mw_ExHc5IA2nQB6t z$zE)=IRjr4U_sW_bmPr{_=MHqfe~f|Dv&t8<<+`Y^mw_YYvI70IQI#ZGd6-DBvhWI7XAfR#WLy!YGkN(smT3N#bhQB^`fvKEP_!F)c zdfql;^ug?^R?>^o9kUo$!eA$npWCOWo$6kB!eDX@*xDp%)M~q=@J5E};;iqX0`Y$2 zkp#F3TnpG+Pr}Q(@(?nmoq68$Q%DL8p+1n5K*_y*qZnB@gf}y&v7G52$77V|ar@TM zq=NaCYjE31ATp!Snrh2tZ6T{3eKIJU+a)kC-nPpI7~Zxk1_<7^%LfEfu-sf{tsXlm z0lRyklM}eS>tqBj(~pFEsr_jQ)lY9X{&7S+^hV-^GHnl?r3+(Lg|A?8 zF-wyz8*cw={2n}}_a<-dGQ)3Ybn-}D6Po##%}N7{Cz9HsU(4VXFP!B^O;i<%cdP~A z>-X{&E3UWS^tFke-Ya+dlmCZ*2-S>_6sDM4TUs;TK6)4{4k3}EAX38kt>HZ}0@Spt z`VgZC5r#XJv5`+7DbkRR0(x-M27ETbqW&)`HS5zciaDRMA#mj*?0^M(iIYR6ByWmI z!UDisNRUub&~j3>=`O0+#?g8uiueqmcW9&+iHdJ9=$9L%LBk+O`!3B*9G2y6f=NAP zFqe=SD>d^mIoADXjmNW9GGW*EMV54WYaHcvwn#2qshNPKv3Bu| zQFek+{JNV(;BL6~3Agrlt+>G_Ni?CIE*lJJ;UWgC0+>k%nzK)xDx%1G)4&>lt1VJB zROoB4E>J_+RF?%J=^6|BbMX?EA7gttBiN+v7kl1D+n70iSNRSL<;&=gJR_#N3$<@GGR)RWcDSCFD5d=SKzZ`NH8SJ5FV ztwxIV`c(5TqGuC|dY-&t@b{5`m_~M44kNVqEVfFdqcOtBh#~DgdbM5MCr%Vd|8w@| zps~=C8lwU?w(|*L7!eX}rXx%YQO>;JwzhKz?IVVxT=~W+zCNtfWr>sp$DvYMSWHfa zbq0~FMWWt8J;I#)b4@l)v5V*#yPCXF+I6|pu>bI{5PQ+wB;%2yl1WtnqMC@=cn#Mg z{iaqyU|r|FRoz1dKGog@8uZ&l)X{JCJ<2-Xp{;I(jRD(Mkb0qT@-y0Cg4ffPTlx@og{< z>A0f9VMtj>=;>(@Uv>=MY>=9ZcnXnw22uM2IxgZAL}w^Sfvf4jz>42}$r1LCaUx2RWa*GZ8eJ@Zc%~N@n~9!eG*>S$tS4RQ-1AX$Rf-M` zG~mqDAcSrRJ!eBg_!go2BRDlavf>$AQl&8KrY_oqa3 z`jE);M*{{6Y#FVBppYHwVwqLXa81K7Hyu|(tSM|4Y3u?(9X<1y=}mRP6BmGwG(JK0 zPc9}3F;Qyb808PolES+i^@Tp%;PD@2bfmlQe-AA43^}FzsM<)_Wtw;LT1_EQ_V?Eb z(xBA%Aeomx%mR0c6{kT}&Z)SH#IKkO7!Qsd6p>NTBz1=K&ejJ`bmk$M-|i03A4cF! z|D5a&-N6U|1&70+7fhRzUZI`0qt5)Qq?i~~Nq%Wkyk|s(!*fF{u4q!SmBi8X^iRkX zr(p;t<82Np)~@`JB{8M0_!Og~T36bK3nphVW_V9Nx^r--J~ThuwJ`Fh@nta>zs`QJ zO{MW*^-@MCVK+{;m(ABa^sAcoZ9kvwa`VvBmuoKrmtIVday5$YtOH#a!}ZG6)Mtqz zd9Sl`Q*Jq-kW_VIrtc6c0%T*ED;NRZU=wRXfXAB>i{*oR{6mDP-uQ^P zrlUas6w5;j<*;qQ>cA_`6@duQJhd5v9a$z$6jJ284jz3CM%J< z1@r{zUBO)_?P0<*ClX_J)33NC4=|hFL%Z`3d@K%WoBRhj5HZ5SI7%t0NU6`O?L%*I zw^ooR4hi8pCCxv@*+x3oGqd^8WZe9*ZYw8v?*pzskSl)o^UFp;9f(CQWAVDG{c`#M zm=h9Czu%V(2lP=7$!e41uw`1&uU$Pu#0+>AbI*v<&u*IgH~{;iu^Dtp_apvq*-lvm zL`wumSFbSL`MWif2iqVhVMMK1* z&xw$}{9KcQaZD8LEj*q6FUGG{Vn43_m3w71pEi{TV|5#vAvC zu~AayX2}?e7Vn~HC!`t}LBIK5LNlc-S&04*eH6#c0)63yZ^60{xKH^e9UUB|m#+my zHRmt+eVdjat+BagwR6o}JNVlhc`>#s8Yp8XD7)H!%`(zsyxy zCrc_!RouidIp80*`*I}|#!4yxbCpLD%y#18C56%>l}jF#%LMFU0! zma5if;LI6w1NW3*EPejJt+*j-vF)&YmKZQrkZP$=GgeqP2jcs-QZfr}#%IqlvsW}` z&mH0V2J>zNW)F8mxD2Lp9fP?9G}TxzK0owbOFLP(d?g?+XdIt7Aq|y__IBY`)$-0{ z6ra$k6ishC)3JIwk8B8)s2`hpV_ryI=HWEhN#$w=TK09W>HKTfX<$>)EJ6Lou5M$V`k3w zDnU7x3<8f9C$tPYaCeo;SP+E^d@U#6UtD@65q~oVdI=s34FW@TLz)X=#yfQJ;(P>Ao-a$D0`do9M&ET2A3K&4eLJhzw z=Ki$inzb?{ClT3i_k7DmqJoFYzH0WsB^)Xs-1o?$JUFqF%Qn9^`fa1cIhZzF zofsa|c1+QjDctGk-x^t`4jg-!f9D1^;6IlI(%LnAqtso-u4d?Ty_l?+Dvz4KP21XL zN(6nb?5L5fg8Nx_?!md`w2GfD)QIy4GtpfEr7G%K(eKK4jjV&cB_rRk%shR+Je{kI z8n7~x?>lmCr!LkHyjl4P3{>+;l{uPMmQFriQS(cdJXMxGRhOSFowIk@k+HI4X9x-I zEG%3XzPcB_7iI6U%GT_&DnIzT5~8;x7~^QifpT1LK}wMd{gz++!eFwTd??bNXDTcO zn6)x@n>x(&$PFOmQ`E799;>BK%@#-T$Qg8INQH*E2H+*9dm%rtRJ}9x3536bm1Pbs z!sH}t`$i3S&2gf8yW{p~nfjbsY)&^-n{8Oxh>x;LU;%;?%@{Ke&NN^tIaD~dz2pzkA z77cy@jlw*b^xdZ8k+(r5LjU?86Z(?`XjdT~9Ri4`W=~Y#d>}y=`oh`ZK6DHbvvgS| z$-4d@Ii$70)dl*t3W*e=V=Kg$I-0;Y(L{eAD7K)5*`@M&-RhH`7}&H|oZudSC5^7x z5;>~*L9r!=9H8}?AlJvVw;eLO)|iA^?pMTQkWgL5`nEPs4AQ(B!;hz3&)Se>&^{Y` zy?;2C1n1$V#H6+}!DEn3ILCw74)$x%;}auqg@S*f$G^}M1Etm3V*+&*Nl?8Bgca8x40@9JmUEfhsB#E^k865{45doat*M^DvakG z(esPY41E6>K20ZW&|3jp`Fy8nljS0`#1_iM%xF07CsLX(EPqq3jxjRJR3{R5<8X?j zO<%|GSV-lC)X3Qy!Y0-J`YszcSv3wiK=%X3jNK(nWZ!0ukMU#JKk5*O9R;B&pVa;_ zo`&F2KzLOzPhWmYC>PkApClS2q$PywvY?@ z>x|o$7A+43@y8XHnGn6h1AniY0VLnilMF0Hz z;uNOLe2v|c5ClZn>3=VM|DXQ=01y!L|EuC{W?|!L;rdV2ThC8xTRP+K_7^(G=3ewJ zyyOFTX!a};N!f@ZA$`)^)6|r&c{RetWD407_ufsx!uJ8cT6J1jk<krD0pMZXG-HIHD@eRM9p^nLVYGD zz#fqj0tlu#r0<8d`_c@*hZOX_ptL|n2t?A0qc4eyPg$G+WE_d3t47D>1gT3S0a)2* z;)kTOw-c#|rw*uc_t_=_VL6fhS54`IQ@2*{UO-5;v=nt4~JeZ-2Cn zk+lA3q{AIPbgc5LmSxKM%mp+A+`vKo)?LsWd7=gG+wi_Vfz$qrZdA+b%H zOagj7DULlaV2=!i6Ht$L3#F_cY4lt+-ag@1uB#LrJbHEX*LIr%L;R>5`t}wuJm1wh z)`z#Fve9c1enw+KlLqCiICqq|S`dT`YwUqQDTe9@VsS-XuA2z2&8277zKwJ7TU7;- zV~DEnjL8^6w@NoOyB1KwcW_chPa10*_5mot@*{Suxa+EQsZVUerB!gpvruAmXb13S zOfAmf24`3YcR&V3;G_q3))N_%eo@pHR#evXHo9Xv#iRdq`Gc^1O8T8Z4ZNI7EOTQJ z=qBIYkOXSjBVxb)SQ4aL$_%=Vy4I9XUjUQg4E;zikvY8@Q%w+$!5rzy=X#QO9*~SY znj+ak7iH360>c#D<))D5oehGLSr3hg4XXwMg^r4k@FvhX*hu083sn$hILJnf^ zqeuZUeF$or%e*Hi>Mv~0aH_*wRew}B-3g3Etnwgl9O*+kFZS!aKZXNrow$YH{l)G( zyvyse)cxVz)z#hgSR(Xz@=3e8GcYp73Ku*M1%6&>nCB$j6+nSjn_=h@gUM)Z(hXp<3ZgJj^qe05G5wt;V4; zRrOLv*6QK4p1I=uZmIQO+DYZ_uqXVoc@%Pa68d#iH~HMC-m%{J-7jBXk=s9aJ}M*< z$p77XsqHW2HmlmXzW>X&Y~VP~>u$%3>gHy27p;fXzV%Y3Jr`xt2V2Z_ol-NRnW&z+ z&^vP#?67cI?#IgNM%FXEJP@!%B%k+HCe*|tU}O-Jd8n1GaF+XOm`AB2i3dyV#+p#F zN%_npH(O-eLXTwlL8+8s3Uz&Khe=^Kt8D@of_<&+pDvPUqKYP4kBFH${HHeVSmD+* zJjReL5b2JMl4TNF25pznl3Y=cUIe*Oo-`)Ye&0FUZ}P6`_KA zFKX}=R*=c$Ld4#K8-SU(R5=?`Kbck<4Gbn@aH%-h#xzy6LQ`F zmX5a!@nqQQ&9X+9`lX^PBGX81qw?o!BI@7AG!_RoXpyREf1VefShM=yhkQiRf%M0s8&ek6i$$0z2;Q;sjWUl z`$ARv1&rcs(nNG(Zq%f!sidNY*}t}~S$LvV^coGjd&-QFc^x5K+Q8quzkOVlK1S=o zq&gv~MC1^tQ}{vXRxwLPO!KE@ux^MhhasUhY^@t+Ik{0)JkZr8hd2s@E`m4VdsuOH zro<{-6i8eIx&CqHE`~5lsqrEa???;emTIz?2f+QH^_S*A@ylJwp*|gCYwfLDF;>V| zx__0%6H^*HJ}=EcP(;25^fSHlYm(wodxkDBrT5Hpz)j%FV-w&F{~FuvN<_& z0`f*SFIO+PoOdDVWLxGYzA&aj^hT7s1zyJG^$|S`@+s@P_^1nv=Ryt4G4=A*=^@dM zarLpAHK0w9h33YVw_y^GKx=WjJ~5Uh>ehlZO@lpQF(m8yVn&FJITl;&mRK=AzeWj^ z@^agf(5flrC#Y>QVUs+swd+7vCWqVP07?Fh<0b=B-~1DN{?iI|e?vD5aW>OJISxJ< zxUK3a(jVyO9+(fXuoy~J%-xXiOOL)wvgvI6(F1T!im-YT`On7B)W))f{S9c ztR|*GR@PXVOzX!R$U-FP@Kf09Yx^4D&9vb{!E^yu@d}UH;iA?MH!`yrQwbYipnyKf zm8Ss&1FTSXTtUTAnbh@}dm6Euo9q5VnnFbL$R~_Hn4A!FYr0oFpMngXJj&dy`^WRb z^r52%rCWo?uMv2rD2}lN$4TNVe3k388g6oo!LN zxwRGK2^ya;x+-DHux0QZ8M7!@q^mZorIp}hw3m>JYw|rBJ}uS(DD_g*^c5;E@t-$HP7!); z=93i_%1NESjdz5SZ+D00fhPXwAf8gYV%}ab^#;YFS_F5{-$$4V%hf-!k`~E9bU}UeneC*OAAMhF=`NU=_-XB*Nvo(VADP zUoTWpyi@`itew(^A$xA<#l-FC8)>PyZU}i(wiKskm?f5hmWv|NM4zPQ~kSe8h>= zZAOh0f}ZC2e(PH($zOeQ%x}}F;#WtiqcBr)ELGT9MGnrl;w&c~*fXYJ(jwlR6PVA~ zA2eIW$Wjbm| z3EOWazg-HMJ7i_>v$%j?43Mn9&X;;$oR|_8Du_A^attSHT!5R+`=zImsn}R8OGgp~ zHUpP(3LQL-WybNb3D5(WKJ&wzLs3r2d1E*X*VxM3sUK06OS@~O0favhv42Y@Ca%5J zIu{UuK)*C?4SO%H9`g66*ND3tFCe&k*32r`5u7#qT&NI~L;ExnqVkiUCVS}^{N<=l z(yp@i6psC)Hx2|uknyUt++fDzF$CYt-N2lP3)c97*ewUFVv8DGGN-?2@+fGIYpG$j zTB=tS>pnXMaAmlHKh{Us)H5>3-W6wNI7wk4liO0fHe496*?z979P+C?p^-Plqch(l zG*={j_kNb=-mOsvC4VtB>d*)x6+YVMRY1LD&9HfEUjZC{BjfYplj_zphF2P*5b;u~ z9zc$_)ADBM)?p?2O=yPrN~qqf>Q|H-QOqckcng$C>@|-z8Zz(OathU`kR2;Z99@(j zC6%a5j8goLAgVm6sjX9ksC3LNtNv-|d#xUrognk@6FEBYl^xp)K0wQgFTRxk+yD~O z4l&L+T>&tlZ`E{&9a1fV(XrCn8YQFGWr~u8O42Kjx4l`a1)3 z*(s-Zwk?a*dOXy1O&I4#<*U~6-?~E>0h`q*+VXk<8|uA!r4*MzTL#KFr=o|7WD=cj z0i~To4*Tn=Zt+Y6PhD?Xi-~~4z8uaZG4oIdu~*6JO@U%E_JWU(W_2CM zU~OFE%SNLrC$psHh>=umDopqsZPBRfdqzAhzU)++H`hR^`HDj)oLnLLtpWr|lV}>X z9s__!F|ag}!R_Py>VDeO;48zP6>_@C7DDkw{bd5V%}6AXAq8D zH(H?2Y}gx_r;&qyb{`2$$oY{_D|b1CRQvcG0qR{ z7t`-(%+_PFy~5_hhugl7mG+}cm=i6#8>?Ytg7JXEOf0!p@Z31K>9bArBPQq#yEug& z+j1XdX7xx6AZ=$_80(Ho94xx%*VgE7*KGRAX{C?*#k2f>biD&}AW_$~9UBunlVsv# zV%wV7wrwXJ8xz~fL=)RKC$?=HZ|>)*mtTE&$@e6M?!iAurDl(QSZhI_&-*ua`@AM@*|VPvL}mqU5jMRX#D8E&W}z5W-)U7 zHY!k)a{TWr4PZ+mYYgX7c@i=OHBPLMP%P$@XBAEK;+TO1~Bop$tc6tq09gRsOQAV%AD-+7ai8MmRoCrb1dbp5hE3n7h=&% z=t;hPc@-RP$Ytk9=NByA{Fha(=-n@&OmOB_Wwt*+<5oa}kaVci=SRepCe>aUy113? zJG8IdKO_Bv4%>*vljE9>y~Ph@wRT4O&kAMwyQZ?OHO{3Ub9Iz^w%h;y9iRt#ZE;sj zDy$p4_ge>BDl|7mV11Bfn~UI;Fp}no(@-tN>5@;rN$EtNltmRZ!CRCU2dJ~_LbA0P z?VJcg3r+@TsbH;^xg>O98k(!5cjs$3%%6H%)8-dg{-o_~rl$=jMR_lXke|23a;}P{ z`z)1RV_slOaGGpgpj&>(dDaEOxMWQ7wtFbg*bv`o`tz&TR#Fy77nda1#wuSJH}a~| z?R(todT79i0}ZKGvpA#wbrzv==s3+DsMv;v*)1cNT8FBy_V%8kpo?jGm^@g7=QXDM z>vVAWrIso=*R#4h@jHkMyG+krKFi9%>rgn}_rMSX%~!KD$2WD=dY=&Bfw$j&Rx_aW z7}GmaisHF0_CEDrS6&C?hi<*U)0A!w)IKEq9&58H_sYu>go_g%-H(}N$_ZysH#f85 zFx57yWV`o%jCtPmVeMkCRpW?h6GgTSFKe|85=&Y**C=1(zKRL~XYC3Z3Vx+T)$s*^ zX)?HX?=OEWx6aT%WUHcWW|BEFM<-w)R&|2(>UcIiI z30Pf(uNN*wWlBgEyC0-~w5rf}!Fy2DZctjtx9L==1h*B2mahH`2aRhm-3S0+mDKUy z?fZ(1K&39Pw)0#vlI@6r*A3J1)&>p2?TKNX$LZpz2dZZK8bx3^sFksWI*i(cB>tMr z+dji`1S}Ov_UaaO@;eNbOU^kcx?Z}c|l{l@0{yydQ@xOd+NzJrwgZC zV7gM;rX1#o$t6(n?^|gJZU*oyniF(N;li68TPf0m%0g&5pu^azV-{1#)Z;RleC3_v z`-b|BVuMz&Dyw*VpX~ifOLr^`RX6y8iL^XE^{5VsxCdO%LKHqsS z&jM&vOEHK^1Ij!s_s5nDR`I4X4DU6yEXr}D?G(Kn zGs2EWl2vqC>wGp{z@u#>7_VfIQlLa8DiUY2pbA3y5v_xni|iN{(~Yc=fyEiQVD2D zm10(53ZxVom`m<0x^goR;YCf^YTf{`e#En@IgFVW)_`Cns zN-!Pl|ONY?7{}H8Hqg z7j1g0!C=B*UMt1Vm%)_RX?(3Y?a8?cc+eQ&#+q^!30w)dS=lOHAR+YI5uzM+?9LMnepJ`Ufa0wAxkQVpedY-r8H#0^(o*Nc(Q}?MT78 zPToU3BD-#I<>1-e4B{*XfeEi_TEwX;_{2k((wt0$CuJlb1ew2U*g6!kq8vm@3jHm$ z2!U%jfBr#jlZwrJj0~9MXddbv|0-X#$$IR%_=zehYZ6mVE?L<92Lb#P52XzU?_pNq zaSOss>$TXd_`PZ`wBq9#P^c0=kEClT3FMwQ?%CHUZ=;nttX*w6BIs$>9{nEnyQl>F zMIi9j&Oj)fCGyP4=PrTg9s}0MpqH|+Ce=|5u5f3R*t?TI9X^&+xR8;l#_6UuDYTcc zlz1@)5suMLOfzV#^muGOkiE=2QN)GYHQ|*W?X+>?qcIDMI=yN83p?$*w|60!n_=uHQX$)fBd*y9knVYKp9&0d>Ai z43eFvo&tpU)zXoAF(woSOfFoy2V5vtR~NAu&8>4K)W3Tu6Y~Nv7YfF=vZsW~bA_UK zn9R5}Zc*&?a_Qi$D}Ud08inclfqpq;Yn&e#H9q$5T)$ab z+gN{*-BF&wcE&*J>`XljPlhGXKEbFHU(XGQL zCJ-jp=5E*pK!?G@SM!S|hNl(rmk%4`#E@pRhC~fGbGdQ6{9;XL(E1eix7*=uY0Im}XNKL1)~ir|g8k>1I=M9j(SZU13Hl;-{cmoJ zlg$5(^FsWer<8%a1(TcM*CC~8#q?h@Z;HLUnv>j?g4C%lfXFalZdHu@LH9C7#j2 z8bV=M^D&Es+#1p&Sh}>?5 zK`28Q=8?g7H+)_Y<{wd{S`pPUIOVC;fK-v>a!l}VM&jn=zmLun!(8v(?qGy*1iP!0 zeHatn-DDBr1vZJZ+5$0p>p!q>CVl|&r)sWv%{w|1`0TTGcgo3xhjaHPj--;+&9!I2F_EwF{^x9olkjg07{>{mZo0qO)- zGIZn1Q~@k83zP|TDcE`zlLg#fcVqDtM|_ikLHp0^vhMvcjmM6$gT7Hvp#vzyz`bkY zY{k;RM5Z()C4 z@u7vShq-}|zW|@R+{{)r%u&1>a0W{8ew&@2!s2!uFrjW;2gYBm$BMmgKU>0FuoMKjD%-=KosRL~vxbFD=EyM^HP@sG{6@dmh8Qwb1Uu-Lpe5ty<}jKEj56u=T7IIo_ylqUQwgbS?EYZ}*wSM@S;0(-u`r)2^+hQ~ zJxF)A8?fJ;mlGh-MvFgpC}8g~Sh_@{1p>j+LFQ6+Wu@$i;$}w~AU|aMQ&Qo;IF(@V z4{=`_5q_I6z}CU*1a8%D%%obYBbYfl@R^AIRYrj2g_v&9=5PlGq+9w`j)VB15|4P| z;3-oY_b?yrYrnBO%D3aSfR`8aN>`{rCdn>D+mRL)K>kSJ;hS!YdfPfzJhEIo(Y8*2 z6k(4$70JiA?jI@HR@LwwansZ;FA-3Y#u%h73DD}nWKHGKKzW5I^16aeo63Pl3bcqF z+TzBJL`e{|#^_-KLQ12HbNPh!lZEe$ubi3jpZmuC9l>d+V+c4<2$E`faw3Ey<@V~` zYjC|;@KiMq>Zn&h1|li*4#+Rvdk&K+nvp%XYUezl{PD<>$gb@*WDUksayNma|6|X( z-=*QQC^0lDg)o2$^L?MiuBqbS9tONhQ%VGTTIb?cRnzbfk^gz4bM zv@yPiJis%*GK~4eX*(`cCDVzO-_s?OJ}hjqE0C&2mEx+9nJHr{{u^W&R=aoFtE~+Kj9hkMrHC1tDco~Z^2TPdoXm6N z*GP%$a|KN7`PdG#-RJr8WaG^z3+lC&hx0WrUisTJB&8*x>ec-~%ula9h>}{7>Rk&R z6*5Rhksqr66c(tERWNIAri(x-b+0k#|ENQ;cNj5`X>Ly9Kyka7{L5Y|UebKzTsi+x zE!olzfN-u5#LAqn&0pNjAkS9n*0j7>dGcg&%ZSLt>K#T&Hn01Vt)FUsw9Z|y7B$K! z+kucNbU=m4DT$kitAwEY8YZZoPl+Z1;i}(^9bLGem%GGRWQB1f+dNLGn?D>lJXyUo zbXTr?F||9FCFH`eZdnz?qZ>ri*HG%N3}pm!QP);Kqd?CS1r zr0;QSc|~&2&UVlq#@RK+;y~_kVqH56lu?mu4;8AiE;3rkx5KSPU(8#72%S;e{|Zr4 z68j5VZyN&HxcY!sWOqkZ#D+Yqn;hl2#-4i}ocFC>NtYjWsLSa!?qi2wMKO2QT8= z*y>1>AKAGkYxWt_USa;CJd<((kVm;}fu3mYmj_OsZ5#4aRofRGehM=(tI~9P*)VVY zr~1;K3hJt*%+8-i2w^)Nm`SXMH69_=tPb+JVTEG8cWH9eZ1)!=Gic&Bl|gYG>T+6L zPS&p{jNNjR;K|1Ai+2fdiIo3%qWTQ)en$pB>x}&X|4&utTAMN(1`7g!{3887%ew#D zodp7d^*_tH|Dv=yI~v$J*;qI`|Cc{Y^IdIqc>V+D6T@ld9M4P7NDB(hFx?iB7}gH< z%5cVcDtj)esHP&Cwgne7IyLz=~H|_*SwR6OkVNlGn0hS5JsdH>SIl85bH7OG& zi9!b)sNo3f$}c29bRM=vDeKWEN!q%2$^D&_jzx{ib~F*f%sj8Aw`6IoS)bcb3RZD! z{DJ4v`f0qh#o54i6?xx+*F-7V$*p?Pwp59AO&FT{-)nuQSPxpJlqRMY#68sfW$vUlJQx&A%`k>+sEx(n9wbE{_yc2M0fff@w^}|S)VCu8u4QAo9f^o3 z=~KoTo`V_#8nJkO+J`O)Q%p0-Oc^thBx=Nbi&M2Yk8z_o9OGkJ*%Rcu39O=Jj9Ctx zwtqPb`lo8YpZOOfhjxCVc?GeUeG_kw&C4!$y7` zXWyxr4lAXAfzaTbS?b~TCu*koV!p(9dm(9k3hLhQc0}}fhPetBS=DIhxaai4(h;5y z55*$codIgtKg`N02~aJiv&Lp=+Dgczw<0t4bwwbY*>k*$YdYaI=xIV;J7S$z+XtlB zW^9Yk0El!QLLB18HuJ_M;R z4$b&K!A~q{>2sTadd2H6gjhHaYB>j5_Aeg;3tN;zD`O&8JWh_-cm3M@5!!&C>S%M0 zi3+m-Sy#ss(PWWgsu#_VFX$m|TDdiT{!vaJY8=UrFv#csBw5UAwiTw(lZ#ge^ zBQh}~PwYrN2s~L3Td`7kgvgCtV+nmJeDVP}SlnxC zPo?h#v9@Na=JRZD77g(MJbiAEyr7574$as%6Kpm!*R?Z|LU$(i8?eJ@SlrDhzE46g zs#sL=Y3u1A$r)$|+<1``qGF&Sv2b*e?*1y&DCt}f?}{t#6z`=>Ww;v{?{?TAK)5O1A7=nhs@elm|E>uqLJh5z%7=~42>U?y>_X79C3l0d z`zW5udfme@aFRhZj|jJAUw;0P6c^^Q*LR}2$uU8%&}7GXvF>}q-a8HZoWAEnyN4nT z!!mkd1AqPYvn3A>#qSn`()^6e(rUUDgz>`jL-WX~^^Kq7#%$E#vEW3K~27Y_j`L3ed@TXDPxCJOpvl-utZs zX9&(pzm4B;x!G10;tzs^%jS*9!Mk^D^slc3pm!ql;*ql>=KfdOy)=LYKDf5`a_@+X zBsSQ@E~Pdsv|@gOhQ}2zW?`L8rstnxx7N8??$`JCz>kl-0a%A*QjNrnXpR$GxEY!j z`(+LzCg|P)r@U|JymLlmpK)=|H5AIyuoS3z;bh`^LaY&!d0;Yr<$1QW$FEFzT0vpM z6mAmXlH;ZajfEu8#G=4Ig_&-#0yEq0MygId>WyVIo#L)8WSZ42gBV_+pM_Y`-^8O3 zI(_yB^N*KAY>)*EJh*>viSrDg#&I^A zF>Jt(O+*j}O;)_Rb;;TDN==RtV~aTciBJIts}}*p@u&G~GZQa~A}WX#h& zg!-4r6`x4W)A|!!>gJXh73YJ@#Dm>%itNsB+xBDhsvl{gZS#lJ0o}Nm8>&69H!+O4 z774}G+l*tjP5rn0Vr^`_l-aavbM;VDzW-X1$E=-{HH5eqA`PeYAB$75gcXidb1N0O zqlGaEgX&ss6qz1iRYQ3lM*hhDog@a;wMCJalaVgT?LLl-%!jhUgZGa;?VDrn+%r-l%b=lTT*q}-)S#$sR|IVv1rokcW%(LA7FKl%$+Q@ z9#|xI3KjN&>c+XN)z+I8;|kL@Hno2bM2io84WgwE%^-=oo<2w-&2Z!}KL}dK(JooKH)a z_$0au?%!Z6E@=+F-KL>k!FG~E_Ks@0j??7(^l-cvI2r*0FiE0SU{aXFFLC$c6_ z&EscCZ;v)Q2FxhAzF1ZSjWcbDSk`g*R+8H=hh#G#yj4F3!WROT2A#NA(L!=>mEahf zWEjoPWv4jLF3%sc`dkK3b8nrJ6a#NFb(tj9;>JZ|OH|hy-V@rS1%O`&LKyHVG%^q( zS;R2FcBjk*6p1T$hmO7Yo{OG9@h4f9Z{e%Dt!sf_Ti9EKyi)1>2cd{=DluKo^{Z4) z#?SdyAJy_a3FB`?FNAduZCv*>PLgam*&SF_BE<@5F7=W!)Me97=q#c~WHPqZOsu;O zPjQ1LB5-VO=-u|)`Ro1Eo$fpHYx8WdlsG?tjJf-$gUXjRjS28g14VGd%dVPr$>0 z9a{@&#OTUBN}zP(YcYIvHIiHbcjDZ0aOOYVtB;c0wJcEBBzdF`BMVNV=#&cDQjI}5 zl^VxAV1I_{t>;N+Us5nNxN-A{1u zLYPWc98reF5Vj_YZZ}~3RYSe9tgXb!oz%I{N(!szzRu&>51|bHc@m+qATY*89tuD+c z8E1PMln^ju)1S0#PW-M>U9Zi|*6*A9g?Fr=nl{_0yVsn(9s5J7PLO<~5ebs;nEt@A!o4wcH3VHhX1`_?+(XXEqgIi! z!e`pR50)z*ptr7Krs8iydaY{Y@-w49(7@~WWd`a_7cju3Tu6oU&toy-$KHYvWTId{ zFRs-@uVCX4&O@xP&yOh(-!%jNq(*`K?Mz~vWl1pdlblmu_})-z-UTndi|G@5!@DDR zUQ5Nb6}bYkfTe;1-`v`T06yIHT?PN%gV{@Y$%3>>*SG)+HV zxa$uOfkVbcBBDO|kN5d<+30UlXjFb)6MSqEnuB?Y`?udwZL`7_s+9rT=ziSj-k;-) zv3~LG7#Q!b9N1DT5G4cr^3}NES-U#sE{Vkaw;@XJ&B6;u@ z-1+oUd|GQe&QW42j~^2O2$de5IIqj85u6-d<2@cAp&K3enR*L79$`q(k4{e}1eu{n zSBBisZ)9dShX4lb&<(&6EZL9~wxlUp9%2V;;mV;Q;ASU)vwJkh=*e2omYfU$Bb#TI z4cShkcP~}dyLjS5{ZHyk{oX_P0|j&S|7< zAVVynU*D^1Q7Jg;T1d^*^|7o(M5y9>H(s)wKEuNJ#sf5RnOVivHJ0?-1Zr5-X;E?D zq2Kgt2uJ-qu>u@bz^zTUc57)bZ%16b5oQl#gBvTd5dO%#To(1=l6~s7Q}yjx(AI>v zbuV>((^Fo}UbudmvJ^myr2&;R$re&fy@eusb=$lbwCW3?tUS0cwf~D1o&)**D^;dD zueuVo+ciU=T>K5=_M{$&{TGbr!Qt|HewOC?C zW*fa!;F8s9m29Np;3lVlFmXQGcKHoXq4b}%C^OsC(@)3_HJZ)Rd4!&5!0#tg@qiD^ zB;M(@^NpnUkae!Shp4``Tc$+HC-MmLkz#G5aOT~B>N)>^dR{$@`M|iZaXKr*|7v-G zuUMA#D+{vKs?C%y4f4ZSD2WaoMBIrG= zTf$($`RffYytYEScVYex1hlP1XgEjd4lA@Kg5ymY5ckt0S0-9`US$WPJa-r6{qmD7 zJHd|`9!Ti&Z@7oZ{@zD!coZn^cgmojV}se>%?nh&yZFI-u?7B&RU6?d#fpI7`@{F< zVNJXy6bO=oX+os#fO|(B6KK%YwQd_*LAqqbul=9{8u`L6Lsw;Ix=5vFd{uW?OBwL{ zy>XkEoEre6>Grd>iZN(iNQS9RCKlE?Q|ey*J+!9vKy-t3y#R;P;^2-R>fISm)>(VS za0)Pi;MDOPMVT?Axopk5v!&e+kA#k_XRPL2ZuPly5p1r>RGQgy2am00l#i_MJwWB? z_B|c^c=K{}ngEsL7=M6044v ziUL8aeH-SAe%j+S96h$E&gek0GIH7+{Tt}|7~!u!>FMW`cs#rxth0C~9&faM*>J;R zxTTNPei+uKg``LFSv1KjdArBmj4-E^{=Dm6vD#eLR}j&{;WV2JQKj{q)a z!)0w-S`eCZuwOD#>xs2YrMgt9+Fwk^7in2Mp0se}H5b_newN->9YqgTS2T?95@y6) z)>H+kW4~<6k_7N<-Yu+o8@n1&79Q#%%7E7nximV>I`&$kmwt+zt6x{PR>!2SCW2cw zMZ@OL_}1q96T5C_v{4R~U8@gFOaR_#8dYaf9(0ZjWVm@e#muS#dmHBioV&!^ND?@I zsZt<&H_2wsEmZcFps+Txo#^1DoyJ2ASTHhINvO%IFRbX&E*G&fuVAFrcZ2J3AheC` zowptD9niV`rB0`e45R0z*$5ghL}5ea#;+smysLoh*eit@0-s#s)N(vQ905A%bG@$2 zTku@JHD?UNr6@Edw6(dTxOie*hK7~~V&ZQd!DyeBu09iy%Yhb!-wBo05atmCJ6B(U zAGny>1iqz8!?|Q z-0KZe{ke!x(XI&@n%t=TW&ryatluiQ_t4tZZm^Aw5+YP%GP6c>5>`?9f+%)p@5jkl zMA(*eNSGJN0~jIcA2AnslMMeb@1$Z1 z2~zAMhbYedgy>!idz>zZ;XZ*_Q2DEGn+gdBIJnG43jq#(UBXPqcX}&noF43 z!)?pNkW;iPK0D%g5j>@%d^Bd_Pp9*f+LjpFMTd!Uqdv0okpOxZJyOm6Y$)6*UG;ib zwS$OpXtrGOQn$HKJrYE|V3wJDU9P5Pb*kLwz3%MYEq5z%I5Rf2JD=pISC*3$5iI%EDMA@qRS0jg^ z1@$O5|G2tj3q!7SNEs1M*@iUK{UJFyNcJ`fqcutXhCB^IS7=E8RR#yP1Uj}z&#@Zi z^i*i8YnL1&sp;VHwEV?mSx~<%12(SNW&*!&2ZC&8GQcmp!32)$B7Lrc2ENQby+JQx z+x+t3v)RDjP~sk?}0E-mzF}g!m)BPug{~lz)kIHUhUk05M&6 z`7A$h4LHzK1QU~y?jir9s_%}V&70Uc&E)MU(Q4hqLiJNIobUIuM9DXoN3yn|_)1dG z{m8Iv@6lHy($^VT=09D=Zl*I6l2zV%GYNK*ihAhV%{v(ES|aW@GhgO~vSJMf@8uSe z@{Nw9WFpT=n(TfI90HYDm-sAF78gWoT+iTFZ-A+|@UU;81jK`{Egm=S&rB|1WBXX=lDE;zaafrgpTJIx(E9I6QP6lf9dGPCZ-m)CjTLOf0-n9#85w? zfB|LduZ_D=P~STA-Lbv0ML$D`BjddvmeCJ2j~Ewp+xMM!{Rgan^;FwK)@TEijGSB- z-#YJx;q{Mfv={OA(~)WoP6L+o;ZiIKpN0>>mhC&~1au9~B=65Z+4PXU^m%#mN+=UW zPuneg*l?$RG=IXX{Y;kKi}raAR1Z0EI~IesK2WItixHVIaUk{6WUK5Oo8tE0;hYF# zE>jojt5~(NqP2M;C=_E=f0&|65l0dd$N`C81%l^YwJqMEn%}FGH^VBF?A$xCZ1kpg z0M?>T=kwRWS)*5-8H6*(B55YkQI!w3I)l>&j)wkaq2u9AxDHJ^6`0tpuCxiSY=n&B z286}zH39S?coA`~e{jBhKxACqwiyG-NFll5zE`2gRD!|nuUs}zNZuK@yn(dKpY2CB zTqesrWn1T(?c)c$a+r1VNs*SGpzkiE3f*`E$mS_t@<}zb>>bR-i&5Y@h{5?8bxXt>>9r3 zE=B_l9x`fW{txTlEV+&?EufWE9k4F!P83Y<(HSRy|A9(c29>nHD?1OH<}EC7BF+aC zM2nIzrlz1-4~T?p;C2jZMnuDX0w7i_D!RFK*5<{%9=cmkX>|^nhva8hT2}j>YoUUY zi*D_~2vr}*uo}IIFv~b0ZNkzwJwyG+`pB{o0=4|vXwa2w9x)pT6{%?_j1%B z(ZXpN6fVl4zx&L$KT3?ZH|e~u2XiK3#@!Bh5=Ol2s$CcHVb6UJ)#EP;CtaMmy2t#r z*~h5CR{cdM|CfyLI!(v|T-G#g96hx5RT;0!x;-s5+Whmt4Qo9zVmV>U@w)HHV|hOm z{2a0EYlhgT7a0~*mgbz^dLzgP7fFA9X?ux}eVkJxjS7K%4^QQ`DwC?bgW<%V{3_|T zlZ>xa7>o|FmzeUdB;A#IW8fJDS5Iin98=Q~)aa2+Kdg`Sjy>uD4!#8MdYg^U%&S=Q z56ehF#q&#)dZSJfn7@oBTx>FY-U($5vau*Yo=I^EGzt}~`dV^HT*A^=O zrKZMQkxF)yK4O`o?n zE2ObfWBqCxaMVboX;5rYtu$t}{A-#o3^)IE3tI9nX~EgR-&KlP^VDXm7R-oJ2xXjT zgeFcif-xUKuc3-9SKS}t2IohOL;$osZeF#=VUV8jH|wepfM)#Lbq``Szp&VA%qz45 ze<*|{=N~&K{L2JJM75G;qdAD~zHfTi$1a9J7Xc^SDObvM&}N3xKv`+-_>S^z02K#E z;SE?YLHm}d-zXDXP~4Pip-J&)i>&S{xdoy&>nl}h!`B+<*PsC(z5{#py(Rk4I}Azc zvD*UuQsg@U%>gMe$VRz_O;N_krGu?DN5Q4fRllx29HLo$0pf%*`12DqOH*mc!2eR@ zyFt-C%eYe}Z3AfRrvr++Zv-5+8`bjt~OF&hdJ8{5_#Y|NaUiZSv>7jz zId!F|u|I|Ai%w+>SSJG|A4a3@S+fOf?nR5dC7*l37<5rI1>#G0^Dkk3(}$(@qhE{V zG6LLxMqk;gNr}ga@toC|oaRhqBlBq4S@~2GVW7-6H*WlY+9oi*R;qWBYXOOW zaaBTCR6?ASgsCAwZ;6EI37R240v_s~rpZlMNP=&;$1CwO-4ZyfgiPv{2jtjT6Iuls zn`qE|oc2D(?k1B?OWH(1&ME1_cEbO%<~bLtX4{hVsSvFwXHOx^E&Yg|;+Eo+kPnPq z*W#XTY;Ef=QGZeg$25?h6u}j5VFXeR=Hz)2`{}^3e1gvJV{C9bhNrHuBfg^Mm>pUy z1U&JXC=E2ZnLVOROfFjoTFX@`trpg^3w6W#xd-mx3;Bv(f$+uysU?e=SuHyL&jZKs zzn!QqdNkl=B){<(LpvC94&-7%Uu%^+`j5kUZ)_%NKV-SyWz|fy8^DbT0|4HZ4n}QR zY;W+{qy7RePn~oz_Eetnqd~w~a7{5s=8jS>IuKQY7o59^J36vwFMwD9>aT33#YlU9 zE^JJ~?>|q4*)ht}Ls2l=jHI6}V5&B-NjOr!?m3}jb#Z0G1;bYilMd1T^$EvEXf4;IDO}KDT761FH z4`b)bqnBSFZDR*7t{KJSQf^jcYn8`1v$i>teVyF8$9!7r?Q5--MgTZD)|#C*hR@a) z6IzHYzXN9t46$9X|=Vg`jqWB-+{1iND z=3E15SZ9DuL|F3heQz8|YL?*+Scji{020zCn3w3qO{8?Nk)N#@d{eX7J+pSI+o%2~ zMVoONzsAWDfe)yHFqE(Fdi30{?Z8RM~hv*%k_>VOsUs`^ReIcO6@dw8&bM{tJt4MBe>E?2nX|+w86Y#wY(va%t^fZ z3^7Dv7&bsDLT@#2Fkzk0#{wp*W*N^g3Y|~>2Ux^(4#s$cC>Jz|$ngwwe|OyO{3&0f z_(L_HcVimG5W=S*QK!WZ*-oV6PshS_{RqJ}vA;@9p)mMfFoX-8*6fR-o{|64n2dMi64D}ofcR1TcVhzk-+|L#ok_|g0>t8fH75TMA+n%>|5xn% z3IqM`7-ly^JsT4nJvT=Kd;9;`dn#0H>~O`ueOUVr1gVcG^!WEkp=+0uv8l?s*qcbK zvD6+pvg4Ppo$I4%(p?VNmo_@ z6m`MuS$*@YnK~1b9l>s_1ppR9nvdUR`?3et@3nlMCo+XbaBlHaQm7Uir~VGqg<48U zilf=2>rz&fKeQq+`i98=e1J(<|^c=K@ zPH}LXf4=uc=l95iHlk5^euPkbGoqablK#~Y@9OdD{@SY(TrtBDD%7+-+i2|X z(og}rgP+M*8%5F9P253T$vvX+xGMy~_V)Jr!hQ+E#TNy+GY51}*VD1a9L{As09QxB z^;L57931={@5_-nPjj$loH}S5Jy+|m8Ejr%%x#=rHwWMpz*;m-+GS!*&Sm)Pkk+&V ztPFfVA}cQKo(3OBeZ+otSN~R$0OiSOnH$BUAFE&1jeE5(i;oFXunsTkp`7XlCp*u< zQ||TGH(qCIOOmxPwr>^bO%V?fFyinfM3B9p>B9g1Nn!HDzTY;}D31D}sTcNQ>Am_x zjU3I50@9=;t9B#$$h_StQ7&&ToT+jCsyso$Q}~}SZPzt{y1}q$C)-5#_Mwt{^DG6% zej&oPl@(ltc(F@0p6{Kv%2U{#a{Z>D(68s6W*D!N=4U?kvDu&Z-8PsjKq+w1_k22C z>egoTh%3>t#X)y((iI6+E|GFzBp2z=HU!#{Q)YC!EbG_NwB=p#?yJ8o-n5S5!iDW^ zwKMk_1#dnuDs^-0awZh#Gk{im|6jkqz2kjz9ykcd7|j2vOZ>lHzW>Dd0{=U5-SNM3 ze9twkoNz}l-f4YBQ|cN5bPy1bVf(lm)RSq+YKuT3>h@Pi`lh@8hFZ9Kilv^}`g%=v z@X7_f#mCE~#aA33U#vd*Oxetz#$G2L@n7dsh?Ca_+M|xIx0J0%+*q+X9ad(Bd*rH` zPD-or2_D1&%^svoyG7*#YxjlSm#l@~Ea`fS(B*8Q`f93UxUxd*=PYePFXj^D`nlx0 zBq-L1>k#@}s&qH4*;pHrI}5-{t*F)Ko-1Te3>06n0q;CrY6?wBeu$}2EdRT+Zy|6P z5I?A*Av)yZJzhX+2=z*=`Wj^`wmof|JH#VmVecORL+6j3lZoTN=Ko3>yGExL_t29G zX?Tq96Hio=3f)jWGVf3YrEO%7e~9-OPWa*ELKCQ^X4@Tc{<0&zVgSLd#wR|)$%N0j zM{Lh4-bzG0NjF*9nV2DtYWepYa?T<7_aC=n#O`mR=l;foH+#bf`Szs&H-~8lyK6ic z@ECx!&F7F%5CEChwG@*cp(cF>x~A<%aIGoGqu_eoNzLRcC(qLvAqOUD!K`9m_pkaS zT6W#i7bWeNR_Kl??=N$I>ADS$O7^a(@e3tFNh+R(Z%#+yCn`jCIx@$ERD8-kjW-&` zoqHbEOvnJCN(oxS2GoUNxQMqRF*9qK^)0}$aAy|pL28SgVvYeB!*XS8VmF{1AyE*& z`XN28f6$#Jro7Bf#}U=bYy6ExeV+kIV7<%53<4tA)jZcPVe~I3CaD2buV5#f+sNNJ zn}GY_)l@RWK#S!M)p6skjeOcosTzeIuisD~_C8q@!rT~>PClz+S<$=N6vUyMnl!+W z$uJl(!IY~SQ^`BBva|$)fVu@MGP^6$t6@3G_7X%MlM6gM?QhUkm;SAYtE*)4AKzQ` zC53SQI)hQ_b+n+QC|Zqo#CIZCR|y<&rF&NXpv!~ROF->U?>r)#V_0VjW;V1%XQr4$ zM=}grocg+c`XxT3kB#JN)WzQ#jj8~G;TU3p*8cKVB=QFE2Q9??sfy1t>5qC1=o>ec z$f-iTKNWsNeOd4D7H=t4T}Oyq58~7?mSDee@O8D~MD}Fl^sn~VbGS2ql;%LiwE zwrP6J?d^>Sy!xMqYAK$b&TfxzJ|O98YwJbPNuN-hm^- z+Wx_dsk;v=SFj^h(&jEPw=SdESH3SZ6LUDj?VZ|o{7}=J91J!hh9`4Py`2(BSmMC- z$3OKa2?qC3y=n*P6{gB*tcy%Xah378!N)}2RYVzCwZ{jB4a4*KJ0|V((9770j8J~E z4-^XEd$@t(`P7&AP~6#~90?E>U)G0zrTeb^55C?pIFP9O*G)2UCbn(c$;7s8+Y?MW zwr$(CGqKHyJ+VDE?|bT=`rmV_PE~*E>gsR3d;j)&p0&*s)7#+%;u4M|1pV?YNXM$)_N7569& zNV|FEisfTQ^}7&bK`IlOIQwuR$Ka{-YTzHuFF*%Z!cuK7(7?|JJAAM)`$@DL3}Mkrx{y5P zY1A@=hHMf#J1^$Pu0wlX5l@>Ya+G0Fob=;*4mK*Gb%jnOSAiaY0Lu~H1?CGv{}ax6 zg-1PT*P%#qyz#Hbx7!%I1pc_5Dc^=rjKO{zCExUkw|mgH&)t9zM0AnyBmkxezmB<> z&3;KoY8cxSoEdL%GR_U516h`~rWgMfYq*aE9_%2aye-b2i8Plf7)3drdK3i=DlRnj zbWrqAD=!xt?SMx!7WyZ1n`gN8UH0r{fMgt0x_5DLC&wGZKw8f5B2=31-p_GQ!QI51 z25{DuJkA_RcYJ4dvahMbJHVp*K`{GGLU$(b&De_}8!<*m;LRzxk8a_)KdtKEub5wG z9z5!eTei@ZOE&Iac0RgF65^48h+($f;CC&(Oe@k%DYyKl9(bp=_+RN#{YmM{bP+i< z+M+vT$p-JZOl8#(f4lE&HvfAA$(0f^0`0hANIdNhbJFR=IM5@q(Jm4jycELM z?at{zg~tO;B3O@zZ+FdLy5MZrh+b0U5q7pZi#Pqvc>Z=J>^zD;hhtpmRz%&D6A@Z0 z2u&}Gs2wXEtsRDH?M0LT?7ErS&U~kB5bE$2?QS8I_iOQ--k|(Ri@aIE8B2xV($Ou? zn_JAFHrj5PV_t!Y1o+RrO&+=iv3?BBn!?@+1jo6aWJEaj9y9N!77`cJo>5Y{$M0ax zw*81}!A!T@6XQdB%<3Z_uVXXc0;ic)H}MdA1r^!9+oe7yNDy8&Du{o+=K>ubiGUoU zyP%c5qA5(LE}SE0JZa)KE7f-#2qr&3;k?Z=&DEVY5Pbxj11R}n2Gp2Saq~6e_!uI= z$4Xep!rQKtTpp=nvm}riI%9ak8`KUTkjXt(s=P$AS8udflvymed#%qDBXWLqZ4+G%j2;<9bqv)A2ME zYySnu*(ATG1KJr*mox30`GUByiIyJHF6T!Ld~)H%JA|^@e=Ira8+|Hp9hoPv7{ukS zao6f_)q<9E@}p4j$AU_tC`c-Dte`)NOjo1jQFJKM<=0hBUS_#w@s^9lmCyAnTox%Q zcfzTHxM{ZSjoedY#ImQe>M0eYYoLL%q9w$2v{Z5_0NE&LmFGE%nmDs_egJY`umqH z*qko<$;n>qF2MBWUxo@D%(}Tnzfqr!>u1MUe@)-R+=RoJ$|h(#dDOnZulsofS;iGw z#za*Y3fzaH!7N68Q;sTVjl%gHq8ic2%)t7o4U@?n7^_+z6k%3(e9yI*!@=`4l3KXP zGYaXY2eigFKT;J^r)Oe_ynTaJRk|1$R#fAo7G9$)o|m2?Q8@ZkryP%Y>YxuXr0+PH>G~~?GRuQ&u=>80$$Sih@^MCzg zy{=GXmHcB|#W`zQmgYeRnct6=jRW%fd2jf3*Zn~Ml&%Iu<6dpJlU#T7c>(-J9o4TD*ypOs_GA9g?#^vR1K-5O0yA zLDo0%`vr@<6l9~DVQRGIDP=3(oBSMIyU^3IZ7)r!d-;w^F{3p3#>T1+y)Rv1FObOk zgThhd$!Lt}<{Y*d?(A2p-!GboDQ+>jTN$WJ-K2%!;=pHGt&^Rd(Bp!+kv_+qXswU6 zxIdsg3{lnDPA(Rk>)5Rx!`az4bJNd1EjIsP3vL`9BLsHhX?N0Tck*d>%4%b$&gbq5 zD##Sh)4_qjy&IGo)T$6iDWj6l(*tQ#|IDSyS?&nY5T*vH`z&H>C?~2$32*aho#8Fk z&6RY?+1A*cbpKuRrP96@lNI(3N*vL`tWzBGF;MypAz!fvUWpw$n!7g|AO-$#`kl9X zH4`m8{3Aj68E+pmT^>b&`C#8eTA%r2)v2K|_mX>~ppn%|Q0V4wa=fJRcS;~(BR(fj z%7<(pyKyhqpP3?@U!DcEAZ;ov`1dtk6a?!%YHGn!I~RH{rnIY=&$K(F^>ze+iuK0v z*nK?XGToXBb8;I4R_0TKeW^SldeIeuA>+cHh-Te!vgb|M^l&-3`RO=ljzb#~^!-)3 zr6kpM-{nR}`$vVR#M>a+Wj`<(1ff?|7W~&SmH%6ha{{e$2&&e*rBcj>#F@~Mt{5gd znX8yC=j|o6?U2iZlbP2q8V=>)O{94 zPhfGYR$W-{Vdr~Ozs8#W@aH;Yg)1~ST9g*IvM#2SQn0-qc$GJDRmH*w64YDkKDFW} z(oTP(vHlXIuhi1qrilX9gOw)Pnk`4kOW^1~{##V;+oD1-{?g}%Y5s5F4e|dyr}c3`h=Bpg3EFjHXbqvuImzWEhJAzt8jV-8+=IVR;(c#f+149T7Fd>2ysMm&pg zo-p;bHyC^{L6|AoP-Un5lltOzlQ#SA-<#hus)9+izsZ(+WdyZIS)~)CI<>QBj?ww! z<7-W+UXP zPIcS;u6*HSI)d3f=3;@Yr|B&{gKR#TuZA#lwsErIk8aOXrmJ2fS=t3`%M>t}1G1E; z_=6^gl!>0xUm1-*@kVxxTl7YiOujf(cK7&JB`S+_FkJ*WATBdZaoSY#1=1?#?qKvC z4>pdWWzbBT495mr+W??%z}xB7zK0WIj`+ zs48dq<2Gw|Yul*(jjkne*-aPSlnaPTA#MDpX1biKtRRhimXFmZpX6AdMJ-Z{bjUb& zQ}9m4VsO{RmVPYASg{zNLubs6moJH*JSNwS@2;LFNt%x7L_yH(^S2&3h0Ch zI4Y_N0$j_bvvU%lTe3oERlnl%Tn#SC^Q(<_~_{6SH6t@RUtB?LTr*U?y`o^v~yT|q) zGfP!Lb-!uN7jUCwC}P(1W+cV--i1xiv=UV9Y4al|5fMj1&0r=?%kMRWEhW=sM3>L9 zX4<=aVv_i;m{pw7pQluA@vbt?8{mg7A821ci%Ozsj(Aj@Z@&&Gy>u8qWha6b`kWL7 zlOBqfA#-5t`nAJ~j3>LXjAr+)X#B^opx-wyL{h!e4ID^`ZWvgiZur4IVnR2h5 zvu6`qN1ng*Sjgv6GbplJ;Jis}n;V|h0b?T!sh^@F$*u3aTJr`IfvufgDnrcOsf2mJ z<1JYQv&>&XHZWB*%5WVl@`giT6#LW?pDS?YjSfjpy5WsuB>ohc`6s(L5%)vk_1+Hr zk(m8Bg+>+7Md1O%8-}~_cbZdG7Rin+$8qj=$#j!xv}ZISk8$BH2~6CTH?_buE08gxuie0LCblPuo;uQf zlpt)5lC44`Q)};DxFSg)Pf+U0tUrmtgj3M{%g6%!?I6KXROLz;n*M^TzS3`r&^kkS z3j6hg^GUNg)!vcJDu8^WCVWGs-*UT*0ZkW#DGU(}5FYK}!6^^t_sA)_|DMv*_weLf z_A&D`X_d+jon$BclbH7*LnzyJQULCtFEd0+3~$0eg3O@hYKEuV8zq0EoxeR4kMoCv zB!nU`(=;jZ=(}#Ug1hvpqr_`@wY1JI`k2Om(ex6CDFL0%h9YK#<78vz#;P@-MajXmPNY>9(Mq=1 z=d#>1b%XU$GU<1Q4?)}qKhl2XD-q^~r;-l9t&~}y@wXN;FW7DCRZ3J!dp> zj`r#`I=j5*`Gyp%trk}eb0an-Ik87W9*$=@yKcmt$WD^C{ z2g11f@#;NbZ#MVdy+p#HTX|w{tOS$vZTX$w;6%dGMri6w89^aU+|j(8K!guszZ5G* znz!);TyqTj=!Xv8Cl-%A*mlOVH#E;`@vUr&`All{Ffz&6;kwrwS7XpXQ_h7Rtsfip z^@(=*Jq%*vrn}Gy6K-tAlg#2KCkdQNgA{JDPab$nyY_d1+{$ zV8qRv^&-4A3OnO|C`mX!y`r%dNS#ndco=G3G}kh2H#!8(K;(w{Dlj8e@!b z(;_CErnT^%mi0qSruHydF!VroM>&G58OAuy3O+FyL{Jkby-jCOMNgUaOsH~{BBF0X zoN>p7$7NDpg*w|;O5`ai%OimJh+5E!GOpUwSg3gsY-E0ormsE%CqUad=?rb3-GFIG zvgGs-^y5IaYoa#}dS~-8LmTOL+_%|NhoXh6`+%sPvyepnjk-3caOcBNh5-d{f(hphkC@jWhVew2mg{L2vWwd z1!N*l&UwC!3DZ7E=J=~tjoQf!=-ii~2AIdR~oab-p)>pXqq4YGr( zI}WTCt*;}sg;RZ(Bk=$rhlF41pnrlKDRwYY!YN?bMbi|;j_L*```C9% zH<<~(oigb?qf1>&p=GUXzV~EW5E5W4Jlfd_5!&zmAlTxVLD+8T`}C-C;(ksN6!YJC zK8|&&v#oLbtr=MLdl<(66EYJ`x6YQK{bY4^5Mttp7?Te-RF@90t1``R_9TmBtK1Wb ze#{-t|D#@4|1%B3q@GLTgbOxCZyLjfmRqH&`ry7V3Y)`r#eN0e8;TWQP5O3f?!N zVAj1*Y%C-{-s%;ILr(AbK}^a(dVf3oknRJn^T#CA$-P15;pbl=9Vdp`*eeG4-!E!c zEiP`Z^ZTzx+v>Ih(RE9Oqe~`RjEZ`Wk!57Tu(K7aj_AdTR9CaMkTT>Nl0WkkAjGM0 z4=mg`DqR|(?C<)=FTb^Z^j%^m7gNei4gdA6?%F@kU}!7^q`kXRBBWM_mFI~l1x#=m zzu51-)nPb3Z3ZT<8?e}{f%Cu09GdCT3G>crC<&rPKYCfLMw`iBsrGf&3Pe{tmp-~x z--}iAja3FD+I91&e_B=s65Jv3By;IWLg={Y^|u$+o$N?+e=-c(cvc6CQw4B&Fn$j%4r{W7s70dAjw0B?>G6>o>d@&3Bk-L@>{98X+GR^ zTmFshyr127&`>eG_{i_!OY*yY5=c{y1#p_jX7;i-2pB_tV$_+kA$;yzYDd6f#| z-+ya3aWJzY4R9bJmE`|32KvN!sYmY_NT7xCuhg&Z#g(0fxxY4S=7oTAvkel#SQ}$Fj9*7O>V)+ zTw)U9?>NXztQJ=*KBS;-$|r?BxDlr8jMi#C941|t#g_A!zL*$)e%@^+zNdUst}4wl zUox50qk+&aG7YdVRsL=;G(n{4AaZ4oEb?pC&%JWVx4ktd>2PT4bq+@kLmynJ4u-kl zm_WL>p_R#`F5j>97p5*F5Fz5w5`N>oXB1?=$AT_P1nz9icx{9a`IHkmdovJoLpblD z+$dI$--v!^(q|?Z2B)gt&rdQvXc!!(OoS4U*ziH$Mt6UCvD#@72fmA0bUxB@EP+iE zghj+T)0&DsJv!-v#{M2v(05}y56kYF($Z?Y`-wPXnK*ocUd896c90 z)#MK50*~~%Ki@E33tiecC@}X=!M6p`%T55@^bWKBRq*{=YfB=Ud6u`r@O&LPCh@@C z`%SE0mIK&(vBRiXIH|+n{mwZAoJtto0pL;FgT?j;4A(aQCb4i56?6-phD}9%8dbLn zk$$zEWulre;Xld&Z5bIFCNkR+%yH}|gb#DzRgs^LqwNo+WyxAia5dkD3&XAQ`b-uA zSnmAF{6!W71SNAjDro^KXO*;a$P-P={;= z=W%B_;UhtS@5EDXgktGOWqGD(kW{Tdrw)V@q2REt@`2Aeq9HQJH3lrB6k^iEH$z9_ z?Dl`-w(ld{AF;XG(W2NsO@>&TOI&Jx2H#uZ;#;*D*X)`e?e`74f$c6RyMJNpvKF;V zTQ<1??q4P9X79xS$M_c9kH0v+Qm0uaQh(J8-bp|JS@$b3&C<@S7G2dsiIA#IY{+TM z5Z<#+bQegilEC1LZRWNNFu2;nZvr1kGK16T`(_bN%@D*6zk^^w0i^G zH!re)yo(%jcD>H7aIOhP1o!6Ax7rhC1{bhYu1;Ju5|CVS%|h8UPv!@LtLajP=Pucc zC|SxpeD{r$$;Sm2n9#Ne!UqGCLoNOH*gG3S%ZotwOxszWQ)>x@J60dY$GWu;+c8JQ zuR(0h83!KNhPvP~Z>OHx5DOIF=a?qw!392n&T}12Xe0EtD2fm^U#@Sue+-K*=OKM- zRzQ~BEo-q7ASODxIi@KwuMwKG10UlCsoOy&R)*Go1|`_Woy`w>8dJjTyk!h${f1lO z3jOSUXpn=}U}C2+8l+bNM z02Jf6-cTL9$I3$|x8HpbtnQ+N%1Bj;$4JXCKwg;9ywAs5J>lpm2+L3$4QnTY9t0Vb zQgQ%#4c`ZLj$q1p_(f-BMV{%Eunx~;@-4dT08=yAv2i+P*efY z=`()|NZz4~u`pSaDDp2PXsejWn-c!HfL(*siGmcB4ZyfK5P-OS_r^ZFK%3T!ipeo1 z&M_rups**e(wImkZ)e`7OT8ac|NC=eLPb_NVhzQwDdX9IhyqqgGF0dgtr#`rX5im_ zk}q?*SXbj+PcWe?MVjE$0<4dtm;8j-_r zwLS1AtB3W+HS)+X@S?eRwpw(@$eH<#2{8e8_?_2_0l%i(l3AqRxjR56ZPMOuq+ejL zlA{Y5oqK<$X_qLCsu`9M2K0u^pR;RAeHSL0++7ZROb5~6!pzu#^trkRK&Y$UqZ-ac zKaEy1L~z@R{jJg0$e$Bs^RgKX^ad`p!c{LNy3vK8*M4UcI512Puxs{OgO4&_m&8oJ zy5($%!b}=cWS9&!gEy`1^^tb6E+$)97rL%Wb zXcOIwmfh8-@CmRO80i<1yS8zxZt<;)K}tK>oTtcbail@pPHGOq>5djEob5gcr?ehxHhFKlxR0* z=N?(J@8?xjGdRn>8sH7UFz~T^gq5ZuD4&y2scOrVzGLi3^2$OWKM()RveI5Vb-sv? zI2g=KoKej>xh1DRFh)r#CJ7z>k&jQyW)pd@ktZ0iPth;Ei$|SBi`Tz{SLrai*NW9AZ4>#Gaw_P@A)A*Y5cV9kJ?lxrne1VWFVraVr0Q; zxT_jm#OpFYXOcbV!@emv-c;kxGS&2SMMDqwxBJkn8=q|ZtRdv>A7+FbM{}p4B28fs zJW2RXEJatLLq8-yW-IPxypEhqG51bnupbk>A zTYew&yn-0J%MQ2(b>$Hy|<=x*!o2%!D3$$jTIRyb=`>BOZQL#TaqxFc~Y+<)+7{-0Tfcn|Kn0m z%%WUiB2Lzg_4QMxti_GiV0RLF@<~{h5~1TqycNHK=etf65;?5Y9jQxj@XoX1N=$K1 zjg@e#2(8V?&KnG+%rbJ%YT}UJY#3|0F>!EsLR~3tQ%y|ufuPZjkDw@b)fWQ9XvdtX z$}LA~^OO_J7|QWl3}nSwaH~Ht=2^5YsLuk~O>gTWW=(!mJDMOb1w_ zBV@_Ul2+@1(0hj)O8KdGCWcm{sQ0z#WG{f(1~-AVEWEl=)@1$4r@P{FsTq^)Pd6>p z`Y{dcA^c!>(JgA63Y6~D{=@-@yl+1J+sktdLKTI%{7IHl5k6FT-J3KJPGnGB&C zQiIY_%5?st#aE!HVJmtF!w=xqVC1sWB<|bJAO7hmqc32}FJY{qe|EfcP4=9(i9J_g zvr7h5|1<7Se^MfP}f?rFWLzs5VP~H-{q%p&b&dO zqB;t$AVr=8US6WE+$bzePfF|v)4UQswC^8teDCZMh2KGaRoa^jku_HFTkr|NvymRu zsa6nabYFmRG0Z}w8jJ|Yd`1WLZ$U}bXGFS&7g=xiVl%4#k5n3UCQ3e(aYN^u3Y`hSeA&EU#i%hCS#Tjc zK9jHrZ^En;G4X=4uJ<0KRr&GXNb@Ydc%%PCrQ%Tk&q(usVrgFw{4WCaKRg=SS76b& zaab2e`)_yq3XEAQP<6XRtzsOPphRf%7MSRYGPm<@_5gc}o5l6>)zf7ZDyn5hvuXw{ z^4p)|t6x{09v+v6huAe4ul`r<1X>}kmV>y4P83{;NGvJ7-zGep(lTw6p{@KHVG=Dt zS*f*}9{1Z|5D?XSppAb2PPw5nX8aP0iGgDof>CvbNXdR@toL~I>`=3~1E-{CVmi?V z4#Q-#a=^(m{n(RMN(+xHU`(APCAD1g(_Dyxv6wNi*2)tU&Y%?ejY`G$GU8K%woiIK zduKuo(ybEok9+3_4$#49Jy2_-^yypwC#K2gl*jV$=u|+Um2oRVCT>g|{5u9-q~05E zn?+qb9k?I@@fSf5{U#SO!#z^mIToW;SBZpX3h;%4^4d&@h+5DJ4iX+95=^G1=hm}d ziumnvjpfu;CDPMkfb_Is7KvKY2yX38lRq!dJf{fdgw2fNSqQ|M1&ej38FCQ3DUq{M z>4K>|I3_54^1HfO}= zh9wsi*2I)leFOvSPF2VP8NYZ+wyM?Hinc@#`MIPNgJ4t^ZpzqkGZADhrWf6%;LHrI zi8xL7{CQbMOtPo4jMS!844919P-pU~fK_+h6VxQz$C08P2`I=#;*`<|+N+p9Lj!XX zi+uJ0yyO^aoCo~TdZ;msM9@ ziXO@GdY=o$H7w#FQPyE zTK)|T)tS9S!_*iVq6vLJtD^|CL_`lFFF2z;T-h9ou1vNW^o+rt)+WC^6XFDEvL9Y8 z_@aNL$1t?rbvxY)p=!>TMdzOr!0!8$@p)OPuA*Fpi#a^jP@)B@KR4TVVISXRWtXXQ z(sVEAChun8(NWu}ck*uD1aR^={HP*8ptx0lttFs>Y|F%^H1Jdd!D~50X|>$<2Lu&; z60p_IK1R7<1X%H?bN|RvmQ%E-`*xW!4Y-gX^A@_BvL(>Lcdt#zWl#tM7wu2H&@BzL ztxo-gTQofi9z!dq1F7j8MJ@6Ja=&3+&V=r<5yjKliNnAzVTeaic`f9iZ*&KcyL4;| z%3y4>%{#?w)n$I|)@lud2XS`=3o`xQzrR6R(2&~T<)$DQBpld0*(_%=7YLr#str+g zZ37#grEV(ABdnE%D0`#>^jdRiv*h{Ej`3z}E;N?V?XPrh?MseWR-)YA zb5Ss*kggD4nyhoTJc z?!;Hie)JFnG*ARJ@s8wp`zu^pB*yX`?l>x1svmoGVD+^>_|)^h_JswII*fiOfkqWPVB0iy@Xy%3${)>8WdcE^ zehr|z)`g#$v&Yy?fOhq<8vm-#?1;3^@!lu*h(TEG6>rgEneNX%kDT->#_JVLJd8K{ z3xogY0q0jyW}*-vAd^V{b0PjeRe=9JXvEaj>W-SCP&pQpX1BHMjWLa!mrEppO5kKjlAhf3eJ zHwfEfNrqZ5^JaY}l-ikO(s~Eodl#y?5jMw6YrhEU*{jE`J`|N`={|nMc5~!%H4^j% zUD!C-HhF)$R=z!1lXYUKVF_$XA6=~FaD^s5Wih-zL==^tSpiwA_NC^FI(KYEv2z*%Xw@C(;9$)v) zQhEH?l*eaU*^0iS-sABv3Ln(1U=O1fLOZKa~7e`!U+-jBzH zs;#g?PXBdxfS-n+oxKgS!ud z)WM5%Zc*4h7AHA93l`JLtm}`9EWkYQgp0=0_~W)<+QcHTOxxz>|K5|G;hZvG1bdSmhekv011ROoOO8{B<+*N^fN-g9>%{{@DuI;iK6k_1*&=Z z;#=k+40S=H#4oQ!*S{Xwfc47|gEUlCIR9n;8z+IJmtlb^}JvQDU-y}YzvyZ(KPO;W@_!7L67$G=<5U-;oml69da zkBtBM@bOZMZJWQu0KEUJ81TP8{Qt5g{~g|-_2IJ4h4Y1$z{*)z;69@CQCO)s^ER@{ zt)G|3K_>hK+}+)shoNVeHKWY(HW8m%x9@rq1RX6iIX1mm!s%pY-ad$nm)3_=N)7gu z6kyDe$xi=Ruj&dQm`iM)Lm!z}L}67*!oFvV2%-5N!Cu9KL$brvKbPwz zP0@;+$&}&yC8cSFo+_E3Vv%f~g!Ob<Fgn*CDh)46NT6MHXzf;iD?5DI26w?uQ{9}Fz*a}N zd(SkinA!RvjeHu8C8B_)9Er!XzFVvB3?EE$*K&R`kMImez3qZe(`PrI*i>9oDQL z#+3KipxCN-3i*B2o*2@?t7zEfwJj_kE(QDpq@v!Y#h|wnJ#{{BAYz3jMGDETPnR(y z9(IVF&7bBwc^LOp8ucR6K?L=5c)P!ee3lK;7hqBZPPz-5=SLBm=nrh)v%DgB8YmhE z&k^a6+-HH{*!Htk85Yl06RB4)g=OE6tYfZ* z*IXP;EnP&PbiWa8J-vQxb!H+`dJ>HlH?>)F#G;KR8Rh+Q6+X>CdwZ+`ULn1`85tQE z?FHiOXBh3bvf&~3-hm26uRWY!dqbxeX0--iIzbEYi=8X*OD6z^MQHSy&A)U4xU_iD zG_eiuhTd&uLL??gnkaE&9J+FvU}dEvXs)eZEI0$`5Xli#ju<(eWpw!<9F@yeOj6h0 zcm(mK*#p;ywL3kwbO||DIg5>0j?U$&{al`ZVG97fs9@zI;9%SAk?Y&Gn8;9i_3kG& zMyiXmO=oiRt$M80OYRE$iPX39qwBC2sb}RO$F19BmuG+{G^Z(*gp^yInXPrwr8r{H zQs{v!ZB`0aaG9axw2fMYheqi(c>A>Fqh_00#j8Cn%;iBUgP}V-_jfZ#$R+9Q5!Q5p zIew@vc?c6b;E6%W_?d9ljy3T5lRaMFXb4Ou?)S;wKI$}Pl$3=Q53!L;e%7`rUTr2P z-2G$BwM^d?@q7W%yW|PHW8SfdS7v}ukcpp2a2UiaHHt$6#ufLsW9haur9X%B88VL? zSj2!qmH|I|(g`I4xN6jb8cN(KE1IrhwIA8gCiTEAz&T5H`10^KhhMziQKYBwZH!3# z-rHelt5Uh32e+D zQ`PIefV+td_pvp#hX33qo(-ZwMYzGY;lba1+}ed%@N3h~qa$0ypD(&59-|jA0gEBf zo;HDMv(S!6A9UWAqHFLne#MSZeefHxJNL;yVD2+fCwa5ho66&bUetFpWdC;=d&L^>_81B$-MzQ{> z#bLh~6K_UviepgGfU407)Z>X#w5nN_V0-0H<@~2E(b_2qRry~m0vaULJs-~(cal1WJ%FM*FBc?Ylo6 zRl48IspJil$1_&L7`=bcbzKR$xpuG>UG# z_KWmet=iR5B>_T(Gu-@i+yZs&l(An8+!D7MC7P(o+{;oNPf*(Pg2AI=W5>TTX52(A zF0xAdmWZ2FS@u6;kE0TS)A;2L#1{q{S+`QKP9Bv9DSWZPsU_(~T8Pvt*~;q3WdC&* z+r2JRUE`sOcrTh2?Ra<==DyVuq~LbWCxQ+AjRYYAiOG5BZMW%%lAvHj^w&pe!qMn7 z@exctsVq~< z&X$c^=AFx-M{r`=8_4+BG^P7a_0HfJ=+XP|`0zq3G>=SB+$7bX3v@T1g%{DK${EdinBbv^lCkE$NwA0lY*>J1L*F zcpcJ-F_-4X@|cC91;lP+Y1(5cQcyo!L+PiP)vs{O?Wv@6H!feTBgR5kf!KLZE@|&? zL$vujoNGn;h~K%fpmLtMXBMZSpWuZ}ZdIh)EzXlX74#;6I+VzVZHK-8AQcGppTk?|b7Rpv`>F9m8sDjf+4z;w<#`CY-m>hU3yl&qAd#35k z!zc{`2Z92U{{NYq@gGj(i_VY+`!A>QrP^b(kb*}Lexr0&OpO)>Cj|674N*tBw?sn8 zqfKok{m97JouJA5<|fYq$W#SD{QV6x2l0>xQlbOsv2}*y6z7}dt~mJhuR2d})|=!O znx<^tzHTja%5AW9*WL^08(ejI{$jn`z>gjdbd($*WZIsbp7iB?n|e1FtSy@P*bWu6 z#tK>R8fObIQCYyh2MlJJ4`jpWT}}Wm`QXW|v`<%HALPVMicjE(c3B&bANoeeIWYcspY<;HDUCdU_$& zdj86?#!WEXhh7Btv<%tlmEfPVrM7UJFZWcLNra^skfc&tAEr(mj%j;_>gH4=mmb`@ zR{M18=9DKpxK6aXF5FiBe3>I};=4*gtiOHe;`4=}&vlw`IOpKqpey@F2CQz|-a7w# zr=x#rylrU{111x$3!h6@{@5vO) z3FU>ek_&C5eSGcR(!;mnTYUZYi&jM87srW&6vf$1qYxd8-%}qpvDwG5j8tjmXYpy+ zy^Aa>9JMjv9g~sXDM65!2R_S7oaDm19Ba$35rR2?T#5LVI*eT4?6#VmtQ;!#1Cm$; z7ZWt&fd~AHhzkR*o>Wm=7a1KLn@)VW%{Hf{q4M~Ghco4B&k@Tc$Bp;#@Z9R{HxCVtOZs#YLfB=G-GWiOj=CW^_RbYE3eG*KQTc#8*kd&#_-M{IfW$9S7(ttQ?qig=kkiPCL zbaispT^|4K*AhgqtuaZa9dqsWersd>OYnJ&TQZ(LHlOM4hwrvo{y-k~pRlKyC_UFD zNhg=9VKr}3^_s?^F5}wmEu75UsY^+P?+jCEhrywfb=f+abti4v9j;Gj{b9|GUwudI z0ALoUi=@fKZQ0|)fA#wEsGID(*X!9?$)Ud2s*Er9Pbjsw6!DVNpNztf`sC_ha$;`} z0|%XrA?i+U-?^Oeg2@NfSP9y9zBRGg&+(m%za-0Oyb4jg(9WNS5F41+v= zCkq;l*16B$)0cJOt(OugkGZ?%;9Z~KI`n%FMs57MD%ys~{Ytoa$JyMIO>;;@0AJ6Y z1^W+XEgl!ZwPgE7&o@0{wYs&|;_Van;Nncy0qD7nl;@}4x|v#kn78=;?s{wC^7s^E zjT(71>p5HP`Te|8?l!^8pvyV$V(pu_l&m)}LK#^-p{-srj9HB<=o~R=%j+kx%qWIy zg83%$7#%qA%!4%QUb7yFm~uT1?3)ChmeZHk$j;qizP0906uwDW_G~M7t@+$b9B2CS zOqYhNy2;yi?-cMW@$|UjsXu#HW&P<&j~RTo2PPu!I1QR&T4dTTYI3%RuS&a1X*2ca zTDY2iYHbMr<>9&HB>~O-GBon0 zqrmVNtLuzN4^d}trGsY_NWc62Oo>TXu+QF+OdzPuOK^CB7FaYS=Gu*TsRQXm zx@pXc@1UQ4*2cx&E#Q88djYj9+dLbtxA$t$#q`%=pPjAIiwt8;oAL#UzcuGCv=3G9*#CUK!F@SW=ZLagN*@N zHsqU$-}wC+e3#v@z|6CK(iS|t>BRai zuW@Omnp)+(A$qk8Y5Cf~AR>)83B2c&}OP#P-3lR?AsO zGt}B^+iigBSJU|HgMAb+`cQ-&5h!{5^ze(rJJQ3L72ySUprmE?4f#(l-}aRtBbTYZ zxt(@PTd~=dGA~N;-A^VfdMj)luAZ>(4zf9X%UzrTf6%$&^_m5JnJ_yoJv?XBhH3=R zy6A9eF5$8^e~eUjeRezs&;8wlf~pm=d)!*gf2g+o(01X&v@~Grp<<7J2<1tBWVJL1 znD##8EA7A-2As|5Qbk#5PkCB%mT|We!34aON#Er4IxKyzGMtwkZf~d|Yk0OgpDOme ze4I<3{MKN-Vh9a@eznY1&lQKqxd*@Kr+2Y^RjB0UME|9?W!W|UcVBroJr8HocVlPA zk%q*H3>S*Mzek_VgMUpfGFQERwz=HQ(QYiyy>(d60K>LlF9^8>^>H-Wz80SSqgcVx zX5JQb>S^nXKXx)i%~PY38PY&oZQ`mMd&Jm;Irw^o-+FZSfWO}CR+D|Xc6eUm^}4FE zQIKv%uhlz4s5`f1-iWH(X7#qyq2zsIoMZkG=`jl`xJ{^SHjlbmKY`JTf6;{mwn*R= z@B^0@*fM9d(%Gt?;qEfK8!uiH&LjV6=xcA4YG%;X$ZlUB{-@PY$+MPK`MYW31|k`P zgCHQZV5ar&dgtshed%p~g>ZFAnA4KjK;pS;S;YJ}fHYq@1)d9iefZ|1T;#(>X(NBA zynd721WrRQ&AxF%+g)Sz_h})fu{*=wN`1UOFme*%j#c)ubrWpIA;95fJz;rnTsk$q zydBnWBY&x#4ew;s2x0fv?Sj=3c-;}8wH*iuGN*~m+UKT8VnH7+_Ay)`sF>}W>++B* z|F?)tGf9Mc#L&^tr3tIc9{89shVy9#L}Zy_MBy(= zKw=y--g%{*6qgpW+OBc`|Do+IpyGJGbx{UQNPr;0-6cq{!QDN$6M_YI8>9(AgAOji zEx0=a0fL9%ZXrN$NMLY#!|&Yt&N=t}-#Pcbwce~Xn_XXh->&Lj-9vYERd2@wA6E+p z2kQeN&074ks|p}qJ-VkZ>ZibT30XuUVj)28MjdUZ>zSv$@_r(dHy;((gl-4-N{6$m z?;Qsfh4b3Z&#I`5;iy?d_NZ`gy%c(E!b%sfK_f2C{(+{+Q0tk5@_u*iua0E1YTMxI z&w0SJy>aNXoy&vK@6VfNLxlO$gxajwVNN`QL`^zGR&v-ie}hDfn2Vbn-fkG>#D%J$ z_>HNE4lwmANf+7aS^4Ml>Mo1DVb>YfIy_sbs_mK99*>x`DegZEmaDh@KF7-+!{p1( zgefhLSGAwv$R*JOMDiYvy~PA77pV$~}(tUUZ4G{Ir7FbXqBtWb*+3X3l-R26{#0H6<1-L{}JMoWi##v z{9NiQFnm~@oH>)&w$6RV^_@s{OQpg~=E$Od*!IVYwf2V%JiA)Y%lmu#-6c;c$I~MJ zhnf&=gPd|e%&oqa*TQ;&t&G8fkE@6od-U&t-67`CTT63Bac&+JvMr|M{9XoK0&`gl zE$OQ~R+s@hjKjM+BD*UxC!EUZWjKr*I6n~85#<$?;xr=PM1x0|kdx+j$Ow*3PQLZ5 z;}tUeoHX{EaH4<)LKnui5vrL!tVK=~8aUyonP)P7fsS!T-}5KKHekl^oXw6X65H&=$&Vq93fg}kfS(Kz?p!>rn? z91S`Quj0hknaeU6;&X7p*qJ%5QkevCxqG|_sTgRD>OGDW0?qz~9HCCmxZ_Mf-gr~` zHX1^b7v%P3guqyv^(!j@SE_=5;9PrFcKG)7b=}9SqilN&{)ujL1~Tx(@WQ?7l>miG zb*^}GDz+*92y(Osw#P7qpWsf~)@E!$MWH)&`|B=qKj-Gp;j4r-BEli3t&S_AegdFo zQqG9esX;3(6eG3gwQ^M@nN7k~O)p?kem|U$QAdyr zHJ+_!Us_nImop-rOL^zWGLa&*I2qE!TgtA=GFQX5)Fqqhpn*yO>>uqdW*mQaT1q(n zjs>4OBg7PNLKhJjPh88|w@yS-@)37>>M=ewv-MIYHwXtQnRv`G-bjLqOa790%*Wp3;p^>JY$US=x^hf5Fu!(R2ey~%UiZ}$>0M+)ma z4US`pu@e)ld|_CdJO?|u(tLB+Q=rK*;s*}ZY~^2S3?-Vjr<%c>f7vu!*Jvu;x_BG0 zX;~_bt=7Y7s^hEnM$iMz0+FffmwUo5cfP)GcQUV8_<@zNsGmXAcFHn;nT-{N-pH>E z?g|#V3~qTqD`h;1fzQf;B6Y-W|MFn6rHQ~^IkIVbB89P`NYT+&sTJKBA(;LPpLK0i zp-}(#LiFGLmF&YGI1XdS2ggHZOYQYJZcoq8kH2{&7qsPlDJJ9G*y|s0TluCRYwKae zv=6OfJwtn!Y}0p_Wt#i56=b{RxX=WM;y~6oie)YrjrAzKvAk**@T}5*ys{c0ki2*; zf>SQ=(Szm3@#^Z_%5l?aF?uyd{f9ZSd9nCItH#}|@)5s+7yJ7pZ`l;)2%8NeCcFk{ zf4w9ARFnA;N<8Rzn3vg|9n;W73HhyiJ}2js;t(Ek-f7p`;QV`RWM5Z-VU>y*$P@EJ z6&w;_%+wz0H_ChDi9jJaI~T#`gN>vJNrXe#zLl-=*3M)?~$@o8rBf@Z({hYSFO$;TABp1tyqVhgP zuS%_3uft5wOlahHW;()xYdviAQC~P?3}HE!W%1w5t$KODD-|p0<9A*6Kw#eCb$Y4h zD|J@ZoN5LkrZ=-V_u<*e=C$c$eriKi z>hqO$zc8Fbn?eB=&l#;X9XSu#iQ9z{!I<5s{;*Rm53ksl>B7mJfSQ`_&fRESG%sSU z^fzd-iaj_cDlFsZ*AZH*4rcEOm!qE0r#Ycd_QE|%D8}|djaU(rLDQr!98t>JPl;!s zJ=%@hRl^P!tO0_Jo#c*YPiIq|2r|ESOzP#&>Pao>;jOX^9=yin!}Whfb&1)q+;2QuhB^#( zON&J-W+=Uq(D^=?SAKPovPHbiezxohQ!+Z=`rAqu7bRF6ybW;D5h^Xku%G$(^6X~@ zq()a%pn&%a3z~hhly;FuN_Exo$LS=g1|^zLnhL5cGUWvM%%T4Vz^ifwk~Ap__McsJ z53uscc2aie?3Ne5%%8!`3)bH}(=kjG*UT@U{!YKbP5y2LrnOsDOrNiVr`WR8g}1`g zYv&z4DXR(?$&|C_zmJ-3KxC<-Whs(Wl}BXWO-Rvw4S`bWF3wrXHq_C6s!&kXm0@EG z5AVK?Hzd#bk{yJ5Efe6arfMFmYp%9@cZ&It7)`vR&bBh`vHjk2o4L*1uFbun%{_^F zXZqXL`@SuKy^4~8JDsA$j6(9tLUJotH#=mv24pvY03^r<5;Oz}ehT`W5ky`YL~cdn zX7|ap;gc&tp8#K@-+mnio25N1In66uG#f{{A>ns`cjo;RUb$unxS48d&$V zBJ_F4g=@CoJv}^Br(Yg26#0R2VU~Q($4B2_r@(BdFg+bVUPdG73|t|13Pr1L4=8K# z;>D#z^&Tug=(d&Z(&x=6N=+!{7bk}3GCh@Lip)^MGt8ndCGLrSMYa8xk{9P07S(nMcbE&ngoeuER01u zDy(#rcj+HB+RKL%UCW9KlXMkrWfgZb5+)59iWZQ2a)!cBZ)MYm810)U*LD$k&)^ayvaMBQ3#4}u3{MTA;x1hMX zLD!5#)+{}vliM)B>Q@Pw3*4%-v$otTgHz6sdPuUAz8isv#`ntQ2Q{@aIhy^$*~c&-zcb6k^A0cLizzczRI(Ep~8HByn?NAi7#m&P1pRa2z08!e^y{fbAGuaW-_!AeKUmVFxV+x$5&$yiPzlqfKOyjTPnO)d|DWsvQH~94aIj8FMzbur{~QH@BENefPyy z#d^>^5%b_k+yC^czdTwUK%R^L)s-(qU>T^}&5Fo7y>(U69BmUyu|<)|VuHhyVr zjAv}jDe#*Md@382L)JmQUt%Yp@bed>yHBUPkDPX8>zL>C&_(DpX5Efx7Ei>~1$_vQAN#9{Vc8WuWlPG^URKbk~()vP&Lnh(b z#7N(ptzQamu5dTk>B8c#t7_?IC*lA$oX%xtQCiR*|x< z;-Pech7@OB2y0#leO`#E)KeX)r;1WfVIg>BA$SQPc#x;BnOeO9JdACo#pv(o;n#{~ z*NRHlihF0hx4@=Lt;nnXGsZ?7MHNL@T0&XE;|Id<+M?BK^)KU%fgM#sYyyOWL5G5Y zfr8;o=$)7QVTZ?OXPujPkEH2+=F&Z8mR;u3Ib5E+?U?}bPj|lKfuW0ug;j;7CW<;H zii#$Ru(mWwijVcD`@gpXKQ6`<))bnWv*?(!D4MeXu%5IxhuN9pK_~8ACWrEx21?a+ zNo93Og>^}$R^Mx4ds-JzaK+Ck5C_t@CL|BBin@qCuLv$>(dk+4gEe~BK#PC9VrQ1{ zQxno%q}^Ra-(3U`wxDcs3%uvf3aDO8rEg6#bz{+SV^MTtfo*E;tuiwVu@$B287Au) zCg=f%oYf7acasT8lMt379hM>nmZCFFrE=rWY7M@L-|A0GS9uzdFo+JLPCO{@3XN5Xvg$!qfXM5{a=i08! z$ExIzJ+rbEgmI7k=~*}n^i1V+Oyx99<*G%jO~%UGUA(+WJFQwP5xcdaAGLyKjC{!$QOFqC;TwP*3ZcJyZaBS4zq`xsT4DH0zwTS@DjqOU z#t_S(OuqjgumSrB?)Lsn|I{xlFG|TO2W$4iErxIgAmZda?(I%q6Iy0fUWxH-6Rgk6 ztp!rPyHtRCU)ML`5_44HAMI=SDJsI=Cx_}Vkt?eS%nGmjs1^^+=kkvzjKc9gRoim& zvyRf=knJBjlb6JWlmVCY^n4G^FGVZ1_ky(%C8;J6l+r?=wg1Wcc49_IU?<793kL&7Y74=K$-PIK66J)<1UJ z@R4(*V^KV|wV0XePbi5w%jUPH^gJx5nqPDF??s$YWdJ;d-@2+X?reOMt+bNCb$8UU zB4bIPySa9Cx&M3DZvdRdjKy~qTSlQ^I4QiM@7Y$n>0tqziqR<{Q&t1d3a=2C2SZgH zE5MDlc6Js+)BO-Fvhrg7lz*VtrXR-`T?Aw>DV$ zL$A`O}}U9&;83w$Aoa zKHQ|Ux>!8cX-{Y&@{SQp0~1E5B*qAw(hoJo62@m^r|W$?7N*hWa(6U8Gi zBGt6MXIJfJpatwEM(2V|`G0}%_b};OSM-m)EM^Y-6P9AmoWN>jZ1I~;7O?gh9d87m zf3|%|pma~dt-f}3w7)Dfh5-PR?XNg|*7l@sozp)ywU~kRC-}vj9r3A^3B~){Ra}(T z?rB*JmGnb$NSSs&CbZq8I@ne;7uDJ+TMVW2LySmEv{@7gd1sBr^&4|*EhQ~rXfax4 z1oT}b`*+qA*Q5F;nYF9V{VY0|3DwbOo!q(sRy%rI zii^0~Jt~VK@kfX!O5fkfDI%2STm)>K!Aefb#($;9EUI+GJ=;E_@0nV=$${9a==2ZE zuR343xV7jPzaAGar&APyjF6P4@T9!5sc8OOYbR(iqYd6^} zVAau6WghgW#K8WjMMcJdK6hO0sz|@Bj!=SD^qJag-K=-71pD-l2{xi3>I_E2`;O)n zi#_^vp|z`o{UkaV383gRbS`U3=Xy4YT8#5!UEzdpdETL}axcR-AKt+pqSE`=ay}m; z-}yGuDZYki5!-0@Da>-t8g-?$wht%Afv@CeV(CeC?|`?T-&oPNcIqEr<5?fD>Zz%l zmL5ka=yFXMb;~pcM_Quc!(OVSEiGZ@Y@~?786Tn-iBhg7?P4ov?8s;vC+uRmM)U;5 zm#;FXJIPBqD-Q-ULKYcm8YhNhxz@bT&!+wYDT{iVd&=b^b&N$#ii(a6!*t*8vI6el zx6Ne%MLuz%z=HV@RnG{4#Rsk>X|%CXe%>cTo3uZMx@So*#lm|1@3yb67L z>dv8Q{vu4K&M$KHh@osn`F{i26aFs4?G?E?&v5IA>(GzIk66J*~)00#62;QsG5C$=*tv7JpqA^gYdH(3_6f&((gPc!$zmRW@tbE&E`5&jGZV}gka9PoOr_+>(s zJ2Y~YfU%54gcKS030!2UC&=)2ZB)Fq<~ zIi+?%?2LrCRZqsUeHHq-^c@qMo-Ux5P6PT>L>f_(uo}y_w~sj5K1Z&)Fxnm`esHoR z*akWmQwy14xT@20pCdN~@nPF4+GFVo**p_|KV;5;e}Vl^XmXMgqcecvj~wC-KnX&W z@r8pIYWzOmJ1=ri3V$e+@jpU}jH{OLvQc{1otXFeq!TjS`H`y;jAgj0^mG}IP-mnH z{aE^rs7*fPhs+70gYJxb!UHmOKx*WwDPtK-h2AfH=g=y@(?EruDSao)GGAy&#w{Uo zRncquBAjA~*9%@;TaeKoYe^~$nVNsIM&(8CK0lG!8*7IxoFI6xGin}w)p4@a3^(7{ zx8sbRj?yKGwRyzZ5y}+wZBtwMC)WCf5KV+AN-knPx_m=iKVVsy9c3!yZxO*GkL@i z+t9ry{JgsN`?7CQ>8-YHi+*8Tg!D}5t&i4EXFK%-JNSKd!F5;c=7SLAVeZYHnfwVr z0ejg0a$ik%)fEe@K6q+B%puNk`Qrzn+bE8(@G^^ciKNd)z0yLmj>X!6)_f7>DrcWL|Z%?FfmOj zjVWJe1hc)*+*_X{IGn!e7C%@1FlA~n)#BrOSIt(h`%WhE}#^48bs_|rlcudUv*q(H6pKY9LV-%tb6qNYh} z&Bo(@2)7GjdSUp@5Aka>`7JnmMkh0>Y#;}gY5Kl*fV9j@ZfgYYxa1jg#MV+-|EU*h z*jC>6o1K;GX74tgeb8lPkbBdado|)YH)U)Vlf47vFGhOk)zHlk{1_h#1Q#NnI`-_)xYnV!Y-JY!AL9RGMMr=6oRVXdi z81T)!zlH`>NrV!?f)*)-o&*n52 zNal2Zh!~CN@>zb)Y^-zkMeJ>*U!*OYLSl!v@&mu!hFEzBZY}==dL`%N`%yN|t^ZOm zhg2-8QN2CbVsrD5_gy4S0wpx52ol^H|2eH#((?3&pOK3|u$f4bTZ2c>VBN37^d0c`T;+A{D);gA&wT=0mA&Ds(FB zDTw&5te!FZ@+^pWTTC>_f@vRa)1lR@e?))=fL87j)I2UJoSL>xU+*`!0?^u#`O&s( zthIB*n~GxtpHbZX;7zFuJ21<}R&5kWcdowCIraC0I@KGd%#B^XY*(>ZJ7KcB7=@T| zN2OeR-2NINx^gJhPuXG%$o5m`cF|dI<^r@9RJro;wN&F>P}iUWMtqVvP#qMCu_@m# zDIsY|fD{_<`a}bjL^>!R7$ioYj*h0yCA5r2%7}}8Be6}h!Ic|2$P6-!j@}2EL`2gC zrBGWuA7>aO#+VL^=FU}yz5#iVa5EyA#6}kc=~7$ZjVBMLAva)>=txiurlAwa_rI1v zCE*Fe8x+814voeS;vQ@Pz0jG6396FoSCrU?{w0twu>6cX9U7epAe~Wflm_wBZdgkQ zT8dze6IzN;jO$v8pp1K2E+fCk;Ld*fg^FYhxdESK484JjWDLX`5xtGP7#_V15(j#4-&W??OcCIfRB|PqKu&LYb1BhOjJai7c ziKqhBFl6SssF3pa*Ki(r=8qIy1mzAOMsNa=5fpJW1SJQApo~3Ih#o^JKk{Ba@<Yy?OR&r!16TV$#=dzCGdr)Hvsd)(G%3hnkge65`Gx`z0pF5I)bPji+F$=}tL{c9&f=&o&Mbb0&7K@)tMdBdbsq1tLH!+*`|#4*SKwK@7YYtKCRistV5^!Ho7m67p13BDW0=0c6UBh z-b**Ji_u-#=S9;oX{TEBI7dPVjsl1V1PE7CR+VJI=fOf(9>MPAbp}9jCI{lTv!t58MDTdlvl-Sp6}3`1-_E|)1zbd1{Fp-* zI>ORbEoFplVG-gs|2lVseY6pA2IiWM{5dm~ZT6~~GI)u*?>`(MWwrACAd=3|AXaPZIE2 zR65$?*O(!f)>p&tQ5)$j=7vq-32P;dWC;#$?G=3Xg3i~RM!y* zQOQ{9O*Cxm{;gHH`1Z6kRl^;X5WrD)o8b`?8Le9Wxo+#Vg^r_M&j$AK$uMc{?wvXq z#-hx&)^qyvhqvABV>nam2?=HPG~(De{+EBT`WwCdnuNt`M7!#{m;lG)AA#OA9J4xy zYmp1smkr9Kl`j1ZqvcaRil=sw464mX^-6%l!rJT*=dXqvk)l-+%5VCI_dj?X zhU>$fe3~7{quon*nOIg2{9_oqsDME^1D8PiIVLyz+32M+x*X;ed&MMpL|gL{G!{wSq?{L&EZe%ArQYgM=~;hYZ0)q6Au@XEwlMK>PId+)0s27=Hyo_l;{7Ap5ZmJrb{hjr;A+Y8r%z@6{qwZsugd9<> z8BGCM*ai?kfwF_RCIF2e$JgSHQ^nUlHN1UFA}9e!_FD^FgDo9Eh$%eqo(TZx-kDxS zOaE03@#njIn?`x(eOGzJhONUGzEFuB5KM_}RE*nFrp=*KT?+VG5nxqC zNo1pM;$^=)_Od2b=JxPl3f*He^vDM6%HWstX8GrYW8cQi`CA`8SOZ|%=tIGcvd)+1 zA}^qZ_H;CI+CQJmqmaw6a1`Ioy*dr79@;bHlEJ|@;?8D0L!4d_J$3djchV@|Uj4&p z=xMKgZv)y5akm;lXGWGsVA`Hz`do^sziXW_@qSvFiaPd7e z_LVUQjAu&ySlQRZgag1(I>ZdGUw0zK=-}{+RgX>%Q<|w7zLc@8XbH$SEK4fcv2x5E zd4Eh|_qTkR0Mq&T_741Q329kf^N8-}QuBZ&`wA|;M(oh$5Wn7r>dNBRx_(yW?HcSA z!m3B`4QPP|M7Z(DV^Y|xL)cOTZpvQd8Pw^BmHdpteCU$EYb zxHRUN09o2I;4}c;e{dn78lMy7=HEW_B7__~n{mXd>rcJ<*?Rgv{VBB7Z$0$1+1RLB z{p!LeGRkpBeAp?bi_trvT3`#_N-g-NY5^@&)m=R7OjNjOq4B573}9LqFeV+HTPa%K zGiS}{Ti2?%SM_K*Dt4FM)Bj>#$SY_-vF~CO;*2fM?(ho06kp*LO3BHqYb$+XM$s8s zi9S}iUlt2+-8xLQ9Q{q%KJYzRcDWQ>->xNES)nsx>z%T2An^y8EczvDc8V>NVs>%e z(h|t!RoD5!6Mjov7M)6&HDdKXse4d4FL$~X?Y{qIS<0o`2I~3EwrgEoyn#1!41Fi> zY<@fLVr~!A8WOWS*I`R!3SQT%A0vs;DdL{;DegdRQ(dQX%EVM5)H;ME4?}k&J?r&` zr})SPUcWJJc0ONR-a;S~&Oz*i#(QDACojl0`TVul zrtQ+!!Sy+~zc8w^USSi-@1&i0uj~(BMs%_h1lj|ahsC%YF}-_2a-6;kC;iLIv;=|j zms#eE_veCrFN@>eUaoko<tSI% zmkw(Bgnb*&Z6}w`f=SXdlO-RK4--`;T||~*FYez8_|y7>xdWYVKRi8=<}EAlC3p^m zaCo@@!m4xyB#S)ElF{d)>v3VD=YL=v^*wNHsO*VIC0D(kA}{p&NYMRu&$@c3H;ucXWmm*^oghky^^|ex=K-N*$K|I|IE|XO)&ydl za8=!FPs8gN-#q6gQhqiQ@@s6iJ8bs5qmFUF+i*8nT{$(Rb4&?PP^QWzx(+N~w<&U@ zId^lpENOt-Ai^|HhGwUj5?()LyL-ugch)hkZWu$&&Zp_pv{naWw1S}z-hII4)KRrc zkej#Xi&|e$Ld|CF0WcrZ(Pp}+c|hAYWZZMe*WtVk6<%DooR(GL77y}Nx|gyt&w-}y zLXY;V4-uncJi0^8RIDnq?Dc0kM!uituxeLdn0k|hT@q<(t_aY+1rksGyx_d7r>N&HJR zQ7|-Wmk#|#sPpeHftwe!pF|>^-q8Z7GK=xP#2F?^AA<+pw;7V|&px^TIXtV~f^ zypZK6S;`u$8+5abmCj^S>Gl4iomB?>2pNBAKw{8mHw6ofQV9>>v*9B)&mk)b(Lk+N z+rT}?b%b=4jD!y1A5^8X44_U;(?JW_LVGSzKNYU4S;Y&2$ak(quy*XclH!1Mh~+Ah zmBDZ}V2=99qdT%~;mIVX`Ugz1-Tb3Kd2@4wXj8vZnKl!8rTt}GIVbWejI$0ay;)+R zu_BIM6yFFv8_No%X3!^f7~N?8>FgcUq(ZOFKE1m1Mf7vphqZac3nI^HPJPGML8Mt7 zM*KXAAIUy#=KYYg?0m6DE(QEn24T^iZUxE3U$IJrLu>KAn8kgclU_($X1i&i{FIbV za4yFRmz#N-TQ1=(h}-rwXHB^Lvuxj>HZwO#DebB1_}S|VHY$U;1G*SyH*!evn=)dvnEDZRBzzY zBRMoYcBSD=#xsY@+NR^+tx(b(6L%Nd zPS)TpN3Qoovj7Z}V3^e5d-FCk(x!lklcFEeR3}`fi5QMwZ@%4yUoa=gf_x z#y`h|`XMen)BsNI2V^TM`QPH8m4QxJBbSa9Big5B9Hx5$Zr}k28$dVU&mE&-L)v+k zw7uRl|KyYZ(=zd(iWlV9pUA)Lm|)3KTB_&96}YUt^|jf|bJ?_qR@1Se+uSoU@M)zN ze!Os`KBKT~{F--Mo52KaM5+_l*1g!9_ux9AyU65X>MuDxpxlfa`0zfETsE)=a_hQT zGHCeRd5P0UDd?gKc=@ zX7P=r<7+#1S{FkTZ#St1TQZ!jX&>HECWXF2_I!w`pqC$%nH7@Yud4!W%Igy4QrGb# zVba(?%Y9Nu{XU=h(O6qbj?1tQE@HeFtD`o}KaEEN{^GIA%s$CQEVuq6O41fj;*zw8 zE8z0FZa64Aq=H348S435L%7Zfwc)uz2@<>f>=el4Lxl~pVdN|Q#R)shZsgcm>V1YF zuDZ7%`B=B8sDYA?%#jsyU)Omlro65t_!v^K8d3yFbEJ63zp}Y6FTv4%&2F1t-!XqD}sB zC(8h=aq7CawGub+Xi;cFK^5VkE$A7(1e)a?-uR2UdkhU+gBtAIH@1TLTMve zNJWMS)un>k&=%N`M4v6NfkZJDD1x%X9iH!?4Td?sZhN_4E5Rw(RDP05yQFh95}$TQw07X zP-k8pd?by)ZlH%{*HeRDjW-6C?TdFJbtZ>_xC_lF5Tu0{C*ykYSTs1I5e`p$5kn>b zDq_e4Q4V;vZ~?l;uA>1q^NwkjW{z;;5A80@Eya;`1_tk0b8~2KXe7kt&%vNOyoEIs z#PRv?V%#|%%N6U6aN)(=_%Za3OahQOKSsJESpd-CdX^7Z<7?2nCx|h-9MBH#rhDU! z^Crrnoa&=-d^C51-juo2C+x@sQexvyyutptEAI)e0EzYq>&I%Nc%0e&9skXbXF?Fl zlWse5lL-GBQu*DomJiO7`9!o-B9pSI)E0C}CjThOPCSv@2(I3S#+rut=g#kJ4K`DZ zV{Y!FcrctCqBme(og?o_bfN_P8pQY%gXe;~mF0fO>DsyeKKQ7zKymXUn&@!nv63Db zAT}Y3ttu!l#L=dLWrz_>jM`x=)l7!+6Pv{Ob7AEhY<{$Taj2=g5jm;BwfNEh&p7T% zg#Q3&D<0>!oX4}1?&Sz4+0IOmqkN|g(&7jIG0=3J{}@IC{*@D21KAZdwG0OI*ed9p zW{nL*At9E=IU#b=?H@@PUrTb-_u>QwkPLqsywH^>7`(^{QX0f?XNI6&y~UOtfa3ht zXbAS_3eT5+bUiR1(UN~$^hZ~nn#zH!08IO6)g?PIKr12sH3reE(f&2}@;R}O)>%?) zo=h$$)V~HCEr#(Z$_alA$SLuNJIg*=z%1JT1%5uKtXRa;iBy_3GsMW>)jeoOXAt9* z^_p}u-1lVw#Yt}%*ERZPIF>nFb#NYe!<+0RJnx`#@c2h#GhLVP-0Fwh7fkuhbmHG4 zciN#;{5liD@&DL={Pur*9BT1{)O>HcM@uCY_$MZxN-~hk7RbM#ew4umaa?JyT{pu8 zaA7!CE3IDQC(@rI0!goE)MUh?9>eFz2YSy2;64IW5`hu;6V-@_@%cEk|5%zwyCxAh zPb1qEdV3UdjeXLK&2lZe8P5I&jxmU1l)uyaPZJi8P291Zw7aiwBQ^jCHKToOzZy#Q zO9l@9hhp>(Mf9-)_y4r_5Pkbch3t;)q>B)7kUwAr-ACS59Z^Z%H=|sGPr4Ed(jsq< z(Jkp7{6JSwDi5k>wBkErgi?X?7vE?Hac;8p2}t%^sEF*X0gIxgalds5HWQ(an8T`H z8eBjV(v`UxfpFIkvjPmNIB~;&$?@E}ZvhC%tm7yckX%o{zI`hR5hvpj??x_Wc^fN#u}=r(!gD z_2HIl_Z`b))vJ%UHMmig(jcnb@;B5^-UFhTUs0p1-XJmRS3E;A1fAs~q1MmK5wsv_ zV56lVEe0cVrN1*mRBJg1V}7;#bSMFOVqF_Z5Hv)v6p8%e`@8mk>RzC|()y)Y3h={ISTu+p#`YW~8O+`8NcEC>ffE zg2mapkW+>0Jr|+qzIhb^uUB5=XkmF#Wb|Z;Wu(zr&j+%lHjHvn&zz(_yp{kLNT7{R zy?Ki_&d!YV`qlX>f*@i-KWxme9WkOfXkU?{U`S|hSNol0L0s+cIORZT&9$ldU!#y< z&mUpa56A$Qvqd$cN6oc!xk%ra=Jz#_emfpuF(akO9jG!Ro$`B;VYZx}S2F+nvD8dg zkm`q2>z#`vQvJ)f$|AmOae z2;?IV2ol=zF8n2dOUcwn=AUXM;Tm7F)Yc?G-a-M-9&0EFLbfyJFEn19ht*Os-_Y)k zh|J}^Wj^Oh9F5a>!5?3nGk8N=>cn+k?{cuM4VLs)y4NgY2BzL}o!b+5Fzo}*8sk|N zU9yt%zWsrm27^3Bd*=7w)+nRz-rTo+Sp@y2>Ay!z7iBpoA_!r(KX5(`#wqxNc|P!- z?R@OF#DUoXPD+z4_5F6$`QJQ~LoNK`4@J#t#>b9s|F$$Jtt<6t{?$GC?5^E~Vp3XMGpdLSgx!=XVCEUNvJmJe^o=3;P z#iR=Q_!?5vI`gDnE7NsKf98hSl<4gmy01qYm)uhNd>=1gWR$I)5Jz!oy31?T(LzL_ z*jPo2=kQ%d1_{yB^t-h`WIvgVdfi+R<1&H=TU;Z!obviV{<>k0FaRCK!CMKY97Ap_ zoT=|x8&Z|)jr&JlbIfn_1ci!nJlFrVkF3YuD?SSUD+nlh zjL-5&8-7%tAe8?lwD`z6e`Gzzw|x};SCG|Xc#=m}|D*76yZ;je{4bc-=KxUP3&M6j z5h|i9fiVl{fQY(G_MZ;A6YMc28L{qDMX36TztX0bNDko@@0g8D_G#3!K-V9f9G889 zT`fO}wr_t0n|=Q8K=D_Xf1N>b7To}jd!YWG-p^Eow_MxdXyV_m%)9?JB=d*vGZpUb zlWloa@t!N(?l_mg{|efE5{SM1-y6(-=cI;5qB5qYMWVg{6uUWxt{Bj|=(ke|ZWBJ6 zY5q}m3;gduyj#uBW=emQT?1LVIs2}PP`e1WQ~w*#wwrVGstB!%cRQ8vcJi~C;U8u9 zKwIEnqW=!!-g197llwE`5=hd`*?VP$+Vy-p^}hl){-Q)(cH@o?$cp_q)!%$Qh7V;D zIgaS)J$(vQ|KqQ@nJAKjcSSH(A}cm}N&-dMzLiOdL1jo4i$>jkGzFX=BmNKc+qbDE zF{ni78AP`}x74Zev8cYORI#XgkA@$R|ArIm@c+NLd@1}d8v*`j^S_&Mh)R~@mR_z) zdma`;C;c6M+-R7(5|{1X6Izj`PCv{%EAyID!*yU!?^hn{E;->{;lGc_{@XlDw?4aX zG?0N(xpA;Yz_p8uoa?vR7V|}^AO@VK-3nf=aO2G3beRegqboAlmkv_$H!A9EAuyvDY zEC$^GSE}fPs!8q0rm>WfWY&jM@|y-_nwK~$E4oA+^}?5J)jD1*_8vYY|f6f?pindM`fP3Z5hQlhAjvw z)>=7~T-nD^5O~*_{rb5ZRGV1R9=+0WPZ`*ee8+*Re$loo23|QX##n|6fk)eSCBf`1 zz%C7#!fnbN%-*&ekr~^zi||@^&zvCNR0ozlmQ_cb3|*(5f<^a=6P<*%igmzQUQ-rM zLL0>cEAWua)t|-Swp~SVz^BY?x2eo!c&QVlK2vN|HRq^!%w@`G8J_NR{R$k=lqoi# znzLU#=03IEzWZz$9_j=s&+HsjH3JTcIbEkx+jfDL-H~PZmeVyRIG`xAb6C~vu(;ZF zYOZZ}e;Iz}bd3%UD9G#_Qe8VJZf@O0M6PtY<_8C~XWo}&cJ`{S?G`t;@3t?)SDdaX z!7v1{U+mqsd$Wv~TOZJs8TeIIe5csEeHUom4PS=$I$h&}@0`J~0YFuJulS;EcVHR5 z;dK2J3>#Aw-z~mq-z`~&PdQzafbS|Y1AnNB9~YasOmVjDrY^%l;Jdoaz&_Qky<(iU z-MM8r4fw7tGq6{+YqxmKZOXZQ*LxY>?sP2*zU$1q|Edb?6yvn-#x27KoUZY~ccq#4 z-&KL5;x3mdGsI?BFT>}YuEm|M$-sAJ;5$Sri`b%bH@a_PgAV$YS`Bb|lZ6E~)^ah2 z$p^pcj$a>(VV+wh&mV>_I>(-yyk3hozLKoJ`uN+IikHY4cJe8iiZ}JZ^vcw5#;J1h zfiCPSVuF)Siy6-e~`1N~zCn!b~%gQ*mtOxLe@s>m3{0C(u88ZAni zeBKv0by@Iw>`84LQ;xLe2x{pF8PrhBTT|~dR;m4-f(eU4rGt#!j37g!Vf@~E8N1PC z#r1lc#=KYp+``Xtg?R2v$wFkBWcvz5Xr(H2n)cgjdu53F*4XKp;Ay@Xg~}Y^(gO>o z85RXkK3ZT#)LWB`Qn)lGc)D1w(9NBxajQ0Q?`2^VKW(GqQDK!RnWoX6!r_a;Yf|VQ z5bSIwS_+c1v!T;$&;Gfjr*79w-B^NK+TEJC=*?dU*;hCuD#Yfc^;}t2r0_o|#0;L! zlPe7Stybp4UszR1$49FW!%yq!g9t26rYXFq08ro-_LD+M#Y+l@gSCrrOCwtoGZ4aJ z)KWV-%_(;#zSDU{3ZgF9=$1SxH?{2Q{ik)^xE#2m)J?n5++Pey#entv~tS>7flET#{ zLTahc666X^uTkTsB`8WCUKE4v6SjP(X4im+0#LU&p?E444&Rc=M9)nn_bg%*0{o8(kNge_#aI+p)*_}$5Ii0P&jzh@jF0y0is|y4qKxhgO>!>^ z!QK6w0Fj8lcB9iYOBXK1pb{>veyWLs;GMY(X|BBh3Og4frkk^W_PMvjPB){K9wVAs z`M>yj?{GN3u5CDpnjr+EMkIR85QGpVL>Far(Gw*^5YgL2i{8mZk3oz+i0D0tXi-D- z-g~rY-yXmFexLh(pX2@hxEzkP*E;vwYpuQZxvzs6FqNm@;Nh*9zfB^n`%k1+XXLVc z{@~UCKYe%<&a%jI(E)GRblgX#-=2yi%(%V935F zi)Ri5>4T#FXZatcbkWaEn*IhvYKe2E-(9em;c`$_#KX%41zM(F%I50U^la1AVUb$ zTP2&2yUuTzHIQOWCB?Svc5fX*U5CfnU~@RKi+*X8LZ{LnZ?%gey~JTLmn@;LoVxc4`*)P-3JrFgC!u?K0;ZR zU~AsYvU@4B+6Gpcb$35rE=#pLbx^bS{oszMhA@$a+AEpW6#$43z|A!Pn`&`fQT)VK zAr;Nc3LK}ai?ixJORa79k9TA5wfUo(_TUh>XaLM_IA0WsLjrq8j$(S(D?zgRc?52Ls&P3eXz9vLD@B)BGPW z`5o2CxyP%ieuEnT=hbG#mblfE)z6|Du7ny}uVq$yz|y?^293ZVVlztuG`O^9@=CRF zRu4Sbdy`HcxknM?k`ig$v6fkF06jPp7%z%y%%+Ov_dRkS9-r#)6jSgkQkPfUWct4B&3)*(Nx8GE05&OP~)aoAC#%7z$``N(tKmQ9sJK6(7mRk&>`wIj^ zVD=KjTcDnSagoVxw+tD|R4XW#YxWrsf`@>_L>#vdl75CsS#6bdy$#C4778WR&! zN^o#6n!_)6C+l@*CXT{Y^Fp`Y)_8U%9L8)}%|4y%1YgFT$g&4(d9k}*{ZXvO z{b|~n77MBy$c?vnLJQS9edp$B4ut5wPOMX2`!RR@u8DEBF;_sdlW zY2U)Fn8}>$5Ghw+rmvT5X*It*nFUS)`;kkr5Sq^c{;a7l zgzGuakC_OW!R}Zz3+zV@#X?j*`UAk!pzIQ4$Y6OXs#?dDp?Qt6+Jhl^<}fZ+a(IOzD$fC~?TpH6)z)%`<-J$c>NboN ztT7_j4hzdGq|jzBLTOZ?t3~1aj>q;To6#~uODMW!nFrzdXgNcz7pZ8rs#@db)L4%C zzsZOv6@QbN3Gy3SA8Qf8^0HO6@(s@o3Tjy3Vr;z`VI6Njw$HapL!&z0E)swm zk!;1t?9ZV7uFC9(<)hCH2d|`}eHV?8O$d-4UGfJ3VRU&E4|s}D@880ioltT~VRV!s z=o1!rW)=FrDEvjYY($vMAeZ8x8_dQ}bx`IlJPu^9frab4tV&-<5}hvGOI9k#4m`)9 zev!e|E%~hfDJi+xsta{m)`2>GEaspYP46#^k=a?64T5=4_r>@xx6%a9_Jxrc{Xw;e zdGwLNKTSVFrlsK0nlYmuHxYnPc=Tn289RNH=WPR_Fm_r-QQuzd3yTcChWASm<@N{l zgt~5-FUmMPkb|La`KK(eXjdlnZL%A3cj9GsDDiGrgJ+Q&^1qFhkpwB=1C3Zh{%898 zRR00!WE(0oh_5)3NldLb)@7Di&nad*}f-14-oJ#bB zC|s>w8wzYP4B$V_>QSFwn6RK2KFEl-%WnJ%L3l_Mp^ifRNG3q+=nFV#`gFB~l>hC< zcd0+miT@R?J~M_on$a91h8UUKi>SzDnK~+6xO^4*moqAIVK=@1b&O11lkDwZAszxn zsL5H>oZT5U2{wSH;UIx1{7zEX--qOI1H}K&B96Go;ZcgH z`bAXFvWz!{F5IdLZSRb#7ogsM!h-s$i1L|7`SgeU6)8gb%%FURLjHCe4&I3g_An&h zXQ$prst!(pJx@x#Uo00tV9E2tI!b2H1s)$K&nxQO7pA(m+^QFh9R7q$ibE00fh0-8 zr9tc{$ZSN*But}}R%H@G^U?i=TC}O?(yCLd`A4kSTF3>pko50bSVd8%f?DVSwJ`Ai z9{mkG(jdp~l~u4;l8WP~iQrzTVmWr;ITm&A3I32Z#u_raHZS6g06)5ab%X_S)T^dx zR;Z>807WaAmV+D?_^CjN>V(zv)W!4==dU3$gLaD8ow@paHwEm>9uhFgGxDLBu+=Pz zp;1FEBv=TjbLZWZYVa%qTu&LMRa!+TDdO#F^Hj>>jD1giOz>~+kkxkC{a+z;H+A7S zRS2|{DBQnW_6BHLWwB^8!$F5sG}#-<2eDyv*%S{%kryQH=`1$H)DLvnZ43=%ceaId zV5i;I4Chp%Tvtv&E_Wny?7(w8>)iu3){MQX(NXe%*l9N{JZp3>mV@DZaWJq!q`PJ6 zIb�gNQTG*xMGil8HG1d+ikAU#&Vp;GDX6XD}iVO;m{{az?@U?a7%|-Rr?d`3Fvb zAndU9@xK=$qF2j{Y6uYH2&wi9dxoNLwlI{vw}2|@52^lLi{b^Hqcax$stUd4gen5n zw_rGULliE&xI4wzz!dVVNp>QO!uc&&2_;@?H6;Qs73@{H17vg%3%uej+;v%If>syq z`UdX0CR5n8&=BCIP2P$FR3A&_xPLO`k=X&iz|?$QiPyeV$tP>gE*q_HOFI8 z-be)$!#rwuO-3B53%^x~ju3?>cdcp3bkf(eVYj_1=sE*p9{+56P0&ZK3xWWR-2^45l+^+9jaQR{Q@ zdA;D-sV6S0^+D@`7YwC)FIiBL$|&O{ROE_G9q7%cp5Ij`4x>{Sr=CQvN0Cf{PE`(& z!L#@Z+vZF>`*ICD`x5=jshR&~wp4)3{D(y#$GNz9&L)uTZ86Fp$Oj?pFB=AS0bT!Y z7&$NxV87fNe7?MWTM-Jp&^!cI$A{4`7()@Hqq!#xr2_yp=B#g~2AoDp*_stf*#lk) zKY;J~TB6S2>DJ8+2kxmUpnMilK7Ap7AApTMhw}Lq@|PKjTyR$-Z=DlMt$v75%W+S! z0=amomILbcA%rZ>LRdl(J-@S0Qp&k2B(3g+&8C;RtVmdG{AgM#U`{GM*CKC~wA$$R z?)jbI->cvL7YS@ef!FT$sT;cS&(`%&*1pi;l>_Ya0+m0V5u!QoauB;YOSkyaNf)J0G zAz>~f77UN*N1smq`p1^;e;Hp!tqf+xf*i`kz4Kf+mg9WJ9=X{v1=}MY(Zjd5soPbgy z;%JPrkB)u{`5+7T)2&66&Z^7=I4BMs;fE_Sh5Xb*?BwtU1=Qveaytu`4#5bs@mC#e zs|@}9O;)^sqFe+y6@JbZ;t>$`8!*O~hO)00Pz(bhzdv*$94=~DLW5SSoy@9>=mS>d z{#eZ;6i{v-iCZc?m(qGJ-r zN2Sf64pwEvUrnltQ>*x*ag+a#N#`lWO3BUrYt;KF)j{Q|6H7rxDRSrNFb{q9)r87W z(QmSKc@)kMU6;ft)??AkhJ&v};qr4_p#*|8PFK5&=#j(OxJ;sT3NlqJaP}(np)*Rg zRU3Nou~zx;zB}@`ecmWthKUPKzRyX$pQt*xQW-jXC(d<6f^CZ*D6Op_`9I^ruZAE4 zKI6(G!sM9p&@5buVO*anw8a1=a4fK!0MFin-h>4cSprW8SEnplD%$Z4rFL3a-X{v} zK0~bq1sTdX?6#`_+wL{kc0_Qoo`J%!J*VV$cQ&y*A?R7q@|RYITivU>=fuFy=WSmd zzSq7gWD+@KBuNfu1^nvLfCg)UE#1hWLW9Vm*Y))IHSR1ZB_-rk4lWJBMvT`mPewe_ zHwTwWf#6MA49~4p^=H`aKT-Wq&6Q+U?8V*CcK2%MH))&Lu%lL5`Tx(%Z?{AK&;-xE zNwYIb$$5m$(|oZM`HxFz9t0uGCQB83ryrFz9JJ7}&0+6~iPz^72YSJD?XnTSLXu3+ zAE!Y>&`PoB4nr+ExCaS@+m=DV7SNU~q7PuGUfl-Cs10z=woq-5n4hFKd zVcBoh-OSG?kg`m9c`U1ruvJJZniX(uAgd16t8kYoI7w2F(Tg$S(xZR|xtl(NKSIF-kS@8@6MLBa3 z%Eb}B0(k18W0t;=;vfvR3a&yEfV&A&m&>!SCXS!^p9FZsqU#I?%S7QPSStK^ZMg9B znvjW#Fp3h7VpSP+WK(T70iL}frx%Q?tgzKjs)L9a-k&J;&A>(To2+*M#l9j|&J;3hR&8hWv1m-}sW6D!$07sJCxl1` zoaLcpV_HbHJX}i7RR19-=3^lRX#v2)2AtQLohC%|RD|*WTmAExAy%CR@v1?D9DYv* z&XCOdBkmK~LP>I1wK$Nl{_C3gV*wdm$O^ZE{m7)y{-zhtj#`n`2f+Kw%}(NrX4PrI zOwR|v4tx45lW1W7W6%oNVE-BwAsmR{=r4$1$rGg3mSfMeHI)6=h11X{Xk3+C+czu^ zfu_*rM4Cu{MapO|qBNXPdGn=cl2~+}p;q}eid<|+`~kmgwiH`Zcj_}AB)cjSXYB~qZlS?}Pjv5K=3u@-t?!TPp zGVtu@H*)b8kSl)AUJm|KHI2k3k&{**QK_c(UhRVoj^9R?Co0t===PU@$TZaqR;`hR z6|-m3R>soQYP|*IqED_H>0PfAt4m=06QzG0M+`@s-3Pr=o@I9&((547B_K9n@q{nC zt!U6F?Y>P^eK;{KLl`g0U5vT0L$CLt_U&ZbyjQh)?QH@^Qstf2@XC}T7ZGj<5>Y1p zRvPARg#H@#l=s+hH7#5MzBk%>DXO7%R_v@*XQz!vcwW$fUFn zt+UqpU53U~a(@y&quSs0A;VBJSuG^bnl*a0f1dV}<4dn?1iU7z{I$BZJN^mA^njU^ z7Ztpe)RkNqY(<;3&f|O!y7;}|moB1A`i$iJM`HchnY;In+V~_ls0Px%N}mnrY^S^A zFMaJIFZFpg;julidH>A1!ioz1?JdDQzwFH~&hOJd9LL!OHE&+oG{>7HAI5@LOHyUUc8h!+7|L7Q1)p0>2k8_<^lk2CAq;u; zuVjrsg}(9B@%?n-JJd*LdT;v*MWv$AlZubSKZ9E7{_?#&Mq9nIJfkIghNiP`v!7g0 z)UA2_AttP5-{Y%Ank6rHV~4OmvisPy8DzyLlzoS! zw?@Q+d<>tf=Q~NSr=`_=*3#M2Iap#_hv{jP^3QMLE5`wBWgc}Te~~jbK^^0WnVhs< zD2ZgR!x5#*;?J31X#$WSD*<{RwKx%{BmbrLt~_onztl9>JHq+6KVNT=`tkQ%s5zRP zTlMm0q?V_q9d;R6?Z*lj{+8n^-n5s~{UxMieHxUw^D=wK#Io&0eA~rG+9+*W>XJm- zC{4Pt?(lZ*h*Zlqiug7=fw>SR#2k}lTU>mbvA|r1(u?%OH|o~(d;)1GK~*7vv^+tW zou!zHwPAmkTXlcsm&6_7>^J%XbBRiGj+Sj@@okh{;a1!c+=;ZkaJrn2w7uGNx(@`> zas-QjnTfUGK$n{@`0(Du9qH^hA2~DD+rr}8_PfG+HR*);1dIy=?aVC^UiJNzA&EQS zPoym^+o-uB3_jADWC_|?SbCN8KkV*0BF}zfATW2q88MbjYmz6p`O?xWvA?n`ac4nk zuE?@Ymm{JknU)t$cPJ=OuVFnt*5#JlUs;^EqpdV|4u-iS2$E<`G6flIEo+}ziw$-i zJKjk+p0)cT_Cf))Lw(KGI5N3D$Rax%u}WkqFEIPiwlug*hX1 zlV~Li1nYlwxs~<1B_!@JE6ojAwi(2?z3L9XqfJ*VATXIHXl!qJ`mx{5KXFGWdxuS6 zu05eGxjP(D!5x9c%@CXfo;CkQ67w~yzT?^)m>UT>|+!0WkJF{%_OlTwM3QyOjYj|l{t7h%>v+GDCdxzVy?IfYC z7#?;LNCIB=&V7M7>V!5#dv~~r23>=NWr2reP3HGUmTkP;5o+KIa!`U2 z)|Orh)?PoljOyYNPHHQcwu?^ zzW=Boapz6;j;m#xJy!&RPrygn`ZO+aXH03%q$_+1PIs#w;iGG9dvtyr(2p3|KHKwD zdeL&&%dXuP@_s9QN*Ajjku50Xb#dz3j~x%X9KXXEabrpnQE)jBaygK5IgoxikgkKZ zJ2$)pCdw|EIa%UOXaj%^8kYmlE(gLd?SwDwtiUh=jB8(xt6JM0oQsr1ibk$fe4qPB zt~ms|2yV78D(`GSIq0ix?51-V4(nF)JP&KOz$sVugu-s+8Hr{(j4EvO-wy0-vq8$D z97bg}`fmkxezrl@a~KL~))33glve$=aD>7P&_+~I%@)e#ow4vr+iVwoQ3$ed2!`mC zo8ed4C^dw_#?VGOU_yiPP9>m`LsP^~5JcpsGdQA#>AfhwG204Io5K-K4l!L<9^No7I3e-0oRiUsP zv{6r3^MY1+CmuMjKf6?MK#&7NFlzZ3$M?S%u(DhicQ_Pgm1|@SVl`@mL<024-wO+1 zDBq=u1%liggkfd%zZZt!m4=^Osz5}DhG4}2mZ`iG=pn>FX4^OnVLCOnoDk$vzR@Ir zA{vC*1C>AOGW7OOl669&dg<((>E>O7Y!5eQN@*T^oUd11%~X#mtCelL7QfT=ZW#u)-T zUk$;qlZlph{s9=pE>(b?bOM?D%r1U;r#QT_7QW#KX4XX;Z35p^Y>+1aqwM#BFNfhI z%H{Yu6lSkoBSsV0IW`D00kdq_AdBT@c1g-RnSrt9#_mffY)hj?j4H6RW(XDqqL}@A z0bu~f#(2n1=KQ5u-jqr9^W3@L2v)^Hfwsn?t`LXfvWm4`Mju$6b3pd5}d zzbyoJkjX=^%#a2znGFPg(oyF<8)V-%6Nx;hL>iUT1)cKFm$STgG;6}y&K;94)uZx_ z&1a2Bo}$)*Zk1DV{uVw4qs^wS$3;J zolaPb?#>Upad7H^F8Q$L4R`jN7uh?nMk5TkBa%5Ho(zYnf|FK7>@%N4+C*hxf2I3u zGGs2=Ir|YugaKQ9CZ9yi1fnvtztVZu6Eb&LcFR?Ru0l~Po9~>j=8aYMo0@M?(6)4Q z;Z2m_X7o{R^O2LwT#?e8YDhYFcte-nxRLd^to5n0%NzqZihs}@sJP7eD$Us`&DodT zBGsUC)ultEPq+p5R|fSTwXe55NoW&KU|(NaZ_A8ttBY@IuoPw}Lwmn|504{+Tql;&z3IgszP7NXv>nb{_eq}P zHK2{^o*bG}A=WjAAb5AfFtR>raC6AL{+fY3_pZ=WA=?-$fzSfEu&2LQL~bQB#fAK8 zzeB=V@Hn~f`@m!)n!T>$Pcut!FTr=CaLF41Jx)J*IX<`i@(aEo~B3 zUANx~9A98`4FqFSo1wM7)Q-CE$2mvey1pORb_;{e7vWdsBdmHS@Em_lt5inUP|X*m zio5E5H)>B#8m)R}s@(N5EyMWN)aL7J$(Cbvg|}BGzphM@sChn$;F{Sm{$H&&Zr0EoVhYn}4%kB0T!Q zgK^_R`TN7{=<5htX*SLPCqm{de`5uL!kY$uzY^UgSwFaUExHnyjrQD1NSfJD-1;(T zG^yX{WopJXZs5FJ=WJYk*z7$S=E}zKF1&D{4r!4WsN&e}v=!^LHMBDMN&t^dSk3P4 za?P7`*Y7>wkkU6arHKtVh)sm<+vf6#L7y*^l)TEuJfeS&SRyWYRfzHIZiK0r9g2=j zRF9o}>i#-W)A3_OsEXYD>7m`|(gdXD9?{X%>y^pdC$+w*A?+(KQ1%l}TSZ2b6VA7L z-43%n4_|*_J;+CHif{8&PA++qmC`>wtRHWX=yXO)^d`hFvh);q>6C25Dii)S&SuT3 z3J%l1!w72{N2rW5wr05p2U&Q{n?2`^t4@sqeNh5gSdtw z)~Njg!(Aq@BlCWOAl4}70^^@Cb3*HquH=$>(NeVA^Nmzsy*_@u`ZUO>JT)ZUd?Ynt zz4|=Js4z7oM{_3D3%PkFaW96YRC$+K5ef@Ix*fC9BA6;S)5f`K*Gyd5d^*n9VptXr zU1M2}_Q}%w?V~QXPafo3`^;KNT(I~wS-r#M_c~9759sa4D#AbPn`mf#PkI{bKHQ)= z5J%o0w{G34a6jomhhpe;{jj)MeSN&_I{mRcog^(>FOPw^*nXvG@~)ip_?uoISXdJp z>RopOwhWsV6%RfkG38U-n{S@G(s)*8>mm}w=;h&fwIKd@K?6j&(gr#S~_r`>EW z{lF&k{aIwZX5LoZ`2E_y{Q6Z2!GCwN#JGc^ccn!r74Q_r{2c`vJS zgiOL5;MDrVjD0o=sDo@)mRYlmz9e>wEL6%+^VFga?<~ z%NbvPTuRJ}n6A2Koqzh~$;Djz8DY7#kmh*gmlr-uu`Yg72U*@itwdkhQ+tU;qe;4^ zrTeA$5X%apmI9UE7H;C+P);K^zWK*MSR{RigmyvQ`D4(!ay66qO5UF=i&)8S#Tp52 z-8XN(T$B8Z|F6UlT$mu9G$d5>E+*S21V&m^HWU8MQ@P_S*$i2H_&Xvv<3zzR#s zub!;8IwodTv%R$LHPJAht-`FZ=nC!?uEnziiw%TabxA=*oSpRWW>(E$iJ5i*!Aeu< zq@o9*zGrOOk9G~-{;-Amo- zp3~cu?|8^~=*BOhbu83rc`dQg_G{dAzit_RIAS!11$>eF=w}vT0rZ`??<}966C|>r zKR#s(ItcV<`Cj@t?o+fgBoS4hq!~Iooap@P?oz^U_S5v!yr-<1i2+9Dd3Vj|5Q4{*hjVGN?2@)KzbnNN2bfurK{arwtmf`)>YJuwx|JGaDs_@j9sP7idv{fmFX>X_` zeGw~)IfZ+!cvXH2=YEEa^TyI2IMxOe=9NC2a64v4dvfaMy`&4gBOp+PY+7gtHEc~u zXVkskQ_QBzom`dJu}7@>vuplY|I5_0Pp`fs9u%aM&C_M1SePfe`PElw87UW8R3TV? zL8^+Ge{rh)+@JUOaAM_H7wsvcUxXm(;un4wv+U94bRunI?CZ5`T*7p{2EQ{W!a#YsQ$;Y_&6MfVz zL^Rv6R`8R$IOb2!2ss+OG^>ak)7N3IGWza8P{sTObG+KT$>PdSYUh|UJp*&peQD+z zSEE17G;hbarc}!R1%n3^7!UbKjUA(=XN(=~Uz#1poapJ?sRGFhEr8s!&8WtlbewfP zc{k4Mrl+D4MD_K3fth|>2OZ9KRrE^VB0_t{2stQoDCM zpT&xCm>a1L2lc?xb71R?yEpgLlf+ouMji_VP<@TD1;N{3n1Ao{K`Mi~w3OwML%F*zTD{?2nYwb+$D`hv;n z7~pyJWcN$7GwCSBvys--<}}7$J_Kn*hk##Doq4en-J!lQ4DRXvL9DY@khK?Ld=TRu zi;VbyyvVH+lTUkLoD{c&%X`w{v)KBJKl5-|!81*)cek9am*|)z8b|-QAQnccyjxzb z6$7mLjAoiua&=)SBu4BzF=*7I=a^WGqyT8ST^5o4@2KM$R5N=BY6l_Cfm+fs|dM#(A zTUae?LK|Dv)HJ*C;$rs1( zV-Ewo*gF)hf2Sb7#;dRd4MrYzoOE?M?AA{&Kt&QZlDr>Fm>$c;k_LF)i6y0!T<6*+ zJqh;uW*E+P=Q>P+?T!`qD#h;gPT>z;O!Fu4UUV8{87Fr%;+Z!Dc4^DNH^)m*tgFQh zWISo??O5Vjli96`-Y41(oYgf-9)FmH=Iuas`S2fWU@k(vSSMNw(8V3Uc-El1<kre;8!)c9&Od4`t~Q1b9?pAO zN``syj$AzUA-uOLzsuZ-5DhxzFh2`9&El|eKS1%@{Y>xZ?P?XL0^$I6D$=9aL&V#2nt?86Jc0 zX*1WtJ%GYFDj%fy$?%zGNY`fzvWo0AGuVGWyG{^0eI*QM*GNS(Hiq=z2i z&2r(f0xbO92?fnqxZ;SWM#glc14PO9D$()Igp6ivSwAMnNx}0P!H>qPRHt(_fuC72 zOEEbGD2plqL-D(&jm`dE6@BsZ1@!BjIU4E=BpAIrQ?~_K5wzOx#3`YWO-RlsCK}Ak zyHiHM|9o6eu3V_rdmJ9z?-j8C3OW*pQg?8Dh^O87PL|+!Gn=zRUwcGTJNpwIy{VLR zy|y4Lt#*+35G6$Cy{0-2v0g!x{R7~-O>@-XN| zQ*i6Xkq|J&kgZ#@h|qW6E`ls!?$eZDZcSMx#nKD;imPZXp*iVI`-JQ70pA|@i+4kp zmd_m^N&Z)fDtBIE7eF*JAdSfW#7b{^^+Ek>pjGZCUI>Ng1Zn=HBi5^puzv(rVCsg9 z{;cs6p9G^$H${>Z8~(dF?JETDRWs*H0(>5yHl!+W?f{chrzA!2u<`jzuNsiWRYFfu zE@;7xoKF-qm`1WGJCJJU_u{GAkZO<~soAk7Ad9*q1KJsgXJZxGbmJGVc9pz^M-Tn`Hv(k) z7O^LOK9txOhiA3&%m;6g5M5x=d6K<$NDuU?>E1W?1lp&FX@ z4ExMtHD}iAHYD=#3W1&;!hh>F2r651S_lL$xta4LE`BE96{Aya zIyP-IZa(ShkDp(^HuBb2sVUImU0vXzx4dR-pDbpHDbTNQ25Lx*zn)#aMF3py>pNiO z!b4p6=th6@cvEeY{!gFo8dcg1-EBOGz;h8;4p9K~{ zN`uku_Yuu>jKVH;OPjNjlmvquz)I51W#q^5p^!A zHC{bv!@>Orrl}x2@*WyPp?-qvIlnAHzwSxjMI~=HrZD+A^%Bok%o__PN(Kzp9 zCFT2EEZOZCdtDAUp%g!Mpyq;|hy7CRN%cC(NDak7>92Jk(U}s>(oZcrPO@uXc>mmh z&ijx%mQ`%~h!X8)&4)zxxnAd8IlWhg5R}AgAE|MbObvBYD$Q)!2^Zcz7ee`+Js(VJ zc>UQghf&z`!B)-yzM68{GL5&|`GWHt#1C=R>|~~__G`2 z^FCCLWx~5YqNfO*T^WL9+O37NiR{^QQ0I8H>5(JpapB94y$~PBc+Vd@-bEPfBu;EC zHNC6LJ{%xB*#iatSn`tx(atq`Q{Kfhr*G$e<}w7cyj+vgwb0$?e40(lrj3)6Co92c ztRTyu?r3qk(UM@O4}3(QBlP)EI6Jyf2nn082KPf;{Pn_mFd?a8&+?Ts?F7FT;PZFv zEM^_SB;TSqptULE28_7-#`KT_WD;~l#Jy=?e+bg|&PT)R!Df2%Z3YtEl1Tz) zs)y4#INOJyfTDf4=8YcFA6+5Aa9oqT{SE=Pq*OK6hiQe%>5&e5EQ~HV7N!zRG)lBFG;1eHx(k2mlOPE6 z8ZV{D@MS_HN*4#gs=8-QNYh3X>8aD7vuv>8el}=u9?_9t9^y*g_D0;J1=h5Ie!p5c zkMN20)cpWtLkt#DKf%v_<_eM8_CViZ1*nRQd_QPpU=3u-|7w^vR(J@KD}5oAJuMGT zBq}U?ra3}JemQR>PWL6xOPNAf?37~K3;HQeKdjK*_W16Kq2S&I8;m1Ihk7xtX2Ra zN~x*A0epVK(aN$xs5=7FLO5Zk$>GKwe$De54dx@kg(GOrO+cv2fq4YZSoiHIz`4l6 ze{N(b|A3a&-B$GlM{$?`1=~M*4AqUq^xNQA- zRjK=YrU1&*`Vz|XP3wye<7<~{T>q%?=BjTSf28U#hG3mTV96IS<}K7v2h;_?Kl?{b zw^b~Kcz|j2`sJLWNr=m}8moE?7cY@akq-ElB0=eq&P)N$)dIofNFT5l)-kPHNRgha z2(s!oew;K`+{qG8I19IoyuOjp^bYUIkuW$udGHRhI6R^cxBxE}KdQj;V#)(8?LT)V zdnTKoLGl1meZOswWjM|wEx%OAimh)vZ1iI|MS$S&`$^T;eMVgzgrMIYO!45AH)2sM z04g#5(ZO#nJm={vL>}8)fa(wefmWcOS_>zd2%m?hIUTSs0h;Nt1?Ou1SE}BZkXQod zceTFG7iEn2PzOqg&hILb+xFIH&JJ4Q?TrZOJhK-golJD6o-dV35$}Lz2#PLE)eZ%# z=cK_X5L_5z6H7BS`E=(~g>VoK52~pl3h)6cOith|u2<$pm5=5-DbzpV`sZMsU;H;qUDv-V(e%A}igXP{13u~SL{PEb~a z$;nre5(b2Z?o=M|x>XX-YHq04ZB;2!KGPVI^A}*owddL5iqVbL)PMh(FbL?KV{#g#n}lcR{I8leGTlC9$CAIB2gF*O zG`N>*WC3gRH|sSG^edZz693~B7Ayl}g;r|fyY%V~mS$lYBgYXqrpPXV?qFFK%Q|hY z1|Nh36O4b61GWJo;=On#C8VAx$CUUoTGk*45rN__v>|PmK3!m`*bc_3R=VkM=2_PD zOQ=gK#3P90<>Sk+616L=>0L`11$&pkBK5=5KLY11dE`6Hn13uk2c&f>9 zbU(#!XEKiGB04SN?aY<1 zm)jSB?DmO`2&iRDFF8OKK`V0FzK{a*VL78xll0|$;A&(3h46q!gX4%b#cvPXcSMga zGB`U%pO2L3Wlwuym98P2zH`e8j_|${r{;j30vZ{J_3Ii|DmudJCr+LFmw+13+rFEh zo)~kYntZOWSIJ~dS2#em@T!9U+UD33fJ1|faP!dwV{&S0P$ zrVaE53VkHaVP7SiMQX2w>#@kZBMHe?AUq)-DU)&hxQ{?>^g56f<1$m-Ap6u}!AaL# z4?Wf7|dv{9N7e7qfZp!5i` zDJ@(*_%Y`ZQvb9ZgKU+;T}Eq$`eRH8Jc~L@F`;UhkXC{p7L*8a8qCAsE4K-i-rRH% zr6@Ce07Fz=1t$xT5&a{3`(nA0g;7fDaXae=KT6Za*}K=AcsN?6MpmANLkY|{E5Tv^ zAL^OG{tB3&8r%xN_WcQemV5#_IRf|pp`3d3z<<2#hlGz(L#m!+G{zWRtx0p0wxhx& z`-CIKN!YBKV}{7OOQ^gz0lF4&`ms914>Mp*BYRWEkK1!+Snq^^&s6H>RneRAes|ZM z=;Z#cd3`>X0L=q<1?7-^JhDRHmG`sHUHW=wAIUM2gK`Iz%sv+9zmPSCjTD^p$~ z1mREkC+N9p4h7$j9r}V{# z20dvFKvqHHw7-;3n&t=y-I7*qlpE=srM~7w$kEC>vJ&3SHnSmU5E>h{9Z0dm_pyZV zJvP2%#4e)tW%}eXB9hyL^rmnKb`2=#y3-`vAJU63)}t0qHz={*A8Eup65Q-%yho`O zqj?(JH2)?LM0G3vF6B{#+Ce@|aU-O}2;2sz+jkV>tw9Qag7fkU>HEp~` zbIs{42Oi(ZO07yY`rTP8KfN0{c-at$^{NB{yrQVKdt4GQ?*K^y_r^xVCG0yatP6kz zU9{j5Ru8~dk7lZ?gWHf*CbzFG8%~z?4E-ek4RCDYOIH6F|oM{n0 zQ!`@hLYryUomMRy@)*QO3G^y2@f@&tBA?XNnNs|y!7cgzWwu{n5CD++Nm2mPls8QK zGS2y?IeS6`l&wf&-P2Cf#^+!`P>xpYg1WgiD&A2c2oFjhgXLUP@&(JW-pfO&sdE|b z1c9RvIC)bYVu~41OlHnA?sx^NitAFOg%xE&@UqJem3Z)q!7B(;!k>(vppB-8oH(I& zzz^Ty@Th~${7&POU#jYFdR-0<3e~3Mf8t4a8PBY411>y!&lWCgpT}X7-nN*9B8iPwiguT8AjRjAW_;X^&ICTew&T_wpL9SFu`i=7lF+P^}(Xa)&L+0PuaAEHdE52c6f{1JF zdI8e!BD1mxL12qIg4GEP*|^XwMPKezigk`!fhGpM=48q&%Yj9-9`cOq1&vdk@MlvP zG{ZD!;DHH;hh;)pIu1e^OE$5}hW}F5<-#)otIW9hX^xsx8l?AC4+k_WKyw?Mri38w zzZJ+p+>PGqWKSD_778p0|7Gb4!P9Q$JYpcmx<>N)AJ>lm;hX=drv~%@d!@zdf%^ZK z;&mgdt0boof=gqwe~jS;mC@?=Qv9Zx-pO*{F8`DZRmTjhB>gO>o^DJBXE~5@{7cpV zh2#ZkZVUh8vBgTsqzgWF%u(XVnXSM5{B0Uy}!PlMoTu2nbxts9Dq( zE}Yjg^dy(L$hTH1b!cX2jU~SRpoMY^Y?QQ{df+@05MGDh>zKx}O&|bo7T{zfnR>B| z89EQ~Lclvdife0tAT9=qPp)s2Fke3?9SLZ}Pm1O7!nEhSjCvKsJqgk;#E1z2uUD>) zP}~e7Mc6KqtOdN)gNEykOPdm@D3ZjPMcu4uyn~5+?(nXa9rr6Z$+hSYpt|8F8ZDe0 zSMho57%>sRp4CiEF~LvcAEg1HM0XIc!-2k~Dn-j@`i96CkX#XNBF`Ur(3=k%XDP0& zfP*!`Uwi=ySq;=w2T8J(Hl(B$ja&s3F50{+fGwE%Zd zyEy`0mz7~(L29Vukzlq18UaHK&udQ0gW%n0=0xM;^N2BG07bJJGE;L-@S}5h7s!r# zfc1&uU{H(WC5@`oJwDT!>%KU^r~Dh9HenF{rTW`gT8U62ti`@k6**F^rkgfj*wH~Q+j^{3@cArk#>9GX&3E;V&Qz=sJB1T{Ec5@Ty;nh?+< z6;m~eKtHw_s30B=sN{!Az<*0jj=loX82v#hSipUdlxK};RG|o}uhx~yKgo&p+@z<$ z`?8*AO$^#@ewh#GvHn&7Dy!r#9=aV6U^xT*0=QP%O*cT&tX`X`86@}tItdo43dlB~ zlN`+y-x1y*+`y*qZb<;Eu&-Y3Up%!j# zVrFlfHLnv;khuF_zr&KEax{7Ci!SC@g|Odi(i4Rf8vCDbns>_Q5Cy`^wzKQA>m*|q zJvFI^%?EFme3pnX;wz_Z$Em{0HuJ0VtB|pTq97v!)05h@%C*-cY0hVBUp@UdXEs+h z^)Yq*-pMDbBN9szi#`-zB|TCYqJ)=oXRT+gNyZ}5jM8$MSq8CoK^tGQmSRcV{Lh(uW{aJilUZZj!f!0DI@yYYn$eoI#_5=St=AZ zW5#cNtza)!n_Rv@wWe-sTEd7C*IG9(AvHbmd}IHnL0GTWXXl{xQPV-lEJ0`k?wDq4 z!@YBVVZG-XA+oGJK^xty!ynvke(gm*G``mJq9Z;wLRrXvgEw0&yLQqvcTf2qx3jqI z1?)D5QP(XVqZ%i~TK{XaN40AMK`~ufgzjuY=r?(sqm8pkodrE~85R)2PU$YIva$Q8m_C z$VtV!U3JnQ=^Pi%?r2F$ zLrNvxyO<5QnK>R>my$}mGOw9`WD~tD9q5la`_$}8>GKDlTPJrUi)qk5)SEjp{~_5| zVQoXrH@9um^Ny5STQFy3vBes2dyGc6(mn3%CKtKvFm5Br*cf+VF>WE-skcv6W`k|)nvyY#T zpQlswjPk30o@$XOfM`7 zIm#d|{TvFoNIS+eM-3j+w)sL6JK;-%D)D#XW*YILv7A z8jVWJo@IMiJ@iKpE2m-8_Q$Vx4o6~_%^ooo0YlPs>?%)Ef}HpowcOg*K#%#0=*Fte(xmmL ztG!A3vN2DF_wxj=WWL%Z&DwUAs<>EXr~6u_wz5YD$L;mU=jC8SYvFF;!_3!_t4|A! zpiI5kRjls1YaIoqTwZ005$`P>Q2D1{q!poE$=2`OzFX(KCvQbLA)ghBUE%OX*VY96 zS}>T&OnC%8fFJ}njXMfoSavw{+N$i&=klh1bieerE-u-%;w?#)iXzcCM6S9f4 zQf|*`YD`}B-vaE+wEePgDF?>u!o*UkgeUb*iGU*Ty zJF0k7W$pKpdA`8+`D9Ne8az3)^Lc)U%Pb)3$)t~Wx4fdd%G#^%!XFsE&+Bn1Q7;qk zd>($Lx2&k9vR2hRJdyL3J3xHA_K8bzcTPQm8_S0GS+r8}{!O*>c`G4jW*J=X#*0_i zgD6rTdOL02HsXEt(@SmMhOYY7_2$_Ccd?1;FtfllM9%omi!$)>FuT)bDex(piNX34 z?2er`+A7*Bsb1}H#?!f`w_Z(Y#1md4^p=ceWfvY`6%Z?rQ~40QwN`4cRCD-kZL|ep zvnN!)9Akm`gV%mZ5ewM??=S^lI^aVKKYvpgYq>ie=O&TDliq@2a!&}IGS z^p%Fz>7*wC%hZE|)9E`+rSi%%!QSh~hTf0to}5k;J>Yp{_m^r#x_XcbC%)to-RJ)*Ebq-4w-=6<~2Yr^Nra^*KJ;HFg{54UL9 zAI`Sqk)141Lo_0?SK$VsI6ZFvS>EE5$TjY&hjhy8WTA?`_tc=E$jF`_q>=fdT*{ss zr=)f?6NjYU98|~2t#(u=!EyZ@oQ6KyFZni4y; z^<{`z!>S!IJya6Yw)OaTq(%UB^vU4RdQ5E$(Jp{_^Zd~sf!7#Cb6 z`q(va?s1;{jfR1Z-S*iasiTNld8+1%m=vlRdCu|E+2*8;6zyG7t?xWvgKo*=Y^CNF z=xbVchvI0pLguKGQP)3hY8UGZEGAnkUc&eOCo2$;NNgi8n5e@_Qm1Lcj`e4pY%Xw4 zv6P}%gJ$l#YprsU?5!7jYffmjA1l@2f4?r6J>gP;wy}h3W7xJ)!CuSm3Trp(qkwK> zj$t>d?V7G@tbYB3F^XgBCu?zvQxn%RC*_WhM_PqgSKB(blJ|;<(`-OW=;M{LijDg2 zF!=#lny|gk$a%|TqSN^So|+KO@7+FGP`3)$hV!58x(~ZFLOJccLy9&Hc)IPBPYMZF zZ?4m$z~Yqo)9h5`^Z5BFO@=z%r+;tEe!5sgxH=q0r3>B+&E8n=c)^gbs^=kBF?uU- zTDQS>&W2$&NM15@E5%al>e>8mLt+pG(#L&k&ODU9;DGRG14w;zXS zbQ{C)c*1o`X(x$ry$fZ&mZBcdcjYRg&LUkMs$v=*(Tzkr8b5LM)(6)oUze~&8cH&a z%iH&d0Z-WSHZ|dTGPk`~2=oOViR02B{8zuO&uk#P&thV~^p7CL`44PDE;nJ`&OI4$ z=aERy@{D;Gy@*vCX`YN)CJdQN6KqSv`?2Xq3+Q9zkFYngo+GEuYN2`X8I)(`+or+4 zjw3Ub`AvgmH|l#liq|V$`kNf(zVD5>JFmZ?-f`Q_P`K##*0%qnR8c4A=QY2D{#rL0 z>pcb9fiYRKvcv54g}Q|{c%GG$*SK}@x*tn_BSQT9UM69ld*QrS_YEi20kg~kC!ae3w9%QDmy%EZ{oySsUxxcR- zm@5_%I=d9Tp=@jHdVl!#W7swJ&yVo@(4%hVQkyD2`bpXZRom_5cd&-mEo9#4U*$)3 zp2>3wp0}CDxHL|6Z#<|B{*kxmXm#QHz8Cj;F65c!InWn6asqjByYgJNePCgQn{4wE z4W>n)kfqufr*}$N$zIPk-z+m9a~awI<@wQ@OGF+`*DY?z*C|h$@L1h^DR!8m2c4ue zIBp6C9n_Y&iF&2l_9e+!{gQV(?fQ@JTIswhyJb&(;Sa4l_YtjCj5$X5v%E)l?{AadS9I7$_Xm))h{~a-VR34 z=j#2V=uHv*M+;ec-edwz)f& z9fB`Oaf*xQ@6X-&BKjoI$f|!tHbwiAzLD*>4t*07t3Bn*y^1y?ydPY+hXrj18j6$j zZ(z|o1Cf6^hSSY<;)iR~$NnzdPn&}>`g++rD=pebV6>u4gO6-Sm#PNby5d8p#cetb1ln%<8{QAb^9F!kOLWy7R9pPhh%QCtN6$eUE{_*ZZsL@U=F#X^W zwf!D(qSU#&dtoBt!ijsQs1+*|RuTv=M~jINH{H5j8hr^Y{331^lAvF|n^v<432|3&|o%tIBpJ_~6 z2#vLRv^|`k?<*pRPnE~C@%QsFn5E%=gVAu+-=PtU>iY%X*)bmi72o5b@I?WlSef`> z*<7Y%6Qhmn2Ye&1FJc}BI$!S4+Jpysy;_W&z8~nNPS1_)-Q2!ffuzmlk^NI}q^W$@ zp~CG_Zox)ewd|jAGK17cH1-^emgT8EcT_IHM2`n>J41$Eq<>Hwlux( zD!VL@*DTruj{8OhW|ejLK`dVn3Ti}i>$3$WF?(}M3FMo*HqndMkz3l{PRg;*1tted zHf1!U1FgzC{n%b^-SPHTPX8(}xv;$9-$lHMuuEB#DO^mO-VM}qihX?O-7?H>)ZuK; zkUL?m&|Ia^;>QsjGI6nUj*SFH<2k8yKJiRt1yLs}* z#*&Iovw7uU!5i$^!^=0b_!>wTY4R1u6Vhk1Utq6QSFX0Z`wY;RcZW4_2SuRV4aX4Y z%J1a1K6y1S+oMFD0`0PFe)=ig;k?3Yo249!&7Q692`29!7Yz*hbJ=lgSH!kJIRV=@ zEBmFMynl+m;a(7X#hB8wQISC>StzjdkE*(KAeDq!)6I~61ZTNLQ>z>EX67%Rz^ymV z!ITnKP3j(kGp+9F>*&n84TuBU{4wS5bO}3tnmYYC*}fX{e(0)g`w^wL-o%*R?8Cm% zxPE+WpxBm*>DIGRsZ)V%OITO01utFJg#KnGGb_rOrQEeOT)tV%vuN`Z^VN=8oW#aB z^9|GjYq@8u#-rEGZM{~!^jVA2fk~9tOr?`XKmXvD8Fkr|VtLfze5lkgLc(2g(B*Ek zL7%zFv*F7^(E%+S2{kN4la^R?yW0lR*~*?oq&FJy$!#^yR!jJs_p8_*w#5#uoQ9`2 z8}Q4WLJnM4tISi*qllteW_>njO(WHlHq5or4mMY}A1HIbK#TKQq{Js*M4)KmvQbnFpi!{$kW#v^}LptTCJ4Wr`+7+Wa zC}ua!7)qEfX>@ekd!no$?ZgW1So4}xa{*;$qlzbdwNLj0QL%8Uo-3&C%OjGPYv{Ps zsnMBywb~<2mG0^SD0gjffsqge_m zMFneTS8&&u@1+I`C?kf^fB6>AZEj95FZ22)qq*D7$)5W~Z`|;LQm}Liv2iKClFeD3 z`z7uKcGbmYyGM2VyP%S_xo?J82XrdN|D;EC%Z=Q*T+XZ9RPR)M>kr+WQ-jcm?&TB* zm4ON`{vhhvifN7#p%-NIJnNwq$^1c#%aSQ@#-0-Ll*1Eq-RH`Q`#7FBI8)EOc?yIo z(YDq4O-j{;fA*6I>R4+rKvin1w&I!Xb8i2kRNu3|VQO`mhY!J^={R-!51VPg`DO7J zGjw&-1r>k!59lfmuQM-Sf1zJdM{gec{OH+G4r>aQwWm97>RG&b`ty)vY-3AZ;?IC6 zudWBE0qyfQSG?}EDkd?~p}fdOT;IRt^@kNYRBKYuIm$mE9f3UGKXrg>p}ho1sPnC) zC`;rG&(YTl`Js~qNEl19BH*0D#pF|ILFWC>FC^kTEuO-;gnyV%v7nZ<)wF(hU2DT9 zGhXOe>vKeHY4f$>N9=R7C_oJh7f`#}eCjI;)=_XC;RohZT&P2B2aVsm))819;i$N& zJn+V;n-s#}chSh#&%a0W`^SoRPn;9(h?{zMB~XGlnzJN0YVU#}j=t{B-A%+zx{vk# zveOLYZRk4PKz&|qQuRGowrOVaHv0PeqqB|Syq8P^DAuWopVQZw^lt9nOr`5s!zKl$ z@Wx##$%@`1k0_?Evyz|njNo;kueYiB(HOyNiCSZs7QIRSPV0BfR7?$J#F3C=zzR)+ z3tb0!iB-%VRm2-U|G~Ak2~xF0`g&K<&1tn%P6znt2DoPP`A@8MPLQejCZ>P*Lo7p# zom$RJpZY^A0x@-rT3BtWYNT4XKfy-tu|@pkZgWR_2A1$?m+>R`YUYn)cx#ifX&r~u zYQ8-AcWa5f6^P?0Eo^$(FD?bn@M%w?>)sHqM?Y-(5f|$>Ml@1Czrg-NWuZCNVZ`xD zrlSmmD-4m+tn19;Pdgn!?yE;~>14mV71rTRU?-JVL> z6Nt$6LzRh4r;z)u(K~9<{t%1j4Z2XV{Fi?^o&1Fhx(*#^$FDuD_WKKWhZTd`A4bRY zU8V*Y{pCRixaa1{t<;9tq0Uey@B73(FXL>c1OoH;wR1c1f967;@dmNvF9$Pvn-KR5 zLvc1goC2GHts74@X1dGAI9D~|VQ&FzS zc~H{5H+ZR_+=2l%1&em#daf)YV&Uz$b`l}XMe>Ni${1>#ATPN(eGILa2QwXU&n-?( z##YRE@Qwxd8{wWrPy{c38@er_pD2QNj4qZ%)kA=e_`W^0G&<=f-L2^BljQc&;k??c z9S&Mj7$)+FN9Y(HoGCB)I}O$t#_oj+YN@9;Ig?*?$Pua05uqF|V%W$d-tbcC>o`@0 z^TzSbUH@5_Q?be4(PnK3 zVzpHAio#oHE;TxEr&xN<=%GVL9DiX`*W1KHA4r$niz8PrM(}>+rwT$ky^P@1rlN~g zm94M=cujK+UJo+>VrnxemXi}BkLaND(SG%8l`?`?vWuPF;Sj)4^fB5y=y(8Up^Md_ zhR``cOh?Du!wv9~zpx_LDAL4z2U0>@uc%DxE~#3obr-viLvSRowwD0a$4rNpK;opE z(W`Djkg28KaGy zr#BegQ&#CBco)gtwPRN4K>rsh93G9(XYmuO4e-s;l}cl@$Ro0yQ2FdDHCX3% zIt3}zQkCOwd|yskWsKkrVs!s6_Do=M_$^PDB?1wv{kc9z_xL)-49t=bDxddEfJgDo z8hCsHQOV*o+GlZIAgVq(ChknIqlxlBSBEpV0|~x zDoCT2dLizHaZcVO1NQ0JN_3=8K|}6pdTRunCHO2XWs7NAwW;8rcOWY zI1|->IRf0Zd~l(Zm^5H@sEC=tnF5a}E!LQsnEork%ItF^j0}?jtf%p^*Sh5x)aZUT z&$e$NcIzSZ*eyC@Np;S`@(v8pcd)vDPWBW6P6};SJHZtPz}iDUXXsUeroIhC$&u^a z69!7IFR!khpQxJB>L>C6l^o*7*cHO9dz0qj|K^I3o$tW{A8QtYWT9|p&>0O){C zlxwOJ&YB>)b}XgNXAqYyI zDA$(^xDbLU+EJ~k=g5%^TD*=fypN{V0!WB_ShxtyMiA5EhDCTBtq6ASib6BA7(v;* z;rbe}n^K`b7>qv9t@&OJJ@ZrqwKa8TB3k7LC;X`sv_~x7o9L^M)K9R4-?UEnMd>jC z5~QFS@u$M9f}%;tW>Zp{+7^Jr`gntNZ}`Ix@YC#+?Gpu8!dw|ErgsY-~|ojKAf2k`*y4}p8@M-lx5ZT`*d zg#+q|Fwj@^NZF}KPZ&_ns=e7gAj$$~WvY7gjpHpARU$f&A=iiu-9L$^&3_1X>boiSx!~E*?Fy?*m zIvQQ5>DKt4%(9r$eJgxxzNNdnd$Cy&QWpP0;}|CR54X7CBmiRwA-pJ*Rdx0&e$0c{ z%fGNw?0r56#&Ko|>z(bs6DCLS*yq#>@7589asP99|D)MZWX>fj1-u4ler`Ak!UWDN zCvqg*@=RM!Z6Ij(;^_+*x6&^XSD5HOBaiTYxxD~P;@>Ep^Q8RRQNzY2cpc0b{%CHx zI;#}}7@?HGQy~%_-LyY`?Pome|IKO{hk2bPa-<@>QH-qO;UZM83b|0IeiB(;j6KT) zmfD_Dc69WQQOocLy=f3k{a>(F#*kMg;Hw~bz$*{pkL7VaP?#gsyfyf~rJ(dn*sFi+ zFNOExpps{KLTB`+>NGI?pRJCwEbQ)tYuRFtvL0wafT5uEIvES zBJcTyls31&U;ohyefS|zF&@_Rk3L@E=uzJw%UKpMX%g<|*iC`1Gj@HwR;`CSN7;ZI z0`!!=`_D7-r2iFpn?hO9{fAc48NJ=Bh?F7rgCIik^II$n8wD~j?|)f*VGMjf(viY> zM&En`Qnu`+$^2XU59tk>=n=N|FApWdANQ^NU{C(}>4JF~v;2GTKOodMtK}Vb@;{`} z4|;2NSj0bMzwrLmveG<_f87b^476B21VxGJ!92Uhpa#0PBdUr)fejyzWyX6 z$&>_x~iZ6pA|A4aM7AqwhFw0-0$I$WTv#b>&{Pw#=VdekOKNdKhK>B`~HlXo#C2%aFQfj;j23tmV%>+^1A&x!+k5)`#tH0 z+ZHljBPv`yM>FKgUb!yo$*oQv7d9UD`IEb4Cbyao3xA&cNg|OYUXfYoz5+cM7HFE> zzV|cBHaR-8(SJ6YIZR17CZ2O3mDZ`7D#lky=vD(@EYn^l8R|jX01qtVn z&z<+Ksdn5GKPb(xtjo$UbJU#W4{tBrT|sx5W4J-|4J9Zd8`P<-hB%A_Yv zDH`IC{P9K?r`2YVpY;dkg?$}JKI3*8O(uhgyi|gy-NV-puJpxQ`1Rkh@P6~M#9z9| z*uwj@X{qyTlg5^8%N;U5&FVV~eQpw2m7lCKDl5IE7EL4^2FjbhTN@mU`Z**y_1%_j zlJ0dEmtq+`>ajLlzE$}SBbh#8>n7eCpV8~5CvWy$_(2)U4`X4msOx7k1t~7z>)iWg zci7*U?5s(Sj(9tkl{8OV&%CX*n6fXZ&3AD3b87EjDAZi-?@!{Et&tg!u8|A-HaYS> ztF{q*yHWC_t+Vc0g0tsvh@Z=_=R%n?QU6rGt>m5zkK3B(NsPs)rGOd0x#O4p=0tc3asM<9*9$Wm`f+Uk>O4==xnpwIn-3(qkL{RN(oWJ>o?MRKMAJ1<%ZKHJKrvVL)XVanE~ zFSs#RE;xAa`r#9p_w@Sk@XGpD#KBu{JHNNCNBf12wch9- zu1n=nvpT8rpu0mPA4eSc{!rb*z7<|rRwL_^k92wCO$K>1aY%KCz<$ziwGRy)-SBTX zaQ)5gm0dAH6Fgcs%K&*^KMLjGk?e6!#JL*@2;tfU_Qv=N3Mbwb(2P44xJ>kY%^TZ( zb0EjLm6p`S?UaymJn)(|041id#$n}lR2eU| zMW<6D8XJ|C*i2DEBFZ&$p@dpVWY%5*{b@52t%#P2UqVB5=s)q{=qG63cqa5;^t1Sv z_SE)Fmy{f+9nu(R+u2)1uDnEdp+({c9dzw2daTXGQI?-Bp@dOxz6>Zf)RRA&=6>dg zKcVK7=KVi=(XY|$(Sj|Ot!^xMYIo`qlSh;&YLs67goCGpLNra=cq^Xi3CRezsl3MM z2O^YOOc``n%ltUe%s$6HNs2rCS1Ws=BYFTW$d=bq*TP<;*xC-Q?WO)j~v#uH*$#lCf{Dz8dE3{p&Ltm zkql~aZi$KYw3l{hZ>w>rv=?Z1v9APQIrI*#fc`pLgRVv2zfXo!#^K`XulcEZ+}mdK zIPVeSFTe5#_xU#^P94|rTMQ?OzSzmqmK?1su1bpF^f27SqURlq67tBbh_ei>gBOT# z+jHa62@bgIiYzmv?1~KT>jz}JUaZ*F4=RDAD{ll2b}v|VW%ASCu=4O6Bh$Su5!}H;xVJT>Qu&G;4vwbmRVA9Gn0MI&9aawM>BAX;94nuE74y7f zZ7lQ_x46)vU(&-%!);7dpO!|KUMsIW*2BsEf@5Y#>g$e{(De?Zuk$GD$uP*HVRW#= zXnHdlh6Z7CAmOmr>UFi562pzDix}vjMrVoqzSqEmaEh)#liAl8|2{uK4%OGT?Vxqh z6C&A^!E^}sXcl|g*0o~vc?=sOxbq&a9bL`T6svN<%BbRs2jxoHGpv0|+ZyUK>n`g` z?lbT{`1ZB3V)s*G51ZRIhe8f}rpo7nDKJfQ2;4CGP-JNwMpWPYuI#uHO`S&Co*U_j z0`J0BUJ2K!+m&eHM!k23PWe5IK6Kklw91)T5(TsE8vLTdnc@V}nxZ@-Rjg|zJd{?x zei5@*vbPf9p`5rF-0usDq>(6?Z&?+`jqA05O6=F$Y`^?v-sSeN z8sWy-;zmSF><{ggkc{Dtv=g=uFL}UL(nLZXcv=vqU&EBn1(!bCorp!7m3YL45(gK2 z(LhI{M@x>#4_S9j(?Zeu_THvgi#=hF0FxYeUMs>RhxCwhR}Xt0En)9%hE+MavNDCN z>u!!%{a8n|E9(JyWBbwG_Uk6YmSL2^&B&2IO1LJsajltl)#Ad?Z6Xh-`lUUrO%)|a zz00t2vwFfF)=pzuPrOUHQsBnZ78V_9=o#Ci!-4A_yP}(46&-52YW5~FG*g9~xuxkp zFS3MI)j{Xo_w(rTPZ=tGshnF6<@W9>UOW`cI=o^-4nEsJE?73_{GoVOW2RnfQ|UCF zDeJDrjxU-Yyaka;9`+r!FEP{J>0q!X-+LUVfbw9t0$ zoFt+&eppCG!S!fxoW0S0KQ9T}68vpHkJ`=peEX@#yRJ*DCoc1{wo$VX#(;VtLgvKf zrEG8O1`NtVZi-T!R$kd z`af2Z0@D+?c*N!&t_~gsg@csUxOTljX*Au+$Z0(gdtxM* zfRNiUBACj%x%EMdZI1cC2mzsHD1eP-XAp#zD_5O<*>&ns^tZenaD6z@AV9nfr~txi zM}ZO)usUu}3@TM&uOjq1IFb^yZWVj(Y}Q;NZ2*4P6-@&0)6@bW^cKfsXF?)1v#elr zD8E7s5^s)4Z`pt;q4~JMXM3NnJdFtk6UH^UaglWtOXFrt$S0p#!3sp<`u6Aoh}O;l zkM?O?L2IUiw3I&4H>Gu*uEc2`65OVKvw>+#cC%&%ZWT#4z{j5FaSaDj0JnLLy{OIO zF`uOJh*=2X=+tlxtdfgKw1nWbo0Qj8Yryx)zUK!>qpZiKV7iq)^iY8`i{zIm=eKaK zx@Djz2xo>PG2q9hs~Q7Fh?k|;Pq>vtYX|}S{1k>kxSW?tg!w+GC9-dr8R%_14+aH( zn+;&zSbm1lw4bg>)+#wXu1CAV0eg!3m$7t^e}yg!NCc`cUZNvty`NA5#)Pathrmt$ z(O+3G5|Rt$0$f-6-9X*JzS0B#5o~UVYru9lE9vPhwEN0A0I&qRNlz0vKRM43kWVTJ z6OlX8zzu*da*Nk=!4^nd5F#KETapAD_DCE=9rT0-M!{;>&0c^J9K*I$2*%NO^uUn? z^P`X87{M$AR#tsOCo%AlaI2sJDc!Z)Pym%Te*OSjwEK;jFcmgmKM4c3^qQX@dcf_| z>t3L2{Pc^khsz%Y!GO)I`sY^RCanIG2`3 zjn-s>MZN+rwFL`pH^y||DzkF3%*%!nIA&S05W;qiBoHEekPVjVXY=&-I_3m^HPuZF zU5Bfso?i$BX>lxgWLAz&PZ#n4oBPDiHc&}nzbvS78XFTV(GO*~t$S1wx*R<2L1^e2 zCJiKGGx|Cx8#J`h^AOO~H;FC6!3N!{#;UfRt{i(-rvPRCDh;Si9`h?$8kH`!HruR9{+$SzPUPT$Pr(;?Zt_xr}-Gzetm5&Bh zr){NaPb*WZNBcm{FIQ!^HeiRh{+XuEO#1&!mH$ll$j-cG)CgXK@F9X%0+$TIOa08$ zG^r+?!scj)e@h7Fd1k7wE+8Zj;QyTf-%UPC3i|L*i8xcbbIESK;oHUjvlX0~?AG5C zIBFDK7bH1WvT~RS4XI~v@dFL1SDc~-TuM2nEjPASCvwg*@Dd^f>E`ZTt&^(y2sq_F^FdIBSE4qiF?5_xDx*W_$*qc4VawSug2S?(ry-R~o|Cl=r zA41q@dH%T%eAUEMSu~t#0;f@J;u-i6LZ zC++;Y&z0aG^?WCbI(t9vQEtKljP*n0J5H^~xc9yOJG9BjH3I32&M@R%+=Q8xEv5k$ zla-zZfHccr3}b~(7De_#z?QDnX}po84vb5kDs*#@c*!W}-Xd}bPd%^fV-oBm2jZ4Y zCsYbQpsioA@(o!3j+;(zFKv#06k3n6%OQ9+t(VZvK zFpb^pl8Hkjz{$Qn4W`NZSk(e}L$Y{=*jcE|A#V(fWS1f%K^*r<7jXRf=^kc-Bf#1# zZxB$qPsjQRgSsl42*B!3vYMDb9L5oJ~B+8gU1|~CvsdAs#;{;L0w~xV%q;@w{ zp;`UJYg9b17F1Q{r0T~9NMeti2-)>^FG1=}h0CuXB@oW$j|4aX%KT0OKmd5}Vm9SL zWtn?(9T@HWW&sDsXd{oGAn+~^>&r;}oO`?mR#cgObAm&*WLZFS9)WSaJBSs8@ji_q zc&*zJrZenV9{|1Vp_6-Yr?uyg*TLE4_4t%M=lPNIK6Uh;PPg-<9ZJN}Hp#SoSbBpf4BuQjNFooHZ08!&!SwCL{qaGqN0^Zet4+D|9j_*?-nnWL|kdk$K|@#O%dmCSo)su{m-S_`lQCg=Df4)R(u$f@7YP#HQ=#_k0 z=qK-I19U2ed+eb9Wn3SFsZae%H1W2eBRc}{a==#iylxaA+qI|M1Cy*&bO=ZxJsa$Qq=JyJ@pGn{FdFSF}Yemh*pDmwLo>UIFdM$ z-FiA{L(owMEIvAeOI!MpLI9s~W~=*}DGiDZNIb*;(}g;n(Vwys@Sdy%gkqA;bbBpl zI+YumgpgTXZUa9cudRPN84L@U&6LE4xl#Lh!GSAGpnEEch>MASBc5!B;Cah0CFK`; zEUR0CTM@#}GcHMn;R+#r;F>IE0T?${f+wcr7^UyTs{u=8}Xo?++MSMRe5gAX38ieMq% zb+B}Ty)O|Q*N*SpfCFgg%f|#G&pk(OQ2hk#Vi66r3EP{!oUI5JWB5N%=D?0AhrwGk|r$1R#&FY&>-k)3>?zsVvI<1uu1X}5-P*t{~WRMZ|!P+nK zQ$IVs){g>!L7L=DyTh2R*mgP@VDx4kFf`>v7=Z_sLk9{yF0j&|L*X-~yu!zW1htRI znL7RBKW$MmID^77o{`-GHaMQ0^@Qc~N0!!&<`%tBj+j%DijOH^!28A&JOGo#yM~m; zZAr)JbC9*4MyL-fw%;I%{8?3f{YXMvcRnNu0G-B_!04(}68PY%(a5&p3}~4CgoQC{ zAUk=Z`R#B21-GbElBK0SEcldWsUm4Jfg_2y!m|sx%XPx&s$|ZgWZP-Pob;O#;Q1=E z)jA1xs@B7H=AtubU#7Wl_xL;2z%F3;GVsGA1vjdek&(Sh0Oz%aa?C<%wHeuPFf`8Y zv0#BJ>>??o6_)vrB#M<^R*E~O5T`l&j@;h2Gk7TIV$%`I_Mm?bl>m|(zqhs?7Yr*_ zOsHiCBs&&;*tkQn|8hI6L1AwfuAQYW>nusgvRDOxe0WHzozHSFmQDzz13m*DXP_N| zb}i-Y8W=*LUh4m$U|DC(1!I62PRl;vK&WNtf!yI0P;i%@VjGCF#98J7Tx$Kq!M#dt zOM%iJKs*NKL02Cs#Ii8}WkM|<)hVUr-~l_BlhOqQc$`w3sI}e$4H@riWChCAMQ%zk zLkPdUHVAOeTMtYEqhg;sco>Rk2$~EA-SN+q2R9NDn}y9CP|$B>Z!SPu*YCuw1p>mS z&x4h){bmpR?ToT+&_$1+bXVPb1X5F3oIe8o+Zlsqk6< zj3L!UXMjCshBmfLfk4Fact&&5>O8W>-dsw^qWg`%NaiJ7SvgDlL}9OMr;>se!A>%R zZ|W0IBORwN%F(Y*X!e2d_7!>3Mj1A4feg--&Zez~9F@A-hfVi*t=tK0LA9NyJ-V{gB}Q&Wde~ z8{a>%s5YtAt+uJws5aVphBv{wBS%fVi>HknKa77EFMVRQlkAp)w-Hf*v?>4QhSi%@ z>+dwVHRFk}=drQK6k{?Io)Xpjp~%1Y(#p$6$KqIZaH{W>=P_0_83WK%wdvc zOpD|;^fXmLJ-JmKE!9|c=ZV|A%mB{$p3pJodDw(nIlEht$6c=?sW8a}zpdzsDd8GR z_)D<7xRCWG%f__D+{T{wRmy61zLvNc50+q%h+LJnN#x+sw z9x~6k*)ZIw(@$i&#h#Ka6t!+oro?6--SC6h8_Eiw&Rii{M| z$C_X@uvTg1HAy2SYX6(OJIIen3jiTOI&EVvK7mKzZ(*fC=lqNpOT%S!-uR7Oy7wL)2L5>>N8?P7depDTrpb1?_ zG0FSbWExuZH{%&w8Z&PpNu29>$5>`wt7?O0v5z99-$Xj9C?reO@0HN?-p3lrn#y7) zn3qiMrj>=F3Xlmp=_(^;SUcHUkgT<=jY8{8XlqkA*{_h!^rhFlc%K8SJ; zGo|FbtI0u`6%ppTr0!<5HJI939ZI_43ms*n6MDlX940lz|6i!#|39iy6@?2PY)clC z36t{TDr(1Z(ux|Bbz~Jqg+|F+CH~##c!o5&ThKiY{@qeMS=xv|*-ji8GHSXo_-d}9 z>%%$AjL(SM*7f|hnkKd;cT8@>A5Hm>cDf_+NcQ3?(YbLZpVeodO$Ezr=ts-q+|A6V zihN4%TU!Z~mC}!%B)Q9XX%$x)%~|H|KfqEo>O#*O)thrlzn#RnLmkxyK4QlIpwMV^ z9M50OHecz8K-lkfm2HwT!%{=nq&fK#FQd}?Qy;$l!7Xp=xHFF4X97Qv`G*3LlULxt zp+u&eSY8c|v~{hXvn<{BN~0;=KNR7z^bymy?qwML(^0K#s`bD+))f5k7wRCZq%M}; z<2Q6Iy8Hzx^4qg6>yqWGDCR3re($Rx#GOYfm&U~}mTIXlKOcH>xr*C##$G+)#n3w- z{$JXQIq-{ICh?L_vdT0a-??SF6}lz6HR;p~wv_y7EO z7yGAP0YB45u0g1#o9gbbhS;xEX{qHa@08v* zEVB6bBXt)7zv<(Kw3y;Gm5;+BFyjNJU_6D|tx~Bfs?LE*RTETX%$n~X7ypg+9q(8h z5*!)uV?#P34NTNO94}WvIlJYLEuG>@~vGFb}_0j9iOi7iWGNn?8tOrvM zR2al0HRtS4$PDh1k;f}|C1HP@I~N^EdCuT25%ERFbL8aY=Y)I>(#sAnvZcQhAYp+* zXQmzmNKeQtg`O97b zAJNbDN{g@l)w5f);Z!_6`S*rZa-_avJRh{kMFYM?d<-r|Ijcbfw9^8*^GE+dFq^>VMrt+Ot4d4XY)iyNR_{HFm*1z zPoh)nVVJP0;MUzupA5Ta`u`mnh8QkD>2pR>P)odi?~&n=4$#|O0RWaSV02rmnX%b& zA$@KXK#w~0LU7)U^RyH;75i+44Z@ugFXqIARmD3G-|sUEPfK)qr_YJL-_K^W6Yfm8 zvw1#a#(ypnFz~!M_^>Ymh`R}c*I*zF1UoSh#pq#JFBD(?9Ox_oAdisRFvu}11|&Q9 z>R@$$Jh9gM*H_uHxx~Ij%*c75m!?zs8lsb{y=m=$ZREfXJEs{MfM@| zt>8rA*vV)Y#Xjk;sse*O+F55U?pfQiiYzG_mGMqlpq0pNaz7YJOJC2E3hn-J^4r|z z`b#%!cWc%5W;kL*+jivBXTjk>%+f?-;9pc?(C))SmQfxGlyuYni@L|xA3YH1UwHO` z%xp!^7ITU^aLQUN$E$q1KU3={&$fz$N~cD$d-m~e5v6d8Q^sZ}C}-@|$EpDuXX!(J zjT})4<#l^Oh85(0-_aqpX@SQSy;3gU{4<_iA=?ud=j52oWM9jxMQF5Fea96hRaivU z7cBgdnXYxT#T)#>xBZQ&Wl5^;^7#}Bx!oTi$6{A?`?n9f@uUYQdkHIDk90$`<|Jp@%>^z`+}JkZG3IsHGBy#-JlK^LeSLV)1G9TME#f)m_b zf-dgCT_(6gaDuxmwz#_`xVsZ{aS!^)f1lO8uU_51YWHhBXQyU*W~aMPpU!(U@16JY zFnhYkaemU6TiXaPk{+Ky0u*=lPk z3J%m)yV2n0vcT20vF_nQMy;L99z{7ebOk*P4CA4nk_Ykwc;6}(TRw5%z@yn_k^Jnm z@o=+snI1_9zquL6T4k7Pb$J-2i)m@+CyVwuSf?0wh+>~39&%0}`dcV~zf<7)zzd3K62 zB$juye|<|yKKNN5rw1KK;NUs68L7x-cd%Xb@p?WCA8%yJ*<&c{`^&fY7&k^oGapQ6 zpVPB@jAp0LOOrONUtel(>2_aptwmyf+*-NN;Ryf=9^YJCP-kh@$WFi3#?6ZPR+CO? zhRpW@mY0Pz-U#Cnqzmo$f3~c3o?VK4dX2BEG05`yc-Oo%F3Ud|usm4sUaNjyXxuh6 zb})1*sfE25cfXiFzb`K_T<_>)le%`?^3f&Z(v6~@6B_0f-aBz^#fH&PYurkJ zekhR}51N~3`!(NuDTPDke)VDVpNr?8!zIk|6gHSgUTk|_x|z`W(+*49X?bvL`#G%l z*Y+dV!<{3-wawTD&6#o143bWis|1Ik=8~8-r3(?oGkKF+!9Qm+oTw+%Vm2E-r}uO; z44OxF#AbZjoBN=&CjpY9D&FS6B0N6eD9&ZfRs1m4$Uyj!5AH9YJWH#MQl+kt#cVg1 znqpR?nQ-UW3H%;ktp@<1ajgqx_C0Hz$-Lh40o^)LVCbV#V|{?dN4e zU~67}^XznN?fquU0iQ&RFCDFtEKim1SEmmrRUR*`L?o4Tz8rhYx3j(|Syc>x1^+QA zqive;`g~7=iQNOy!_w;=8EIe@v*vV$-%|rMHvc_8|h%(%G8_hwucDGsA;R#Y@c25B0K?k~`6JX@J1v2w2D7<47M z*MsXF^T;YCv~9OuI|4=@j04ki3{OVw1Q53s9Q-*?LTO|zgoQ5)&S!FtQw1Q6#(vRktsL7K-@K2>${dxP?sxHP_14$dr^QDZ&$*4fSvczJkKV`!0x%<;e8m&@W&G=s#)#vnr#fV;h-Da>pZQ?2pMQ>PXzn zS-;%0MK)>~J3J3fav`pB>HtU0Iw<3_b&IYi+g;@Os$-2N5(B;hg|l6@ZPl@OJY536 ze`lBeh(R9@_}l7Bc69G|(Bxsr#A=vuho{v@$Tj6^>j&t;UN(3cC_%NR9lvSSjI~H- zTF9r{P3)DJNgZh~XCXV^II9k33A_4DG_0r2&9nLLB@0IT_SR2ZD714fwPvqNO{^+j zHSgP?&VF_2_`TFmnzH}UzhXH6^jR**Jv>Dl6K7{$PkJ&`Y3;LU^D}LolKfsFEPYBo z<|w*6_Xhk_&?o%rvWk!OR%-piVr?#C>;wJN&sk1& zl+4Qtpp4Dy{yqLW5_-D9UBrRE5p&dh>x>h(z1IvkXO6Z~4*^LOpYaEw<+g558rN@L9RTe%OE$u!=MWLk;{Cw+6KD0{n`OQ~x^Vy#vw{^VoZ^?BYzqf?TUB1s;7u8KQ>u`&9; zcbBHIflTe!t;ypGBnvK(EMX;C&vq$3V8e5&uXe9=qSNcXvU~ByyT5Wj+^=$)e(e-B zqdq?QG+nR>*zun$L#sVs&_jDVJ}2H@CZoGjAz@mUS{_BQ3-OmNi za`-LS|9a;*dj9J&^vH|9+2ilxL7;ECQl-h$=*cW^8AEJ6dVBUyAL3Ql&HXfGKsU$e zcIfRa)cD3nxAl6*PG5exG;e{ljx^1|DYc`sQpRD`P5Y}thrUeO>7Nm>rb)xD7yq62 zLJNIwal1V@UtbPdzT+Ch?8d~khYgLbe~BV=_AgPwe;KRbjoZB3mpi!Zd6))ANL=~C zEFrG(lw?^7<51>c{Yo*r&uQTW#<_%Lr!OCyc~TCyq2+G#0=LrNsN3uzw=()Z1NKp^ z%(MCxHhxXtck{RPRT>vOU(8N-FcG}Rm8Yw{)TXQdHjlG^pnK+=cJeXJ>1^$s5D?&< z68^EwuDVY~N?74e#*J<&CB>k!AY$RUS0+9SpH}qSHiw`;gJSWdcdA$oCv-~%op`ZOez$8k8sI3Sgco&s76c>wt4ct zVRN(Bgd%C5IAS-m4wH!!P=+fgp05F@%f`u$NqK!rXp|W2;`{@)erV<-6cjh|NMSLu zDHr-~(EC5V<>8aX((96gprdl?K1Ek`2=Q|YsFRh7GgvO8njt)d-lv6zA~kOZ-*?-( z`!!|ZmsH!W#D4!#V^o`wo0NGp>Q-xbH1ce;q(g2vjo)fQC~Q^$WE=3VPtPVWnYO}P z1xfb?iKxvu)CmZ$3ucVF?{GPCQY3F(oQQcfM64F@(vhI{pl1%j&CsTSz3Lns${WjW zwUw$92{C&8Vn$HUdFP-0wC0Jo54Jt!?|t{xW9+e}X$N?WDa#6cW}DNW>`yWW=ix4+m1FFtUX)v;!byDpBhl}JL6TqTs_F2H1XGHPQG zxuQvmIvVKV0bv2_{hioT%iZI1Tt5{ z52qH3En6U~KnkwfY#p5-v%+g&q^o6qycAQ3T5+MWjO#_>H!~TxxLV(^6K_XkxdTbP zCbHa;-wUaHe_R}aky+twxdXTGDOE#ZIqgqCq?9r%e>^f;ZEBI-&ue{XLtRBuY;N7b zQEDc+ent9x!_n3oH+E8t&6K#<#o!E+ybPM5za>t9(9k%-0^0|9tEIK2h6aY(+;Ai_ zqUiUF7_B-c>ONaJHqPd{&=_3O*+o~GL2kELDEsnq!zBEM&M+rWql??clI2XF1gE1o z7T#=MKc}Okg5DRblmhnnZ5?ilv?U$F`f3b2#>oN6`f4^iTRo!mi2>>)Z_9C)Z>rFQ z{?cpU_twn4siU=T4R5{B*9@I(M#TyxT&cfB<#yk`omvmsuFcHOv{WQ08hi{`o&}w* z%}v$Ux-xmc{}_^DGAIJWG&tm zQHg@FktH-E=XNpCHuct=v!xq(t?3)rehcn*z+k3+#g%`5KWveQ8|uRkp&l1$?UaKR z$=rr|7Z=-3Q=;~prMZ}7^Lz0i-E@voBAu47QSMox5GOG;z!K!kEG08W?!}x z>#o3auFjh8xU^DDblx_iOD4f13eMAuw_59?SXmlWeAn8mvo}Gr-zj?(O2!K7vp??e*XV;DQR!EtzR!&zn@;T8nkxdRodDY_rZ}X=DgnSclq&B zL!piLt1&N?-7-I&oSE*P+ZXT8U?S_!Ep(&{uV27vRo+NSK0iHOJG@TIQ7X(JOTwKS z2LZ{iPT|nghTHz&ZM!{2toVNX+%EG}TkB6jCL_0r#N0Z03>VVg*jzjd>f?x3w{Hj9 z%T{{~LWBY&0;mG34NS}Ic8pwhpI`s5af@8)Y)u5EFRA%;e;6&hs+cV+<9vE}Z*8n= zR##eyrgrY!E6wIUzHqyE zSuHxpf2EzkGx$;4{dIJW#BvM`#pMRiDM=EiJvgwpH+b@k5WjKG{O@<9 zXqcV>N|A+CQDxLaO~-xGV-J6(&MhLpmq9Q9?xG+RTXiihd^OZR<1tYv8LY~J?FVYB zB%^vZ@?{dgQonsPw0}`X=QbxyDzE!`rLXmSEQW6&q+v4fUe*Ln!{;HnetB8v5VcOE zJuxHMz$}YR*m8smccMW#6Xl4D5tK2yg0$%4#;WyeXHhWr!hD&(vbkEtg3PUND!UH@=gBg6}9s7@GSv9+J&i- z(P6*e6cMrcdYc4)GSnycOO#P#^A|S_ye!pZOy*QWz8|r&l4hHT*ubMe@G2^#aBu zvh{lq?Z;e(j|YmG*rf?AGj#5UiP9Bz)ph)?It4{@b?5C|BsIM??+zS6${ACpVE`=w zxnf8dH>`?vq^5hLD!k*+$0hbW*^rWiPvFrHYV3U(C~S5iP4S;jOv7wAC=*c-X~e#y ze=0SV<|Bw-LaFn#IMmO9*-ll}9-?C`WQuC=OKs+fR6=FiE>M+Rq7y5_nW9bU_VI$* zCUht0i$k9r3WfM*tzrudto8;5J^{g%M-$=S&z%ZsrL@Hv7{4?XC*kz^dwk-d4dxvp z*D=G8_}Zs}J4qi&J47B+6Q=o9Tm{!LQKD{$JfbEnpD|AZS0zz`*bJkh<~>w}GM3(# z++Hoh;Yz&Di`z@y^L!SuMvJhqeA{L@ZeNw6Tk{9tMFXl_0POvymusBJucs)`$^jD0cGOry7Ko_xj3?44k9sQ*fDj?C@n7$4k8(jEknJXV4Q>F z*D++jFeC<%Ck9a@25HhFO3)%o(IQqTV@4=r#wcUzil0HEl9~PfHFip&8z~kr0mqBtZG@3DcD0RZcG1IE5czW zVFpYiLxpK9afWB8Q;U%FmY9pG-ddK6UJRZoe_UU32t!+z0g^}#Nu+=zYJ%wUbg9Iv z1j@1hyayNyakOO*993g}sOo$w{F57g6FvmB8&Xk`Ez3*H%S+79OVpI0laQd3lAx=I zP>qOCjfqgz!6~Hn@h!e}&s0s#FHY1{qATQ){d_NDb)BH;m0w4ZT&KxsCBbMV#b{Nb zJvGFd=q4UF7eQVTMt&SdUV$T_Ll80*gEXasQvl%L6p-K))YD5d&`a~vOWSDt&{Y57 zrv76N{IMd6->SH9dMR0vfhp5XC++7n7ipVhb5-uv{SW^lX{xh#-J&$rl~G}7G~_$s z`!j8oC{s!^?sh0XjM*+3ZkyGug>KBv{`r9}y-RrlkB7TxXcp z3vo(1i7VBJ64eN4)rkGVzP1j<1XV=gyyQw7YKPPhxMFM88C>? zBE{8xxzhOr)(k7a$(u(~dB7n*2-tTORoDL@gC|~vyu0MO7v~~!#TN$V3zOvwJ9Gs; zXt;hza``X|{Rlq%NPqAVJTIy~E2=&vs$LaX0uC%G2rMx`7>VW=YyBt)nl0;>!3;m7 z>d9z3Yka$Ca+IRnT2N>$6Yr4cwJEN#DNeO1e%)i#v3AbWyDnbgcuZ|Y51z-qeAoI7 zURE_bproL##K3BVNIxrnM&D{Y7jI+fKnB6){EQjRI3v~ zIh<;c%%+jdCX>w8&S(N=G>K<4@%lP2b3#XL#Zoj+>Wt&O=VdRHVsiR)^t7-9#-)^D zDM~SrPco2?H;`v(PhGO;r}XW=x{oOJ)s$CNm9Hvjdd;G0?z?shFLMf)a{|JlJD6Gz z#eXdB|E(-QN60bCU9U=L12>s zf};k4qYQ#$JK7c)Z7Uva%Zr3korIE-gz}0{x`a{JMxK6t;;J|2goZ(4>I6E)Zjss;X!QwmKy3#|HzmKw4muN0 z$whT#En8wOD{U>iKik*Vd6V}>$bDztFY>kRK!Oa65H*YtWsDHpdoaO0nDITBUcpov z!BkYiRM$u*Yer;hvRz(PX}VK7s#Wy`OM8@5W>nWhvS43D)hR_)penNfoLNwiSzy2~ zQ$H>vOD}V%5wEThpQs)`Q$|^O^I1j)L#CZP9!wq|Paf|jL8&1@NhLvf9U)yBAuSUj zeSj0E`jC-W5SVCyK&OE~CxbxOj;0DmQ;kPc^&%-yCn=yLDR@00rF_yde9{N5KU7_R z#Jl{Mf_^MK{77{Gd@P(7m7Nupof4I;3QPnC{trzf3jF%zjC9YEz1|mwbcKaf!3Eby zMnWs4mDh%C1%tEkl&b~TEizb1!_Qbt->;?WIb~F=+VfKP+`%>q1S|*RuWfQ{$}6)_ zBeReyv+$bF#9)(PtchXPrRzRLCxf!P&LCjKLN6a17>w{zWRg`i*Qt^}u?%sHf~htd zu>ki=8!JHj(=_|jlKax;BDpFexR}BbTD zUJX4UqN(1lqpnIXTSlDMk{SNTjoPb`!%Du)b4r!8-ANsfBTjEb{M48l9Qjk4**wpk zEg=j{{JT*8irFl$B{BSuDz#S%yNdphxDy>#yWGzbW;62U#PGF3dG!?bIK3h9Q&sA? zf;6cq|21EE^;@>Ml|k`SA!-Z_g!tCf{nh>yVlg-YU{+tA(w%LxH8T8=nfiYe1hq!$ z3rqch?Z|j-WvK1QubNHCn}39_1&_E?UxktIc594<&my^$qu=?clEr$t4qYP!5TC!FFZ0Y`&jl(ks;s1_W! zIN94T9D6=rnzAYZM+wN39sba35I?PSEbcUf*{+t% zie7es;PUr7P^j~RlDu6hxkTtI`CrrUH5$38?eA0=2P9RL6>ik=p-IacPhxOR4jvvK zQ%Kl<*vmkt1mW4yriS7bXQpH9WX^TH%PcXE*7w)dgWo=LrxgPZCD|P)a}A~I%=!{l zoSKaNA{I=JfUHaD<+X}e7ByOU-<8+i=lRQ#kSCI7Mah~`5uC+I|IJ*Xrs!yRaF9uo zAH~h-#i_aHe#QMoGX|3TP5fLq^Q-5knO}sz#xbY2lBN4S&3m7jUtrv*(muPeuCGt0 zKo5r}BeFUQT*rZ_^K8RD*1)@Z*KphS4Uo}>A2an z!#+{Krwy~r3eO0#&L!CVB0W7l6iOr^972Uu8Qgs()VXLriLWoM27U>T43Qb3p-0fJ zPt;MhJe0c8PfpJz`fwN_Nd%ACMVxi?xNre>ZKe>ENHXV_ zxqIY<3WaWO9ag`1{klMUs5$f1LgVjul}}iEBV%Z{uDPCTJY+Uxm$^mFpk3()Mv25V zGHlewZ(jxO#2dXM(mQ_x9KGJK4_$+kD=JGcv8I<#!B}FkwnC}J^~w3}nrth}{Ammm2zugEb?zdYr+Krf z!fC}w!;3+Cj8-J`7ScoHb%&bS?20PHfFzIkzyyC*)OiDF#8;KOH|E z!57sv3*_iaBXx(17&aBww-WpMTq#8?+DSmTQ)K_h0%9Jk-j$%YY-=?I$Y#59ma=tqnG)ob#8M5}fVD;(&h_nE9#)-OmnN%7j9&3#CnDx~4} zc#Z-o&Tp6)8kXv^33L&)q%G=6y8X}`!@p!EeVw~~idswke2qIYa)I6o*87ou%*7& zG&-hbRcrFn`MNqg7wM&wjW4Vv@E36Pf|pNxXg2p^x!Hf3NMs2QhyQZz6m>uGDXpoe zfKL3XYlmi`A_~Xp2sWlJ*=+;KFj!c8TI1}?X8x{qZog8d$xJM>NpOfqu3McRZDp6T zUaHXk*|jq_q9NZ&ltdf8FA%qa?fe(DUDF%dru{Lf=Nk1k@Afn}E1LF2v>UC26`Mj9or!J4RK$VF;g; zflT4+BjVb{m36he&oArN37iDvx`se5sM@3W?bzkGQI&8uf|4w-WjOiJuBD*K;cn}} zeJ@A@=n4taV0h_Q zW8tqN&Eep;!~vYDoO}p&Vuz`Ks&p49~tBelg|=xO%>JgZcdB!o?Y9pcb@^|3!7gRaLp9e z0YBHscF(81-oH--@q z+pc>!$#n#%7QW#7xhl4M812sf{ZP;oTK)?x6dhmi-5eR)J%+ZD(G{z zfb|M*LdK<+CxU-~`v;tVKz)TEEbj5kGul7E`~%`Yz`Y_1F7gI=f&T}XfB2Ui_m_A8 zI$vJdx%b)@QQv986TxebF0gi6cZa~udD-4|S@(yKl`Gsd^$T}GQ81>sKeEbtP+Kp* z4aZeV{I$3Yi^-h!F}F75eF6VG1&KFPsJeyHk0F`dLU(RTx=(R?Of8Kp{z2DRl-R9l zi9be~{gJ7qi%$Hv^et^1Z4%?-aqNLb4g$IgPE{SN$tl^$h^aaSd;6)aPh9hBOg}2W zZMnS8Q2f{xsN%d)WHF#yCF&9xdfgXn`zDx9(5m37;Po>(l`tTyM93m&m3LJjBklD} z7r*rIF+*|d*8wGUaRRRwU%1I@;Kvt^^%{KDNjQ5Vl2y{l^ZWY^y^w|pQ0ers51UFz zE-~y#5I>Mfz`E5vc?$fv`WdSTOUA_{xn_BS4wJ8SI^(V4kpk!W4|=<=oQ{U2C#Eh) z9h%kG!*q9jF|5TKfh4SoD!oTM0cunj+Y>=YV_C9Tu|Aa*$<(A(86>IHSwg>wI6Tz@ zY7Ma{b#i4mySWvy)LU`pwZ+?hW8V)N`$ zH9XxFzr)1xu}XEUactLgjU-KoIwD{9XI*-T!)0-TL27x^^~zANEOCzxm)JtkRm=_bJN{YP zd9Op2%2~l7D}Kc4*fc3*+2t}%5&qUnPZz^ObK63i>r|hZ<(7)80@8$WN22zmtQnJw z&);;LrV_l`1gyB@0RGHAQWm8&raeWP>cQaz^T3vAnWOWIg2k~D7fkOqf#~JO%BupZ z!&__?rERwf20^Rvd%^zU=_b27S*1gjs%`G$zygbd_#Oj4EO)ChT;P=#WB)i6@*V5; zVW{Cd*4U-XGJ==k(wc_DR<+Ect;5zKNh88$U;Umfpul@B-&1e0+1JsrB^j~?7yg9W z{`1eKLtf-(u~l(AXKslMi15TwCa>dmYomIG2PG~)lj6$O6nqrcd{8aRcAxyoG2thY zI8FR3^hr~(MgNf8BhZVoVXrwUC;IgMcCe;f4bO6>Zm4TL2?)7Ps_zuc~U)Xg|BxwbCj+vB&Jcm!xzj~G0{{ndqoRs+Y zEU|`U4O*f$zJ-^>3p6uIgc*)i!O~8`=L*E>vt-iNz_0&~Ghj)gJ&Rv~PzIlm3R37T z!6_%ew|i%UE1BMv7N|m`eaUK#U;`tW-pvOPu-ZQSlDVM-^{q9OCnF(U* zwm%Y)c5ZcCk+&{h-N0P(^nM zayg15LYMUbEwU!EE=sk!jwAaJUgBf#%lHSu*6xb17`~E1I++Q>B|WUJn582~?md&4Owo)*Xh^8nV8~&p z)8K+}La^LGRUcU+?~oyS*$oSRC)5Z!F}ZnSn+teY>T<8AFw_yBjzvRyhq{;>q?K2c z*3Iu}XSCpNApI}aoXeaX5ElFeB49zurqsi&d_s6)BEzh?Wq-9kI&yEZrn}3(OVrEe zq_~uQ!X)Pn)s`-Ik9CUUvJJc!tZ%Lev%`BL97(FO{bAa=7Dxd*t4JAH138zn*YCR| z8t*|VD{iBH|J*KzU(yJYwlzl!v`h}kk@9uK3R&n;A#Yn@;g)utBGutzWC1pXr{Kw< zF2|cpqK=Z?c%Mp|c{%8jQ^Ut8oIxnml+{6EL)NxDh^Qk?Ga9~=-2t_IpxVc+fMP!V z_g*axipwVEv2PDmODIC>N6TRzlo*@^RL-M(oZzOS7(M>?;| z-oUymyI#Aex|A`r38`<28}*;kwq4=b8@9U?l9WOwj=Dx&T39O^$w)|t-S_T8y+Voj zniuDU#e;7L-XPVOlXQ~U*f0oG+atoQVK)WGwDgnf#>Aprx!>ZYg#rjt_Xtk9cNCnm z_E0;Q32S}{dcTxLcvyS%w!p(geQ;~n+y#@MhUBf$`A-jvjhhclP&8On-s3uM-Cvby z8Z!I=@4x-d51RDUG`+8N>Z^&{Mr2IU7- zb^1NN{^OA1ty-#s$XN@sjZ65n(yHm9ERKk7Fvv0l`gov8k~i>Kqd?23VBdih@Z!184@CAB;?Rw5hpo{C9dK@w9Okc;9P&9~<#B z>i*DfKGZ>t8eL~MJz<)?1Kw!Yq~MRhjwL?|!;TG$NB{;T$@I)|xtU>Y(755d(?X*$ zUf;4!uo?Lr6=4-g82EG;`G#VQ`}6VnBk*Fkj%ZZ`WFh&c=V64`Z)G~`ziHXq`@SQR zf&sw|XVIG%4;a&UkKdsmFRil_z~!KJkqBzwKBz@84txFT5rQ0N9dl3JzU7tLJ5LFa z7<>=}O#+^e-yn04P86aO@OVw(Zi-^v?lat>V&G2@)-im*XgN#H_^JU&J2aXh=Y$)A za8XwNcDf%)KDL$1Yx&{Rw)s6h>>SmC2O{>QsB=QzLTc`xB9jf*A5JvBp95A}tIz4f zmLsF*1M0Jr)Dr19IzbzF`_8}VvKtVCc+&aicZ&}HdKGOQJa$R5V#dy?7=@h>D9`&kB;AmQyU#F)+*XIUtqhl-yLAykC8Tw~ zY<5AUL1dk%)XUjOr4Hw8*2%3eF_N3&at=Z_ST5TT#_RBzPB;G z(1gtSLSXCju6*2RdmH5IZ`Hm~#o8N%`+#GfI%#~`g|b5!4bGA&D6t@Zq<(*?TX%n2 zRXDJ^T{9hXHvOjC%`>lFkUMcSdmk(;y^Mv>Ic}lQjZl65Rr*TI)(56M6kn@4+c<=P z=|*jYH6Q5jpyjJ%A+NJ|q))tXw)KH6H#FY%gOHjuM?Rr&j96C3fmiBHQ;CDiwH1`H`zveEM_kD&DC;N$0y!W#bWt8VfEOqXxtn9Q=>?ywMX-#hJ z7YnUm+@P`UftT|Jbf$t9T0fGWHP|-xzUQG>pp+VY*<@?N7@RkeH{rS9@$P~N^&?;M z3kq7Y(e~?RX74|O%LX^Tk1+$tnw;PFn;yLZ-WKC8LU%w*i|cB< z;>GEgV$iQYiS~!P6k%r%{3P~|$M=w7QQcxD1}2I=q~Td}CdCl$!tQs4KT}!e4pgSs6FK_(`eS#?557hQwa%)Mv5S zhg&R<1JvtKX5?VBU^EjfpY~kQdheBP;6gJbe>jw{g$h5kL52>x2NhqIT_@dRa#=K%b!!nWX$BNaG7V|YX z1>}sh(j~W;-HJXHdSdp*k%T|9-yAi=FL+_fgB99lusKndbMdWf*G1Zcg4@C$xYprT zkGKz|xxYRN7s75q%t!wF9^>^LtKBH&C$i^S)M#yYi$tBldH!mk|HLxnD|)egKh7+U z+D}&^?(x8;z*^wg1Iwk2!HU;0^it7Mj1H2RAoZ-Aq{SUHuaVs@qC> zf(#!6(yDO%#qX)z!sy47PTk1z30P*JL*L%odeuP``OYuwtx%YP!)%Zr5C-cGGb)z2 zD^>ps&+AQoq^M{t2&;`XH+!p#=F?(6=i<0cTsAdFHyhSHPP>d$bg(TM+7n^l9g%Io zF!dELnhy!mogmW&dcDhu$fZ*{P9nnxJ*o((tFfijjW^j%5E{q+@?5BIVDMb!%(J~4`?m;E0?vjQ()wmU^9 zQ>Al|jA~O-G|Y@IS+zU1JTXhaUArIp;30^LIp7c6-9&1^)rcLzV7i4ir1H{*Hu!QK z+YXfSg9RTdZ4S1Uuyw~-TiXu8tzBcRmA4v~)reI$8Wu)a2K!}WKsR;UgcegDbz7p= ztO=GuRvE6zr5hv}bh!%3jD>yKiB_#IZ^^UvWI~FuPfRRX14|mTYha`$&*XiuN!0j` zujO2rsVDO*DX)2|z9fQc=wagm&-gMBR57r-3et}njSZL$nyl~<2kS(RDt%euYgn9N z)kS&pk~FRLuHADM2mx!-2ZN&}5ZGFWkf9$#vMOE|pl8M)You3ulC2z3Ed*(0g3*@w z-B{BHHI|#W%Nk5BhaKE#xHoZ%ta*;p{|?4E&Kd~Ks2}%Ix6P>QM~t2ZxV}jjegpl; zP4>17Azc{03@<%4!1aB4=Q}97?K5FHjI9vb+z0NLH*?Z&04|eDB?s1s(a!H&A)~-| zSCsVe0N1zathJ>rZ_~#EU8x%6A(aEkWaTyxoHtM%+iuRBLq6MX4;@#*2GD-F7gYnu zw!8&Kmz7&{HH-=W9BdF-0S9Uq`aWk2CFb>jZ5V@tDjcoW5a`NnoZ;?b`>=l3fxrc7 z%~mW}zk_lEw%x31uHJ7ddT}2Ub+5lg>1<$lut-9?yo4Jz_A0>W#$|}*{MGfO<*lsN zAuhM?CcJFzg7#yL%1FwUKy|p7KJ4GQK~T)F4s?W+-rD=G_msYsxSJEOFf$Pq#}3bO zywV$wTKj*i=JHtiJ27-yHy(K$S<&`Ri}yP9Ba0xwWjdrDZwx@!O?e%fYsi@|zc+eQ zZ&ZeW<`=|t=CAdHue$+TbuaU#-UNANLnGGsA3M8}S7D!#bluSJZ1`~axigAMdhA8h zVojj$GVTSF?9em3-;O=S4P}hyfwOw%WTdY-(N_A*=W5HIdj^R1;k@o+r`~8ip=M24 z01#KMf@i5Wb^~*An;VO7mI5lEn;V_@b^-TKWRgV9!5ESipq~o?W4I3xxJ;(XE=%ML zR1g&P176QudUp?gd-koG2a=>cf(?Dc8k&VowJVYKbhRsjHpgPL-Qb+}h69?f8OaDL z_XMEt07p0;Kyf&R>XFf z7A~~ll_R5I^CgF(|3*bIY0FsqpwRMGcf+?k8o$0*0W)f;E5ZnQg_+l%X+P2dNq6FO zBS|$b^q#HbLqywqUBke4Hjrxt2>Zf4xAv8l_nUIu8~>lAp_YQC#Sy>7G zLVOK_T}VNl0$IpA-Rf&B2p`PQTG#vS;~y>v4LMr9tq{0&!nMFj{@mspBjh!k^FsVp zct-@t^}9ZjzPV}8H3&)o@v4S9ZMgf;kR#WdVno))y9sj?cuOJ>okN9ZN6+fIlYH*loCg`AD7M9b50u?<@V3)8W=0oMg4FC$a$e2my@Vcd zk6PcCHyW?^gW5?R(z?A~uP$m1S`;%3)vCWQbYrCTIMn^)U`LPGOXxY^{WmOR9Zw{4 z0m0e+QS`_L7OHr({B}-%Vg7Xhm9No=?8@iPWC6jK`1#$9sC|gld^5>Ij#%%l5t)nS zUG2XEefD{C+|}X7dxv?0`u!T`=+#NM4NToMS3B+WTa?F9*5 zOT#zE=s9w*B@Exu-hBXkKd&QP-+j2*@jo>>;)kE3SaAQWElhGd{Utv&bW`fD0Ln{S z%iEVcT#aeZ)Kl4CHw#U+F$j1s@HJ1#=H6|%3TBHNG|Z2oj*DRl$FV<-WzvpIb<}%n zx=;1*#_CYc23%a4p9>w_I28Hk`dvqb_l7YaPCLP_eaBt?1L^oJg>7avc zlzdSSJW2O6MS(Vi2aw+T(_SI`H$5rFWGHVoVUH*ZMi_<=md`}z)|rspO~~ZkdOsfp z{{Gg4{s5{WIvMPs>E?^_Wn~OPs74P7K69uR7a|Rf6$$q|3hXA=>*@dkU9lgsV4=J> zy^lOTo@~JFhEehZ<)04B2O~}54#NKs{y)V14`Vz#HkWL3`Zl4>kvxw`QO~IL=k!PG zo9n;JwQ9*yxDN%3?Rv$0FP7SBd#e!PzP<0{4jMyRiJAm*UN>1J+uhjY0SS+E_uA8A z5)Z?6>we$BJqm61yt7+CcfLHU0B#z(ZKq(v?YEf&&-km!sE;@KA!O!9o9-qr`iIPyeA2_sqgPFMR1~NaRZ36DxU$aKz-XgB01|^Yt_8NDJPB zQ6dU}^kAfoGJSB%aV9@?;GU}3xi@Vwl^v2`*yne~9^4rf_!4Al2o(PA(2T@|m~MC= z0ay>+gV-JGA~>+kxg9;9h@a8geM;yb^MeVh5muA__5D<%fr!p#w%#Zlz}X~(faQ7jwWDnC=om?0 z-uA2KHEL?~np^YQ8N~bgS~rq$gmqg2*F5!{9GPRpBc;n6jv84!E||bP?_X_|l=FWX zUtfiOGQJAQUNyvi0uyvnMjsJ^Cw>3=E?{;6^v{BI!lzT@5Ae+Q%v%00RNH-P^s z{l6v=JFxfU{wpANaPGbyuo3l=3~aGK7tpwMC=wmHQ5;vR zkv(E(JinR);gt_MY@C9g;1RMAppL{Dh;yfotZ;K!ybEY^3A|0P<-(gFv~uC?9Q`#z z$1@d3R(Cf*({&%}Uo?CBNAmc3|FgEMfqhPaxxT04tXCyM{DBW1Jm0du%@3Cf=kTFJ zfM|ag&uFowNc)uQNV4g^GxzXCU06$BPg$<>lJWe=!J%Mbl@*KMLNEGJY9YwafWVktbDO+ZM<{OWq%40@9m5{jH3?Bn)s zs6b^lv;4D8C_F9lLw}zL45`?;3JH5$>~on2%$2_SaL2~?52%O{7px&$0`dz+Q)p^# zsSUkAl3UXd8q5Dd)?0@~)wPSm4<*O|3Wy^miqbKJf^-QeIZ8(a$vO;Ql zPdFo;HYz%4#wQp2rDn{LrQQwSX~ZWj(pc-J7i^_wERjhQ3;I&)742G5Gq%X-jps<# z&6;*o?}llb!-)li)QlbSWMliO)OuAriFa~sdpL%fQD-viRWb&7a~Te+O`8a2l3rH@ zl6JO*n0~B~69`6iO(a*48_zpvVka!-XjH+Q4v<#fz9TfTlMC)r(iX_1=>-OF-yxdv zn)c%LZAYoVC{~0O5?0&pw6SgD?VG{cIzv-l-)=Cmz_+oj=j|KL+B!w!R?|K{u>fLt zc^~;pHFwjv)wPRHEHH0uYkD8qN&$hCsnaA@StsO0UHiqv0{6+cf)^>gZK0dP^S*yV|-8~T)>;_pcI1|xrs z-1L6!`|}GHqbfE5;SVY?UHaKCRc0Oywk9I_C~iEC$iIZy5RdsMRV`aTk;ZEwMalFo zT0cOcl!uj9lo3;G3fCkqnuIvItiad$SsYtJrhbr(8cB}icgweokd1Ppr&`crNi<&F z*61=X1;B*?&WeC%*hKoLKpfLTrZ&lHb9zm`q?y8{$VLQVm|2@mx*2!?=?DUb3Htkf zLN=0zfSdk(Cnwb%gRGjbz-8=c66oPA2zZdq^1jciakH*s zyXDV@$-ELmK2AYaIr5ZRUWmXiJQPg%(1;~6FVhwHxb~%0L+A(}=?Gs78lfjSQar%2 zszS8S1jCFc8tS-W#xG;W0~BU;mzGZIA*;4T`ce$oA)lvd_gCF|^Q;U1e^1Kl#d7 z0FyvrV-z3C`wgD2El+4ct6f-3Q>9Dj!sTB!ql*x_-2CV-2)GVH7vo5DAG|p(zc-c1 zQ(=@6DD_>1i?*O`6B_g1eni_sFcJv3r#doKjuB%eh#@0h<%eOa)saO~ zo|u=!t0pkavB&_ORs;I_WlSdm4lF15$$Kja(8ASQ(EYG2zC>cL&=8{~vfAbsn)g9H zazbAFD!dcX#tZr=L@Arv_Ck0OO9T`%?``yZ1iVnh2}_-D9S-K6e!`z@gOH6VBjCk7 zCOQTU=rIaRbPHOtCAHj={OKR(sbc?o(EvDp^cc-zSoF3=#fB?b?-#awEs7bTR@oyS84^i#^CJ}|n zcwz*JygosAjU{yt!HV}(*r&jxLv`I&;7CrAeGdSt+ZOV%7qa?1#c9wVmEyD7UvO?22PFU9a! ztr|+anj7*FOo<{W!>S|EJ{=6>ge@s#Hig3&;N$)ZEhR57Nm&vj`^2jZ2zWWar)EnR zK(^0I4xon?Z4H{bU^fWB>58cyS(s!8KF3 zrDob?7Z@d0U#lmAEa$7imx`_&&iz1IJLO%9D zRyReIT1LsdVndE!f#t1^JU+5U&n<)EB91 zp6HlegPY;Qjy+c3fSHWv{~8H~ed}ciofBT8{Jx*Au)1D zHWH42%W-=y`=vovk;JPP&FGuFyTf4Dco*Ui_MNYJN@t6`*}-5*FOlv4jyBSf1FLpB z?ARtH=&~!u>@wzSGdlR}gW{Qr{2AD}cK|R{KE$hsU?qUvHr9@2KR3i53t82+$<&_R z4D&aVKbwTC!a%kgz1f+xaNscPST$uC%yfVf1NbS(oO~EVJ!`S|FGbu_H*0y&Xc)%4 zDnYa_2g59zX>darviaLdUT@GJvMU-AW0%Qru-!l`wcc$sZws0jlmW~xgwd@@3zU0h zjyaU`cWczlmL>j3Q!Cl6X$CP0D*JcI zLjFY?NQ7Rxj3FT45Ug~Thf)Ryy8j?dvY@xPWPT&8h#n3B3tj+V#2UR=f=82$_#xox zYDme8-s}!Z?H;F$0xzaDX|B=0Eq8^|t8i)r{7v5YH3=|S{lj>pWru{;{$aID30*b$ zygyCr1NwepId9828AmI(y0ox&@$(z~eZvF@J6=Z0at0su?{6_6}D}AF*RJbc7>sT=y#@0AN?I!Ka(ibxDk? zN`e?xV#fmLNSTPz>`K^_0Q$gJVMAb-|4_O@&Is6S)&VTgG=#m_GTne^H z)@$%t1YE|(+YmILZXQw7+}dKF0Gw}hcHhrpRtpUHjz2#5pm$sk(K`8+zN*!-QeKDr z4?0*gGF5(*pfCAW5mQZ zpv&mtu*0yAtuHVJT9;O>z{bWthBu;hN@!*G_F&sOX@IDeysB;1?=Z?Me^rYBmf+%- zF=;L6Ir!3&r&7xk`Ic_TYG0nxtOz4U8?0Mi^c+|M2O@`{H;u_gq?*yLe4d)KYhnHc zAs=TUt8YavL{=jK|D+KAdgw@iT6y82=A~6xum$}qzk;#U`?ra_KzAd1W;hzMH|gL$ z;4q;B4ihnu)jPziIS4o#-!A`W>V0>j{mU>+02sY2Plc#*T_c29VU5~_8gB6(E|Ly> zZ*G0+kZ_)W?cV=w26k44%Ea;4&w%1RhRXQ0@3a5wP~ITr^~HPp9MBO1(h-9ebgRCt zCV1EjqFWcp_WML)gUs9&g4E?_x5)N4{v1C0tVH{!Fbp}^Xv-VXtz76VHhrOMDh@UA2y*g z>EHl9%>UyHOk29dh#A?)d^0+ery5wD7UbTM(e7Cb$ON-#w}x~7?9X}lpNs+2%xIl7 zS7T{r;Xea1Wy`nxj@2$Q3*4RpD(9?>SgzLPmEGgaf~|XEMd>4v9IhBOB8KfS%0$0p zzfWWgbZ|WcyrH)}hMAWio$srlm(;j>nh9|%3MtwND-!TrUWrWQMCS)6^l|TooCZNh zG>Go^KpeeO$gRakScvYALjXra3NnS<6uwI~LJSTHYFpA=wT0+EU1bmKGJAi@T)fch z&q>rjyjcsdpJ7HEXu-X(kH3{) z8VR7sd=*ShY%y64Xc6L~Zb(sMFI>(`p_BnGfPk-IPwSt>enfX9X$K+9WcM?=lC-&M zPdA`~m^Z|Z@lajk6xPbvM)VZfh%F2wVB-powj9Kc#ZX<%=$cx1{HHhk7d-C<9=RJ81K^Lx0& zkdDy8FoEFt_p)1F=2sXKJzTW~{WgKH;;F<6g>yBd1z~44FGQI2KGr`vG`)@1@>ei5 zlpL|HGkf9oZj=6`?xgv^p1rG7B&MO;4jGkbQi4iz1T z54Xf}S)TE*vvZ@_#U7Sqkufkhum@gbG~I3LwszZ8?EV}mH&?%Qad5WW*PABp=3~bN zaOPYmyfJdN8F}C1JVF-#U`8 zMu3!ayqQ#bt=U_#GuNiRHtz{rFcmo4ydO9T*oa~O1*;QK1g;^Mf9r=W=#@Kl`8VUI z4-2&Z&xSgU(=S1ZEVIriV56eXaic;rc;orlPt@f9s+V>;1uXE-9{#T~|IsBc3!5YO zFD50d{aB-r6@;^4?4q5w+)N#Up zZT>$leL=SWCAFl4l?DvRR>iF2yhQ-#0c-zIHEH#~nbakHd;P>uQzc=KSr3cF3?)r| z-%SBP*QnMTm#Ws=S<3kTCs|}Z&N|9`G!2&VAN!j;|AI4V(!lWihoGliwSdjG6GIG| zzxI7YAAIoObN`NbQQ|yiN?&F4op=#KKZe&{q^NZsb4g$2KFVj0e>NudjpL$tQDwpt zO~GnFn?aaybi_?plrJaMY-y9v5i~-nde#zY>*!|Vmf@;vYLaVqJ;~&GaZ5YoL9}o) zL@Qt?UVMWxKsA6%@yL)_Bz^FO+9^_Na&nF%qUwu&KZpyrUyaPqLT#CURG zPA;R0%t&L4TGit-s`TKtrD$}X>CfdCx$XeInn>tDWcAmtzt{iSql=tEzD$JM7YEfi zUlu=Bzv0S8&%L065=u`J8k5y}_N7Bz|I6JI>nH8n2^;DeWAob%sQM{4^@0*AJ=4j| z=F#ASnxUBsxvErNA7fY+l(eN*ph%fO!Z4Cvd`J-d{ zs$S`oQCRIc8s^v?zd<DUo zT(qOxH+_NoEfjsN%CN93m)f?dqC5j0hLX_d4s;X7p)N1MVUUjnkgghO83|EJZpAS3hescb}W(+gf_$pq0fYVQRIH zSfX-Puzf=bGTmuEE$5YAP17w8YLghc@n>JQppXex6c9wnC&#HIW3p_4_d=@as`ANv zZ-iolQy+h6b|(y(j{hJ{+qh_9UnYGtjr?+J?%bWA*%-(Y&384m#HG#*RX}6i?SQgQ z$N2oBe7rBn2-6j0F9?i>Hzud!NwYmJOW>juaZf$4@ex>?MHCk#p{JWio0gZWhi9H& zSL>Zlt1`yqv6f#Eyzw=VjxSZjIeK90FDE#C10!vuMR3o?Ka;?{RK)FgV51|j z^ouAcB!R1&2S&@Q{Z0qLtJ|uqMYx=eFZIA`QzjI2^T=`Nwwh}BrN9YV5;&Y9j?n|l z7lEw?7EuP23CrJj%ISCq5_E!%Fw)vuezkCdtOU+30(%A2hfg;XR?w`1X_nXWD}@ti zC2-3T*w}8Ka(Z4Es1E|u{7j2LmW_WZfrGxpYGZlY?&=~q3r?%xelOGU{@Hk537kO$ zwit&Jr$_+5nmos?i{LA0evE0>(((hU;RG@XoNhK=-2-bJfnCF)>M0Y%zwxy3>dxIQ zI8B91=xGtWvhn&7IPnNH zb~$072jBt2Y8Iz+Zj`%z%LDs00xRr+ou^1}0Y51del4Qf=y{#McSu5p2Ud{iu+t`s6AjQWQei<_!h+$txZw z75Pa64MQnnY_KKvBZvjn8H)|^2uZH8AVraMqTbv=v)s*h2AGI&=E63+6x_&HJjN>W z61p0blEhdGOKcr2e2zQcnT-hdT-fF-1-I%e9w}9MiKiNq_ldDjEU}xk@VD1>4q`$) zVv?(TNKsaus33MU3s?R+lnCc5j0>UQcB9jwc^%>rmhAC}6h+^OYG+5M-_2*aNrbZ& z#(ksUE_lTQsH)2QRVRBmlcMTh@q7gZ=xIpYCdL|fqT<=n&6*k#pq&nuSYwb>FuxfT zJ>7{K26vP+`~pZ(KCgMUVnXnO!nha;Zo5}JcFOV+IvNsO#8^X1Y$Gka|GG|pbcn~> zWPB@l>|WQAy-I{L5yqW@0IiPTs}K($Ga3I5gcx*WuM^>dEU_;^vtjurEJQdGOKcu3 zT$VdOP=pvu0RN^R1n%aW&=TQ@g>gj`+-%o%%HD?HX@zms;0|xT2{RGS$`b1Z0)qL0 zpbalAu}SP`6OaaUqNOk{l7c(w6_2>Ge50;L3g~xwOKd3sV)Nt&3J_xpI#6y8PU`o=(*C9kj{q{24|foSHDMjDp)BipNJ)o=}tQp-qY^?nDLLK?ka65Q0fj1Fv}w zRplF1H2j2!v9*@iSax)vmWCfUG1kix+YSnV<)4B%1?@nYJ(MSWNXCQFfJO1ds>lPQf znPNxJ@Z`rthX8mN;XKgug2H*C#8{sW6lkeWG!H#!oPov)C^k1jQqeyUOzuB?Ab)|jYkzlM{bgzv#dF6H@YDUvr~I!3 zY0kfV#Q(#T?=Rr<9{~0jxMGQ=7&Cj%`lkQ=aU8Skj7zLNm(rPS(8^-Ina_V|xdBmZ-O^YaFia)K=m@+}e16vw_ zZ3I^!37$PX^|ZXp2|BKPy8QsGU`7jLBCplh0&k2<_aM*q01vpgia1LTY(@l@V-Y0< zNl@?R@uB5SdZ)9=t1HM=Fr$e{=P#Hs#iX+r%qaaCB^nFBQ6k*~mhEw00yn3KWAeZv zvOP2F!d) z1f^T~ZFh#bxdX}@&Ue{(9tO5KEO1sXKb9qra@ZXF7|BDp;Ad!MPfk$iuveJ3gX#qoa3?>4dQvNw~b2HG2S zrfCU9eVU`2v*^B9&C#1$qc;OgjelznUH97r_U_Gn5ST>^Xpd%Ujb?U^u01&;eHwCY ztU33(!{ezR$@-tOJG|dr;}phxP->z*j7&Xbr#d|IDN$Nm8@wb@;|_i7V5zQ3Vj!uJ zywr3oh7_C4Zg~YXIHzY%ZZ7uaUxYldperc-KK4b3izin`wdmKD%d7lS65BiXAJcC5 znFGfIDZQiqJ4>2P^CSL#()KG!ca8jmIF0<35{-__e!HwRX$Z7@pC3v)6=<29A1c%r zXz3guvZkSUu_|ltaBsFa^FyUQcCYT+V_RRzY~iQ1;`L6`Aty#;7mauH0=RN_Uc4L} z6GfIYMm`x+wVrWpb9bYAGoBrk>MqMRapwRCZ6Wrw^_z-FR{ES8du`jt(t*yCwuQNj z-paTBMw7+$^3d~XC$d566JPNMgVbBQt|5&djDpY`+QoVrke}ay?ANW83Q{!+CoBvn zRp`n-yMRlPZo4^4e8|W)e8AO<-`^?U?mf7)LSFsTC2_Whc@*JhRCA!s6FN3Vo@mhl zkR5rv=W3@vr>Zk+H+&!8Y-vGx4}g^W4OY?Rt8YPomCGYvXCAPYz_doshg$5({8F5^ z1D<%zMvIw$i@)Lc;*Q+a){P)Xu|uA-f*!F?1?Q34o$qnmh4GS= zoBR&4odYySY@?lDf6tN0A65XlGR|*n0Aycx5%@$PGZMEeBn%HKBpCfCCbv^h?#ei8 zQ=maNU4{Ym z5weX*hnpFh^tl9WY3ePx(DYjZM4v`0du<;<)_VojAR6st7fWhyp{GsrfaRy$X;bmH zo$ki#{Qc(9;SygM%Z1<;ezpIN>D3vRFLj`>S((# zywYpXKJ7W@Vcx|RF`7%pLC$#|#4aZU^^;Vi(vW)mkXWCJr23|Vw&cQ*AR#6&>K7xE zwrOe-O`gtg-T6;>8LxIfWCDT_tPNuGpET7OdT5)rU|lBPNxPVJdkH_4MbaL`Br!=S zo|}tzP?@dle1 z!^eJ7 zh3iLEq~0sH9yCfXMAtqeD_v)(xtqL#a%JVJ5wl*k+V#f#~HU8e-$5Xf*(eIwjlB4UE^1i&ze6ud$t}2 zULrW2QZHbOIk>l8QuPGf&cQpLY#rqn%srb7fIh6tmtO2b)CHB>(`mFi zTYT(FL1|_a+2&OT)~VFG-Pj_@8+tVv%DrQXKc7xTx#wma%yNbC2)1V2%&>QfsX16V zjQV;8KJCi!G{J4ncV=OL_)(r!TxGspuFP(XU2d(NH|4OpowZE0dR$_OU1oQcUFN%k zUe}b}UQs-d>Bp&)>8COzy7s-UCVWy<>f!GcyVJ}`qRh#R09#XE+t?Qar?XorZOGl; z%=5)&fyat5oWd6`qmu3N&hXCqca{t|R z9&mSd#h~vpc^5P*DK&^qDfJSB0<0)Ow|tkRZ`hh-GDcn}T|YZq$F9ufJGxa9`P)zPLYIuf0ECKkw)@A8g!k@_U82`hq^$ zr8V{(mUHrZx;??C0No5>O!NWMbQ6mix5XY#DQmB(&pSaiTf}7%1pC3fD~8(syB*)3jGNq%S($|f52f9F$aEguchBi}(mAKp zicH+(<16d;`q>ZCY!eA78HO(oo_dHzBl-;TmMNK*8}IXZlC?w&qGONGB=%nqFvy4q z8$6o9eqfE!=}`?|ytwv6bmrPlgWQC4 z>dknS9X+obDN5oUFt599*JJ;&-OSzgvpvlpITHAtJNSsScJPrkWz0&gmbl_I#kymq zZyPJObL(zLB;##Y{+bc!W$)r{`jVG)$dN=)ke`*x?-;$h|oTq!@jucZp{w7 zavlI*zLC?a=7+sf5_u)9BzC*=aOi!_rP7zbG>$2PW>_B9SufxExlR5~fP!7h_p0HW zTUGJo?~EvJxb5D0%0grXy}}+#5`ZLs*A%j$b%nk5Od;31;TGPtOJOm{6BHr<3Z!Cx z8x!^l$g^>#{W%s+arU*1re9q5$~QnpGbhy!)%l1z*#1#%x^=d-#+SV6b{*i_ixrJ- z-%$0exHnLw2rKMU>k}~qOaF`JLH>42W6%0;vmW{7Q~6Of+ybG$p81n!EX4k!{hJnD zp-lQ}pIVV>4njvVZ+oLAg=Nco*H@gzvrn!UVwDVFk&X22r=qgG>gJDtek9NcQ96dm z_S*e(^8U*1_fO#6gr3K-7duCC3y}na^K2ddpx@~AN$^ zB)yaWdA9}FKK(?l%|nG^Bm8&_bjTCf*rcmN&N|2ko|(%PT*(|h|LiF_^MlYS?)&Y* zwQL|w*G}n_?Iv~Ed4WUXb0Zmv(YN_s7p&6D64Ys8BRhs+)k2BsREN^PQ083%KOEvd z#~9zrS!OH{_0M$IKlZyMz%9fzw9I(xzU;t*Wgv6MZDN^`qKS2=?S8ar5+IN7LhI|; z-YuA{)TOv5JhRv{m{_xTAEou;*pRTO+lSX7Orc~{p?L!<&RtBKPsyB<)18@ zZJ6BQyQp3X;I!)=Omt7|YSXoAq|VN|ClRllb&qh3IC|xMIs;f^A18O3Dko~-Wcjaq zUC}>7RhwVOslMFSzc>>`P~8*%0Pg!D5n4(_;bO7K&~7@i8<^JC(A(+ruOC zn7<&D3);g__Tz412Ln^p-Ec+ML}QcZIr5(ih9H?)tIl<3aVKY%tGQ7z0y6&&A!edg5b4s;ippf4DKYAuh7+1>tRVaF>A$r-uuOa%1 zxgV8aW)iM8`IaI`Gn$Q+~k6o+S!YuJZJ0*NwIp z(pHh`kP2dlB&|x`K+7w>NfgU3bopESO>0Yw^z_Nd2-qb z_ELH-O_o%IHd64>VWr1AW_rRTp6f{{Db2P+__43?#9KDX)BxFatIiney?@$j9`Nv&G7I&S#N6~7Vza9I$s_UW~E1!t7kYW zlq+r&moI5XW%6uLx(lv*U;hnsU!>TUyGXKF&_152F!x1hWBv5S6MysK?3b*|U5458fjE+PUAnsLBPb}N6M)oP`G^PoUUX7=E5 zNo&R6N~XTZeTPp>&xZ^QNk|-&#)PO=%-2%yN|+s<_?aT)?~J{IZgVZTdEUHrca-q%_J>&qOA`z*y=vwB+30zfQpwBEN)9In z%Txa3oicNkWvFbIehGt}^cN$>8^+aRoLyE5F~`gMpH`{Ov<3HzaH|>C zwk2r`e-91YT`BoBuCI~N;nPPjQG$agA-y+l;PP#4H9qrJRrMaYZ~F^tR=@Ui8&+!S z;V3W47{fFNgO^P*`yAsNGaH51f~Vx%TB}Ts_+XqIaDe6ar#G9ul4~kl9aa68a+)dx zBi~Kl2JFROHD87v zUs9=-IPDutV4e-%|Dp1=`sSsafU3+$+cl;U=UwTA`EbTmmrU?NnvRw)>g%2UJ9PBV zU`kOfhFgQA<2}u%PsMkc1UK4`BRCs^49$WaXvTo?=MHjX10tXM9^c%)hvjbH7&!J^ zO)qH+_5X+2JY`{Zq(xNAeZL2bw9X`%lt)<8G23%{TxGtN`isUkoRH@?VcwpnG!Yla zBF^OphmXL;P;1LpO4P^g6BX#Y;-LhGr8N5?#jQ8%Dq1>mv-W7l{>U^%SlbIy=Fc#J zUKQYmAZxb@C4cZE_z1Vy>ourN4%KnaqeUal8;2=RbAvQPf6M zH%-nq+qO*3MyB@JcxqnOfD>TGt+toeWY%x?Pa$Wm%rm|&y}Wz(@>zI8`<6^xe-NxG z$@7Z!AydPa)uBz?ddP{r%FJQ)iNv9~cU$NQ(SiJrcWPI0g)!W0)>k~R+3N}<04`8$ ze#k2^0B^k*f29)nVCT*HZIT`0x?3baw{Lf^zweRBbwTxwY#by<2BOjRbf-fe?h0OZ-`(Gg0Iqk|Xy!*j}^6?u7 z4cQ3D{6TQDOFz0^HzVI1R{AaEnRlxxkIZ~ouWzeqx4ws!n++dz7f@zdEFvV< zPB?HlxCfrcnPOMVZHr5zaLdyP_}vXP0>Rs+Yj;C*oe@zzJt-QQD$|omEmdZq)?4p1 z!PM{1Wo%_`+B0S=^ml2Xa{0j_)j@h_0IPA*=LQ6i@Vx9m=JHJS#O|d{=mg}ad&^VH z)}VJS-*}cQpwuW>C6L5#YNrQE=vlYXl1^%IzJ(YVvy1JRfJ>U8TvC!3T zRemF8_LG>kxpU<1hDzN#`uVS7Os~W%H5sq=^w$^XE>G)$>K4T;Pxm>CmXDJ-S7##% z`R}hcm~aTsyIL4OSPQJ{{PZ!wrO(`??0vGEnN7LJCfT|kKyv7`UQ5-5EH{s<0lxqUOKcoB30V_$vjr6pqn^VXpFmTqeQ^cDx9-vS4TKNfflCc5g}Un)DN zDOgBN3zmI$EOu}gwww9v{k$vB85!!%HO=_;J9FmF<=un@C{u^HwwkZVAbV8kb-%Qh zzCOdQIkDtwAbNvxoPDdpr{N3b!CM6j@o9m&iBo8 zYrYMKUf+4HK4-P%uAXVJ#mGO|bC8;8TN)~D$G>bCjnr9jw_uz8nv!LALe;xfec0(0 zI5uon^>)Dj*l6VYbHmHSN+mVtQ3oo*pw}Qa!8z}K=J&+RirYUfHwL=wvXt*4*Od^xg#oC=lRP2Z+xv zpF|iCybgewQ3f6VBs^fzk(S(>F+Ygf&THvq!INPF@ zJP#xa{9=P#(S)!diw}fb8MZ)3zI>INAH_1^eNeX_O%;q=OsEK&wSo*KSn!Hrlc(~ zA5g>AbPnERm$*&VCu;6`bZPFgp1h?8`ddY>0t2m3gP%5y`GnB3Plj#QayOm<)^d?n zeH9LGNmKJ5nNyyzov^`{xlgjP)3YYDvte$4t=z79Y-JX8()pL*%i`1L*kByxe3cnB zGdw)>^6><$<2G*~He*y0g(_Lt&Y#a;Nq+l}- z7B`dNZzc)scykng*8S$e=kt3vcAzJGGd=P9uZ$DxiBG-QXb$$2%P#|Dg~*GzI}2Ih zH37@ZpBbpzFg+5(fi~(6{o4cnLuS(#edkNS8=urO7yIV;_1p*b@-OQQbFCj=wj)L$ z_^!51ZiRyr<9}kr~2yI?#9>(-He0T~tFn z1|@`5h4=KcD#g=7OOZXVBb%YJJ^icq-6tmvl{EV+J-bqWd;})jP9@1t#-GpndC%}}XveAxRvQJp8k@|Jg_Q*RN{&8s`F zI3{P&J9n|_Uy4;Xx8iq>!5u7rAJ90M20|us*R}U6V$!fQlIO~Cd|AP^yu37z(ygB? zuy)Sq7G@s2*GzD%bqXiEqcqz0d#pOU-VKu&6c6~aHSQ7|Q_<}iFu`X^=rb^q4smjA z;J@pOmSXu$b1slr91?81%1ixd3TjxUc$BotTcnD7(dm2o4hY2YmSv?}%aBk134Fg` zGSjeT&_-wd6Lg-DSezSd`_r4q{pBxL+s`fy{9B1=hG###!pHl1_)D`=uo|TA?VVqF zwEhT}Tl&OCs5dRMKI_f>P4ttN`Q)Rr(hdI&uk1>R&pmA&c^ub| z9i`oDJX$s{D>_bj3=@x=`FXml87&W#ob|5I3$o`_<_Sm&7q!|{Y6)kvo)&(*1p|71 z20xu&==TbTcU#ULOD*x$ZX4vgP~rWyr5pNqH)|icA!I7>+V4ziL3i&ik-Zscqa?f+54!bK#g08L!=LGQdrzxG%E~Z34|ozx$6PzRCG}){YElB=8dDMtskh&gEMXHGNz*q9rHF zlR*3wTg5TAgQ~Q@+-}1juz$Jy9_(W{$n7IloQO(*Q~_T?CmYryuME_;kR{F|@nAEt z+NXYS4v&TnL~nwjem%DQ!#by>g?Qlu@p)HUoR8nWXndw@Z2l{ty)(09Ab86#GJ0=- z?uoxL3h~Z(%s@`mQ2+8H4xKMEav0(H>IR$T_FLP^caC_&%SB*LXi#=BWgKsQ)g>f~ z;e5j;(D;`d`gk?pj!DnYPibFN$8R)5x4L8+aqcZ&=Q{e?AS1~u=MT}PIHp9e=^j8v zDc`m0AntN2Fw?+n{)u{~f%Z71yCGHH@%5}*mNI5+c3`~UANwSFICf}2W3M)Jq|SLc zh%rdk+R;wt5YyhnlmjMX5hS1aGv?ef~ zahy_EQYSxUG`3-WJ#3kX@S~7R`Bdl0IMrFNwMoFOjn2)(Z0qe2`Yf9C;KX3t4_g$u zIW|&&{XUWXBPkzZGcLp!3#hlg-K`9PX@XL;*(KT&IP|&q0CMd^mgl@%(7Y&vq}_WpOSL^nDr+!~M2Mb+?r z1!!yAl{ndKoLr)QaJn7=SxR@ZWUK!5nGAyZSy4g9Y5UW0#j{?(+ClDCc2*MSDXj0Q zHVvPST!PqsMZw3#_#fKRUZwi{4cEyK4-+U43ePc+3+!m^Lpjk=&wt_u-Au!S)U|z*We~+hdclf<5DR*)u~pFla*- z@{u;*3tCZP`vAlt>sXp3%ZPTnP$b)!&tainAN%+%=|<_I5#x`cEcXHBxM0ctYdLLr zRBd2#XPQCV$TdQ&MTRh~H#Cd!djCYU^$!Hc{j;|$n15c-+q(&c}k;GJQxpG;<(F+UKd*Ve*03==Vy(4 zdUB!wgYe~Vxm2&?T;{f{Q+-4z7qcpw>Od8&^8ZO;cb(f3u}8EseNyXMYGFj3iu#VP zf$BdvqdBm{EzSE28lVU}k7JH0qfytE)1Q+`^*~|hchdC+v_BMj|23YUPzkM)cX2BQS zv(0kYdt0X5+k&pWu76pnN-BY@Y(ZA{b4Fu4n_M=d`CP)T2t2m_JmPPGL)U@M*{-6*pj>s6>>Q=n!Dn#i~%{o9y;` z2U+m!R?1I@Y68z^4XPWhv7u|CXTA55_m*Livs7VqGLkC}{Dg>4HDs53?ZSP;V7m~| z==^gQTdfAvT5ds>HE>J9VaI#6nX&8fdGs|#PCG7{mwnpLe@U<UKh^yM=79MVH>E z3Q#zs|DvB&onm~1Vwo&77n%F!lXIKk3Msn-#^c>SQrnXxn(j^8?!s|b^I?xev4CFK zVX%ZtRY!f<%1u<4kNxwYf~*w&?`)cV5UXx&TlIeHuJ@vf>cFP5L!cb_I1DTcy0eAv zK^FXkcIWQN-e(^A_T6XPZ??{V9P5C`!3Vhr|64H}1-*&sJuw{1VFFQZ$>)ZoltEWt z%6_Ak1luuH>J{tO9g@rAggENxbLySn`mHeHPuo{-99^O-gB+(s1rf!45~I@@zQ4z1 z5eOx(Z}r}@0OGsu$WsMK++bd8?nH`&DqsBYy~YwK3htWkJ)ys_lD`T0%648D>F)OA z4Ey7f1B?B}*C)jD9-m8Lhk-M?AJ45_vMXz6jUG8&Ko#dW#MKH;zj)f00@k z_hHgzyyK0wme+Dp>crkxMBp@OeXH{dkIwg=5Ppbw<@X7GZRcZ>5?r%JQ1`HhP6}U0 z35957_M`47Y6CSb=Z2@YmhCp5%l1;|x)kV{YajP1H}EIB+_V#|uP}YvEBK-#!TwPz zeT1>u5l}NU@~t?egihk;@KO7)$zwC@Ud>~N`*EY3s{9P=ukLBx=-lUW3`Bw^i7hkI zuT{%uGNe>p0)8-aH|*C+IBBRkg_hh;c~58gTFD;kIk;%d)v&M3JCO2hoXC(X6FxKA zC%2YoW2yMLlU;r9t9@V&$O-$?FP;PTkKgSWfWxaVvBR$Gy>B+hadj26k>mJF1XMGz znRJmOhojZt#qlt#^)SrX%woIhv4c$9zQwP|VI4mD^5s2p<3D~mFS=mRkzfvSuHY{4 zy#8xgWgE}RFmo&t`X*mwpUW)mOD9)Br_YC_qs1Cu1&g=ZTE%DbuvC+rgvtBq`fX+a z>(P#c)suLEo72pK^nTxM?@`T{eJ*WFn0I!(cV>tvBCOp+8;9UaZ_FGQ;{`aW&qAm5 z+dtCyd>u?GAK`$qnPy9QP0&i>qA@n?(adv-Tog-R~=Wy^R?-al5UXh zl5Q0NX=$XpE}fDKDkUl1NOyN#kZuL(Zlt^G-TQri|IEy(=R9X-c0ZTP-kmhQ3t8LN zFR41_F4)3xu4qdBs6i(jq>FcHzdRLD{zZ>Z2v~l&FQ&P!a?AHTMTPEv(F4>kD&%Z% zVgPZPm5Ozo<24-Lui#|fZHmWD7{2mBK30yL8nHQz;yBckd3lJ4yTS(Mewwvn-Sm64 zPKn=1`6OpPFMFiRAC>Xw@y%7p29*h}`9w3$n=HrZ)oCL$3T|g=Bo}Uq zYrb&7_~2oAvXgZ9ulK;`(yE{|0fTw6k2Y{dqRe4Q+r% zbq4q%c~befba#HEq9`8{NI~S=X;)^+nNsmg@ZOgTuJI}TQC*$pj<@W0k5ck*%|2FA zSn7%E$yp@g;$8REZzYSp-&IgwxW46wy7z^p5H^KKuG=%a5=mB>Zk;Nm9 zfxZyCcjBIMG6ZdsQ;)_aK5t9zO;*IIJ7q&YE#K_oq(C>2cRlA;QeNCqg_^X&Ww2Yb z4%_p8@7>S*iT^~-(*1A5-j*0xIUK>^4m*CcI(@tVaHrhU#ZX5c*6^_%;^{PBOP-t> zpe^2wUOy{ZJR)r}tx4ge_VbRNWxv>YBE9onKxp*$e5?)A1Lm(3bj5GRc8(IhB>pz5 z?@fGmf2{nuo<`Ypsn=qp)JuWtvRLD(+J_MvW=9pSVt9MUSIxEg<2(ouduMcmMR*gb zt=v)jFsjkK68PXS#Ab-q!ZiRn-L0dM9AF#4d4dyPS^rhOlJ#W5dWRH)FC(Z=?8NeT z%CM3EY8M`DChjo?j7*b;teT00GwvP#ohxB1-Yw0qFdoIdQ6@9kOZYiGU^N9uINqVM z`*wr0As5lb-_+kI1IT4K**MR1Tb8mzR;TX8HraF)e4@w{pIu9QhVgWnmlpqWhdCy$ zPRBj`WpuslZwThVNBB)X2Ck+NLSyDm7C%b{qRZGtn~C1Qc`(95_?|IvOPuOUjM$io z`3x}?$JuNfh<`pog}x2c`yKMk%d(3T%$2O@CD;@H#ZrA5h}roY#`W%&mT9_H)n6QGZ5Pz4l*t5vu@TwS=pS&^3lwO27BCCBS<^kC_*-N|?4DZ-B~4%b0A7%86o)+7pU$UtCKL+)$57qNrT`+;f_?@ojsG zFVZH+sVs+oJayx9W5Y+iFB@T>$sGT-od&J5^=bdA2E2TuKcDn-g&7e)=WM$(iiC?O ztO`vE_X-pC8;q%>7a6^)|7MBK;p{75qR<5q%5?5+)lZ$7nx2{ox^kj)^V_(i>c=>u zIMPoQu+4er_bq?Ag{LM&l?G^PI zI^8Bb3~ZJq)N)2CxKs?I`?wqp-O4G)XALK^sZ=}&H4IO2&Ag#-9CTNyxOY+#HL zbHtMyN{J`$Z; zC;b>Ji_EE1!Q($|>SsC7+o7$}IGmZSw3Oo?zCbi&`61yNFYEt1|u-|0ThBQT^oni!7gG_IgkW zVGDeJZBRzgQfi}9Vb|9eIK8L0U5*6H1zzDo-#59`UiSA&XR@6kL;*yREVeUk(n*AV z&Hn%t3KinN-x;izO{t0Q8)k7*8$Zvj*N4w^JA0h=f9L8t-Ct+={#@>*pusj?hICil z^T?3NUafzgSY}Y8Gq3@zOXvODPteRht(1O@+pO2mRB`bkbMigp?VOYDn+Tzx5>)v{Kvt{rwR&-s6-pl2TBen5e^owq`h_2JY7hGC8 z(HowG%34C@Uh1rQlPWgfHqzt!)rmH4q=zb5Iv~s6IoPgB&@#UdvsF|!;r0Wpy_#Ks zQ0ZjH#Em?@bGo*TTt1VtZ~erwJoW00LO#G|vZ%qSBb@(wPt!|!OPjU3qr_Kp>zWm? zT`n0d@u>WMs&$!Ia;*{ctO3z4SExS{iYoKat6y+l{B*cs`>$h9snoM{8NYw0q(jp$ zWV@WFq%VWta{Y5r-*5gX$a1RZly4cpIN!cKok~3^R^$cQs?daLy@)!u71x9yM~T`} zR$Ml3m-|T_Hq?(t)%Qg_y=tW5qAD%NXuIBzNEa}?JT*TOk8sPu2=yfl`5 zWZJ8&jP{=H`17jxt>Ngx>IRA=|BdFkz$6)wgAQi@92T+QH)?^!`IE1~%#EtRrA#?_ zeYdk>E@|W{5P2Ah!N(b~(bMNjZsyDXRB&3{XT|RrhpiOM*Noe5l&Wt>mg|+)U?cK# z*O)?3aA|?yaJtN`wq=xT^~R~zDowo5D^11P-}Br{sKWlq^87HhQTi`YyY5eF-L}c~ zL!wrG$6;EI(#Eo|4L^>gM*V!iOE1A*vxD%ia%xdarA{|^!>F9HJR`O7GG)# z*gw{NF7jH)YnYp{l#H^PZ&~o>b6n6{keKxd+_u-wF(~&SxjxJ|3~!KquvvjVu3mLJ z`F1=C9*!GQI_x?DA-AcG8%&hI?oHbE;f0Eol~qLUn1X1>BI!o%IRF^AxDV!fwJy$B zcoEP3-LjkMsSxIOobfkc@{mqHymOtwSSs2+oNirca=>@;ZD081aPoXq-g-V@ZljDyYv*Qg`(FXUlIsNOxvE9CSz2fgV)V9zAJv ze~#&fqwR!h(4+ihl}Ut2`|0SODZ*~8G{#gdWD@$Tw~C;-ACHQ5WbLUh8@4GANeryr zR4GEDpzarL6o4e9pYH{As%Hd5@suau$?kQ*4#^~Bq}I%k`m1*&2Y17nPTlpavRO!^ zv_6%n)j@1E$!{}&%EyG_4x<0;9M?y)9JL^RH0)gE`Y^h2Odjp!`5?HV5-IEFVC$ql zljgnJbXTD5=q+`woGfgzhBV@eKc+GwjQhx4-Pa?458ysCawgx5ydOcue@@*@M(Rkf zb5YyN({>gU+ntdM<&9Hk6ikT#Z#JugjfzmL{uxH<k7oAIxAJAA3qc5X@T zrC+}~;L2LK$gQoCBH#SeXF$I-0rx=TRNeipmz%jzWI^&7)oa7qz1&M4NQp0bj>66 z(^CTszl_;cukK=Ow-Pn@h!ROD!)^O~-=0~18MJ!K=HLa1m5gh#e8kIpLv#^Y9;4~U zOsOE4{gH?z!t#9=?y!$*&Ihjutw?~rm)0EP=n5|>;J40#Lhp`ecxv7x zLk^#IyD*Cnx|fo@(_omH5>LrPeu3b;|EGa^ryuQ%#ZKtM8@peMDivbCCsmS|?Hg>H zzI<`DXOK5j%v|j2g~2<$|AN5ce9E4+($Gik@+`@wY@LvmbL``&8TJm9;T%ogT>{IJ zw#f=m)wDK52(jB|(=YRyoouNIBH#BT{EkhkeDd#4{X3OkGi)d@p+K)UzWee z90Sr_AC5;@rEer_#~D6km41YdTUBb^1`~5WR>Y$BSvI8#kQkM_F(6jtF!T{M&wG#n zdKP@7ZVBb+R1H!&om6Iy(&6GyCI;b{;dpT)1~W03(X_2!mTb-A=Pcc-t?M}YlG-O} zb94WQxn2v@#sp*NT{x8WI5@Q*4>P5;q8U(YqpY6egV4+J+jHB zjKTCG!MWMaxo+Lo>>q#UZ>3%f>ghPx?H`yq$EbV0IG`7Sjj3TG0G83qSYw?x(V~!nW10Er}@Y{q!sP>Q6EDrKSfl2L|DP-dpo~(d*3& z%nHtRFlImgO%Y2xgjgKpLUIM1xBcb!OAyW1_*I3?JPkzoUr_2&4y;28Jo+SB8*B;Z z9hU|sASJ)^B*vkL8tKbC+6KQ~k9vdj9*ey10+QRMfkK?6sJK;WuDI?qDuLss;1S|;vLE|(P$67Dj z3zwV>8bikgH55XZ@f}-^^m_l%mGHZvpJ$3_@+-%*77?9>{S&{{ni4_CQY|+<6kHmB zlmvC%MA?#(K6^>k#|GgPPyaa2_1ff^QX{>Iwas=i)n`(TveQ1KUsEbcDC>FdIo7$8 zX%f|Rl@m#{WwF_jPrKg+Vhu_LU#}ULz@FMV1I^rvc)=Df&%(3OO7mgW5*Zl3f+78P=HzbR<-_t@XCE^U0bm$j}viFr39zq=Z%vJm(8ZVFQu=b@IQ5*Z9|v1(W3IBzpiMi=rf!~B2OV|E3A)ftm)jv z2xW_GvVM2eDKcy-!F$aYbvo`WdaH0btVy(jrYi0{B`qdzjRm-TG&}7kh=!vDDlT?CJfE_=p#biRle&1KJ-zwBsj6&} zs?w#20G{7w{l@9*AQ8>q7QCmuN>i?LN~T!XOBqE9mqr2vEC1BnlPG(I5M=+np9-1N zh#-xSy)+ddG7{5lPtNG2&~`0`j%?$FRuWn}Or^~P+^hp-d!vxIre0OzBUw=Qd@s!` zL%MbH{1Vwp($mOEvtay@QgIvqss5Rm8<~o|DTo~3_&=rA(K#uMq6%nV&*ug850^*$ z%uw!fZ}6y(b9+@>(6{JDrh0D;qSdu5K&bBLj}`7{UTO$U>^*BJ`L+jsY(QxIv}MgQ zwJ;NS19Y|Q9qzw1_5I~5#*r)XAcAZ5k*m=AQ3TJ(NxR)&Jd9?5sWhr%tmh_&Ui$LM zof-PG()-z)pgWxC>{WT5@2UtP_%b^xr={;}=nnJGIP&*zg>kD)A$5=*Z8ik3MuUjVOhu+5D^b!liYcr#VI`QxSybw)n8PbeT?je33 zZ^g_LE~@wn-OpIp=r$_$<*78$7Nz_<28j__!LJj%3Bf~o@?xAE29vXZC8GwM;x z%%gujXg%oXxn&|xOJvlhwwy=rGT5W`#ATzhvgSlvagm$)t7ilw;+56w83zW2=qHED?5OVYsfYM?d@ZVTzok_C zFiUgUI)=m0-*|mX^m85igMqi~#Fz0qEX_4|`>h?)oBMM8tk3E$ zy}iVb@z*TPXQsSjYf>-M-}bW!YPe|j5ThlavNnsX;{^AA=B9YZ#H_91GSx%;GJ%-2 z+1nHGYT$|Ex!_1BH5bMf;Tf%JWERu6&~Gk3cx>g!=P3KaYj_pL z{=+{Pzt0$wO?aPwlT&o|kzt&L=K72`zLYgg_Y_%UD_=fGd*u42I&znNt3p0U*Edkp zt0eXx5yv8qOVm%-_;c1U@6#YacdJ}J$H)#E`F+B}YF}xGwNW#c<2_E@;b&VX)QrM5 zEx88V+@0k@F>Sd9@F-@9pKahEE&%m+_IUy-+hF3l&!3-SI&xP8P=y*-)aV|Ae+XOs z@-^i*In`&T40GC_-!pD`z2{V-R)v<)>xFZ%vZ-jrw)bv_kJzwzwT=V*@d|8%SL+ym zhSCzufiPaAUDzj7suHG&prPgjef)KdnW5YSeR5M@l%*6-E&uY(R9vGvEyME7d0ev| z2dO016)hJfIb(M<;k&#u2ZovSn=`zvCHV^RxBfLVNm+Rx>l1=v{}HekW{Wpt3)B5^ifa_Am03pbE!`*ku3=awUtd>l@_Sh{3AJ#g z7F9XDEd#H_x`JrDEL)i2U<9bbPJhih z-FCWp#+f67D%+<^+>Jy}E@m8Uyn4K_Xp;5^hOI6696*Z}G_-GfZ|%zGXmJKb-yDf2 z6oLtw2_(9Xr7tx#LJ$0QQMQg@)`aMroYpfBka*INET7Rg90R6SIV?C3J!wMLm&VAe zE%sl6!Y+82*wy2{1AmD`sRmyAJI>e~KF#K$*rJ58JLT1JFPj!kuO?9X;M<>M0Fo%0_y*iw=Q5#^`KL_!O%I(2^(}0fekCcArHM+Yr;8O7@*s% z4>fzBaE~T~hXoWK-{8ICM~DZVlvltbtNF$3$!1GY0zo3fhoaEpH<1Xi@)tZ!Z{3_C zextST-rKS>hv_!sK{wI}CqI0|Yj7-?s3P-)QmuBUnE$A^?62T_m=;K55Eh{D;GrNhYc&iVb8rk zzu(K}=l}oP*OyUO8qnB~gXOqWew9T20Z^j@K7b-ZBqYhpb2EI%u^nPOE*)s7U(}PXXKvm7ar+k?Nn6xblU0~-2N>#xd{wfqS)%ycn+{~Gq3}h@ycpo$=(&A{$Iif1# zvg?G#t+8H5aQ2=`yO=5Bjhg~PF>5?Ht4-++6N~*b(QAyB-o3&-GHFBSu%(#W!^n?gQe&%BwfjDLc(!Vln5cXU1a~=Kd8# zo7wvNgJ1M_2D;@&2kGR_2Xp1Je)PK}y~1$iq6v4&q76THqf2x>|$IBRMysNb{UOKBzLzh_HJ6H~{w*QyZmYVgI*N%*Za zO)n+c{Nj^dHugAc9n(JRNav~QnQxG%^TU_6nAi_>@#~xU-?zfFswKRd)m(El_oSz_ zl^AuWP&N}vq@2><2xyfm2xwL+3CxfvsyTiRu&YRUBQTXNZ&=Fz6=*YTrS+=4!amc= zkR5Dj(Hlr}{~bqpNfeWD84}Y-KEfbMc0hN`m#*v~Sn}qIZ(e2@;+uS6UlZ6ivk9rG z`~-jIU#o6zbRKK2a{j{{I6vQfGfeMNwMD)8ru5xr_jLP!tbIuw&H^Uw{eqZOoxuJ8 zlYLa2%6t?<$in(8C%|=Ew?ymGw1l1hEMMnAJg{>}F4YjnC9*ne!gpKKUAY+^bl!rG}zxLov1~t9zj_g3AK@?v3aMot416JsCIqz-u zecb!~r`NaD{T=W3Q$G0b&5`swZ0=-wZZsu7Ot^AI8T~Nw@d84pOX-D|OPQi_2OQgf z&g@&9`BXYOKZHDtw^EFI&(JY>NB283-qv0-Qh3I8_#87Z-P6YbcZ>4MmtG<1M+;%; zyZ6Gv3y#dfGsl8wj?WF74*}544tTx6pg^yI-awVVv%jh*1)4DGaSUZPg5wLLXwr%V z>S!XVTS7!am;kkzlg1*Sp3kX5HA162XD>&E@8H01Gl-SQX1;DyNk(m_IFXNvuF;PQ z+DKT$CH_H(QyBjeC-{d}ecgJT#V>T*`DJXYjv_nhoAt#k2t*FkM)pN>$EzuH25YA4 zq)t0?GM04-1olKbTLfUq^O@d}*lgm)(tnMlP7 z{vJCyJPP?ryb9^NfcrBX`ws_%D>`mL>HF4a)DbBF?h3z(f@d_~5tCh8QQEizZkUu8 zdA73Cr5_W{gF+{^ow#ZxV5?pb{z|k;%tL4U2~o%Yo~~0FZrJLXWHzhw#q0{w6*0GE z|E$L|Rv8fU$YjhENEoq?bwF(_y`G97id^PIu^w{IS7qu<9+&~I#dkzsRof}wLy7Ex zt>Y}~Zv2eEw#AHjAXXKN9ieaybPkEgAhyDlMIcu9`{9Cb&d1ODObf3y7Wg^#L!hRU zFFZSnPK_3t4tv#iT?%gUDgvwxp>gc88ZC4kiYh>!Y~3UWQAg8WfE!CE;WK&FXXB~* zl|bP@&G1SDcKBJWQ{;f=fT>VfKm4Um+umGgSQvQddIuUiYL&=ocz{>Hln1Q<(>9XU z$}U18hK~4-2KZ_LG7(h7VXU%1{HNGtR2?&>2L>LlDj9T+z%9gSzU&uO51py>Z731& zkW8S8Ob6b0RG-rrIj~+)2)XQK6;TCz=L(e?S7tG=no&)$2}?oj2@?>=Np*1gY3!@d(OnQ(4t=|!%eMg8*dF6i4g?=LW~ZU%U>q3`IqD9tV`gj?d+}tAVCNgV zOsy0csnGRV!4Adl9bTWFsjIOd!y|GTa+RE%Y(PDd0FS4iae08!paF^w#5m418g3e8 zmZP~94uWy8Ef%^Gb{MdfT1Z@V)mZ>+f5Iw5tg`XiM%+g`XlQGBc1Q5=Fi2GP} z0A!f0xwZLi~`d;QQ%q|JMD}S1I2-l#uQa= zJCC@WNM?1$3|}W`3g}0b5;sD8m<+0mJZnyksSMA?cIPlo-CYC z*+*4lxFjq}04@!|&TAa2(*dQSE;1AIe` z4nkFNrxx)e>TG#uCg&Am)k1){-T_>dO=l*HA+v@oi}g^ z{h)arKy1aB#pvxo5I~$gXSmRLjX$dZ>o8`+84?lGuA72>X4{0Md;LSdr1qGBNT)p+$L=ICy z6xD$WS50+d)6>l;`=ZRs4%P>XV;ppE>IIa;doX*w8EG(@=adU4j!lN3lP$AV)gUd5$Ogy@4jJ0Ab3 zQvmLsb<5M3Jdjf17IT)-*hqWQei`#%;d{$yaVNC633ryrctzXy#jKX`isrkD*c;X= zYBpMJIbW<<04ISF!H05ICE*Ui2XXe9m8MX^7k`#3VH?2*WA=A~1Y85w?5Vt7fIaFJ zgyGTO7`1AJjmGN3BKkq&P>W+5*1>y=B|#7P``j9;;}!TTZ42?`W0Wha1j)d5j05uo zuE0b1D^JZ$uCQZxQBA^IjP1O=&8!k{-(}PTMNM%DpabW?t^TM}0?wmCSIYQ4FrysY zOo*Lh+TdP)RRxspo^DAQ6PJJ;o$<5gv7CMwH3Y&^yqp}bEQWModP&(S0Z*j`hn zFjxz+Wn`Ff2|Pmw)apyMmxDBGBIn`3$T)IM6c9Gik?%jY6V^z@F^FEY<$r)CZs)Bx znIG8r3t&}!k32>O{j_Yvuwm(&Pd~xZ*Y_F0KuQIgabenAIdYixvVaE`lB(p71cogC zapQ)u@%T#w)9NZo!lrQA{_X`#t6Yl$)837KM+AZP`F&vU^Hp)LsL82bE;#e?B^_Ot4+LERvVYsc0 z%P>ZV)0UF3P^&a7SPYfDcxTM>__Dn+g4C0&PrU&g<}LN%Uk|WSU~-{?6YT1YT4`ZE z&_e|{&Bz!h<1EhrUEGV?p@x7-+oV z^cJOi&fRF)Mgon>|ITngyo-Vg^#Cv=uH_0P_yLGkK9GSSsJA@9%E(x>M}RpcIxPK{ z)bpMM1oVb9a=^+dUP6TjITX4(VuQZz<@fL~4u?yEu!$(VE<%B6Yh?{#T1xQ-n6K5v zXEGQ<(hM>Te(D|xR#Sl|3apFr1i+mPxOrqz!zj7lx1quiT91`r*}VK(VF<^&rO|>Q zB`EanGfX@TIZZ>nrS|)oCE@?$dHnu<2dpD{?AQ|p%yVb_EC-84e)NHHqTcI(WxxCI z>;=mSHnuAQA&(k%UQs z1xkJ{#Y+QN`J*iuP|=Fx)5fQu9wPZ-k~Y*GYRHr~ybFb+#G*4}&rx{(%KqpxFzQRfHGDAY{R4wABm+7hSe_LsFFaWFKAToBt*1-^ zDu{nXx&>P_s3;&0-mL()V`lJf^*nwp)BfKi1CwO1NrsL~!xrLRwjVY_k|g5b9aGZV z>EaE{7&s2VE-lGBfZ>XgQZoV7>0!CQHLloPhnT>?;<~d01EZ#hkC>dDx%~s2&6ihf zHuTv+%eZ-RvhTobbSToPFWBl$0!n6B)@#DjE@C9)9nI0kqD%oS}X&$pANC)R*o>a0z zO^xKA3sq#Rx$>X?7Us147bj4X34^i9iKS-*U8(s$m`*(Jx&q^3f}na=^}o($TpVVF zk}S!?tQg~yA3${BR5H2eLayG3gk&(Kyka^Gh?J)c5#&)%G93siZS7pcKp$SSQaKn& zagQ{ZkD%F(JWR}8z=T>vcdUfQY$Q|lHVeN~kD`+)_J(~gBC);u(* zl?2ypW~o)wYzc&9Em8RU*SA&Oba%Kgr{qySsH!I1JkncX;33I`bp4IL5+&6eBN{pu z%X^6Ra?DQQLbAffV5z;g1c%5LT2fNOzKwrINPne-1jWy(SN|E&{>rO@!Qq+!yyG>( z850xF8(DS@vV`KM3v<vDvI(6({&~H^%y)jcI)d|VINiW{Tl7~7b#VOLwQhFG zG0HK4m30amajGzrP%T^C2tUSXI&u0OrM#u*&Ep9w9^*Oh>x@d?vIDISMMKKuos-s{ zf}!&7tR3KsVqJ8d2anW#4_4<<07fwzS(`Vp6bgf3f87H-f^62^%Y0 zI)+h|$Y(_7t<4TwXFi+%el+Pl`D`}MA1B;cYswR=>$cvOHbV*^6%Z&S5Rwh4e#m-W znSEMK_GrCsRevIm8$YhbNA8(;5o&?bug|;Gcn(yE3O?_6vucBC|f0)@R z)p}Fr@dUXdJbAqJ09W#%*jrWWkN+o1ro6M1qlF`V73AOhnR}V$zd2RD3oiLgrEQI# zN}L7V`a;*C6HphZJk%C|&O^;t96D5rb1v&@#+q1#>FV~Cn7M?~cuV%cXA<2Y+K4hq zq|)3izlwNs?PQjOg>mnGy-7ys-Xyp~IMg2;7OzT~H7uZv!Bt^D6zMN|ovSFrPr9_R zDOO>De}H0nl2)D}9C7s8dxTtNi$OACUh|78;j;BAx4d+ko_sIxhTZL}P-qC!KWy=z zOc!64W6=D5a$S6V;)X-%dPRaMWz3BEb&VTAri+;Sg-mx6H%ecZ5D5$NdQO`}_*}q9wktBAi>7Wd+k>3z$b;|3YmKyVy z1=d$l33STLBBg<6(%S_c8T97Owji{gbdR0L>bU8iR4@J)3&J?@x{V zs{Jpu6>9aL8yP1m^z+0aiB#}3=Hv}SYt!^+|Iy=@}|GoI}5_$Y3V)Y-a^;cAQKSeJB zGXG$BOVM5gLjU00b;FUMeKqFB49w=n4DLoFK{x7TCc!f5A|b)pFvg1rK|di>{5Hsq zT+qdrjLo|WNk*6B#<1+BNX9rfmS7JEszM{`#?yH*Y%J`HxB_&&&_Vy-qF_&Vqs*eE z8S~l&mY-1CeLbcjZRo~1p*sl3GG;pXvQ&k7)%Cjy=Su1YMZi}lH2=Wvm>06E%oJZY zoDh_|ScI^wR@u|Pp2wiB>xF*IS4ez6@0wnn1vy8npygzos(UBIAX5I@~LzJ$a> zx>)e@hWKYd`wuo{fgAZRUF=e04!bYqRg@l`RI{k4$@bm-sV~{c;XDxI16VdHUCn3@q^70`H`X79}6r#{e;Ae+r4Aa&;=a5x3hjNiv&vk|A8BK0(odkDcdVH7G)_Uy~|` zwA7#`1Kz6t{3sAq!xFC)X=iJ$PIvEGa4No_`V!;x560aa0BuorwFDuHC(#C_7HIq=ua$qL*L zSW@q%L^vQY)Uu=~4IYF5C-1or=(;6d!}=H6uoWEh%r7h`4hc zf?G)UEK7bZoKQ`!i(MreVh$TKlm%XPHfG-nxEaqKA!Ra%a3`UDmq7AGy(35u;%h=H zQ}@Gicx!BLj_6r|sPdAiwAZx0$tYZ}zDe`HW(kPFy@n)wd42LC9yya-i($3dL^G%{ zc{&1AJ9iMx*f}F>y)s%|LbFB=lw}!KMK#TOOWy-`zi2w6d+-(lhZ$_b{oN*zU5tZW ztGYL}PRE33zc=TOsJ?C+et6teI8~sa{IzSok*g3T=i!M+gvU40hxyZph!K;x62(+G zZA-==nIlZa{ALByy6@xOwQp0TEvsLXZhwDWSAf0sXWk_4mEM^8@k!~a0AG+LxS2Yc z{=m<_6Sc;%zag}>OJxRB^EcruCjq#R3aDrz26u?^U2t%&*T|rZh(rK~hK2^mh5D^?Dfg@7D&ehDw%*~F|%+AEswaozaGez%Q=90M0u`=CUY(y*k+-rxLt%ZGo zzI5|X5_+-Wi<=%2a!j8Z++y?NFp@LB#k@OiPlC(#M1x28_6FhG%T=a2IGVbb@6fWh zvuZ^3_;kyU*{Nc77Uqt9c9v2+tt_v%xBah|9y<`=kY3>60-d2_&?oteq<;qxxjJ(Z zi&tpIYQqgtP>sTl8oCU*%YwL!1oz@A^Wo*Yqv@xycuacuh`;(;`5srE7X_w%K7Tn% z)%=PhFwc-)d3x|^=SY$cATSH8{@#Vic`zb7IZ^Vk`l#Dy6elWL&`H=HSgSDcKDHO% zA`C#5)8sDEfqd!PFII0`Uul&Qix4|9DFJl;AIU1&`7N-m^7ovW z$B}6A8}jocQn1;_dWgIohBa(h59e67kpRk5 z-vd9aafdaGh=AFX46LyP8~-j4A&c)M3G0>vW{nT*;OQ_JP3pU0tT?73ADg5S&GzW& z@f}Z+RM`O%UqnIsPlen*nDr zg@Sl-S)zp>eCh^IefTW*okiTlCqJj&y1_5&qiJ>4x@ESyD!%snE#AJ+k|y?cRiY$H zEs8W=L-rIAvWm)d?n))wqJ=~%!P;^^x8_#e&V(hi85R1H4GvFeJfi5vP4U%+JnxSj->MHxp zyJ2YkD5bZ$Sr6IE)qf{BSSj#JTRWlIx4lO^X*Ow%JLZOZ&1k93JJsHNU>HpjzXnIMd`Meo9u&M}%=Ta`t(>T1@Vn z7yqD+rXs-k@=x_Et`CveKhGX^EzXA;m7>EI@NO)AthlPbe^F_Pf>T$t*6K7M?4o* zqF}3?{-^p^LE=2lf7=W&!i@_Y&KIW(gu{mzlL$kBKXm=!X4SNVNtoIWDGRA0mi>71BJ=+dEUEee@1f$RTD_0J;nZHKoP(3o!$%)-)+(QBRxOq2HLNwp8hMfHBCbB= z;yL{S$ZygY8t>rGG?Hk5v`@t8wj5XC{%awE%uF51$=|e)eQb@uY2=^~=UJ>@UP%%$ zoy=^J3$-fN-fC{9PmK0bo)h0O&WFrZ*LE2wxh}k1t~;te|M-ZaFm)H1*#F^?lXIS1 zP_zBTQAKj7Q-O{%V}!H_&qf&zT{^!=6dbxTFjH{Lp_F@FerT)Kz^Nx?#oJL=8OhD=vVbo?_RC57yt=#|+j&^#l1FSn@mnpl!`n+T4MsKPJX zR$sMeiWOT(-xHZpg`EaEZQb1JZIBeI0{txEQ$bdasc97svvuSSCzsmPtx_^Gs)aLu zmuu$qw(XT7gX;~<9!Du}@25>4RYt5tvhR#^aVx}x7CX4VU8JN}?AHeWt9AS&)f-Fe zMophsa#zgpXThLrM)jkfkU!O0qif{Y@vB9ZitGG!1)F9+AgW+$G-0 zd7m#Tn#uLH+?@tC%xmIb$K0D@ngf?~O#^<_K4S$ZSJ)*p_TIj2FB%<1_d-;G2KP_n zJxhceJC_WJN90RYa=$KLJ46k+ZpoO?LyxD<-)lE@%nHAqvig+#?{_2muA{{JJ6E&E z^71UcN+$O*g13jVcR%DGTjZWK8);@`=iwXoUuSrdt{qZ;JyLL?-gvTTUG@hC2lMkIqu^dM6AM8rAgyT5+NT^&%im{`{_sesW>v&59n}lcO-Sj;2 zvW+fZiVKW^PscQ?&ZVZd)nIPLT}3b;pT>ygv^HdvvKDZxZ0_rllq6HI;fHUL=H^&T z`f=^ohb$@qiP2<-e>m$To_!8n#aYS2WXX#~;7!Ay)7K)UEQx5@8Zf zeo-vE)Whnid(0fYA3A9I8J5q)8AM*-z|@;H71h_h{dtgiq_WB19%Ap^eiZ%iU9MR=eA=BqNM^??>WA*P z?)>fIjUnGl!U?2lN#*z*yN@FIr0*Nc*~UK`5XeTy=4DBpGm5Od11?$PWA>%a>xWLy zTS^JLeBQf{_qZFR?bw(9W_om!*Ij+PQ7QR1>S$%I$w>ARzZBik@wX#o92K=qc&+ch zv>KM=Vy!)o8OO6iqNtF48O6LyQLhICHzTEp5!gLSW-lCkrTG!#O2yT9-eUD-$lAV^ zt{|1(&qP7U{Mjfjp#R)OyI2{o*t4PTYOgBY|CE~Cx1-d-lz*TYDKaj{%EIj#{|F@cd`b_#J@5i-<< zf-|$xTBe^@Z<}^6q0{r@_;gEl{u=Bk{ZllVKQc%4pU!)#fXCa{EVjkZ`A$2VjQQ@L zy5CQ(+FCnre&3Lq>hn@I{7id8D6jY_D+awJ`l%jaL4uc7S5&DmuT`8S@^m#JL2J1IeWL%^U|ho6gq&l-{Bg!6=;sY0G( zipX>Hj%RYTs^niW8-EpBzO8!hZ#&5EobOBg{<7A4-4rx02o&yVRg zhacDrM2GJ{INX=QR!9Hh%^bj!=vlV4HR$HqvdHvx7eR$1HQQE>o6_&2-CuQ zUdoIFNL&VG`G5rq)BQazQ!%Y?IGkxwPAiIIyVu!x35sg za4(8(C#Nk--Ewy2R-*ZvUGdOH&h4_E{{CL==Ch(6Dr`86>I%k(`$(;tDJoR1hUEAUUTcEeMk3 z8%}uN_k8!Bd+z;X>Nj2ebai)ibye@|Ot-ew%o~6&b56w}aK}EdIy)#JJ7|pPoA})t zx1lA}cKp^yrirh@H9wxa+raj7s^i9;`6ko9MQXnDu|x$0-7rvKi{gmfi>NU%ca$E` zIdtE8@}t=BsIyJi-!oo@4wr=r@kNXEd0?O*rO&J7gRJv&x>m$35!!VI(fH~lUW^-t z9=;Dmv)*<&d)I^cn>%2F^ZjwF;4)UOb=`8VMPcmqyF44Jwy2rPH#>3g+18D~NEx0U zRFUYx4Jz7?$mJ}93v^QQdReY&XcX+KEP!#sBJjbAu_4kK&hz=naK)F`jr-*uvO+O8 zt1TJb9^S67s0$>mxS4I-Od#9CnsLjJm;{*sd^;gB3GfKk?hmgRGu5=;iN#xnK)kpI z5SHwfdbe$D8+u>p4r?wt1wVZ7g^g*fil4wl`t>5@D@(Gs%2}PGZ0)0gbCLDSl@>Nm zVVwdV)H+4rN~tgo*S$^@wB+s3|O)|8L{w@vs`fq|_(_X(M?j(WefzDCs8 zq~hTb`=0HywOUA(_dBGbckX|4aV(jCDo3FdjTqe?rI*LodZj z>m8+pf{cPq^`uY`vgNTl46hWa#_Bz&!acM_DsYEtU{Oi@9$ zM}2?}rNvnkGwbvHx^aQnzW7H+60aS`715Gd#`KLCxeET8c}_y_BJ?J$NThX(>8kgu zK0$_Ra@L;=oYDe{HNtajb}gC{^?vyn3;A1tqDj(R3RY*{Zn?>C%DfIPvRiQY#d^Pk z2UvM2dHftb-+$Sp=uy+vf8^tXKQqH6E&T=Pr?je3+3Kkd7(YW_7ep!|LuayFs|~6- z8`c~`;Xj;S(N$*fkKY}?WrnVPs&2i4`X)t&SxxasDcRDLH-s0l)6Ft0LaK(Ng%V;p z4|Q);W%NuYnocRJB$=@V&Mif+l2#nAZl_~wV$J#AVoG7b4Gc>W6)cXg7+6O&iGE({RLvA`HdggbLHkHT>Sx5$O1M$} z)F+`93~hDX*!~&o-5XU1jTchoD|9X2V%zWq%1Bl76lTRe`SxVj2E|vN{CHiil=bsr z5LWH9d{dH0zd?(v5QoY%L-MFhk{z%?^nKIy1awNc_ZB;MMu`?QHOr4? zZ0h|XS@4D6zt3R(MyeRDk^J{JF}ZbX1HTLK{98voYv-w9FSB!P3V);43OUCiNzV4> z=8SOIbKhY#8+I~L8hcV4)dC!AclJ#)fdpmBh#^ff`*7ZyoYfeET212BkA^@vgI#;@ za26`-Ij-(UCYM8vk_0-c1Co-iCy~_(k^GjkU60Va3g73P&B+u}7KL{piAZl{h?+x- zIPPdxEZS36kes-P4`$%0<>6)Y1nF!jG7S?%yg$BZ@v?pSBXH}C4`SQC78pTy~lntp#p5v=xgsl#eCPlXE zzQi1!&`2v*wTy!8K9{6TatCBda_NFY$tx zoZQAm1vAtHGiX#tGw}|mJ=vUDWYR|}Z?HleS)mu{I5rYw<`NHqu$I5Ne;z+Fe^EoI zn(?YD?UiHdtHK`|i+viT7I?lP0@fh{4mx2qL{XJQgi@y@!4PM;b9$TB@9FAR@2wiA z!V7iO7aywEX{!(O7JBh6X~~71_{7Ya1ZnxRny=|SCTSOCRWiRDn#cCD{>uhx-v_>| z1!b8W&e+&`qE|9C1q}60#&{Mq-xf#tU0Cm&h)nHoP3`+2m#H7&`IekCJ>0i?yEKuu zv@Z13(#qpD$W3)~f44r7 z7MHk*5}JjF-1e!e${DI*!cofFTQ@^2*H79ARhhNIgpp;b8B+A%;i;zlZLHZY#TN{hHVy-J=b8QD7UYkz3ev=PbSngf0R=B z!QPNw*WcV+i%5;Zg3Gs`uqlh2bshZV6HQl3F51gzJ;c+PFWSgu?$y~FHaKWhM}J!Y zMHXSrNF*Xeff1s3Zp!kpT)ena{EMkWuLP2G^CP)8;KB?AEu}`)+9v9Dgpu}yer#n< zii1l&fm+&72-_Xx~@i_#T2FRQ5a-Z&>Ya zT-9>}=w_CllShk2iv2WWD`AS2zwQMeSqx}md6NwFnL(Tmz zrhrIzKxESaiPZ}2_$uvMAH*?LOFcs?QaCwQgM-$F1AH*U(`RoJoMH9p{+_1qrh4N@ z+zI8SWPHQ@4Nc!w^~S!qM`sDKqHjpd`DkD10z4>P9_x4NDNHTyIhP^RL&YWSJt7?L zxI-@Vi+y}_9M6I^CW2ZBb(sw#h4W)Ilxc00X=}oGm~b_GaWxw8;w=dn#t0c!$pm1u zh9=C0Z-w*AOMo~GPuz12I%L8vBO6Ae8lgfaQ+2qhdQ(L#(b2l!(RkYsUcuI_zOe^r zU?fK!Zlm6WinaWfUOxB7$YWYd#GP|&TCvalyu4(mC~(@zhhg3QCTx*Mjo>@hcauNh zj-p$_AkFe}kH9#~SDGTM)5SPTJNLK2WYR}Yc+r_SN_!~$^B7dh?IqpTqoG#M3>3*T1U+ht@2JRTCQP|NbR^AFGdT?^`8f(G3D5>4mlMy*6 zM4G2Ur`UEY*zCax#cS+7?_&MKF4vUEWHHh_Q#!?nTfxB(PO4sGZ`@j3*1>CMk?LXb zU0B}ga2l_aw2C~C1*$>XZXLn0saqp|2J7!EBJ!+M#td9%lQiY zb`|2#HmVCV_7h4u>~Hu&1E#}s=w)6le!D4gXav&qlruC!@gg|nr1}iYcDT5{1+gE~>c~&VvE#(8 zRKz0+Avihf5K}!e@Cuq8c_tjKB8J;$i)YqKKoLlaJ)--7;k6&q39HQrL(Y@1_|h(H z@rW zz05{KLWTJ%>MCuqs^e7r&W5_NwV5fVceo=QRfE4qR>hOvV4z=c`~gkP(e zaJQAKj&le&(-_2x<))b4=Z<)x3Iy**RxOd<_(H$*DyBtGii|^Q^afMr2NeT{w%jf= zg(%8EvfoDxpD}{ZhKw_7%RV59$=Gf&tmz0mOEdh%RUmGs>flDraF2xVC$sJ^xl~fN zV%jww$g?!fUtEdecB~GSce{w5MxKt4Se4M;(}w)a(EKu0t4<*oi-ptFVYmRtA(hBl z{0qlVzdrbCZav?tQ@yc5yZ8g*n5OlGE1ATOgSPTM4^e>n$wBO+RoXkfkgg1^FG9(& zDjc+J_j$|$)F}>Pv8%Lopd(7riWEzZHRYg(?WA43)pcO zxk=MXZE*EXsGut%%2kMs+Ngj+Tpfd0x$}uCaiXW{rz5eJCA3o7kiHB}v`}KX3ae4u z-9odc>Xaj~2PL$~hY(nb=3BAEa#L2Lh`T4AMvPllCSS2kri<+wrd43F+X~!+i^;UE zO~aG|O#TIP&zMZ_v}l!k|{}wD*M=m#Vqok?1Su#zRyh93n>)I&-hv2XUmy*BF zX#TFvdFVm#3Z9Lz^(tY}Nr9w6~R;R4r%d1?eipK_pD*5;DN|Ckgiq}@aJR#2LvDnzJIa*%GaJ@lTTVWZKs=YBWQQ?zW;FH5WV&vdan6Y_ ze!wqcZi71zpVorPaU{X=vN=I!;ePnTvfnkXk&~2+ca6ki0e`}y8Y1hi=NBRAS!e3- zDk=rSI)zW}+HGP9cemZC>rZU88{2ItMvgee`^vGh`|l zry!#J`Wu&PxH&I!7)i?9a%1+nW`eqvKmXhUb|{Bn(;AieTs!4FC*za};73o3K|(wGL+#%$VD+C%=p*RAaD zT19+4Y2WGQc-3)a8ElWdtl4-NB*=h4X=V-3D==Ht8+M3VN;MO;4a;E|UX-V+q{zxmg$R-+J)3Jd=7pt4TIh%6icHbt$9jMvi zpIC=SasZF5CwqH(OByme+6?lC$&xg`GV&})+?#qHfMo%GcL{!2B?x|MjId<9lv+3>cj_Kg&z{NtP zX07-crWb5}!6$&f_$=OtPqVL=np{g`9x;=lI{5a*`&`v!m!dy5O?m#`hZu2e8c-hH zX~FVM!bcc`g@zJ{h-|suZlU{gX`ix{*yiq=Z`5?(0?o5J za;AZ2R^RqQW7-X0g{43SyH%$rbj}*?da2bVeZz+q zS&I1mL_O4)mn_QNH-)Hd3{au3yz_YQH6Q!?+v)f-XO%E*5Nk6G8X8@200G{_OGj+>E5`lwDW^#Qa?#kp6n<~&$yIcI zj^))$dp6`>aus4^Te!VEvhAfkco&iX=1~AnxF{@+rWmmrp8wwRl;+Uz2W6)|EP#8X zgefvV*;0eXxm=1aJQS9u{Na^l5!Ww0pn8~QyI}kg$sefOeF7~bHy#2l<1KrVKSo=I zBuNG1&fuOpX0il5MqA+SI%VU&B>MT|x+MC=W`qR%Ms8FDO8Mi~B%#_OgyEb+k~REydDPTJFswRe0T1RLwx9}g4`1McxxZX+ zgtHsA?lji=)gV!+-l4I6P_0i=Q)tE-UWpjP@03Dgq82P+E?kXC zmd#v^xt2j(jV~>=RIubQU?*Zh6UI*7sfU*12!D@&^ANNbk5h9sMp-I_FPOnDS;D8w zkLXD}%Ekw|8Y3*rRK56-`Y{WlupH9PS+pEmcu+Z9l;9Y;6-=^QG#*7WV^IBy`$d># zhANf|DH^lD4YMKbtSyI25l|a%S(1e6Rc~>>h_q}ETQGvn5_AGi=rdk|_JZ+hn)~|I z)7&q@E&Wxow~)Kh3k_%#b9h-fT#2B)Xgq@EzFxJR3YG#HO4+%GI1(TL^2a^7UZh(Z zC}VFTL&-aTqtDn0fZ}mUnil11C1or>Oo6!b75WT90F;jBalMGM1fmyQV67CL!ss(4 zlE2B8z{>?w0M<&?X;*&4M^J0DRZG*NU+vHJBEk}gSkQxAvWGX6AK?-JCF5E&Eqc{} zGL{PYm$b7Pea1`x6ft40=B~+KeG&9ks{u$tl&^{QHBqk$2B|K1dl_L_esvysP56<9 zSI2_aL=0B&cTW-|z}`Gs^>TjwngHcdXYFFwF}l7p2FbkPHM!=^8&z7f zH}uZ&p3yk`l1)vb`>YTDff#j&klmc-hV~#LWH8fW(00Llp(l0Of$S2o8M~b>wR?*j z9pC@Z^~)gnNqRu;nUhdLye!`7el0XUc1kNuQSI>-ffq>tE9Gk zuN75Wl8Dxwwt=>P?7STB!AHAh{b9NEZ96ny5d%=w=li%Cw`tG_bDe|lsal?Dnfy{Y8g=svCjIX>nwXS{4H zvi|ULyN@}!|CW5eC+km1J?Hrj+?O*nh6>YT{lY2TC1RZW<`Jbr3|G%*ANF8vsqF)~ z7j{o)FW@Ah-k+`3XfA&7WvO9{-V;$7b)QIv7g+z2xhvow!Zy{Zr8v6#DI(eYtP~kx zke3iNIb{;w_EiL))eU&FI6Fm5H3@3V4PLR8BI2#u8%y*ex$;nGv>$t^5XR-6E z$IR0gy>hN>G}IO!K8x)y949+8FLD2HX=b4&Ej#ABC-vml?-#v=z@%BPTE#@8+ChrD zp0qy4fuG5-@#o)cGIRUyE#^ZeYeK8uOHj`R^z=gn+VyTP|H{|LtWK5pEz&TpQ#eF? zoIpwo)D>tpoeULO&)o0xmM8su^huAL)ek&-xZ2aieKf@4xYlnMCV6`E!!**z{QdX! zu-3Yq<|$o8;_c#{8DMN}F|K~^&tIA8`}e*5Hs?59-^cI|_Z z5^Xc@&Wsg=#=LmJ`eC#2dXU(c7N2$utPa??W8fW%>*yoO|Z@Bfe1;_Ep?cWApRTj#IfqY^2{#Q22G?Ccv>)a&9TZAM$=s?w+@2Kqmr@1DzK&*sU^MHLfQ1mMu!GSoKi6_z zWk?zPkpDxrB@cmc`D(a5FYUUdl!sY}XCFHO1JW-zZeNW(r&v4p= zMg6`QTW`G9pYNf!GJ~NSDr${?tloXRc@Kzoo4j=&lL|Swk9N{c9%NZ?xMbHi87o@t zZUx_M#)*_Nw2dVfNlR8=9t!g)u5E5_;2lf#*s6JUix0(Xq-WmrQVYjPM(H(8@@RU+ ze&5)EBRYqA|9#2F7J@OiSsL3Fp^U(l#uke2_{?LkJ70ZyOu^WDYC3vqSd+olLgEHA z;m94OnZJ7dZskHHiKsSNMuPH{uHM%hUsM+I4Y_I$3)8=yud=y7epC{Q$B}w2By*>O zXZolj>-2G)-j!`K)76dgU_PnfX#5n+nMyPm8!~=hUB-aE8Zx%3E=%Fb7&R6dH^xOL z4;j0U8>^rhhmG0Cjk(d%!^Sz|#tvveW7v3h+?e)EDne!Ne)osS=%|aHJ0vK49U|~( z;!xZdmU7Lbf)~czhI5H6t2PpI4Y@T0R>SXMdPr^yjwti}tbyS}m#$R1tS#A~hOQ6-jnx1|;FEZM`t;P8?=ENT#MgLtf_|6X_K<(KL z-2r0lj)U(9$*l*hrwnfN8pF!!R(r1Hb3aGkzxozDB~V5w6XS~*@^Ph-Da#*tdm$7N z5Lq^#_j)jO@T-XbT$p$}4&R5HTZ8^fY!2+afx}_FI9X_l3z63^0?qw(=q7qF&YnR+ z#`R^q8WmdUVj5%ixpLnRR`I+bV!#pcTOB&tNa#Hmq=xLOn$0ao8K#q`03^OQr@#jj7=l;Tx`{<<-p~jI$EEsq3!m3 z(l_$EWCLp#9Y6BZBDKEcTz=P|51XP=?KG(UYa3Zv9@>Y~PQ$>1-s4_bHwP{+@GrUy zA1PmQXoTX9iHUcJ;YA5yj&B{1SA=%rh8hW=q3y0IcG^ee7ME|E49kl`2O;erFw7ha z>k(ZKF~_be%tn_y_!r=@x->J;#0@xg9jeF^N`NzkQ1ld^!7z#6ZMAeS*_-K3QD*W=GP!cw z1$7HtIBP7GpUvVgKPqP9ZnATE?v+rAQkqh?nFX%bkG!I?He8#@!qyY+vM9x+yN`!_ ziQ&_B`89C++8%G-*3%4*)Aj@Na^{`Qz3Ea#UX|1L7cs2@S5}8_BZ1o{vRB>n!8c`a z76ZM=ZN51<(4oO>{tiQl zW#zjt>Aw!H!EkWb{iH09wLc*PeY412URyMix3sboRJxT5T~aF%ns;aYTIquej^+LIE$ z%zdcPjL*D0)s2;p`J|PN|8U(v%#cdQD$|q__j`nW8<>5|OP5}Gc~Qd3W}eCvVLqoQ*GM`?h7)^bRnWX-6O8jNpe z1zSBe?!TsXh{~t*^HrYQ+nY+?eipGC!f?oPvFhDiwhCvje&@as;Fx_bb6B;l$U%wN z!m{BEG=Kh_G&iT~TEe=hI2`htIRSp9jFXmaHNNt!+B%@%Tx-K(CPacER5`Bb-Dfv{ zf3b?hJm`{=F&oe<8@F3x{M0I&DC!O3{KgZsXUShzqpSMa1ur!2`@5z&Ke?)NVt}O( zWyRDfLXP9pU2<>f0GvG>wPc^e?ADrf22A1dm=5z&D6cnC(eJT>2Q?4U6E{1 z6=n=)Vs-#!$ePhgVkTREBtP}ZBLz_2a~Z4Z^@47|@FhJh;(K9N}o z=*?BFEh90&b(^)1W6LiHLa^QTiI9^n_m3dz-as`&>J!oT$XMNO+8oiJF#S$Ae(^1U zPkC|g?dC0k!Sr?etrXhRTs5sVC9TI5_;!G*_ot0_(D0=`J)6X{BcDoX&*&r^s^2^k zqcirh42q?}k5vOiR*het{1nA~T{c5$ro5PU*h1Z>ci6(2L;V(qS+SjtEN}QXt$=6Y z`g+0d-#W^N62t*^I2*=YeYx}a1$zkCt2_-r?%B&vm>>a8k+7N#(<|To%B#xv=-8` z0-IOLio`>8{lA?C1skhF@xDR?+3tTgoEKIbM7;g^)Uc}5b+DsLlRoiE+uY}e-A-_4raA_v`OAarH0mGJX@VCKf z*(b8Am-m$faie-Ee{zcjDO}MhhXSbDA_Yo?tI~$9b;Fh{&CmixiILe#WBG!0oo(pO zDOvo4QHJM`VTFR}?yklt!NzIQ*#&if#(q7)AsNAhuTiB|eM`%hvqSTO645EI?!=BgbVpzOf?@z`6s8u5jgI`;Y=AX#J`oos? z5K`pvzQKP6qL;|g6?dQZT||cDuN|?qC|Wm=Fml#-r0T;c;tAwqLJXA!B!PDLW23s{LnZ5h=a1zlI(c`TUEq} z%H8u90(RQ9E9$Xfe=qyp=M50wSm*3>q?kLKTv zvUF8G<-qtcJ(q7LNa*s28Gf^_@{|ZE8g>wY-r-sC^Cp!cX*L~tlc5qvRXr!1e?bCo zCTp^>!P9h-mYWK!ji6(9Z15;{G6%a7*pN}|vx%02_*2Kkif9A5j| zenojWFZNzSDFNh=7xpGxt@|Z2CGqlyX}Z7z2Z1r;En0zg?O6^rk9I-a<+^FIz=H<@ z!<|3R8QxwcqZATnas_eeKd`aSw+yPDN(CMS_#Pzl+Vj->+UNdN7W>-H=(mqHm z$k%X}Azo-D2kM|4c@wpf&}z@?%V=0hi;6Et5~1P|NGg=3Q6(vA1KnZ|@g3eRu$R21 zTrZ>d2TV{3^^{DvhFfg@daBgpgf-&~)?tYmg#(S<*@Li!f&(%1ZVoC|DnT!SX{!&E zdpG81>xI%b_w*_`gGlT}_9T-otv9{}wF&*Q{eW-0^My0u^iy(6@QLgU8?;XAzPsxDD9sxiYFk9=vprR zH4GPoeF+0$j>;hHPCh7&WeAk^Pguk?OywGue+@IdhLw8*AP#+vgI$ZeuJxa|lxv*! zHLmzt+{iWTDF}pnzZOY6RdIb6d&TuXa&E$tt$geTU( z2X4)4agb{q?KLj;8mE4ZE4&tWtv(Rpx(( z4yIp8T&e@2vVZEFIAzU#)u|?3ZvQe!!rzurPKwx;0ko6Uwq?|ly0>L?lOWqNs!2uL znb_ZVLKV9PdrnSClsK2yAs4|3dCC7HGiu+MMCt#DC@rr8{1+Dqd3o61lA)npgM%lh zq)H(7|HLF055?^g>o_4KX~$V+=DNU3unWWfPfV_5=35ux33e%1a*0rtF0tMdLelnY zCh))I{s;3;D0i3Gmy;-xcA8~oo(t6kyI3sw|G*SqX6C!-O0dhsl1qjgTJ1+FL)B{BC-DyQ(&2y_o6Vt?k)C}M5s}hSl@{TY5V_) z$$3MG;a(_>4)oWF%IyaZ%fxlJqJTusFR%X>?*9{0NW@d`2$5G-x=~iTibvw3$ULS9 z*F!?3=X_#ts0#A_9{vGew6&R9|M2RNXGOIZXW)!O(Qmb53LpYE{9#u0bWm|vjJ@dM zx!@%ehCh<|IAn}RC8}kaC)=R*euO4-`uPL z^U>}x-e5%}Pnvqnl~1d)M2$upvqS;YQ5TqHS?LjMD!=r{ zm~T{8jmfAfY^uDpa?Cd|tHyK`4nx`My+`{-WO)$0e-z@b*<+=QH);A})bjPc2&UJc z!x{EHA?rF=rixp$rKRjJl#QN$`O~s2Kg3f@z2>n`T6+E!PiwROAf9UKosaouWbK-b zD!@?YddbkyqA|cXFN>q7w5MXz8y47-m78D6U$OZBc7`u?S&;QtThG93l(TYE5Ozi{ zb&1IOtE&gpl$KR&K7|FMvT_SbT`M*%V1b{ra*ImWD>egQfo)l~`K90{eHyU9x-8qm z(u|5t7g%6ZmTf_)dBvtFED)JxTU0txu?dF-wr0)c1EuYTqikb`d{V6zdH|xdp?tF% z7Wh7EuBOz#d@~0Yn2|MCU3y%;*$NBH%bKe#r7qu$fSsje{e7s%X*OzCxe0-tm1ilI zmbM#>_KY2pN`*l6fXY$>#O5CQ@TpXYrXEmR3K)*MjvdNMh1lv{m6wW@Z|0#7HKZ=< zv)X{-QnAWSVb~b}JFCe0tD$FSJUTIUNGTO!tTzuGZLipzhMgs4wN;h^#-qpR!#&tp zTUKa(DQCr|7VNAp>#v%glF=wou~`N?6O+2s)w`-L&8yr5(1+wwm$g};h*CSm<^=34 zF)OsPbbirfhW!2s8@KZ9n^Dh?3usBifZeQ+c0&T?*{XN`RDtvs2({k+Zi ztsiBT>DwT_>fvB{S@n+5pS*tDbS56JxGQ3)olyCN>20rS?rnjnA|p*j<)HzL8TrXal zObPs<4ikhRem(9bntQS6Acfd^7xd3SomSOS_=9_p6!#xjo*;jdSm z#e$&pE50YkkCbJD0+OjyK$kY}wzfN5zXD`f=@nbDAk5^Ug6qXoKK#hURLlnma#j{G zF!KO@2l`YVIy>-S=V!FZhpK_ge}o)GIPf87)iE&%Xdro8JLmq-V!ur7%gj`{ zuSsZw=zz6Jcb+3-O^mBwap-O~`srj!U#51>fOd|97Ut((8rmWOJ?U3G;H{4V)wUy% zDwmgv>PSLIbyp!~`Jl{qpv=>$DCf+*HU&Oth6FTYG8J_Z#0w{cz)RQ>mDz7ld~DDl zz2X{|;u@eH0>3H>)GhvlPPkY>X_sNG`U~#-<53iE08g)ju}iq>-ZJh`sriRo@wVe^Be&Q=t>X) znv)x74lm=-TM1}3zv4NtSG6FcAPzm9fR+atm$nZs6H@~RlhD9?&YLqXHmGZ+c1W*w zh_e=E*?|vU8i!V5K^SanU;1P|$N{w>2ssjyhvrdABI@;u|MqK#cxYjix^*!(=@DWq zh^wj8vk_TDE!gnfIJ9&Ey1}ow%}4hk0ocxY?S~9^p@mP>$N-gjK}5^UgH=iBM|Qym zAL!i714cj`I+SEm-~)v`=a+h&D)bp*3O2ud<^lT`?ZnRzskIjV8p|4mF;G}yM(^Og`o?78joc=2{UY;L$+aC%~Fll8+5Um%RIjdnF(j(Fm0JM-i zG_iYzH}gT=s%PQsf%Nd{LBn>W2OkprjM!7F;=3#&aWVxpr4FNqASaX1>EQ4r#+iht zPQkQh5AHe_noMTHm6s;Ug1s&;N?4eI`joX1)ivwy8A(?$v2{Ex;Q zI~CZQ*{wBot7A+wF~D7UXc@K7@7bq3a3To2lpRqY-E{i1c;IpIKph0WE3VW(5#-?174U~LOF}b#rJ{T@^B(Vl6Eh(d1^P_Je;Xuo38*-c3`LPqKom-#3+GY zfaS5?<#%;VdjdKGOg*nbRJ9$r@f}G)juP1so-r1Hu^1>E+^AzPn&GcQszV5)GY@Ya zvitSyl*9Masm1M5QL%U0O)QA}^3cDlWV3<(6t(QK+l4R^DE|~{Ehyqi?P?l(11=xZg05W674d@Zy@AU%m;cGiJ!*I zk_a%)Ru+j~=b2Rgc=-4Ay6-GhGT;>VMz6<%|^Kfg!6eX6ArSN$=4 z+ss1eysl497zEUrj=!E2a{GQNv;}PYG2C_c5%?F@_FWgguOQm5vWj=TvZ|!Jp4(+A z$jGuoo83VRwkYn_r;E|n?DN>teX8_%;3sTPV>CJiDg{CICYkhK=fdtlOx?6#aXxw? z{b2nW51-zEn7V3JgkJl%u?}sK1_-h%;I|7Bz9tX+Llf7EkpE}no+_DHxQ+#v>S^-+ zhb}3Ph1^E@ibjYuVMXi+y@9H zk2K^b&gr_xQtbEsweSGOi3YUte>aVt9-*x&TR<%9UxveN^Cu_~#`E>FQ`$CoWqbBy z&E8(Lw?Kl>vm49$D5{VxNHukxR{ z1LhX4U;qVm5B$3sg33QrPWg|1zW!}GKAC#f1-g}gw;K@73Hs)LK;`72S5m3}f*xrF zN1{(1qo|1qm4{MO_<-Z6(5tNghI4apwGndzVKDj$$TT;YYr3vFdm}Yj-vGV78%m zt(zi{w^o3Ta_D1t^T;8rKpds@WkUcz!>iG)vxAOrZmYu2vWvDVGO9)+kW?$5j_EZW z%=%Rm?`;Kp-rGK7`$C~nqo2Qgs9F+Sc{m}&_>nGZX`$uaF=~9DmK&ZQ8&&eFXDn8E zygBOy>-5sw38JEJ_h@WM6`g&kQbdA#Ki}k<25hE@?w;PhHT`LnZ?7Zs%aq4kcVgJV zPI2U}%EMk6k%E}C52KG%sf8T)1q<@*M1r!-bdu{V14&lqRJ1vl-yRZao(VvnnrvRT zx@t=XeYr$umOnQg1(ilkJf7c@duIm*qwaXGW_?4?dktIa&T(JlNE!JMD8*a`O95`6 zC$-n*mRub9-+iu(Kayn`eq>i8`VJX?d?pYu(zBBO3gryHq){DtJ&~>*Id*21DoN}0 zLLFnV&ATSQHaGStpbG&tzsK+-l{K$$4I{~KuCr!Hi(c7Iq+K{>7#f&8OWneoFkJ~w z-BO(xEB?hZq0#ur(I(+GbDgM)8AqKcpkb!SEhRb+$K~7^ z10#&1Y~4&YkOT+J;6s+nXR6lXY+|izK{oza2YmFa&OjUK%CT);!q_ioyk<0Y9xCZN zV4qgA*K?PwFp3G_CD}#V z)*`O-a#j3;V^rw!r;ay|Uh;py+jmFICbW-}X-XIPsTGEE`YXH?os)ZUnWSVTL1`;+ z@-(#niaRC?7(cohGnjXiqO^Ce_eR{F?`1%{rsIbwV~9V>*3S%5+xXXjvc@bzt8k(H zejKVX$lBAVD(Ix7|Tv}!g24I9=lg_EE~oN?Evh3lyH^2FOAb` zR0#Z={szC7q|JYN&ZizbepR;CbkS~|N10tUyBatt`$Y4Ab6+VHdo8dY9#|IF&Y;H5Ww5|1 zk%1ReFoX1q*YyiUO`S0^ld7wI*oG(IeRE`*KVJ@@W4{IcJg~g zxXO|%rn23iw!l7^0Tv#*b}B6poo5qXrLb-LGhIMDyoM~W-)9y+)Gu)QL=+8#8!QqH zf*JH4SUeEBN+jmXbRp^C_0s}7*wi@&=C2Y>$dD^0vRQB4KJoSNnz6v%m|1Wc2p?P_ znvf?~e8tuRvLWCk+dASBKYpzHw$dQ%mM%hdFw>dvgB=HY%RkU*r=I>{(*3@ zB_jT8Lc5=o`f}uLk!&+dw@;uRUVRo=mzf1W*Uk)|2zfBOlX7nH_Q^vJuZ;SI%Er#w zf$-WjqCH=xU`px6K?^K$W+BwIbHY2qU!J@zm#t;O0!ulwP?SS>70wo%P`|*|)Y$`o z700m!hu1H>Z|Ve3@BGUveG)Se?zu>mw|)D>%mXV3CYFh=&=%O8nT0%1Py}0WR{er} zQ>Uv8dD|1=BCbdFcHHRoLj#T)YJ(MVp}p>aBBU+c~fVG40&i6TkyO31>&Yo zb1)Ih7MxbUVCNlC>&9CnT42aLZyDn&|el<$(aQ$ za7c66E;8#El)NH1!2!H2ee%GybI>~??>W=Oed&{@uAKm|LUc7}fhC_=a0T@e$9B!!?jb)JHifxOGuwEx^~ujMcBc?M$arvWD~acQHFL~VC@<^J!Q#5 z6WK04*Dp*ob?(WLheohnfSQp0|9HCUuqfKEEg+zzNVkBXbazQNN;gPLFCe+V0IQ&M zBi#*4tiaMB-Q6kONH=`T`@631pFMM*xX-g^;+ff>+Gh88*eh+ul|9Q8p z{Fkrg$r}&{{uitBzZ`@A5%K>I^#2DCbuEerJjGorH@^|NbyL0`zlt(heBw;ddVmjKXAQ1;Y68=t^cBUL+8`uEcik8Itt&# zKeanJH4bInM{J!&@B`IQFiSs@3lg=9B2JXtKbnHgXLV7yf~@F0bk|YbF8*Q1G}ar> z^g4r6(@-pUsjIlqdt`j%O>m-kedGb0sL<=EHi|cP*HOSXmSKUbX`ZCy80XT z1sg`8taFL2|DkxZcNJBM_`Hsq6#T&VkH*f$-*70nbP&k}m6|IZWnEEhy^7+E&N0oN ztH1ra6x%S83oo?`q9%4%QQ?9gpc_)qUL+SvY8NA%Cf&){5tWI-t)oNlu@bY;`ESlXq*8;}CUvp(Y<4JkAq{1nPi%dO;*IcClpG>D4cAKV?95(RvEG!S`vgYRr`qMCeqG7xOt!6Jw{Vo{%jQM|dm zjzZM2E)>^G6(_3UI%*36f^oehaH7E1QN$b1ya=dIVkq83Zb}&^psb6DtrH^FO?z-7 z6MB#Nb=36wGcOA2lX`^1medlWt}lIh$X)$KqH(>$*Xk14{ZawoNqdq~@^5d42SUk!)sZUP;^z z;zVto(3k)NNGBp<>q>|u!@)lE=slQMQRSP@ybyz_rg+1?D%Bi~vfk;_Q|sd2_zm~k z9VaUBgl2gV=>)NbfCWDoBhrhb_b^{YQ6qxrsZZ7@-juIO`Ng2DPx|zHboFlx!oAkR ziJCs4an%F7D5<#;&l9Il{{tvb07z_Ek=m?!IFS{zVB2GNT9w?~LLkKYMj)U!c);?0 z197TmIAyZb_aAWh9~k-%Q2r16&&}w6VDLYS=>I_ff57*DVE8|P@*fcE`431T)<1&# zAp|$6{YHs{`_Yu+ADA*yVBQH%`>3Y+?~MVU0c2}k9BLfKc~u+1&pZSmZ(PIQw9JdY z`i^-r@DOlS_hwiK{aUmSb5p##XhdA7WP}58$e9|&bF7Fh%ZaAkKx^4&@ucFvLd^e& zneMSu@84g<@iPsTeFd#$2Vl8+;fQ#e7nOYgNWs5@!d6IX*Dq61b(|r~9z=$N*bj)w znAY)M_fVi6ye&fj(|7>;VL}hY%72A8aw;KI!k7`RkQNY8V;uQi9&80ML6)bXA||~S zHzLDf?1v243Yu{R3nFj`J0TUddKrj)8&8M>t<92MS zzbu}t{BXn^BIF1vf~}k{tUwS>&X8`z$wezR)z%wNi-Ge}5x)=r7Eh$*+A<~6J6?)) zygYb4Z3ms6Tp<8O_wHwLr|eSYiNEJG+eBHZ$WOZL(KvLux12Jc4!F~c6!jsO1O;$@ zrXBGE{$#z9U-swZdPUrOGSL@{Nq^}x}MrpDG^5B}Z52=B4+ zs1p<6kcpsp_tBdBhm=CVGdOjWHQyp#>~bzX)^Sr0xJE=SZ5E2+7$bb^F6f`k`L#jB zq~1Vm)lu-m-*8criJb|}I?T{L(h%HW=uz7UtUA^mPoI$48DyAd@)$tha(}*&r`3s| z_}OP5tn0NWxREAk;bo1ZWjy|Q!(lznV=8JRjaSnv1&!GWv!D?c%yP7!)u)7|Z5*Sq zBq){OG4yGJ7gyVuxuCH`ZVE?jX;Laak*u`QUDazEOK*u+D!$gC;-tp4ufe_N1WH*9 zG*Uw1r#l&s>S_8L91Bmz5U8E;=!T~M_VEIW!V!{==MsevOrtMTM>6>=x#P0zD(&C_q^n~bD%PA)iYJw=;4 z!UNo`drT`CxOvH2`y7$+`t_Y>x*nAL3PKm>`D=8|_FU7UD(WziV0BnkuZRg>l2b*m z4}S^;$Q^ft0X_A+s-icb)V``UF(MR8h|OjE80PPG$D%|j-mlo*N$R(k036mT?zk)S zvY)QjLk^|9;fI+#7W7V1Vt?&=WR`+O;x?j!tH@mH%C`;wS~ixaBJQp~Dk;r&we0eA zG8MTocVivz3boY}n{4zB^-{TBsU2X?O*YYMFD+w2$mIxA_h0;;YFmZevr?2eMX0(G zFlNyv#=*b`OH{pAuPI=F7vY)}Y|n%!=Gf_jCF~m222HM-bi_oJrAKm*d@vfCV!cEj+_>O9<3yMfE2|fFD^G?H5hoVY|TMj!$ zU_9Ol(cTwdk4V#IT{E^Q^{tYR_BU8aKP5y4dF9dc6_jW&a+k7bH3r4!CG+T0Tk~e* z=-I}UP7(6zy(Hwen8jEAldAxGyV|0uL}{D&idOP>!nyR12_DUF`MeJPkLs-9*yh9+ zqVBGRWPqtnv}1LgN*4N9V6ZF~CX7#=*)&16>V?uKLE6P*1=>{btav;4r|tLttZ zXf(syg!Zx_H&IGp?2IfFk-pts7b9O^R(=k91en60o(rFN8~l?)K9vD6>pd-jAso!7a!^+;W7MX2N}ZqJC^AsO*JgBm5)ufYdvB*RP}%s zUk%vpH~hWBI-r&QmcJ;8M`M$yvwl9|sF3Wg3Zp(h#L#RqeIN?D#!KktPV;10{P{5^ zIL%$5=Y{oeCz_7|oK2RhJ7lR->@y>y!`o0CVU`j^>pTrsJI?oMO;ZDtJO_6Jh&IPR z)Jl)uA=;q0BuXzE8UL&(?f>2Ge6LBh78V1@QSPv8T2GuYN@p(HsRn1IWIXfgb4cH| zrKsAPRQ;MOZep4}rr@g{I^aW_L6k%;Eyl=o8hRI$XCBK2#Br7J1yo|<&5iy=XDE&-uT z6S-5W2csITVyuh0w1RrbPcza`skze7&{h40@oe}6^xOOBSygJA#>;5MkeK9eN74!k zl8kDKQ^a)fM0wTj*3vdbMx<&Curx7X?D-L$Oz0PtKasThF`u;Kcy-YVe!(gT`0&%T z?w=i-4zFM6R{PZlcaZ_7#&1H0?%PUVx{zaA@#ITuNNb|FZ(KbKy=L)qYRC1ZT ze(H7gZ)l5VTsxp=?h)yJmt{?XA_9+n zhS2peXfRj9gZ;L?+{9=-+D{>MV7flrQFfZ0yWzoXTmR$4czv?8Xpx<-nt0CMbdnJ; z4(5G@{o6PzJ7A(D>&vz~2LJ2u-|j@C^0w2uJQx2g1yXi8li)-J`jk657Mh!3B5!l% z+lSx-j#CSG+Sd8afG45Z2?5uo6IkXgUVQzv*Jy9ZyN~jINdy zexdC&&=}Qhd24`^IB&~3s9RiZ|BaVHL%BjinR`?jU&ELuwu6Uw5Zi2ZtW&d(0N?D@ zxa9AV4oy7Px16jpN|0Z>JAj$3MF^+evU!1$4ZXgNy6sQxn7FCzY6-;{1{tvoBH?~{ zZKbK^VpZZ<+25nmQ-lt0qa9=nAU#1;w27WS!W6%}o3p7R3w4hvy~u7dWh9BZ7@R@0hN|06NY;V_G<^CWjGjfflt=@YpWC<(<*c}Lk4(T@} zWWy$)q1!RDs#Ay`E1(|-%N)X3WA7K<*qD0|8dX=$|AdasEK2k9Q#OPi>NntIH%vf- zxBoitSshqtn#Q#VBVMgVrN=n0aW)aRP3x_wc=o#KE&cYqmcsifYjO3wwouYV`vWWQ zxr+ooTc=S2^6KDi)^K1>?u;`mgsth*O;1iaNc)1@OU2VYm*e8_CR!vO6B>i1~2lITAJVn!s)J`o+7{7u~!)l4SKaIf$!bvt2(k^TdH;#^&urj_Rm<3HvOb!-;LbGNv9$l}v7Cdl)d9u3{>EPhBga`KVNIWm7i+Bq_J;S1;7_;zeH&SeO= z8b_nENj;d;ENsgj$hQu=i@OkM6FBi*6;m0(a?W`BrIch(@Py^^ds|*!vY7JekBI@- z8E3OpzI{VZRWkQRk|V)=pZn;&=bRFIuusZSH_8O~8y~oBuYPC1IgBmR-T6+*C8mtK zrhHKn=7lp0z!NUNosvSis7tLFjz(-1zEnua3ZxtzwI!IsfshAkG9^~gdyf{l$!Vv$ zbIW?Df>}(#WBQ1YG7)0DA47W8gBi_2&QK-dOxa3OdGCCr-teAII2QjZ#;#FUjz

oGR+x^}h}`>NDLu zKZz8&>9SJA0YU?>xEoErX0!p#THvL!oeO_6wdC)K;5Yoy-1prnqajAn{K^dU?I3wS z+5Uu$yTZiu{$T=cUUMiRH@&&oTPm*g6L#?W$#5?%<6WuJ*JxM=i^{qXr_$@|3eTB0 zE=|EblT@@iJG9oJv&lW-W;JhJB7T{_s_T?mKc%8I0_uC@I_Q#n%2x3d)^k?XAU(CB z($M2qvvS0OIs=o^hp$}eqfa|TAU#G@w9{lV(8{IQi{gDQCl9f`-~ENl6epa=zw*0p zS2-0Tug#h-@h#F|656kcf1oCJ;C3P_tV&jnSmVHkX5WQ#H`!sFb}&v#9w`>(s{r7QlWFhtd3@xa*YVR{H9+Tjojd7 zSUU4h?5cvwca&8Hh3|xBGDLW%#jc-Q6|WkSVu0j$kA|xV&dnm`PDPb*h*@6lj>ak@ z;I(VloHyiRf@XXP!;%-=-fNbzT2EyAJNEV75dPLEgXkkUg&m1+6U@Q?Z|&0TT#F7m zi2*K#?%}hR>pb^7Ro-dt3(`G<8@!{We6I@5aYO2%gy+9(pqH0-tsr0Hqj@D8y>+Ha zMZk4bty0ltlzWvlLvJ0;`rt!V4>lFuunJ}MvZE)y>mbo%pkqUf+)|8WFmyD^cN zvKDSa#+`zDId+H5K3HwY#`IU~xH$ix$*PvZ-{}s!u~3^SCDNE0q0?J3xW?w|L}1h> z#YwcluDylLcsi(s);KZ9gnde>eO@=Hk>9ruhH7`h_XGRwFcB@E{0U!3IGUG>baS4l z*C|yrA8cY^tB22FUBxVxuS&{3Mpl)u=%lAk3QK8qekj>b$MK%${G#Ud`NciYlDD+& zrjlzvfy(Ki87$umKEqT?QTWP?|EQUTfv@0-qVF;Yy4# zjGa^!akz_l1cPy}^E>|-tg^Bah5W3|)%7%JWHp)`JB>InYnb}|ZHA3^k;dH<3<#zk zTwJb3ULd>vQLWU7)m7Y&d)rL66ulf%^^#k{jyef(p`J4chYeLV1Q_xKK~qDA9M{?L@W zribPdN_+bxf|a$yCckuXawq2t+q$|)J4-DGv)c|a2eV_d44%q+GoXbgg9GDW)8Gqs zkzTIz&IsSUt+^kQ*ko5Jy;yvg$QvBbn=S6+q^aLESIV~DpD4bb4%0Zx+aabwg#$6- z3~OhTmf_{ty|%7|bL6vOF>wzE*~k6yCFbJ|>Y}6uM&g}|Ye}E1!G?${$>d8@=b7<1 zlMwdm{PT`P^>Mn@A3zFY%WD&x1F|3Gx$bhEqb$mG;-57RacAOgQTR3yqJ%HT5aG3y=R?;#n#_l{EUyxYXsvz_SoXd9;17~RM!+%K#y5eiUR!!q z`6|_r*!1@F*9E`x9Xc3`c5IyIIPB3QO~p^S_G?!SRJ22`v}1ttw5y@3H+;V9N1d1Y zdqb<>qH|K`E)Exu$Y|U?x`O#@^kWD^Nx_vw%z4h$=w6Tb&y3$wO`RXr_h74~rt1$> zbkr{7g627c!>iURD<6(wZ~pCjY1N0)1UG@ihjh6D2fg|?Y+th9{ltvf zZs{-)qwe?JIkG#hskkSI#A$A!+D-+2PMByIewC z^1K0@UK;ezslTKUIP^dH5hxc*#21KY3T|oU&vUES*_U6FOA$JpxPp zG_ZG1CEf?&w_-7`i152^Tjwuay^cRuC@&`X@X?_l#sQs@G*>q8=UIM8EBagwJuol) z)nZ%om9hkc=?Glt(pSRteMDov&*C-;L5K-aSRVQMCsPs6C-cCt>L8y^sv!Gw2$|;W zURIxtQ$s6PuAD?*O-^r3Mg-PaXaJ*Clplw$<-mfODccG|g@BNaL597_NVLS~mmKt1 z$SsP*o;(_v^2D02!>cJj)tG;^0A6Wggw2b+ugGh&1!viJBWjw1^^5CdqwlSW#?aOL z-Hf*FW^|F{R~~6Q@9Dy<>x zL%ao<)LZkJM%x-2Cbeb<`dt3|c~T*+uOX=TdmESwXHe%W-T7CRx6u(;fDv;*(Rvby zgzen=ej#wymc*dT;@IMuly+CtTt4%>o)A}|2CDMrR0%U!}6~K9R!CSrLtS(b}^Fn zJgwDjS_GD;ZD7$3H~Jkgd!!&E3<}MQRKs-n-DFYY`qhGj4oNxCgZN?Je!cs9PzH8p zm)~LZna6VuV~4N;2US>F0Ud!< zjFy*CrY=1^e5*~#Km4vy8&R#ZLus$TZj+x!-GJreiH%)g&oP1>EVidel+B0fw|u;( z84%@1wkjZ~{+R{XX>h2BLKYZ?uTonYu8O~I>ZQjuxBfs}PmS@9%(Dp(w#jB8uELPL zfmG1Ya_@*njW)M(NfMu>VnqsR{sM)v>++OsKx8$mt$Sa#0ZDz$^YO8q63dX%^$b61 z5LmZ=r(M4e5-#c6BDvnL57>(Pll9OIy87uh2o6FK$t|7*ah{&I=IMrMaAj+KxTI1 z(`tM(zAS|giJfbcokNorUX-uqo+%gob)hG)Bl$*k_nE|8x|HyujvEKo7@l%B%TO#P zl2C7wyAVLqaN~D68X6l`F!tRJH1^#;?W-vnr05e>y>Y_r;I{ zvsRtD^k0cJw-c(DbE4R;hp739POj%&-E&0h!iz?id|wYx@;?$;ALZG@``QeDlYaW>iPSZuD|S zDe?YLg$Hi)zLZB3_D0l}%(h~MgQMNYXo~}Qx8`<84Va8Igt4MD4H+GabF}X);ROfd%CyavhaL~C$)@+V`o>9@Y+NKm9ob`P9menoKK_{9%6oy=K z0InGyPf-h^@_^LM?@==2Vw^<~vidHYf@i_4kozV~XX*OsX7o9ml@#Ayu z(c0?6?(VrMb74_X-SUXrYm!Us9!DUQ8Milr1rfRNZKR}mS~lYuv8p4+oiUh;CG!AQ zGwzzzi?*kX#NGLL9v+P5;+ujYG526AEGm<1OR|o{fop+Rk);#;U6ad#W}ED)sYXsY z*jP$A7;Qf<%Kxak0I@r0I|+*_rdU-hf8{Xd^C3^Q!0AxHm5izpT~Ng>2dH?kxKIYd zScdRVwcgUiV=26-NAoK`aAry>Z&TRxPa{B(UMQ0&%)fRlkn`lmM)P?wASLD+rPxLB z%>cP+TDJe0>nlf`ePeRFs#@@Y-UyJu$M5}&zn`NvxJ_jLo|d1SdT^?K6mj!@kfUqs zz;Tnkcwl6;@20zV^4X6pU@zx0)u7p6#b#?0U#)R_6CcmZGbVoG(=on3^E-d14BJz; z0+P1o4I}@WI~>)gQSFIXZ3|Hk+_4N98{jP1No{K_+vR-BEXe2m2R=Zp@UOvLR>ch> zA}N((=V2W%L#jrv@@ETt<6zR9Mn%WUg;hlowsq)Zj%WgXZA@-d4%{23%$NUV!=Xdl zWiV*HoKyPz_JALC|BS=oXPbW{IdLR&8ol`5(F zDjL^WC;dcKjR`3e>pC4g7wC;0Fa#!=`WvcS}ex7r1|Na$= zl!U8epX+h>uAIJSziPqBYvP1t*P4}_HAM}u6Lh+s2llHHY8mwRGMl@F7KQN7@u!H( z$}=DOyr*tLXDu;nHmV6fEcQ(GP7$6H&CAt%{A5xkyk9rS1||h#gOPUwE-Eix7H-Y! z%w|Y64f`$lVVuhZFGlz8yG*$L_V^&){kGe%+h-z$l3GYi_8zPY(k+}N)aGOnET!6| zF~$GG0Ss3Z{4vHhh`hP4VE+^G%V=nKh+$~6@SX6MAaUl>hRC{zz5Dn1KbYT%Wfr-8 zEUrWgOV(r?0PeKE}=ayFh_rx_^pq5s6*cWKznYo+tRbWOhQ6jl#B za9($3`MrG%xnqNs_?LaiLf$s{tP;SAT9YMaxDt$PJqYbtjn<-FQ)3VHp*gXf$dLA< z^jg?wn#o%k32avzW`j4bC{Ao)CSlGFKqc7;yrsc}J){`Io7G=9DZ3-;CNamxzYnfU2pB+aiy%j!{=JvmL3I#r)d{|Q>UtZ()y=$d<*^Zx2p9%aa{xy@-4u=(nj&vB$4nF|3|yMlReLLdlRxXcz3kbDZFG8Fd;ltB z>ZUjmupq>}E23tNx{Ko0Tn>R3*%dSI63H5sIPTRSe2Od*ZaB=b(rH@pjy}i8N;f(x z@-7*G-o)?n7cUdIwcM=jngb8#%jJ(+_vThQkPB=yev%+>C5R~YMT!x2hAlkHw0L&1 z&@Ja7{PVKx5wFnLw%tS`c`*NwojWgd6mGf3XQdPCqO!|V6zTmr_NNL%ijfMneSIn8 zRDZs8vawCq0Cdwb0At7`St(7x@pS^ohm9)QmJ@wdqGYj;O2 zxYmaD+@|N#gk3;_?o>E1R zx+huCE!;Hma!aD%BOvTMy@L)bIb}CeHX5+fNsKTGa&PkEJ#Fn;(#egKEd&RSoQFfa9@(-wl0PZFfxQ-Qj#EAQ88#-uT z^o6hGAG=+g>7l)%(|D0!8MyCALMi5A+}*WC4>R=UD_miP`R zyC1cI6vT9dJfI66R)yTl=^a|JMPr{>z^oHRda?7W6moAT`Ymz{XP?iq z>Tt=-+7mhO^m1skp|6v7%YPGPSG23Yyd5>}JmWZ`JhUTR;t6xG%lZ``C{o~De=KV>Ygb5%No+wsOP8YvE%`ut{ zjlnA7vB=-DC)g9xH9g@|?O)>S`E|)>x%eS$vU?LPFL`2EzrwcZ42o#&JY&D)87Q`F zeeuBucId&!R^v(^$pg_~`TR+?RkMz=i)RJ)+R^DAye%)|vMexO@v?L%jW&7TTpqXSW^?+uq-K^@Y2G) zAKvUL*;l-*|cYqJl5w&b1h=KZEbw+2+}H{ccRNs|jnf<5QZ zA<2u5G7kc9+vuU-rB9J_Tn#%pdd7qjp>!WXVeM?3Ivdc)^ zQ=+9NE?o;Wu2Wko(Z25n1=nIU6T_Pz`DG2ltOIgY#~w2a;iv4w3`+s0(+(dB?$U&S z1LVXS?r`xQhQ%!H5ju&MZIwF6LFPOVI20nhh(k);jkF&iyg=Zso0~?<4%_LYBIV1{ zkeQ_`)7|N>3L9JqLUFK3%i51qJDXAC>t*3vKF&FC-ol=h>N5<=4vwx0tt45-_0`qu z&e@L6v0u{*8|9YM>#-Z9lb`JdbIOk9^eKvkQYrMwHivR1Z!#)fvwBN23SR^4E}B~K zjpZqe$EpkahcdCBC3n>4qwV*qsSU@Lz27}DQP*FRd3f#*?AxnB6|Pr~BgsVF+B**_$d{j)BXcv9cqgLC7<%D=y>BJ6uhWtwdtqqj~h zuTxnNXW1wlh8U~`3&(I(LA(v%6BJ$*ZXIp1Z7`IsMsz|h(I>W~)P;QZ4y>B=zMn&# z@5HEdX=yFm_yyQ_mMxP+!~Mj>zIl!1ptJIAjdl`8CTJY7W6D^T`#Z=M6jtecmbsNk z3(Fw#(bGF9rd1Iao@Q(?sy^6e(Q%yQ z9|M{y?VGqFgT2=Osa+g9W`w=6{lmwE@5LKUA&V%RLmZysWre0CLxxpMyTWX5OB$Et zu3L>vQeSz|dWCvT-<-Dk7YD7)zA-}=Pew4R6+2_ck|qOYkA=%G#uQXXZqbRrz}fje zd!!Tksn4IImck}4q0QC!F<{s6k<-gZ;2_~jO|q(;LtA8Qq=u)(3lHz<~pe}F3*{lE{1Trl-prC4tK&~hI% zZb&{WRGA;I%s9|N8`4JUZ!57+xvz;&Tx^&@vixgb%Rwj_81L)a)vTTl{*)vjr>rc4 zYi_xg3ajMAeK6D$>Sj@qiTq-@m0ArmNWa4rF2zdEK`Y2cudQ+$n%D395b@r13tm^W z{kLr2*LUAxKsf?nDls80(HNyOn!UT3U0^jAY>!}EGvQy;h;A_vh1vr~4(0P1M3k1d zdrsiBVS!XlD)=E)CT;nLf_PlVAGlw|M-+2?Kx;mq4WpYi(&47*nK5--@mAJx?2d)( zUx5*fJRj#Z-z?Gm9^E28O{%)TR9Up;y4LX@9WgSt{jz{~rR(+02)?D zQZnM^aVCyzEze`cS@;f0ZHE1{mgo?!4!(v@Ti8{`8gCCYpqoRBCu#)|{{r zdT9;i+=1t>buKx}hWc7+3xM#Fuf`i2^JD#*>ytt)C#Md3){E1>ZJEWFm;CskOVNG6 zd~J0tVlt|tie~~AAZLN(2KhF!5 z5TA)57oJHV+4(KxWs^lNzNJ?w1|}hq`e-61Au$u%dbY1d`~$?lvN0wEhmg`y#zaul zzHkP_&ft44Z}1`il!-}RGs=_khBkXusx!EjwtxoTlb4X5NzsSEmtKuC+YIQ?iPnkT zXsiz*AY}9lx%<|XA3{jTjMRZ?6rfpJsM}j?ArgHwfUgNJ1ij4M?hg$iCS(tYd*$#` zfzx2l)gdpb4_|A&u;*pPc1d^$DIs?NQEc;S?tNJ^R74Lo5+l9j{Y`exs%+=rWZWy8 zzY5^`OZxH>Gx^RDEh680wMrnzY|B>Z$M0u(_jv!Ty?hDfm?EHA+j~(V)P&;G>LSzG zrj1!4^n^;&x?C+US-Z(g1k|0+sLg*u9hf3CDee=qMeQeA=6`IcXbBX7ZX#t&k~LY1 zbZ^S(-^QM3B&R zx&+>maW8t5m;F&@*^T9&S}M)SB}^+=Xrm^c%yP+l|I6B5!)R!pMF>6sHUO-2*EU3cM?#<54*YGlZrFsr%Ne$h-rL}fuYwUNhv%S)A87q_fyS}%%UH4f3p%a|Z* z-4$Im;2m#Wd+@(2eyRWpZS?=wz-;6cxHM)c`l31N3CTw2nf_OXwUND}R%JDbqQDb0 zG=xX@LQSizTOmnksFRnH06+5Mht~0w+sBf=senVNYmJ_h!<#voK^dwdxX} z>~|>d$YIjdDnyuI<|4idTF%9*JO%q{lxtoODvMrBW?CIjCOc(cH?7KIGhT$r$lUvI z<5zh$pe^HoGb=3IJ*lHdSk7eZ+P5?-2%T=C!PNR!yfR1ww!WOX2`n+w?zC5(6>jLh z@F4|Nh8Pnz30fSbl9-sdX)P4P2N}Uz9aqLC3_gaal^ClORUWE4ne44h?pphsaZRp@ z=)-3oNg-y0olij%QYPQE?uxITf^w+<L1qq_r;x{tE%#Dpihw-y(FxjX0Pi|QuMo*!r>QhA@v@4YKk62slu<#^3$~0JtX#1ttE;w&46u)1w;kN`!xMo zN`)O}O4B(z61BMxjiMBI2+)&agW+YhaF)z=$RLTvy(V`c_4no>Hy7;d z(pRc*o}#gDJb#w*S;+$5p}gwW0jN^AShBCWr{n8Xi`S#%oz;}1p17<+r}&s{+?_8d zCU=jLLPa!GYMM6#F=bV|wBiQ-SY;XivD!2nNM`;fnp%Q}=>Ox+Ho!V96^Yo~H0ve34cscD@hJ}0ResUte)tLeGM+-0_R z_B5z@d#6{!^HHpZWtGbyeY!(?y}VVMNVhXRgr&nU8gq6WbsnaL3RnWe1dIde%v>R5 zY$rJ2<}YG3`P;8*YPRR?jgIU0CtuUe*wHUpmM0DjPbGTCI=nYZa|lzlO3CK0oTwt4 zNvK+!CAZwFD6_<^a^P&+AN+;0U-nCM|G)({b8&J$6LFF_GlY>cBTT3Y^I(>(Fe2RJ zw(DfrzYoDz8@8m@TnGSexGzjuHpx>G4)6Dcs zl$pwJCNuoi@2b{*((FsG`nYoyy%LT;6A?}egDXLYnpLPE-bA+m+qLLKMkTi!LnZaz zzLoV}qNO;$vS|y?ey^V$L6@J?8IkbxHnBTY0q??koM_*0ymH?Mwmg$Dh*^orN@cxe zux|lW?+>#5PHi8m_6ahw_lh#IryO9bE*fB~*=b{|6-6v#n(54aG)$cI2G@}c{zB;$d}rk(WjGN0%22G|e8tK? z^tbXeegNMPsox5O8^HWU57m)K!1S7Yp?w9zKs6u*8yZ!O0~cHg(Kl}uMTY)xV}Q%G z5yB#n`H2nV&Iv)Mt??CXsFx)0)hUzKL3EwI8+thEisBrGzrhP=SUAMsIrMiKGrV)9 zZ;s600GVy>;w8MhEgqH^Xn?`il}^6E1tPQu00uuFW0vw#DlJbeKYZgcAyCtVO;5!xt1KQsa{dgTYs zqe7L^vsRW+rUi%TK}V3ige?OQwf`QO+Utej6>sTp0kiuXu0L zr->s?zhmENL^nKYyoAhSLQR$eRxSeeQao_s_bX`Yi&%#mp!?JKXCBXhg-jnDP(}dx zFDGm`WF=_b;Mw6Tc-u8OI`M59^ z7(tgZ%~*##@Z;!6$Spv$0Pk5_X-47abm4~|wW+)ppjaRU#bUT4U!uToF`>^d>EMj0 z)&aLK7uF^DK-O)dpZ-z6Gul*G5j)0Xs|x!WGTfw9h53vbZqhdR{_FDvrxhxenCFKc zAY8(qXqV{lB$;hP0rbPav|rioUOH;y3DpikQZ&8|m0_+}5d&p7hwPw8B386RCr~6o z8ulSA+)L&N)#K&DLLms0*fz-S{c?d26e)9#eu)eJu2$B1kLf5eL1g930Se7YH|?aP zSjaXT8OD2lc>E-=92F{!R+Lu~2o2ELo-d58LfXCu8W=ZH3UI^Ug*?6(LUxonhOCsX zq_b3#q+$aL8ua=8Fo=O(6`KG$yg(@dg&1u}3**#n9r;q3abP-{e4%*kU8`W5sLqW! z=jgtxd0*a1GzW z6LXfIy=!eE@WnHf0PSUegfKrpjIUx3V8%MEu2KS836U;sl?6dEZDoGVD-eC8;}G6hE0wyzOQ_!@zFsmiiL zfetuY8*+l;TMt5D0VP<6i*TfsVt=qWlowvSvJ~1rcUXva*e+0d5a9`;?uafchRjpS z)f6Kg<_J`2sem#ND%*ft`0Jh2XO2$vV|9FR(fA5J!PjjE<=?7%qn|sPY^bfov{4o= z5E@c~GPI3xplonRytRJ``XQ|E$iD=@Jv{AWhuA$k{1jidLKY}&xFHA%Z}nB%FaU+O zQ5IF<8sdXYw6Rg92^L0~h+BD4F103sR$g?E(p^#zYpbsjE1IDasJleQ-wyRK#r875 z4*SredTC|OUs&zH2ISC|2IWIH6b2O(n+`hvM!z)TEftKwS!oVue*vX~a|6c=AjXyE zNb2WMd^q*meBd6|VX&tValOAV`Nu6u9gukExJZ4#t%=BBjxao=WhGu zVd5@Nr16Shx$5aQ_F*j;|q9ybr6Ic<4~P-oj$^J<)P?%V}~;_oVohJ3a1-% z$}nV`W|l`u*Lt7w3KQp=8dtHWy-zsm(K($1h2liqQIQ1sNvrDlgs74$UOx$SVSa&i zU?Juo`jaqhpm`G74J=PWA1wGJ)W8_PlQafXBZP>~0rpDN<8g)b!mS{J+&Y^O!ILgr zN&aN~XvXykuJ&&GC9i30EKRTS+Xdc(D@x_sY?;^L9im?&nh*S=FLd5d*jVV*WXMWim*c4Bx6wY|@O zirGD9_D2}k_C7uhZ@P3D^C?-*1LsquoDWLO~ec@qKwJMfu`q@hA4WHrXd1 z^>)oCu^801}esT9~VHM$Jx6=6%cena6 z^NZO{=hBzFKD3ady?|?BUQ*8^sLk{r6aInDpHGTjoDW`IdD$VYX?D~7+m%`UZx_6F z_7V}UV;>FNAMxgam(zIr2WR4?o5L3NP3OHTR3J^4?;v;7!qP zyngA!0qRQpFJK1I`q*3eAz!rr>n`!Cy=h&e!t1G+y>8_oc7rFLJ%)RlN8( z|K%j0cD13xW09Ee5qW9M2G>wD@!vTp{LA!e^+ zKPycAH{AT*jl9&t{(=SZ;r4$fFUrDQYA}&_sU`h;PXy=o76^Hvzo<81+~#nfio~N| zPFDO4`O9E3orS%0r`twx`Iq37A3#hmT{``S1LGx*poZsA)tS=97-47gG+vHfb3bxR z{FvQ;F_{z2*b@WGj;n-|)4T}PQ=FWno{erca!vlL!CeaTh~D&iA;d%YNo0Cdh;E(( zN`>6PA{5>+%q(Knbi5G;0~m#^!EJ@hXQ4i!kSTLbDgbWl)-&yGt2L<0I^4Q!|6|ID zeNIbzO9*tEH8%RXf9T6LL5~}Dw;PY&w#iMu^WXlS{m?H`siSvfjlM}y_r?avXhjW#4-SV52&Bh+vHOq$| zK8)39+?em)Vp6!)+Qsc3)S-`u5>f%*N>9g|(LwNny3pPetji?P78dUVhEzt#D6Kw&@*5xk8MH70EQa=I zv$qhmphQ41+9`8;xS0T?2VjYI%0Q;f8)qg40R%+?ZU|L?87jP?X6z6oz#LjBp_l@1 zuo*K%2GE2?!v@PMF1;7+xHN9`985aZ-z(de2`v(Ie67&Jxguyr0 z>>UIpC>D^7riK27(23qR*o*`6CP)Z<6X=_5CJMm`$_1R^bt~6LZ@mk;K^LdHP1s@x z+5pfJ`b*b`oAE%zgPs8S1XOglF=u%QYw(kdDSpXiR?_UO+1KH2oCQLT{(05nsR|1(eF2*8VZyKcAGjqmr7zHj^@_ z@}&9^lxbgYkH+s^SiJda5j$2@S#^R;xzMGd@a%P(tUNaQD!u@{o$Pc}0h^R%&>~<` zL6Sk+a@b)`BKcSTkjfBw72ZA0cjJ)EfY(psDt+0{W`)*hMd;%MgLo+ntm+!y3V>3a zQdCk*LE`}UT{!|Bh6t8&HI3i`W+|>9K+q}x6bVoSi~+pSjtJ%%%GIX>3+Sa7f`$RA zXjudT40dYMK?O`w9PpN%(N+nX8SK=jzZEb@VMwtAK>;7pItjcO4%McE3s|Ihf;ItP z(e4SZ7!K8W1L56`E(ih`Ko7&iVj_F*M*9EOu|WSndlo0XZ;lxogfEB%Esao2u^zrs zCqx^-9aM&{hc71chZEuns6x+U(U+-D+d>W^MK=NZW^NG&c?0SQy5Ij1fq*^-VFM`9 z-{6A@oS2uT|DZthf}8=a1l@9f-URBFcvdmxGE$?75Hz!tOKD_^*GS{3|8tU@PAfpp z)qGF^*f1vTOSkdsMT_5(l5?ElpnXn+TVFzZg9j$)miogC@d^3}pw(y-_iD~I!-WI{ zO`(ai+$L?YLWBS;=)EN_Af#W1SP*rc6Sug2^`kJpdGmlCoD5Jev~g1~D$ba;iMrV1GTLo&LFRW>o0U6J$Y;G^Xo zBpx84-Bt<6+UX;Blyb|14~Gc=k!mSj5FR4tx7^Md9n}uBe)J0hB09SC%u#D&{y}L( zP$Pn=8vKL6KFAh*kzk(DH!{!$Dny_W;eny4MnC?O-Zy?rCP*DE3C(Wykq%;xn8hQZ zR)EYr#JE(dM7Sp)rG^i&Ps_0x`-cF~e2X%(9@LM<`W$4(CnbSSNOUY3<(IO0fb$FF0P%!kQj+JSvj1zdjS|we<@`nxpVeHcQeeCl z@{3XEtE0%Usx5rU!#M+y&ofs9{LZg?ASzXC+RF;OdQlWV<>hhbW{l^=Y_cq}##LOi zTlopCT}pXW<=cNb68w3+Pm*g_03U63&1BlbBzi<`-y!rdPqZ4!sAz31AC;W*UcD-_ z2fWfyMgkDMLPJA)#p0onzS@QI1_a1NKzWT55rv4ti%MlKsh0#G{;-h~ob3q}Zs#~Y z$nk{wogH-fotf4OL+TUI6Gy(D%G`*=eia$y|K z%UWAe%z^ss(BIO6Xh9}W?IU9;-#(T(Sk;)*V~pAt?f-LgSkU$Bzd!|?||36u*jF5fN#)%cC|hg+q$Kt!{73USJ?2%US0U>(`7%4nwE}nHLvZ* zVoG6v_X|9;$Ap4k`Ksn{=U0xe8NB}Dw~ubw+?oX|-cNU0dIy!!;M|;$RSIu3)j+rO-^!wU;?&F8AZ5Ol!2&WnlhiKr zfYR*DEwgmBt37TJyK>NF6vBcph>z5;#Y@M{BB%H@ce+8YY4#BgHY;x9q%IAteCt9H zJud3b{zsI`$YCQolIP;VrysCzEW`HI4}yJsj%ql&IeH*n9dTPJEh^Enx58w!M9Xgj z9`An>e+yp3qFvP$_ELH(9r~ra!r@OX$W~k_k9wKZ(Oha>9CYWte!GAa?6iHaYbn~M z^LF{b-Jh3yEj)Tqcm=1mWjwh{d@fL%Mos_ttb8k+J9{*uh6#Ben~OufrN$tKi4j}f znN9JqO%MX&XPn&TUQ2qH{flh(uZ#Y7kuA`bpmIHndL+6UqM%uF^W+V zR6;7k?_a%V4#>OHnbtg79P&d5fz==HsO=V;mx^x>(EWU*-S93 zd2Exz@#M`1@zfC$ce%IOFVywp%5q^ad-u0{beFazgYm_;Z_@TlLkTK7_V#Mi z3K6#Eelz$hX-lj9u=OhNlQV-aZc$Zet$}|~bqd0Tj$@}!u89|TT!Z0@6_#@C4;1*D z^Rj_@MO71Dq`Rdvr?~y@ zj|#Ybgv9NWa8;5WnSMx060h|ZJ}}s35xYlH2W{j8omdinIPgjR%}K7{TN~Nf=YzTO zlM1w9+W!-O!*rE4zw9@z$L#Y8VUPCW6dA9;v>59@I;}d0L(ecHF1AXD54!=U%b4M> zs_gAGwN=N=MH8Iqx>e!NW{<1JVkS=bANK2vkYN+5sd84vY}Q&61Yz8c^zL-mu&7_) zT#e-=y&OGur%9?Dm| zJHXPsPptTeH1aX(EsO0Cc(^jR!e|173PY$fN5*^@o0TZ9MAt%+ek3`Ewe<-K`VT{`Pa;M$nx=xj#t_?VA#xT{8k6Cb|8$IC@nl zU87yyexcml@~N(Dru-F!i1%!tI#$)6C{ZNuv>w$1FPH_F%CA>73t~|1o7m1lA?ILs z^YU5KXosb}Hts*xi5DnW6L!>wj4eo~`5g}HdnCJ;Hb^<@J0BiO?rpKTkIFOUe~+vB zSkE=_+4*388A;x0>HO4PvLba_5SH8GnH8>TKzcyR)K;B2Z>*Qu+v2a!C+hTLx@JcM zWLqo{u-EGIXX7ZqpK8~KcA#Ac1S;pD8Ck)vq^0@rJ^q?e^lAjD*)*-qExVXg_2(4c z2Y2ihhNP7lE8~^Q;nzQf-3WGPXnC59^wbUeN;fmJhBHTmfADwcM>YBV8g~!!D}lJP z#6M{HP5;y|iRF7HQalINa;F>MSd9V#ogL$?2v}b7Dnpu$w$bGHG)g1_HE;8$;ZUoiCyY zhC8e*-8ULMe9x)8{7*VG0?=p|7 z{@ur7TgO{5)$;$$wu=x)$+jsyvx7|=U)apGGVpcsQn7m}9Og{B#D1y3Zkdy~&%1GN<-;`johDt@2endPPp;KF(DoC{HyZ^g*(3l22TD%yBx_v2Eltdy=v06MT zfB%--vHEzOt^k6i#Ct?0a8NLPprNK+UP52iVC{K4?4~By?kVHAv96)~QM;X?r@O`5 z@pUC^{bT|%_RoWQ`&GNC+^}Zo+3(Z9z zhhoPH7N)u67FDFOCLKFCW;?ddhl?h4oFSUfhpm)tNhM>9YhF(|gwpo0+Bg+#rn{Hp*Mmy;n zYNI(%_qk+{ZPda?XVq;nyL;asB`wMx4i%xS$7oB7WLd6es8`J$d{($MS+g{(KgtGB zP~JP0m@_miylXB^5|ugjv1B2?#z?<4fE<~r%Gt}Q`WaZW1*cJFnK^X$WN_<)G}_^l z7(UyV%2;+*%3upl7_J?Y4W>waU9hY(_zc97H}e|=X^O}-CdYeZ<#uDpPw7E5MbN2JP(>OYS~yihhD#fkcLoq@>wO_6k8}O|K53-1wqPZ7)BfkK%G4BxjK6 zVy-%8$IaaRelb?QNw2)tYze4*6JI%5=73qg3JR>iA%;}m9sC^>@%&!M!G-^Q|-xkh*#Xxd+$loXYlXokSSfUDVlZW3a;MkB1i#*t~+j8kyvu&a$gs>wG zfu<_a7KwN=Yp9xN8b9i4qo7uoJ8eabSdV7Ap$%+_iR$ZOgDaIuc}yDXDaP}3Ticrf zaS^wTNoHf~vlRJ1xD+=RTMU9sLUFZ%5ot@tK8LR3d@iWWvX{}wP{!*%|ylp?sFDyYjLn54ynIKw`P zT2=75-PC$AX#9dWOIcTOzdFmq4m26)Ro@S;6w$|~maDAw-A?>a>sP5(qAO-nHngTx z_9`_Ll605UPxeq$`dv_E=8d4vr%WIJ!PH+exlW1F;PLF@?jJ<^fT(6@^1ZyBFVjp?b7^T%t#+i%cTcY8C5#ac3 z{ZDB!)-;RFVQqHF!!sNF-!S`fs1~@SO;3Eo@+NjHg{IrJrU8OQRV7Ug!a3RtE1SA& zE66w3OogST?CnFO(|z9_?-v)LanQeb>*o1IJfg!rUC*;LX4}tJN&+KCr>$r3yJZT6J9g zsDgOqYgGPjo+?ZfWITsc(WEEmRX57`dMpD(5)fm#%EtRt5KYma3x<#h@G~@?Fwk4d z#*AW_p?uDG{z3QlGSo<*8;c&!ef0Tmim{%#zY@$GF<~Onp)yZLK;tCt0VyK$8@K%sWf|!XX2nWH?5pjGj@S5GxpTPOpE$ zTrG`Vl@Nt2_4XAlC%*5i)*-+f1Ek{N+9{$gaW0>)^UK%!Syauu*O~+Ku;gFw#i9Y7 z;o0AtHLf*f7GOfccACG6-|d5!O#zO9@8M;gnTULPpjl$l6T?`SGO#gIJ)JFOz*M!NHhW*B=1xa z_LmUH)v;Ooro6^?1g6=UBL37M9lceXk%iKA%E7K>PN#<foKHlFH|gb^GIa;=E=r|hBSIfWbWY72*2=KFUPO&cP(2~DL?+0Vn*Ytyr|Gj zb&aa@|6h_w-@YS4iaK_|qZs`3vEI!~jtS)sT(o42mJQ4H+82y1-HYe1-rSY;PW``1 zpc~}UUY_au-oVux*fL2V4ZIq9o20?VX!2p=S|+YzH$sK9ExY*{YBvA@oI}b__LKCe|9Lo%X`uoDZr>pfCJH{maR#1?FnJi`INZP?-DD+-I^^0Ra?e&8uzaA-)@tkFcSHC zY-E((Ur53`&Pv&rB=zI-V`D-q5^g#9comcOcUdc3YusoVG0dCpQ|_T8sR8~N9uNEK zKV5zrIr4%iKiny7RD=@WWxYd5_u&k_)sWYAR7NTk!OcnlIc1YW30DYLlIy3&%vNb! zkUSXp#*2s<`n-oj$Og?V11F{-Ltca{b1VFAinh|%i)})Sf+3C+{am-_pH{}t6VG~t zMPwv@60g?xmNs=^2Z^b9_D}uv-hba2{rm1Wa(g}Iw-cpDa9>43tWL5R`Oz$W;7y>|YJ^G)$;!m?#Or6`+W>UdBaW0TsVeoN87vHnN@Ce6 zh~V#*_K@R0)iUtkx{CEwY9U8N;rBGFO36pxd()ZHv7CA+j0jN^dop{FwR?zh!mo3u zO$)=aunXg`8xTL@FtuV!9L$LtVEPJ!KQD{ALB4G3fNDm{D_ou7&kSXuee4|FR5d%VL^qA3xfO?`C6T? z21pD4P%ECx@XSuKvt_6$Ww_inW(_dib%^-mVp2ho5$r6(L0F&o1>SA;_oUi!0vvQL zxOy!}SQ`IX^+6VH_5P7G`nM`oJ3CjnIvqNUTyhIy8<90@R_QBhJD$A9HvY64yWri> z3N-9T5IWMDli4c?Y4hptXe#b$Eq&Krfs&3ge&A*~khTi_Tbk9n$jlZ~NRgG4V`eNn z1K0p%SXJUTTdAXUY3o5-Gf)gBM z{&BC46oYS2@TlE!@P$0Oy>uKVO@q(sl2s@`hslhyEMt|z^emZ1RP2W=1&oC20azIG z+6l#dmalBYG%TvIO9Xx0vdNO&4F)wNK&HPf!v?*ytda7)esQ62=)U16q?Vx`aN`Oe zkV_zM;Wnl{ayU{6?;?^PzU6BljB!A~lHCy0$vh<6CyRBTDU(KK`t30j6X${FJ>BhmmNq3_syG!bhNGsf5CUZHtuR1Dq-XQC( z;*aTbes!QM7e2Lp6ZkO?ptPAQ6wK~AA8m(h7%7Fxq+w8lN=z*Wz%hMJNdJ8vdVU*0 zdM4M5Q8TQ;4|XI}vQGQR;g|EOo!gk9Syz9U+YQHfX+n3?`RsJx zn|=gZUYX-Jr__<7G5BM)>c~1Ol%+=6aU~u^mxn130}bWD$UqEy`^1Pv!U`qX7Fk1J zkqD5BP%}e;Lsmx}tP6CYo29^arqhazpok43(Rg1*6~#5?07+%5urB zvKHw91*6Mj6>5O1vS3x91B+I8gh<3ZQ;{0b8DEn$u~V)&Qvo&F4l~gw!j2;m2VYYt z5r=T*4Un3?C^#}bXAwmQ^dag{7Wk2?C^^EbCPIyQ_8Pb<2d0$s!bo%>nW>QN)Ris6 z|MdnxR}K6QC=#sjDdJECxWQJ`6yent0cD=;0g8kx+(aK51K+V0T}E8hM?hI-i-Dbi z3h~j0(!h6YMbQyg%@N$pvrWLx5QVAeLoHwrYtcl+RbvD<%WM@0*cqfy7k#J%>|raa zinwZxsAZn*0(OQeoJAiR0>82r9YMAWj(O2~R4C5jWy#LC`F%L?KD;=tcf0fV9r zNq}EDii{$!dLn!Xf63!-ya(sYd5Iq>b%+eyk2sVA?nfOm0q^9l_!2MVt}qf2fuB=B3dwR;6p3cl0l5luvR5dHHW7!a zKxDZql0+rht2c>Ca#uKs2N8!Kfsor9nnbh5FZl|*&tD}O$^MBm62yRL@zIC-;v)gO zKUrjh&d+FH3hfszIh^~D^TL&ab9-O7rZ3#O7p}xhA@srp8NHCHU&wiI^3#jqfETjF z3pqb>o-4l%+$P?l9dhc(?~xW^uhSby78`2={wn<*Yee?-ytn~_PqF3lwvn}kATTP` zM#{SG>x~VB)rL6MbPRvsiZ$$L#FOMlGloiph0XIk_Zz#+3*-FaxN-kktx*>bNe{mXtidmhF5@Bz{Q+fe=^I(zF#WURd_4VJgX!p%tG8lXZ=_Nz0_Kv*DOz_r@ zKIizVW3@4F@u-wfXCTx<2I`wdRbEs@ni=8RwXGah_Q&7;I+dsXdeVTS@bI2L477&Vv;DUnUtO7x{8-sPoy=_<+KLa5 z_RLIuSPk!;;mN=H`ES?`aMEOEk)rxK>Y~N%%7IKA7`J(M;2K?gQvo_pwsiCvFF`ud zkGYjxAyE9)wSOqXvhR7YX`Wh_^JTyC>m${ZQsQ6LWK6S_(KRx6n0=)8lZwzbi_dnISt=bV3BYXdhyfJLPzw@n| zsrpyhz0}K0n|<^7?f6en!AN7Y*w2|Rg9nRudJh>AR`KG#>Eu%dSK_a8M~W!M7Gm*r zNz~1)Q?&JU{u=(4V_IA@sI9(fGR{2bl&5B#pSrfZDmdkMCIh#`KUiX!9=JZ&WDmFB z#^}?3@3fDJo{G2zRR2%K*O!WHmT^Pm2Mem*gUH54NH2(Nq}{KmI=G%^__a$OYZdeF zX!n@xvIs$v>TJW9pC&GOKoW;~7l+pYUpoAv^iLpg6F#x}ltCFdWtmA#3`My1t4ahj z;Q~*Hgz$2slW=C_>)a8cX5FP-mChiyp-DIJzGJMpRPO2^R{se2`PiPrOtvqHmoov9WYA~92gNKo5fjV0YsQ10ICwsZ~`asG}#h^fLY350{m3`3LV+Y2myK7 z%SavOA`IXpsU}k*yKHly0;X(pf>BoGl9iIy+|fjk1XOvvZ}@I&ZJ4eU{$;&);$542{HH+5xU7TyR)$?$ zH?98 z?jY`*mwdf}uSw$r>MI-pUTq|VAMr4?KW-cAk$BSg!TMWji}q2JqN0FPqDT7pt2aOT z|GIr_r|EnUc=RchV!6I1cRBSsRe9?y7b8Ml^YNr*dpPZWF^64|)79ylkJwu1dWQ9$ zgMhU)W8T!I8_W&HKRUOpk_HkU#=MCsX(nvZT-&4%6@2JT(he7sJ3#uNyQfZMn<=GyY~9kqBj;rLGPly@p)z!!hLUT z%X^azyTsF`nB~;Po_q(%m|K&OuE?&Hf!y&8Am6T{%Gshk<}%v)2_A?`5>ZX^mv?M- z$>sE_9nTRptKqwKY8n^Zwsfh}tJTHQMUskTIm3n~>0J7UA!*6Yp^cMmANQN@9dR)@ zH<2oD?4wZ4&~(JQL@2X8(!#PRjsd6iWN(EmHTP(~Aw+-4_@ZO=I0$n`5ndXQvZ2~! zYz5vh-Vn70P(X>tg_uC&hOgXm#4&4CYv?7v(iDr2^w9Ak1Fo4^8;YA+-f?==C48;h zX?rNtUJPHw-Z1wsyp{AGIbNr17u`(3#1?FeTwjyDi5pd6X8cK*`Ahcxm0Az@wFE&X zLD2&M<>Q|d2lSRtmaDfD;81eZoL^<@w(X)4XA@*hOYf&&neG(H^agR@Xsv*vei&=5 zxSoqHP0}YmT{5sLJu)S< zqbP6deBnoFtp?%kYjK0Hobw63J(WC88R*`N@Qo8B0|i)-dz5OV(c3b^t2j-5My6wA zhqQU5));s1Yq$FQPSiQwo$KW_riQm&q}KR%>lQc>Z`A}g5`Q-e9oM7Z%&K-W=N1pe zB+<|BN5-sH-ws0FCDzRwrBbE%5_@{#>fT;RP-nsONAP|^LM~Q4(0_EYZg(mAhx;IP z-4durcJJ677k6j7z$NO90&NEUnm{QZ*3Ux(Y1Hi__SjhD#WDf-Jcp@Mq?yU+52`>< z_C%5T=vfARv#(0=W)L(DIzC(cHs@uHU!0s|*hoN6?$=@fO7^D+PZ$$BvJ^mF_0a_Q`esi`vbPLC#Gj6d16Q1h9p7H% zFz~ez{1R(F27cke%cLplQm{H@o@D}h(k5~fW}-%Vg^r572Ul&K!UqWhpE}`&x7*o! zFQJGZp0b~kN4pgm+o}9Q6}sdORDm1Zd}sI-@>b%^vnGjmISQX3)J%}zZ@)Q;x^O|K zT#=^;iFaX#Gz6LOc_brFfA^L&cm`i7V#_{T-q$%aQ)~T}*gl|zouCN4BC?>jZ* z+W7&W&fN~qNN$(T!%J0jMW}=0PK!aQ!e2V%BlY8xLCK(rfj&qdwqO9N+Q(U9$IqT8 zvsZC%E>=Rf-^{m*GYoV6$Q0_TDj}iWOSY1b{2C9EKz{v*iZvd6n-gPJt~S4hOhzq! zA({S_ldmt4`yqU^EfIQ$RUVLs*pCXlL|wT{9sQJ?`!6K-CzuX(^Nw`ou4fc<#wZF3 z$(1OfWBF}m(0ECjC=vAg5!>fk6xrREMc(IGeoviI^qvmnqwbm`-_o1ZLkRwcjIh*85?Q{y!I>{}Z`;;rxFV zSYr|1nSJm7d`ZwA9hh*1KS-CD*Mg4tSA7K6WOjQQh-(6Vw=Bm>#5{tIP>9Cp;!L#@ zS`k*4$;N@Hpf(6!CQ%tmoLZvGlKtj3ehjb`e`RKXpMeZm^n^D7NN|dcIl^6j>p~rLnIwH z*ZAA}&Wb2x$n6Y~*&Kyf>vWF+m39@vu7jz(6T)uJwtmGOoW>O#U_MQ^;Y_p$O_C@@ zeAw>?Vc;&YR$EPfk0?{0<)KnkZC#Dk3Kirf+Ok!yKr~*yD&9ty9%ZP8;d&GrlU{Ub z;(_OQY&RJ8kBKrf9M z?7`Y5jbeFuf@;ds3?WW8>!v&_cH}HXT77>A@+$NvWp^tK#3YV7B$^dlGq!8QB<`3d zBF#FIo-?-V#GG_1%;YBWDzqSFcP}*H)?shtwtq_$N4WA9m`m4)E-RvFl^ofuEL%pf zr7h=!+C`{b7L5aiSpHIZ+jiKuOAyjjGKGRWy<}_!e!Ikw!;piDs-{}YY8)6alufz= zQq5;IR(Zn%$qvwLk8v`I6S|b$R%-MkHmOsvF!(0%oqOoG8?9gP)~M6Y+60DQOI%Aj zK72B+U~AdKYL+_+Q45yuTRiO)(Cd zAY0Ux&<6G|mcl=x z6)fP_i2~=DJn|=OA?J0~{u`QdC@xSm5$|5niUt3dDKJ|dY%1590RQe=v6sD)hPV+b+p06$p^wi66e$~pGJr%(tVr?3m>L&kFCuI>{YfvJbs2gYp3mWazb7Nwi4BrIaZ%Yic7jRpCl#y*s z+`=U^xcEIJC~ai~zs7Dnk)^;UTO~WP1`&-4j8!eZacR^tH+&~y{gAA0hM7{O$XSp4A06>O`ZxG@ zq|^Udq{|(mkfpzY7a*f@HwfbYwUBoBOl=HF%AV!zxDMgid9{C_)!~i3gwJo7&u15M zjuM{%osGgXc1!fS|LJz7^zGy%oXJ>~X6gM0PvBWU=1+mlis;%mA1pC0;4eAT-r70Y zp~Iipwnev%Z1#EI?bTp{?@)!K*q5CUQ8ol(@5)*VU$a(K^5YPI&f;xBTB}unSfo4I zxQ|_U)VCi#85;JV%v#|;N21}9+u90Qc%E6zHY!t=<;8% zLI*<-=1I96^DtqAY47=0=BOuM{_+r)f!dDyX+Wd*yJexaV}5MVWUW8Q$<6ORET)g$ zi3#WPtffx)5I6Q}K}kpNq=iixVQ?zOBiRSkQO?S+6DB*h(IH<|WH!@pdP;Zf&4!Jp z3@7Rk(_3Y~*qplL%Y>Y|^h-lw5L~R!S(@+*&T&n+d@n4a02LbdOU@CR@QcoA8~4l3 zaZS4P5k5<}R1-c+x}5arm4m{o+j3l!FN62}yK>-2u17#b4lO$@eC%%9BOoM)mJKF9 zb~ob@5R*g80UH^+JNF0(%E@Piv5eh~dGsnn)e|qZ_a6m>B|btyQwf*+`;VN$5=PLe z#7ocpM=@auQz%cuCFcGkP`FPY%9D7hy#L52+-D4}OSt6Ne`FW#GlbS9UOMhSiU{|a zKwT3qDfb_lh5HPku8EgM`~Gb?Kbc`|6L&PiXNi}hd;WDfKiOcP#_l#e0^)Oia=>oJ z?w&lJ19K!;VYr~Ny8(~qh#U!aSp3-CuE%pojszP_ee7<|<2fcrf&(@+c6aIV9F)_? z3ga2OoA7v!%IRZ=)s5X9dpw8b^s&KQ$L`iVp5t=*IACXEcMl%V-*P~#Fxs)Z5s&A{ zoPRPsf6~ytl*_ukM@Qj*WjP=Q*w691Pau!yo}7PlFp2Ryb&qF$XeRtk z>%Kk252?8Y8#uOT{v2=5xrZY)?m~Xhye}X9jOA|7_Sk zOkq+_aWYcQ!G(52r}&#rrjmmRr`F{|dr1s9!_ zO?Ui_!T=J4H@+b0kgLQ_6g~g>7<}|MTqpaRjy{tvZM06Xw^rVr?8jTASElRAY+DjuHov~H@vG!b$~QpaGLR`nzN)cN8Dg?I3X z3I8g-t4R9HPEt|!a9c3}LD2$Dm4owQ<&HYU<0?*2w5F=SCh!A?#9i1JD$;edct(KN zQQlg0C%6^4C|Y$@;7vHHdJNv&q}2*1Q|Kv9`V4RKraJlF38p-M*+`rzK9-Q$X4EO4)$s^SwrNrmhEt<4n~QiZFo z)=xP3EA7u4YDc>3V5OrGeQ-dd+L7sB*ENu);uA&myz5t$ao_Mq*VOs528E}Y5pc|> z(SL9Vo(j|SrBf6egvoUAGZabC95%R7S4+4-LA6nB+%`YOlqr=oS|@-OwKGxYn-V)- zv`(S7mfT-ORZT_JSUCs77hv8ibv{NPY-7lx+bscx@+PorDo#epRnCi42*cx1L8|Cr zuV~Z<9~iLc_QDB#36&a(y2HSh?;;g)aDo$3#SnW%r9QZ_29#_F9~#it35KOfhVXPp ziPMNp@h2EoBpDJ%&mW2Bo$)6b7AF}}M(f00r(vwHw3smI!j)Wat?R#vg5}^hgTRI5 zkq>z=N`;Cu^@fJce2a(sCwN|LQgxI$wQ9anX}{NUX0K3fP-wYvS0PvQE(ePgCtLi{ zDvwB1*k=nA4%_CtX6#!r)SnUh)Tp z1;hQHL0*3zyG)vN`r;oHDbNR+dV*Sux>CW%YrO}8fl6$3I#)B-6#DD!&Nb=wq{okl{`wfkY}ltR(R__LN%Y;23-4Y++574>is~#WJ}6E#vrkCpl;6C%A8)3j_*sXx zHC{4Zf<5(*P6|O@n_>T)1NzjVvM+^_?|b%b>*{opzaaA5k>%)jvF?@5rBXO`sEJ*8gO9e7GBQqE*d6 z7XMQIveZj7ffR?B>;Lr+Db4cG2{ZlrknmRV9-GkwxWB)WA2>br=Z5F@0r@!~wC^Xc zRQGR8CTVK5X#68HFMJG2vLw$x9*@;1+!?Xq^L}*<-*B?!t9A6_K?6)eP4eu4sf&dyEZxc;Noz+^wV#^gsgwGBaT0RcFa^xlv^?tzaNkFegfaA%D6dKWRlKmE`?r z$!{hjTM@zT(u?&yark-+f8PmO{M2M1p-sDh9Fi5ua#MwjBcLP4dx7Ol9EFFc>(W$~ z4C5NE(rEksam81%f>`F(s0si6vWiO6VE68_ENW4*7WJ@#5v&NK=XlbFYaZdqi<7ie znV?$i6un}u2tI{!*S&kZWq-}$y{4go zl*!%q*K2NEXBoR0lzu%eBd=#fntckD>1HS#&Y(^6U3Odx9ia6rPwa(`*{n;E%1dcS zD1GIng(LL10=lr6<5&Szt`T0+%uP4)#L>?EVCY#}CQ{dWurBFs;yCGtwBVO32zy_7 z`PmVQs+oISE$j*wSu*l;DH3t5KUi1s79Y*>#9ZhY%ktz{=orfKq+IBj%(`T*^z0IZ z`BZwA3BqtHUtiidLUVOsHH$f2<_jZzWUwuA1_qwcw^OTEg=LO4(X4L9=KeD4ik zFzgbNE`5dK07?~v5mrE#^gYERkNn|oBv(L%^gTD_ynDy8F4=ToM%BXkO5U5!lXn7@ zmnVxk>SZD~aB}cb_fpnnrVgwNj>E|#S(ht1um`vz;~nrl>#{=!77kbBy#ofbF7I?; zBh|vDlHLLRS(l+YurjzJ>m4whbvXpmfx+OKw08g`>#{@#mJV0mdj||oQgc z#sgPmy`NXJE+=(huGPXnrM;h_S(i0BFxqP2vr>_NR-nndHHo;HlhK}uK*5x}L+jK$LmkKD2BlJcy zR}Nlk=Mq*6OGtXFH6A?DEeONIkkNq!EauRbis05CJfbc5B?!XgE1SjX&fd& zckY#!ZjMlOja+#{&w!*OvGJ@+Z5@~wyh=NF1YQ+(lw&@5XHe-`DhPv>Lk$*l^2MF9=I7hx#q%n3jr=>U#!+9vRzB-sx6)CJMrq%Awjd!hLGqc`lQ8 z*p-(mj!;*v+-P`}oOhn}Sl^3ur>dZv*JgSVA_a@>uH`S*`%1L_vl928`7i$=wErO>@P9z$e}HXeQ_Gz#403goad7hQiwg9_Yt(}> zLG&;o_09i5)m4SX(KKBM?!kjYa1ZY8?ykYz-6puhVj(yL3&Dar1b270MS{D_zwdYV z??rc=Q{7cPz0Wh#yHj0F?ma_+zw%8m483Iu9$ertWS8!H56=h~I>TJ)@>}Gf4ecI+ zZn?=5OC_BCK>L0mxgsY5(aun0If&*;Mmp3$`?8bNj>AKC_gS=|4QU*La=6J=iY1&H zp?wKS+{)30X8(a!A#KGX*PLLk6#2o*L?G*F3XBG5Uv82s7b4KX6h&e^v@Z+El??<; zQzSw(!X&_z4-p7|ib4gVq9D1lfPiTV6^J)Bk}FOkQ2G?bBxE%7Bv(ujFikND(eRO6 z2@-*HrYKk;8fucO&k!(8!3xoEkzBD8fflDIsv#O?k}C!Xn5L+PXoN_v_=!L~Qxwh+ z4JFAH4FpV6IM+Y}z8oZOO=v>~hoGj_Z|!O5%jPg{JS1+_XhW-qAn)aG?K$YaiD*MY z9z)L#qIXjiWDo-gBv%wfApd`$#HDZTDd>+s(1zL$K`IcCh5nd|HspT@nuLIK^v7(p zq31&oD+J`BKPICMAsm9Lm%asn&>u6=h9VC^&Hx1cK!5y>HY9ThI){K%^v7JZp}|8C z83bgbKPI6K(H?^GARrn2u?%gf6arSi1!ST>7NZSWAA(FE;5+(bJlfE~A!u{?TR<-Q zV<_4X{vk*N0+P@lS&2Zb(-c4h^dmdT)h0K&NrA-MSC}g;esCTH0EOcG5EqO8Kow|1 zJcl62x8^)U;R`9JDU7(uH%lb^97H=MB5@o=I~5`o|3E*IlCTfMgP%QyaEL%;Qxwn3 z-(EoIe1GA=G9E)>+~gu966lT4k4PkLztDz&DT>I|Z!f9nd^7N1YmXr{h`v;!1VV+N z^DV%G(>;J8R3ebcGzEvFXpmCmSS|FU3cp1o+K}@hD8)fENFnkDVm|>r!y4wwpWh-F zZHVj;6wXZ!6iMJg6w&B>^YGwFkD-6uex0_P@gQt3rc@Yw*d6M6r#{WxDbk)kW) zp0ljtN$NDtmv|&Nlj$xz@0-eX@Zq<6E7t+kyl(~9f%tFt2(E)+%>&52LQ3<1$$moP z*TnKdcBy&}t)6?XwC5e9RQ8;x+ZPNyGBh3crI~M;%5(=E8Cs0D{5TSv&vZwc_l20# z(>%yn$W|x=Ci)k$57cw4_1sws`6nCq1tG2>Pmh4#*MjyFxS9uU_7iEE2lDn4D8D99 zD*0H8_*onG+oO&Q9mjpy=6%cH4vNTE`A(BhPI5DcSj@x?BQLoUhOHObSTm;*yGNzRyMY<^V7HC&Z^ zBmGa7eMo}szisYY7o+_%q+?aAX85?zCcUm`a&l138LQ$@8l@B%nNgq%n0%7Bw$=b~ z3~yk`_$&%3!M~-@uX(ph`Yfh`^sMNaY|BgNZ_dc+{zPk*fVC8Zx08CH`U=S!oX{FI zhD&;|ACI>uhIdE3uQ`S{BYb%_UGO@$8eA-+n_tfK7P8r20!Z`*PrF8)JUpZ2L5Ju; zkYZWXwHsC$LPvH2?)e^4dE_>LasR`D!3TgFzCo(a=kWA3@|(3L-O4@QHclm8Gj0N3 z)Gk)KU-$?mp;!N7m;-TA`h{<>e;WAp*oGC(>zpmQ9q62$5ORo%6fShJ3DsQ7^Vi3@ z9rmGs#~9(qmQvJ*B`g@#I(g39@j<(HDnIPvtG8{XxwLe!lKSXsF{86Y#iy4ZQ(j1j z(Dq`cR!sB~4?EkxEjsx-8U=9YHO=u*v5&@Qfd?6*?RdH6X_WV6(@(L*k`(h<3~CSk zS-%UXCRYJnFeXO)f-Vz=YP;^tdL7#2GxJO@H zEBIvr_mkQ78+>=E>_^IWN~)-!4NU&1q>Usy57Q`UW~sli|uxStZ6n(V{V8`D^QvgbA=lC3=JqHd`lrU}H@N!|t++S=%- z27Lx)sV#g459L1{la#YUpA7g8%6VmMxbnB5I!ACf%X#f~>{7Qeo%`e%mGGqSBRVN( zVwnprR!B?MkHJ=ZJnhwWV0-KV7QS_;%%RV8Mmihci+Vow##&j@oojPKwM>(9h8;^Q zZ0lRWfK7gGPUji&%;ph{#zh8j*Tv}}J*JEqjI|l^2>i(TYb6mR=r8P#xp?~NfX+iP z_=SIPJ>j|8=|JDQypH5bA*U_Jzu9S``*s&zTsX^M02<7m&OS&6Yl&7wv=tehz?$dXa=eZYXd4*b zftSz6)#Z>>Hepkpe ziV{E$qb8FM3`Y9~49{^qLm8`dRbP;(;|}Q+!&}~}(LW`8&#f4fpa(4!VtfqBt?iyB z_EbtO0@w`C$mC}Xod8zVqyyvS8 zN)UgqU51faq1NUJ`2um;tY^!a4 ztTpS0b&o0Pn!yk!_(Lob4N)F!5BdbQe3THDWmmGp7_r4kR{-r$UKFylL|A8j}?BMcnS>+AL7Jv2=9)1I3jwm_vOGhpJ?Rk#I+btm;k=VUOv$T z33My+6x!|vv=Q~8v|D1Z6ZE0<#jIG)G6iClYZNl*&c$v&MfNymTA@dj&OQ%PLSx26V$Dcr0m!Gmkk_mRgJ*3$h zv`j6vd$HRqBis#Tw13%cld)HsduT|dwR`Xj;xQ=~V%Y7Sld()DSp@%0e11T?f(vBl z7q+cBiwP=J7FqWxp8Sv%GzGmb+KH&7QB^d}1b#t512_%k(noqj!xav?V1shpd_V|^ zg9;d=mlzlrVN*`Y;;Tpn>rCNyoHiqP^z|GR^#;XL5!^qyEDgp=9Gd1*bDn2XX(eq( zu-^=nd_xN8+vZBvD!BLX8t9t_>_(i5>8E$6QkR%2>_N%IJpk01vo#S?i-y9!H=bf#`o=6Dmf?()w1?c5pm1|A9Qc!ON z6e~BkYmU4n$0WAtp4|Or3tSZI5iaT_w!w60E?2;@D(|uj9dSALs_RjKOS>Ok_D2ZC zW~GtqP)4t^oL;hqTTJi$+LY;*UO=y+m30MhHqfi+WL?GSD*2Yoq{8$Jg}Qz9<{(zF zKF+*0MB$KKmn&o*C%-%}$P_zDxFtT(4&CPcA6-^b+Kzu@4SI-Wo+Q6aKifsc0v(nM?jcjHAv=8dr-*_LH~^iUc%su2kSJ$7snR5!HF8F$#wAQ$gRFGU=9QqS3n^0~ zWKs*p*wWv2+tv;m}jkSRXe10q{lGFCa2+_hth3jt5#Y} z8qdcZZg3zL7(GaXt4DvSYaZS9Sc=M_HK}U-`{7^<*f)BK1Q2g8@|ZNTQ*Fyt%4W4= zX49oPnY9#Q;xIN8g z6}o4FbBesNbay-$2_d_obd;+^co45z){vdJz=LiFZup{aT=!(8uIn%2!hMTkZy(>; z-w79)VZ&N_fPkT_Fp{1EkO{*H9+Zra(u3kd^08NcOGK-*sPJsVkXEJPwy&{R%G(|d~Ew8BdlZ$`samq-TJ9$?MR=mJGsr%kdoT%i^CC1ZX`CPhyvkUb;3?NM~M z_QQL#B;WD-7IB9B>-!OLMip|PkXS_dbh_o?@8tDJp#QLZc5(0UH{>j`*cqMUb2^2p z%$q(}YCq3ca=K_hGcj-vi+3D@I?L)*fHV>+M zdj6P6Ntx^GDYh4(LtP3Y64tq&*kSHd(LHsCRQR#VUT{Miiu?AdvDT_^k?f%iylDiQ z!5UVo0Gx4#7%r?Oyp{_+$FC3r2oM}W{r$0YEFbwNq+K5)$1m{^h(N^v5t9EC{$pYw zLeYPW2&Cs>HKZ|2DuL$Ldd`ciPZVvf>I>V-X$ya=FcqI{rFtyNqn{~O32W5K8Tv$cI3UaM3*(y; zQ?3~9ey9^Mq`DGIrx?#CMQ*+Hf!E?i^vIY&W!M)K%M|O+u7fyW72{FM6bpp&SoVRR z=lbB`voEl^2ft1=rR1R%%7X8aVmK>y0Aqw6R6%@s0}!yS5$+Mi#XYNjU=X9bY*uu$ z4vYllwdnl#0L*SVTkSg))XV&6izEVNEK`n+wi8)a4z)|ronzvaAjh8JeCL~jSxXSg z)>-MuvOmJqKE+$OLp=VRyHA@Y%j!DHb0O~dTb1_te5DtyVM}E6glyp@(%^!X>v_@s zjq>)b572-FoG(pLI-FqY`-geKSv5kJFlsGTbjQ`Aa}1)^NrlQap>r&v)@g+j*prlu zq0UxzTS$iT@qVz%C9rCPPq8Jj>Ljp=gio<7C>>1+7|7iyd$cyVsQ&Uy_VZDtcFV-} zPDJziUhl%h^iD+ada`b->kIwlA<(>UV%iNP0WB|-obTc&Z?WStD@mC^65Kn3`=gBQ zn+EfPPS$JU!b_>ag^Agni1c-yZ8uQ1{H{CRrJI$8ozNtVpII+xYtaXzGxt*i=e?e z;A5tBqRYbkkav^I1hNvoL8}BWnaY?@M2Y{dUs!CuYm6k5QB1K9lTmOnER#`uv1HsC zZpY;Ytn+6d9mDwKD(Qr_aC;q}-LsEQ%_8=RF4%nZ8!T(Ept7EEeb&jD(-uyxW~o&U zgQkNR&24SRNyGKra*goym0aHvnj|X?09J7KYm;1ZyIiweGMGkgAbF08UNGlrh+|4M3YMUnGk4&>sS>LI8%E>f?TGc9y(oErL+1zO`O^d{8ooW+8 zrbTt5HQRC_x55X!^CQf&7Q%jeEk)e3dBfkfEcY_4g83~>>&$|gzW9cGl(Ht0fNb>A zA7Qg_9n%A%m5Iuy#Otv8FV*WkgHL+A+hjL-yu5>!4~8Q2v;hQx>dN$n zxrW%CB`Q@?c%21JJ$q%`+gXPij!*Pl+iiy$b_2=jQml_My(bLZq*$xE*0xX0%FQOW zgucSc4}3>DCJ`lk9rr;=)|+7_z)xVW7>7kA3#m&ghnoX;!@g_C-K^*Qrn<>1qjLl6 zP2$~ebd9z2T%jx5sl`M&@!?P{#~%CbC%`Igw`Hao$8m$*GT_{8i2l@M$_`&@mMzx} zvfkN}PUgnOk9Ng_lgRzy-cp9`p5)1w6_Rv3p7v#@y@ek&ZxKIykPinH=vrj`+UNhu zA1COX3r(q3JAS{f&^WvikmDHKB-*e}y$sh5J&JEu z#d7@B#MJWm%|wwV#UYwJ(D-j7f1+{8K(V^#ae!gV-*%DrfSL|2FG}5L_uNCt0yA6e zYov$Mk?S5y8!pQn)_g@1Y8}=$ZKRXYEJQ`ivib2d5vn> zz`xSDlar|>I!VCxbXM)4<&ycvar9Do(*qpy$BWhCWwn!{WwY(+b`f_TnvIZzQx zW=I3f<8o%y{mMKt%?ekKa5)tCPV)*fw9A>X874r8=`dT!g~{RJD+2jixkHl6N7Rp( zeGl)bX-XC}o+73bV>uEfIT)&9PsqeJhPz_iM^J^w z8TW8receAc5W)``r*$6G&{t{#HM2YA1NEeDLInz074{U}-vV`&H3Y=7hbRtIPq7_OyM=*>&_r1{rJp7i z!mSFh>XEJ}#aeN%WK`*&NLxP9W{%^C3zLn1MGt=AyORjA(}* zx1NlAq0yY^M0@1<)x!qcLcIKIrUv;6ul_IeGYL;YIQr8013a@^PmndY{z=&D1fJ$z z*efuRrP_dfC(tFPz`HbQSy)VbazHrkc4k!{@{C&v4zS!}m)&*Y}$P^w*;3OLj2FOc&-@c+kQ{Ks9WV*E#$ zApb|b`GaNLlQ5!R&TRzO9v)TO|91ak$0&lOz`T{Y7L)hj>#q7x1X$>NSkekf-64ea zLJ^hsjQ`LUPJZj|9Ljzn&H}UMsS0mARNVDUFtr_S2;br_8j0^laQFu2;X{$$eTE?h zftP+G|6`9XL(y5m?0qn7;2x9~)ccALB*sIQy2MpPkg5n_5e=gJT!sE$Pc_%H{9crn zqJA+EpatURpX>U6I4I{|vW35d6pL z?Zp1qRWT|LioYGC;1vM;H&U8`rjY*zLmve9Un9QDreFx%iS7EI{WYI8c!-z^H9Yonw+6~E9ZziOC~r@Na*P(W5Ax!}pi*&JP;oj7CIS`ETP{V}bZk1b zD|#N>)r?NndhxW-rbZl^PW~PbNm250{i1Mj&;E}5p4t8yZu@L7dpB8fx*5Ovp>Wl! zU^9$1j5fTq=u{_+)-nrUQMj6MwMv_}%J>8NrZ`_03aU>RdHdN4v_ug`<{4MJDt9P; zlhwr&EUGamvRh&Jiw`D%17D5Pv$e3SK@O+Q@CNOEz(E3Z<(Xj&j1lFdHu)9_=8o4fe^-{+7aUCmp8T zHzUW(M?l-=;)blO+&^r~%SS?My#-dx&JIthb9F;kp6DO;<>e!z#oq#pXB&p4jJmq9 zDYJAB7xT8D(&}u1<+BaLQ_5Z4(3GqChdp^)NNIVtz|z@kVJTUzZYau5{liY#YY{1{ zuC)lXLcA?7wElpr8?EwL&+s~L3j*!^4p=yQEinbb<%(RHv}d@N*B6#HaRvBbPKWqCUvUpHXqSTWu&cAz{A`{)DpcBa_ zYSe$F6ia}@k^*L1=z4Tf9zt?ZD5L}FKLqij%_C6IH<_nljDL$fMR_DiLFlqi|FHkj zDiT9p%@45+vf=Y#3=Df^pZpzG&L$2?k#KeUq>Mf|jLFM~MJuxnw#geHu=*2Z#k6Airm5V^K~i+jDaUiuNgX^GC# zrYKLJq#m&8oUW7S*EzZ8|1jt7C&5_X?b{4>It7hifZe)xg>W{l|625iT)565}LvQin52#=1F=^9ltrgPnDM6HqmQ6V>(f4py7~wUr7nQbo~zpK<9t}zv>Hc2gpiUe;UuTGZT?JA z5vFWid<32nB_Ri=h|QO&zC1Ny4!?+V#d(5s4g~AJ9 zf~pIS7v#qja%+T>5dI|ugsqRn!G1Pj^De}hTd>&?98;*wF6b-yBn*(*C_sQW{Rl*u zev&pNrZE*#?B*O3$K2oI80-BI5bmrBx4Dh*5+QQILn}=uio_H_o$X|$4_~Yb&uB_r z5yJHco%=6M)%+K&Uc4pv)vvTC5ki0P?|Q|m94+}#Uv_+O2zh^5@)H?|Gwlm-YZb>6 z@TD+mm1f3`$bLt*Rg)dnu$84S>9p0NC-O-S7wqMntpC^*#)~f!uKE3nS~vInQZr-0 zs!z98GYPQf8j4FmBG2Nzv=GFV&pb@R5r07VFTrr}hA_!DeJH4panS!i!Ej_I9ztm* z9-;~iB-?OPjwPZF(*LA;C&Unh|D^N)FGL~0Q0U)!kt3FkMDh%yZT|Q){7eaGMjtx; z^h}}c=5+hafR0=nR`P!zbZAUyJ_flYx0tAq4?Ds4;W06v%{p*jC&yO7rxuNEuK}&^ z?_)2W?>viHyL&B7WWL0Ikr(>D^iJ&S9|B7g(!FYfPBu|g8p9C_P@j5J4|9DMfZA09 zZg4W&Dsp~u!2qRkZoKpfqC^@V)i*g*N8e^|la&OSU1e{Eq=eN4)0ODbVL^<3GAGN&Dyk--5VCjs2`RW_C(x?uUn zz1znk1AWG1J=(MyK{nb^gv|+?~4+4hVHAjQ5jD%}X(>!L}#QjRRI%e$4M! zshz&|P`}RASnXvuuh$Bh4Vr7NSqz;qA*O5`hvg)&%q;X**yvj1Flufqg4Qb^N z>mxfO0a1E9HP!~~a5Ld!4IvQiC*Z}BJtX;ad;4od{!zm(y2=D=TNktMT!Ic#IVZZ| zg=LbT&!SxF;&x?(($$>nvM5>;-Sp+}uA2>S8w1u{1SyZtmC2G)`7=vu^)4-V@rpYW z{t+MvNrVkqPhBAXB+r?e`H9Q!c`y_4S8&@YQExlr;Q3-6Fx*LSx@HKEhP|1Ko=D4q}DW z^ex@r?KmC6H(y>~!8VdW3LQS9OyNJvqBDU7UDF^1zD(B&L?4zx_S?fi;?Xp3Xqnin zjb7LGtBuj=-|hFV_4Mbj#HS-|spjEV!hc;uu7rnb)P2czbc@?D+$*#E@?*xr6Bq_tXiMM`DqmXgmi}TuWoW|C0{X_vUmgy^7FGFqVjTq)vc^7L*g{+3(Js zMewtoHR4qjS7~?!*mKc$=mWxY*})b&YLQ=yOV{`Egy>%H1Vq z-<^9Op|F1sFsI7#)P30BhjlKbqcU%3S4!KdpYiHwpdZq;_cSn346Z2jL%{R zdI{zeZ|4e?=d-ps-LId;V!v7k?d$HzC&wcnx*|@ zL0bvn*s#5Su^iL=hKlG7MJ{e_OuX^50+m@eh4sIYe)rbD`|o2Zthh-r6yOB6;5i|S3i45<^vZyh4LqG@}zQ62SyME2Kg1~BnyP?2hLw&hI+usHhqqd=j+bTzwQ?o@D*HiVy87F3D z$6AjzY+qd7gqhK`tGy~m*VD@?M^DEr`&Fa1o(9@xuVQ)5XgZi8N?;8xe_0#^m z*rn>jALJWE+ta^wJyM_wkrz2oyecPWq%41a+LQn0$eY7d_?5d`z{4j7GuJW9`^Y5? zGuJ$9a%$U-Qg9J5ueg^>+J|(*^4sLXHa}(iU&Qm7?J{Yf0?dSe7o3#sLx>p17ao*` z&SCe!;e{gQnrYbN^tPU~PYq_H=ffw;_C7>fPoD%#W4o|feuzDuoppHL^4{xs7Y<_e ze;2;|?Jl2BI=A^o46G{N9{oU41B6UGJ@`j19hgpRJ^24F%qRoeF*mAv72;gVF*|i5 ziQ-+dFgqn9v*KLZFgq0^6FGVq<1Y62+s6RJJkzl1sqH;!pJL2T^+<#G3kJ%7H1sBd z?Rn`3I}#_J9{acpF8=lz#A>dd#iI*5%C)ay)wA0T(#^AoCe^(p(mpwuonny!@h<6@ zoobPb1l!p0qtoO3Yg!(BPn>&|JPTXeLPK%`gbrVNn*Lq*QLZ_Lolk9(Qm&bYozDT= z#*}OJVUYO|rd+cQJ73sVlJ+UY?9_>Tj=!Lx49G*za|nBO?Sc+cjYNpMpyxIHMmDo8 zM%lj1t0d$3P)~W5X%Htyx)6#=!Zwu8Hbm(Ma+E=>o##bPJQ8apdfs6Ztg`#~L%s!< zDt9>mYBFx;7~vA_s?!r{lc*m}EyZ;+aR0 zzHC!_am8`=<8+SSI$`H*8{q2voUCg*>_VWjqQO7LS4{0?D_2`jwH|;N=}p?VDFdRu z1<=2EMQNQ04h0l4ZOJdIsnkv(PB4<32B;kSVvdJf-b_hZ+T8JwnQHZORsi~TEG!c4 zas-*9BU6UP5&JRhoI2kNT7ILB&s*A5(HG`blO%F{U0VOLnD*V!MBh!qb~t|AEofop zrK0eWFCa(*P2o8mb4y)4xN0W3O+n#|nYl$pe(6Sy+r9l82}cM* z7Df%<9N;cl~#Wbw}ezBmx+Ou!##P&1J( zm42?C4Q@j@4xB6;yodLDA7NZe_P z)wj_=*VdKiwLmo=l|R1ql?$=!$=lIKcI;@WHYJYiQH5oXVs?I9TzW6T`I(u-Mdb58 zpf4jSE~_J$OH9Z<)K3B|No@^z%+$}^*gCQ+K6?bRqyl@FYNRlGuF9mN;7fU9_dRBV zjoG~%$=o1tyy8X$7)>sy8)WhsyeDSNvFE8~{0wdqctleUQb^hC0}F`-aV41qfVYh{ z^ue@9zNqPgwvW{EL5oRb^_sEa^J8d9y8z4@An9gBy2K4p~;(*U@ntfb+Bh# zjr26%`~TL})|%-VXedxnk(5yXe_h=a6O7o1{J#aXNH`KflVKbpx8na`+7coc(92%S zyj;6?OuPC?xz-_%dAO0v;Cog;vhvlk-FIcDPvVGSQv%T9C?L_pFf4glMC!1q4@h!| z1>i`kkMh5Q!#=)c1lHY3mr6;4hOYNd{hd2~uS-tOPS&d5y&)ON#e>&qVN+Lycs0e| z^bs^1C32Lh5N-UPC%~pZS51;m03~X8zuk4*hUhAv=it`Pgo3j$Y`G6^UbL$WLwVSi zD8FRWoMlf4^i@Gg;_C!p9!SBh(*Qt`K8pO(c)XWA=z z-419-z(iAj7;zE+$)h6ACVC@=&#vxhO;fAq={9$g8+_L5kypOHq{mVQ9^{NNM>i0#bO$`j*F(ZS=!~@kLzcdn)sIQ9z9y@^+gW>I+tmi4{2g z=;cR}N!4|V^xNmqK!+^^)H{N%pywc zTRa3O2Lvuo>~o7ln|8mdlYp*X)y)7HNNGX|ly-L}Z94vO2Hz8%;D36c4-KRQx*!}d zWV$&7T)>`+Cn-#^T38|xc8v|Cdj^dS4f2cFg7FUn(rva9d6sytXo*gU9%oVS;$Jf0 zpOb@4%pk_n2~QOKL@m-#>_l;><6orsH`6S)5Ill1lE|hXff^=>76r5i0ug$wH@3g5 z`FC|_SEFbT@h@8NFVaDk5bs?RTV#>Jn-&mL&g>8$O@t610;qR65q_SBw+tLt-jJD? zH7#8=-K5)ezDRTcsSsR@!au&kKTAJKK#I3e+D{By?4L%kZjnDd)=nh0#F2=e%gRx9 z{hb23*Wd+q(eBm<(DO$J<6dmxpZ~J*ZsVAu%LVBilML&NUaNHsX6ZMt9N@1_>A30U7j-Mo z|3=(~3b`m_m>^2v@BheCF37cD0RO25A+z?d{1eZ)Un(M)eYN14F+QMa!kO*r+T#tH za?|{e^C!AG+W%T={5Q1(|6LPPXkhQ(OrgljI_tq++*SPI%Z*X^g1SRb>_Al4S77J%`PhD^(<|4e5p;oa+8_9{o z)ARk|Rp53XJ!7vs*E%`Z z1%#VizLS%>_1OrXMzJz2n{;x?Bqxm9F(!~v@)-hki#kYJJz+gPKX)D+rW*<@+%^_Y zPEKCL@uM5}?MzNb)*R$|st6elvbPunRf)$}8knmK`GC63Q@45CYJ4f4{>Y~Ahy*8H zV?$i;U(E^W6CFwLP*I}#y{<#qUx$1RKWp_cL&a9hzzF`4_ z$!|udGh4m6*@jD1YbiA!{2GB4>*t@6U-hrIeH2_| z%*?;mEdCq$PB@sBCYy{iT$RPFIZk^id3pep-^CUc#%SLv8Lau%BUD$A@70rvC|4!_ zwqgeqIvvtk0e=gZ@k<%yk@d6F6|aEXqK)BLBW%N#-FA(F_jF04GH~r>mLJXYYI1_8 z&NICv{4^ehQ=TaMgE2DsYBcpycqwvgX;11+^20^?@xx+ub=Ll-+9`d;D$lZt z%l%<9oXi3DWI=R`#!IM7B;CevtI5bn>lHVvU99iGd38>1PD;m&k>+{4Rcuv3&!HRK zX5Uj~EEeN+>wTIE<>DebU^MN#Pz?+gyV%`dYGhqEK0fEK*|z3c;Y;D+NO?KvY0oGg z=gsU&+C&C%l$yEkb>fAzIUTDN39k;+JyBUBwQOw;#|o_ScvqZc5FaiZb+z-Q&zT$0 zG9Msz1f@xpFEld!GkddGv@S7;Y&Knt^~`3I@K;7Zal9<^DN&iakX+|<(gV7FY-aNW z4tE!ll*z#r87~#gk1{Gmg^C$kJ%WyX({ z$fjg3*S>Z|Kx@N_qO|;Lz%{Tk9xLl_5g)6=O8R^G)6$}l`DFrEtZO~miv9VDV=RAD z^qKfV5F?($?U_yPY)!3qN|#D`da1wqWXTlE&S1RfEOP%pPwHY6D_E~SaUYZW@1`oT zyHJexL;K;(v8_abBooVOkqa9}*a|c#qXjQ3jvgB$g!q+uf^)5{0VV)*uZi#;9ebxK zD^c}66R(-vL1up>ce1aQjJO9rN4q@mo-vG}@hshtMHKi+Nn zgtwQ=Nxj<(IN+9<$zD9I(p9Z5Qqnh#D*q{76E&Z5HyKlg>H3?`gvmGF_0vg8$bJB) ze_~5JUzwciJcjDI+%3?T$G18#%*TNj+XBKqE9Vmj<|< zEl71(kQyv=1P&)GNh_|<8!|C}0n@VL#kNy#2e6}`dwGD~l#Y^CPb*Xh$f#NR%k~4< zNUU+!ZuPSKVh&&a_$RCO?pXR+9>}klw7BiklnyHyE53>kU;v+J{pmOI`N+muW_Jxw zSyLE?c{}~pJ>ae<_ls8oFlk-Ym0vjX9=n|Ukx0(H>zM?=x^3esI~(>ji=Bzf?h|-x zIJCF#34KiLRkN3y-ZtujSvTh1rwr_@XjOR{wRv}W-z}+FDg)GmM%fhygGsn@s4YnK zvK<_F!Me@iH-HJ22%d1IN$s?nrqsZ}T{k?|=4@zNGIH)#m5om?__;4w|&#G1R;~{$Nct7YUAGoD-{e777Xufl$705}N`eeJi zVH$uQO*VsWv9WhmxE%A|`Xo3t5&c`Awp-#wf9xRkOz4B7_e|G5@-nB>5P!oj-ArS} zJugRtQ#{FL;Y9*$@Q*?Zc0F(SA~UZJV`6;#e^2?1jMc_2HOJjHUhH zMEDX1XybYZE)xfq$w?y9JV7y`eF&$EG7D)ZwD&Obf=oZechkqZJaW|*1dd+B76o7< zIa$@#z8Fs|w^GgLuB&Hr6g$wV&2OwV#Xpo)xB<$-1lBQudr2|;&^i?p`ZZpD1Q~g3 zdR+qC)V~v$#`8XItTwEiI%YNd1ST7rGzZq?)ngj~r!+w~)c!Qe8v6xdg+C_o*N+}L zUB6BzC){R@_Hrgfi~I}_j+Wt8@o17<(Wg<4Wm>AJfT2ZUYz~+qt$4E_(K%(rxNmrn zYX{W3z%GxEY~9OTHK9A$*T*9|cMO+0E!N{6J9*KeDPc!fVmnPrY>>l=w6&{`G>#Hc z$_L1}V1pQNuFYlmhnqXhvM7;*a(HD2a!wlj2?u(4h5c2$x=}CQ9lHDX`-e7|N1Ejq zGSKmfy5O@IOQv19O6|8X!*{}#XSHwZ9{@i&K}W++o+n3FiYTm0@;hvjD|P%oV)m5m z&vKNXjTF9~djNwYQ=Es+Tnnz5OZK<}HMgP+!mj!{!baXKRn$JjBnH3dJmE7$40`-^ z*OFZQI=s8<<*^PdUOrzBQ1Ls9W;+(`BGoM#tbZ9Vi!(oZ`Pjqw91}9ai)ndn^ayC5 zPmSn#1QgxFNDCdE*VcQgym|o$(bxM&HuFzAKY#vQ??FAzU}LP~HrSShP{oIWu! z5Z^0jhzYpqTsdCXoo7nJJsr)$J2PBXZL1E-lyGa@uSj&hZfM}OE4+LNso*=i4KFW! z6u4JpLYmw()ccW@MZ}P;$t7hcX8@>pYB}6>^IHE%)D>B6qERUuq|(M$zrDsH#uS3}rq<}iO>le|J zMJH@QW>UhY@0T$Xy?@?##wNdD^u8u@Z`xh|39p{$JrSmNB;~&NC#bkj$*zs15>^ZeMmdiafzhwQ&*?=S?^Un^j*?hcGQTDs}%ay$X3d{in(_X$_B+6 zSA$*WQ)D{?{Q%XAXSz3=c+vw@{C+)~DB6g~hfN^Jr44tqL}C9-nJVWs+`K0 zPmpn&O=lvy%RBM`$jrC98(j4sY{R0~3JhGQRt96LcJ3u($K$Iuy5$r$Q7mMA_DhM1 z5=XXa*RnAGnIo%+sft$&%Q?#c*$A;H;gR)Zmr~Xz+P)fHg7WL{2$v?0QVrj7(#k(c z4!Bh7eWXL#Ty=9h^5s=ax(nGIx(PVw@eSNfqUythdoL|2!2ka4R9SP5T3z6oU%TI5 zpcOlmx*;&IE%GVH20b`kZ!TIM4h-cBja#epObz3|+U9+J@`;=!la)OEd$IEkS5m^n z1T3a59Xajj52mRcsL)W!zva57dNcYZMhMi@mm82s!D?(cSWbdF_zEIf4=R3zpD-|i z&p$&$1M7>$fe$?c<;pmistICYpU&d%KZLpNOGnaVt*&i!U6qtfo_ zUE5}`y_eyeIRdr*g@Sz2^tUtlv^Dd3m`_dF)ha4qMt!!CzmuE|5$7# zI{IBToqohrt!^GurN6;`&ZSmobjDteguzB#+UxpnD^4(IeX5{{Z=EExY$2h*$J;)U zUZ!gME;q=o$paqN%( zPFTcTwGlp7D4J2_$WeLI@Fk~al(LI~20HcTKZ|=_rFR}7;(aJ~PhU7fqz7yTcUTEi zgCw-T%U6cW9eh?uj+UPg z+h5lH^bc*zT-6WD{Co*G3@SL0&p-CH~Ftw4nW%z6dN!j$M)bfq~fJ^T(!z~EVV1Y7^-v7{{ z$uT*&{m9o26?w3iy1jexLQJ|fYDDT7)3`7{*RU{fWPkVz26~2bZZiiFIzJ-2cer1hE6kdQr8s5yYf4b1Yh{{~fab?9K`t#rdw;-Qa^w3@{ zbpnHyuDu@OG@R)C?~(=J@M;gOvfOOI@Kj$tA=%z0sT5qvFhBwkpyXte#^ng~S z#YrDSAzNbxuS$s?x%=((u2O@{Z3i@ z^DXs{3zcf(xsc0iJdGr(<+g8SKaV0nKe!<}MR6{^n_L|5^Z zY%^bLG7B(OMjJ-QlA@UySewEs z3B4k}dLsgB$3Vx}su^9#&$Wi{4<`8E;I)%DxuvpXCB){nnE9`2GZQ)f+{7FZHgi^U zBL~;A=yym%UJ;WWqv}f}=FV5Pn)$sCMW?r(A(AlT65aS{b1>!3S71$s*Z5&ges^6P zxpwmrMxUJAhU0A~kHp`(IOKH#|J(K9Plr86WCj}S3r7vI78;#wDr}8(+6t03mKV64 zE|Sy|`p^n)n$&hQD0lEmS*(`mV>jU|+@NyL6He&NCo9}h_CwQ&j0+WU*GRMSdW`>8@t?qnX6EOfI==rgAC z0jZ`lf9{WyHfb{pJ%`KOxvOX{lU4C6wWNK3t=^Mcn<#nlgvI71>TOA~P;|_|++S6H zI~(#1Ba-toC&dyhcnz4#AZQ@RToSb1QtTRxktm#wTDs(sFOu|VruU?U zyM@J6foZqiV^_ekN%67EauD(q9qtH1D!Y+wpd2|4yCn^S#lq6d8Qwr=;zZ(_TY-mG zYWOv4*sJP{J)FuLZiRK}X`k-=tCGGT@q&FQSzSMDoaJlEpKwn8KpW)y{MtY9_5J`5 zK)#V8OAT+Mgpf9{lDzPLw7qv!6U`SeOcDqnO+@KMK@c!V7b$@NN{2)`3L?D;NbhVy zS9%Mglt_^vid1O=k930cDAE;(K%@xL>zn8Ko$s9Q-}jIAob24ab$0H|o!Q;&%=B5h zUI|25`dm82CK|oYm%DEvNW;uFB3-)LhE&MC=2ew;QE65qe&pV-_Pd)uMi7Eia|N8d z1|LUT-k?_hyybc?5^LkN5IcMQ)-zh_IvMy&ZMP=vmD0IuL7k1-Kg}p3yNJ%pFt;LQ zV*4Kc)C16aGi3TA&K!{$pBZ!^EF|JZ((uMz?|60)?U#fIZcYK@^amANUW59#$h>_~ zmPbxMgKN3koqL95HKFHQQ?y)y5 zQ2O~g3ugV~wsASvHDcq={qXd!7b2#F8FDhYdN^C|8f=Wp-3k1}PV=Gi2TA+@c0twV zbFbN-hnG*9qY5i7R*6#k$5L5bbG=VTzMx*I(N}bF?23kUtkiL2z2DVzSI_(AfI?EZ-TFF&=S9}FjbV1# zP~O8vpQYNabYv=pmlB>m^@^_4EX&xIV!pVqH(Bsp@U&DoVx^KZWc*3jhxm*_9=%A( zi15mL{>!K!1OHHEGdIcI;(X9Z_OP}R=s?hJmHNUyv@37=mRi(iJ5WdTG8 z0QU%yRR?d#CSHU8IGI8Jr5$G+ozSUCfW_?~cKjftEaQ)+TDa`Rr|tDv?yD)>J+(^_ zLy$hc80#bsGnoKgBLcLJ((^?hwBHx<)Su}kM)ALn^ooJ9=UxGa%0U}PIjb0-fZ$~C z44&a2Nq>{sD$h$ANBa=X^90oHL(&2mztnJ!L`(j|)P{EQWn58b{{gl7N9HZf&f^PD zqs1O`eFca1Q8!*=qkDLH=O4PK5TVCh?cmVs)Q#yEuMQ@cPfrSFQfFQ%;pYK)J)xGU zW+gfZ^(80cEF{LKr;5L{EXX9%!yiP=xY8f_vq2sgP1$Rt=3G^H6ovgPhVRu*GvN_X z*AJ)OJ)rYl6mor*isKhh_X?+$sAM5;u`cy!k6aQ^hpR@-9MC;j5c*evB0r$RN-VzC zmmHTS%&ieB7t=U;u_o#AwbpgbnU5@1*#4a89XbS{iN!LnO7Jaw^bbxC5YvOEIt*%c zg#M0idN1&?F4EHbRH|XO6Z?(j_j`d~A0uDf_Mx41R*y?;-P*X`DshnT=BX5tLOgrOWJnul#ZD@&n>l*68L3Vy}sOqNwbYQh;$_9 zCI~wVhJLx*D9u)##K{Vm6Py`Iu+$JLir0$a;bt93U>y~@){z{O;MA3{A|deeV|drt zHft+MXa<}5ueG@`Rxf>Rm;~SGL!}PSRXu$`--0Y*)Bo@3|BExj{;fP0*Tk&#GP0&z z@WmT#8qSQ-T=xiHi#`4@h787KJ#z6`_-(HFDEgY@eA@8aMcI{u1Et7{lzH6Z@^9*Q zN}y;X^ar*&GBuNudYe(-TQ-@Mm;)ud3Zw5hwqL*RzEfh2Hj4RR;`X&%Zsm_qoa$Zq z)nKO2KS{K-5h?E9q;rP%=-6}G_ULZ>o3H^EA3Hk|iSVBpNV&An9xNXDD(PXU10Moh5B)Q zt*SFsdXBxju{i4q=})P=>%nn)**@IuiJ#RGEx)n2(T{0G>gG!kRvw}X zHhlU6rQ8p`Ux*5njgXkhU*j0LT#9!Dyu~ zZLg12ZBy?*y>pEEsH5%i8C_~<%n+-`n>=k?(z;;tUU@NES(ZkLLAbg-Kc?hz3j6?SgVy1>2B%_tSOPnTc;XuYT_3)n+2 zMiU;(pL0vky_v&cU5M4Wxw6aeb@n~rGINwiikYgY2hY_<`Xj^YM@q`s=~M5epRFnU zb3osf3<;yvi4o@P$Stj|lkU7|rMl4UB$NP?XTki+yY%^}@{ZN~<(zKS1(}6w68D`~ zj&jrOR+Y!f>&(l<_*eKH`O~0T-rjP|1b%`Ij!QzvlU1Igsy zu(uy@^M1uUX;YCeH)N!mSGC2l+yHA?=^Z1Uzs+{5?yKBnlE*4{mo;qGyw~O=%_!T| z+uLyG<9vZ1ev(RFZxpb;6vptP8WqvE?BDQux6Mb!uxV@nCJrM2t zYXQ-IQlsi`r(P>kzcri+I?Y+TETl}OAEXmxd}F@UUt{+4{SM|SCRTH5i63yy43qbv zDodE=sa+{!(hEE zKCi&HPwvH)YL{rcNdUUvRLm>*o(&zKf}TeXz_PL3eb0R@>7%H4um;kw(+8nZQxV3w z0>;Nj(COpQz9Kp8;WS(@GzwTy;IxTt+teu9;nkYJd5G;Q%Q%+{7|^?dt@cX+t=LhP z5ti~l0?%T4HdKSrF9r03SB#9K!iBJB*IQRad;#;MjtMjKVzPn-r%ilinU<|tlFY|Z zQS2GZmsTw$f$+F{6Q7;j3Z3nCg#01$yFpH3MN)%tbJUvW7e`=kb%65+{fHbr#yac^ zRd){w-Lz7qU3n7+*7NcRcC7jVtI6;2@yF%!Eg-?r-g?$zp4X#uy(=O()vgt1oNCVs zJ5KfUiaG8qdDIgT5jVPyh)5Yt#T6%`TPk_J;&`+YxHujo z-m}7rOX*sacKOfyhzwKEG!2#}4M{v|l=_3eAI_Zp#$er|v z>Me7z8mOMVm;>`5b+i!U-nAl(yZv}a1~tgp3O1!Ihz+i%DQ>5#XDU92yuDI9fqw3= zql8-LJiUUa)&d}J8H(E>*IR45DHK{`|bzbJJ?h4+5Ea}71$wIYOTiyiGn_;!X}>P21>0XijkOX`4j{M$gc4zReAp3JnC_O_hI+O-r&<5{vz_O@z zx!Ii|yB4r7Ao8Z=3kKpVOHmrK1S|`*%gp`@w2Op&p(RJavLL(H*x9LerP0`o;E4z}#o^(#{iVl_C zFT%LAP{*I9;$A$$9CjvHsr3v!k$V$a?5S_?-d9Vy5bgn}hJ8EPdv_@BG4sa7HqnJn zeq4L$s)fp@nKzbHj=!8U>Wm} zPThZwu4pa#i=@!nsZ2*31)R%tU?hcjPh>h?Q^3cG>xB4{y?dTLAGRmUBksT2st7M} zwpVNGf7}Y+q@r0=yZJtM?ns~N2u`WU!2(a8#{YCt9nWRy9JV;Rf3Z`tFzLo! z$EQ(|PpRo7mgt!L3cCJ$mEx0?4qtqTEaYr690cNxzh|1{Fn?-$5f~FIkw)le?_|l( zgGg%Kt|*9>7BoK%PWox_{F9078rSVR7>=h$Ts%Uzo2z?sm?WJmh95GMIaR+WF9#*9 z_^n%}vND(>k2%}UIhjFR)z<4z(pU#qi*=za+(wtUs$JL7>8!;Q!5e)Eo=m;zN#FS< z#e{*}rLkq@NZH>mFCDj2ck!7&X*XYlKuHbHocMXn6U-DeO(*j@?DNE)Snh^q~bp*$k2$-q4n(ogk3?M%4yj}!b#Pn&C8x62fl%-%g&nCiGuUbW;) zyRF;Dzr*W@t3{5K7YTfAs2HBQN@+5`we*SvqS2>i!I`s5!R^Wxy zf9puOW6)&kzUsf#wn?XebXx{Zat!{Ms2h()_(PGGnXhn1>WmL_Q&L%eb-9x?=R3Ks z2R`$@s-soERpu16auc08?bFFUi*O)fpr%5G0EiSz&&Wr=Tl@;K#fXTGL z5o2eAp4F#~9XsV-lw>?Nj*sO|{2iwGgI~4zafQ$7g$m=meL8hfonabkSKWgRe)$z6 zhFrd{Kl$6}pM=Gg?nrb&z}s1GHpT8~zjoT$j;_iierkd6C8xaR5aw2@iMoCI&U%Ms05rZABRIr@Ka&$!AB;%*`my56c80>VF{6mnQqWu)h zxlTJLn8Zv)>Rh=BSTjBLhoP<|F@OF_r_~Fp=PX|QNj6Su1ATJh%%>ts`*%9$u4lGP zuMtwt*1VG1o*9*lU>*D~p>9%}y0!nPQ}vaxd}il=0p%fe#BAEmTx^1QkT~jwc;CC? zkK>kBf><|zie)kGJu9%rsi@IOgy-iKUff4J|68c{ocXkXDR1##jC=127c4#A@(>CPAMnZGIpn>;;F{Mq zT;{fnm1mMy}- z)!ztj%K8rS|?!=gq>3R>nihMv~?vOz1tkX1}!KdL9&xc%`4&EoB zvsvq8G#t6gr0=NlA#9{-iQDN0&DxaJ%=}&+t^&&y-J$z?^}TLL*XJz$!p8E)(`*FE zkvn|zH)J*UWa|zqDgWr$UGPzge7tqC9V~8?dhTbv9C$L~13z8A5TIi42AZG3Q*|+o zzwC|IrIEY4Qz-FCo6*?`Qiaj=7F~u}L0o_RNur+e>@VLLawA`{T6DSc<3x)jY*@}K z>t^5~qUlYczK_zz>*F9pmF}^KTfMC*$Ne2ro{t39c(`4W*Ta;L#ksH4+@91g`UB!> zqLzE+$Nm@wy?=t6-jeN=Tp1SG`N#WY#DQ%&>y7uL#XSCJd@RcRK5ROvQ&E?NO2)T1 zbEnKE?IKhAR)h0kvF_Sw#wyz}16v-wnI*4)G57kimCC`I^vjfe74E*>u3O|%sNUt# z)O;AZ(YKX{UP@^*5B%sDy)_>7rT+F4kV3A(^tImy`HLY9i(0*#x~VdfZFwnb8DqL7 zwYHYrE=rW;Zo3M3C7byq@0=yYk~El@KIZhlu=i9bTQpmcx}%XfbZeEVrQ7-MdEugb z15h=*rKHI&z-=(%Dob$J6l6VrwYcfk`!z*@@ifVNdi8+z=f&O(r<*6Xi0Sz0*|6^^ zaoH)0p1sOmy$@4@`fIY8V~Q5x&1J_opP^v+%k~xFwEzpMJ}ZB#|OF4g@4}-aX2> zNm8N#HMt6Kmkb_#Wv9346H~0!NgsM5Gnnq?3|f{Nw!6Wiw7kakJSa^2WqUZGym=?j zX}r;v51D-@|Haw%UG73=iy@U9*$G`hK6$(|Z%E_P^<(J5Dbur|m46U^))7a8ZWObw!81ZlnbD7-4NA~-?Tx6Aj-cy+ZP@3X*;!{H@K z_Pv{s6hHB%05rBh72EoPDW~vGD$Wz0=`Vc{|FJo>zR3GJapZX8CVS|?Pn-I#ea|V zwO}TGmJ3m{Q43Fq-PDLk?RAw0@P+8u0d$a{SXIUq9pm)Snb%2StCcK|e;(rk#Mvup z$o_8^%>q8jEt~kY$uX$ItZ74+?S4XWw{S|4X1z#1kUWP@?Zu9cLEJtZHUlM+OmE=H z)NZ#*erdR|cW?$oaa4ATf`}~AWGZrK(iEoonqlUFw%*wBmGy7$u8jg_NFaM;^;37S z$D5jL#HT^hr?0x$ll!j1HA|bfPL(>77-xc-=wn*O$(qA*=1%*bwpC#InA~t^+K=!dIk8%#=KHZvW(v}O zloj04mfgO@e|^F7@Zn2-sDZYLouW*18&%XHHKt5bg0?e@pZg|8!Q zh0G#mH4~Oyw^-{B>U^*(zNe z4&vE~v9wpDWUNGc;vTB!y4)Jb>7Mb9jt!8p$~W!fub~sY#?apOh-Bn(`V=zm8ef!b z$uEh#dMoFKy(xoyVN$I2Ya^2cg$Q!ECjDsH(DkgU18l*B*DfG&ddDmOQq49jDNtPh zR#VJ7g{5b1N2_+aQ{EY3FZ&v8#`WV%E|pn};EJZ~D#y^7%PmVGzKU95uTNq*nbZ#x z4GL$RxqnJ{7N<z98zYji5l$v6RO^;nr6-^?#zqPaTI8!uGvf#_zdWIX5#6FhO zf3y&oCm|_ZfpG>vSN=ZY2oRx#8qZ!a(0n8!u&&j;Ee(GAW2PZDW*kfA=r?mD!7N<5 zHljxb>2&D|8nSkRSeWcXuGr|b3-{{qSTW+&zUxjDGW~e>p!w_s&K^l~zX98m+pP7v zt4f43O6q$0&+B&8Euae?Jsl<}BL)d=n+cS?rKdSFEF=PO`+ZBT`i!ZHzjuWiH&y+I zNA!jrA539P^G3c*)3agop~5cTj4sLpfXL1HW%c-X;k8qJt%Zl(EM0{&H}~(m9Sw|< zG4kZeVX-m;mNzRa&m0u*B{LH=Ef0h^XSFYwdcCg-r>f*DxrO5J%eojrmPx);gtU2c zIEHnLeEOUPcmtacRr${ zSrbvV4f(aby2B-XUL;eMx0*4WHe8fy7iRL5O$|-*TlxDljO3@Act3yRQcat|4)a(x zC_;;8fbktB(!%KFUicwcK|0b7v&ag{PFWhs2-f}tsNF-V{3$)eG$_gJ`ySdKUgBoY z$>{$Z=7{NyNO@u`mL}Oy`*d5}VJVwGOW5`v*{cGcF#SP9{^LaO2;)TalE;$32+h4u z4ep|ov(-_IFFQYptm*A!(0D3aF_VkBAQSx)Ezi;g+}bPT!WZw5cyyoajo6yLl`yQR z8oU&u58OR;K4fnQ&kV0XJ5RrDHED~8Y5VLombvq}QzvSUbs=fWc#&}+NVD%GCguyA zKg)1>zwc*Vy#TBEKWjw}e-!x4Oiw|f2Z*HhM_8ah=-e_Ab!-;;*W|<0lGoTJ7E&LJ z2`kUN&J3)?(^Wbb&3IU8G~u_vp$}-V;XZs;4M3uSg6n4?glJx(+G_e&xr_6!I5|rO zn?E+>6PFdh&!2`CzNbB=+4i%HG$p_3K1;cL9F8F}dGmgeCY$6PYqR)L?$-QxF7auu z(;unI7^d))ND_dd^ulag!V+S);k8v*W=nP^uJznrKe3+m-HnwP2hHABP_6*i!G7r2_W1Fsf&Moii|_sY%VpDYr?A@+L3g=st9OuND!t;zrM@}e<#N9A zP4H}|o~}t(8Dd^=-m~IwnHY{0xk452;?t?yKBf6+xrm!vYfRN&6jk>-9QR^BwM!Z@ zCIqCnl#io*OLgxd_#R9bwtd2PtvneS1R5SO{4-l4pz<5p;!T&9?##Ag^bei}uj^*~ zLsu0)z*^pNPfyFJ1#{h6O4rZlZlZ-LdW>OK>qwIcjX@s(WCu(g??t1rBOfXse&cae$`*ynli7_cyNBXDkDyq?hyle0zX(@3UF=Q z;+yxC2q=b4+~3*P&G5WJFuzmw+f@dfJ<`fenfesn%1yZPcHw#RTVLzm#<%pOJ7Tno z*79#^X%ufni0j?-OIv#&jLWDC%O}yU7&Qle*PeLseB8yg@~fj$M&!dT)>029i}wTd zJ!Us>d1tRb=Rdj0ANYRoto+rV3A;KV2GwQ5RMO#F%|l~j=(x-K&;wlgZti_z2xaFs z*{?I#g%*lqZM|4<>j&%Mz4c$eK9A+d=Ap6RW%vNTw_*PX zB94hwK$ANOb@-xa9#0D#G#rjE7r(0lQz!WWDz~uJ6=d)~y%ddTG|7m;>F@zW&^HGN$!lpy5-<>m9N3KUUiu0Db13U1_Pi?5jvW+(xc3RE=?n* z6O%jH57ur*K601}(slo>G-3E0P#WIZ^Gf13Zn^a|^SP~P@s*N|U(3X)9dff}Ti)#r z;X~$$(obdyz8*iCzeLYe4y=9<@WBQfO=N@Jf6ma4w0!)qgvt4R?A6O+V=*A={Qg-R z>gg$!`?jWW=5H0z-T*}{NwGwY2_~5bo@P70pDRQ!NZj2rXX&UMaH{JO=Lbf*H>tLi zpB>onXX$r)ykAhaIeB!kJvCu|Q$57=(~vm-SU14Dz;bEJHW;OP(o&Rq$7>g&~9VWw|-anszTi^k0VjEScJ?-i@}cS)yr za{1K4*21R;pEYXLc=TZ{(9TCt=hwylhzJPZ_k4zokhdih`oauVmed*iAg3VoO7O*e z#J$!vwMn?{)|gZa?-=m?CR=NqvZxZSF>$6+Vb+A&G)siHxUyukYG%CeJ-F8NT2(&P?k?Vg&XQ7iXBJSS5z5dhDAD-gGc02s#Iy3hiQK@B>aixm&+G=heU5A zs=s(AHIoxM!bstsHc8U{yqWMPo8O;W`};_dMf4x}y9W!bc-iYYo4Vs7==BJqf z|2)~B03#a1H@H*2j>MzlcNuR!nxMF7t9|63Ek#6RqUv0QYhk=3DW_h{Y#Wl?P9w00pZiI#HvIq_Kk6K)r&tVyq&+0tn7L zAg?z}$VL1x*unW8(v02N)|XL2cjy0jU2ZwUMD&O4fdi=7_o>Wa#nF}q>TjqMkI^rq zyQ2}=_FFuN^usgDB<^f>!nMDr>LjrTMl@Q6|NOQ0hy6L>jX z_-;-s5JmT})Z zUEmwO{pHt(HOvoc3L3rM(@I=j%$lK_dvf)i7Rv)2mt1c~moIWoB)RBn!@ITJ(c<`O z&&N-0+IjxP-6MXd|K>C7EyYU9=6Sbm7Kr{t?0WXND6^_rrBAzeFnunM3#(YM4)a@U zHSSVu@eMQ)ou$@GMPr}N<0os)(#Dt7BljP0Mffdo$U)B;!BLmhrIyq|?mwAoy?Yy>>Y|qn7VTh;UuJLF(Hx1$lhiM%Uig%4~TlK zCQPA8`>&gjH%??#L^XtdDR(~nWv5IFE7XVOEvskC#i>GzIWB#>$6xn?8-AsjZ{+w^ z_d@oF+pWw|HyI_qL<`L`ao8CZzM?u9j+(IF(!xb1bU#ElKhkn}ycO6tlhCbD%Wx{ZvZKEUyIt6QU})AdoD&~bb)b*i=v-JtoL){uf_G)P@?*PQuKs0! zsnQZVEo1U&6jSY4KSGvN;_d~{5^S@-F8lP+as*vKVv)$nJ#;Y;kf zzBz9s%}CfKR>%#)E8=4hwK9tU9Dw@%ND2w zG0uDzqFmAt#2u=&45qx{_CpIcwEcO^k;(PP5BIBY1q{2^mu065c5h3%)s+E}VW_4~ z4c`*vvWXF9`}sVa9KuX@%>#E zNTE&BKSQ^;YyeoXzN3G6Qd@j!|KoOn;?2*yAjF2sb>#4()idJw)ln9U(TzV|IQu(6nj2a{UM60$SR}UH z(D~&I`_W8Pt;Z^JBVD1})9qz;$@t^v^pU zpJ`EX_1u7feF1rqme57V?5v9@^ZM;f?wmBGJi|9ZE$z2a)+tq}7J_Ge^5%*Y^ zpCqw`T_1iygMP|4o0WOBqEsF9)6(199-;W@s(OuAuwa9rZg(R0KearW(Yi$f>+9?K zV)IYNnbs&1Z^}Ot5uXH}j1|s!mJG7~UKg=k5rTvIF97lXXm{(}rRS3to3FU!KGwXt?q%tK)q~ElE3U$qDAV~|DU+8DUkJBPW!O6OPdwNTz=p$b zx=83xRQtpAc`T>;#ze4Qk5{#@w`={`^dDL_=ay2E?9yq==KRw4u-VUJcl4(%r@TJT z+V$Un9Rf!BZHbeU&ocCy6G=g}b+1a{uyrRl0sUjE=J-;`m!YfrCP`{t+hJCb0t1^a zhY%RN@1VD4=i;J3@7N;OIS&i~>$g8MB9eC{GSvDr)F#u;Z}%74_XF{hirJ-Q)jR&! zg3knaW~qzx*(3}eU%Fhgqk=6M9%HZ!y{0b-*slgzg-Yv7+O3wtPWkmE9ac%O)~Hg8 znjP_-i1(@%nJ4lq4>T-B3o}f{evjS!= zNFS@(GiF=8zwLAh~5y_<=YIi=sPI>gthsG2vk3{s(KaVw79^KZT zw_9z2o!-!&cUV1!ouW$xYIcOMK;)M(pn8W58%Y=gYIoFNr%9#fPOHnXQvv;Ubk^D9 z7a12|SFFUV78}RapM#F(FH(%+hXHe)pJ=)q{?)Nmzd8SQ8A8aB}0)U#|97 z)gQ2GMwc>JJ@`C!54KxF>@`-?hrpO>4$hxm|RXbQX2;DmrF3y;tmA;Duvf0YOGu!JqL)Um{+d zC}&y@X_a>H+o7NOjlk?sI_cHeERlB?<1P{+Vn!yTs=!w+qK?<2mF{q(=4CKS=8ynU zHvHmR^ihv1BBGa?@>M8NK^CJls*2Clf{+c^@R{oqPl!XVbvY(nfMZtm$agjL8k(~%?BDuQk zmNcdrcm&xkHo$ptIv{P_!2!ik@*4`qPr;nQ0f|uZHwwl>!Mwl$El_fAE@IYBfM`<; z3P`3W&T``m9l-&^oYBqSsL79*h!GUFw*V1R4BCaT;S1exkp=X`=sk6~95-qr7Xg2V z3BAsS*Y4#c?uww=i3m8B9w2_8xM|lF0rv#&#z4va2Dk!l2h{Kj1pGZFRD=yb|DASs z#uaheLrrer#?MoVbuw{*yw8nSa|G}9ez-zppqx^uMG+{;WM~$}9ZpQdo4JTqrJe>$ z5F5VT6?Z1dhM%D1^4k?bV~9I@gE7ul&I#1yOzB9nu^V`|97>+gMV$7k;?Gl{M0+;;Foi!rO=g(J z-L;d$VL8>G6vcR?OiV1VmMmd3+HP>b>?HW|#zJ3Tn40ZzmXC*lG&lcOMpxHqo2H_l-BK~+3g zF-V@`{urpcvk0ntPn|F10H-I1s+GmOlrPEsVS}if;Z)P3B}Wr4YoAaoAKSFDY%X}gz zLvB1%F2a-70TuKTA@6~^?F9ZR(}UZP!A!lwOl8m$ze&&KJZ+#S-ew~18Q>oD2It8{ zPrb%GcL9IB-Sa_xLmuNkfe3H}XLCBB_Hz*d?zm=(t|G+|0#X<~cU+(oxc=CK=IeU^ zL++=38W+Y9yOnXl+w2Xzc_S(AF2PK_q9?Xdnp-3j5o3U3 zo}vv{`J>KPhEY;w!+VFnFBscfdX`H$Z0(VAc>WDFd+@FV6Hzx8k^f}gq>NV;zv+rI z8l)!INk=aJ!P660x$&};t^ycuD;wfx!uL{QeiV^U$s}Y?-A0NV)h>hi|4i=fFC`C| zwBN=wTS5YKMNm1E%qJ-kV+Rgsf|8#b;3|0+Z-fApE^oC~ty zZ?v1EkZ!mFO0SqJ%|Cih@gENpv6iB*(1Y=UmahN3EK51oH~;e5P%O9|t8^P2tK2(2 zR%yS0no>ndkEP!oarKnc%>BL6=&(#O=h`U|cuqQL%5L_yJM z1W-`?mpD!74%~5P>GZ^h4r`RXfEwgco0Q&VgENfG$A$;oadVX5ru3#+Ttr%KJc}&m z$TbPRTS=ewQONvDosfB5yHKD%#mQrVUC(nV$6ioZ%8<$CD?|jPoRqjKOs%GDDs)zc zITD13hTd9v5lV&#iRz-%b?pHW)EH+Nfl*u^lATYVK-nFV(ST3J942w zc~mB)4^jD8$VOL0Z<-Eu*AqdR^zL;ssNcrCe1mzZsv@cmyu8MTp{6mVVJ%}GE(l8X zzb;`QR|o#ybMMoGLM)5i-6o8A=eD)~BdiQHau>6_l~ z;1utgX)N*GuVASr^{GAs?#_V3iUjG;x*4h##;l`fMar~68kzgtogd@nLOvLG{Ez~K*BTyb=-ssIv($K=B~_C3 z6upVn44lo7X%;Shq2k3kGGE6K+5$Za7IIzi@4yM?iAE$Q&DO;PIIavAz0t9K_%zjD zsXZa&YVnn8`ZsEaMD*uHvhb*~w2tfS6F0%cq1XWYwD**cIJpsCtI`8K%i*tKr7qX_huMO8?O>-K++i^y!|( zqxdDNL@PvF`g~G%Ad%G-2;-Z#kB(DFuQqc6HMnoZs znZ`6-vpI>XIq$?q{jBmjV3FRwd6VkHvi6E$ARx4(-9vYpZolok%FeF#ke>Z{4^u#! zcJnLm+f>Fcts4A!{AwSHAx!bpZq#DLRQl|0`&IMOKOL|lV+818HCPaVIf=1tIA$*P z+SgYj(T+q1LRM}|pd(9Y(u`&0(TuxSY0fl4Mc>N~Nft(??!1@Uh6D7~J#ru(@)gMay5eZj&9>^qP5Wrqbo;7)_gQk~a92ZF({6fVr0FdRS4OGnw<;1KWzW`@jL4z19~ogn(Xk0 zN$P-IxV_|aLuruZ4%gJyt<{ExX%Fq1Cli2CSoWO!2hzD%Yfjr-vwrAYn_I+d29eR^ znQy26nEx(%)kqMSG-=DNIFSq^G(62#t1k<`X>q8wm*u@Eem+sKy>3l9pENm>Mk+E; zQo$?KM;FDcGhwkDBde z0lj9AypV?>mD?96#+JoDP>j)5Zo7vOfF<#XDU(P{g|#>G5NS;c*vqnA5?Atg4z6`y7cw$A~d>>x@ZlPhMNk%s}5zVUEU zyKlBKMIgE2(|E!5d=sH%O|1R1*~Z7R6A_Chio?|!38#Bm^9$lE6vbB+c@)dc79k(t zB+4Rd#}viPitv$w?J#SSCPivlT!3{+gE8OXZ z#UV6|AY*YT5=OXHxh+9)?W{FvdQEKkX*Tc#$>o>5Syz@fVAeKW;LC4u$R9>Hv?d*| ziE&Wa?#QOJiWAu|0)ORp^j=o4Pqsi!nMy&$NdlZiZ%wkDGAZaYJIjWXbScQRNx>Jh z$gu)nR*OToFoH=Vp}o3HCA;Dz4^DEkCe2Tp6bzZ2wZcgNmo-Uk%0xA);^amcLAHqi zd@Qr3s8X6Nta7_zFDrFP{JaoOx>ULSdoRnyFZqHv71LW+l2TJ{@)rgZ=m)So#l`KFwZl1=!hr{sW(^0jIFdLK*?2 z;`H3H%jf?o()~{+%KBek>_v-73hUaJ|7<4wk0stj*qc*hNc#vVHqMg|CzhfX%|%)TK>_qsKePFKYA;MAVcRGiwh;&M5=O@*l&< zPzu;KJX@ABz*4|I1#B6fZAuvoEx!Ds5pUURrywQ} z*MFf+cb|fEDLiB8EXnbfp(q_4h%lVBxBf<_7OgD!H92~3-eRKV(BRRakD(H)yGYlX z1CpZW08U}(724;|apB$lQ4qa49U_BCtQGv{@xb5NfGKTd-nugqgq(=7DRAVbqauFiG>qhLPdH0hZkX92^P7bP05s)mygDf$q=&Nlp9&X%|p0XztZNntX3veAjxD;9x<7WoTNA)NR@ZLfE%TtEv;lfzsiGU8pF~yDerbk2n-8^vwhje8LHXP|@DM zVh!B5@Mp-TSt=5>D#-|v#detG1{J-rCAQCr%llGhpny<}MK;Y-kxW%dl&T&-$ckq| z87-UeOCuDcks(AXl7T8o8j@9ekk!kC8v12YAcs(lM;@kt2uRnw;lg8)A=6Y&;)UC^ zkgVSaSy~0{*286T+b1NbDo~ofENM$J;SM?T6)GI-`+~RsS^*v@Mpb0yHK5rz7`2(%=6`@&A!p!}Tau-u#c0`XA}^ zKN3Ii<6q0$SO2pde~<+&Xuob2#mu&O{`*eRZ$H+O2O~VZB!ykE2|A^o`>K`5CU%R% z5>?WaH7RIEY~rVQdj(Q4z2c-zm2|ywTP%VQ5N+fV$6OI^zF+Y=dI$~6)!(iLu8Ub` z_?@N8{m2Mk5YW}U)lYM-!|kK1x}OS^NH=&$l7CN$Sd;XRvR?USw~v+0BvpjpE2J!c zzbzj@kf4k#yJ8JX;y?wm>59c6R+aRPGK?H$O;g5+k+K|zALrilPL%4+e+hU|&~LCfL{M_GkG zo$jmeNG9(6$oPIDwAwFBzn8jXbxZg5xlX~N60v;vb!*JU6koh5X>R7F->S(*r`b?3 zvPse+mu#}pYsNfYHd9z(jVRovs`R}UL4Y(7Vt2(@7Q}xa&+u@4Vam{nAZRuc{troC z0ToBnw2QMza0nJ$gS-3U?rs4V2o~IBf(3`gg9mqae}Mpt2X_b_+}$qUf6v+8)BRLW zPgQlz)a-0`RsS2dlTKQw>P^_ZOXxq`*UAH=&J;f(H1AG5B*{A2v6icP)9AKliteZz z)sGx%l8tGr{3#axVdp{XKWy>#cyh(i4dZD~7u|7QN!J!dDbXUE(5@;}y{FCP-Gjv! zJi;jd>N?Ol%WHLUm&kCYDJJCQ6o|r#T;z!#WI1CF)siQO8A%1R%2%{XLn zxj{y9MJ>jO%^!|-{=@aH!w%OB6C3HPCOH>IRW7pr|8sO%Z_pm2=WKDu_wtI1XSu=) zaFq+D|8P{xFk?=d7Gw9Q_Q{)A9J=~Dc1a35v_?B!4@s#m$&ZJcCIi}JC92*6|0AU- z?0huZ$$d!Dbx96;BlT+oI`>F#sabe*y^}Fl0&2y2CRFHVOb@49X5xS5sKIUaD}d6@C)pT6ku9PtM_q>0%N zbuFYk_RalAu>2laAig&@+{ZM;HMK8)GPB!_a%ilf3ugKI!Msn^0>v#fzDbnX*z35Y z2AQ`R`9=WhUc&{o5y%qHK=_8CMJR=#n)V!&Vq<;ZS|QxLrD5UOtn5-&$fF?*4W1$ z0}RW6;Pj%Daeib6gf`10ZC~lnelpQG-gSa@&)h*BH`hp-s&z`*zMo1)OHq(sr|0(X z`b=b=@Wq7bOmuf2vy>F;!j+FwE(yXXE6J#?x@6ub3NAZk8tg0Y(qyP$Zgm->?C4a} z%1p4)@1+o#|5!Ph{^F$BG{x)hTEn<6?Kww)tmzAJm2%ph26328BbQ6McQ;dUPl|#{ z`Q^5NLke>-ZJVnBPR2A2WihQh&#e0n_w+||PnjO%QCb)Uff~7Z#Q#_= zt4XASg?ARn(keMvdenQO=YF_!#uMjj>u`oBX;kM$WH#iCM=(Igr!s${v`{ldYSGOC zE7-|$2(8%HKk=v}SabK-ZQ?&{sCk&FSSe%=LD)rURFt%Hd|zg4C5Vl5-8UK<8bjIyQ zr0b6_jt&mx2sz$v?Kx;~N(fFo?g}uK$@Yntz`0r>lqx1rQdq@nLn?*X1WPvW^$ohUW0s==Pz?ViCNcM$=#UMOJBs z(CzjIS8B4|!=23;Rk?zg=Z1UNB4l?nXxnfnaB?DKB`WyNnJ06+04p0Bve4I*f8)X? zk;ZNh{t;rO*0pn?qLv%9#Ki^|GnanzlJm;b(kGpskzXTF&y> zl`FMoF34`xIPk{MnCKXtxeOAz?)a7BvwNs0GV&xRBH<$4S!$g&F_TX*m*JDLuPpLm zRzsDnSW~7%?Apr?sQM#-lyaZy1L@MQ61rx-P4mI~?V~y2@?5%S88efAw(hc8I;dD% zuF}nROMlIL`Bvt`7rKoO^ifK!1q!k)p*|@i8WZN82l|^TB8sbT=X#l`H!?lY-IbrX=GrtTm`-LA z%fzlLTnot%@TU56Asy@)-xi^Z5r1hU+N3_z#iZ%B7&Lqc>6~Bjm8fa%Z!Gqq^h9?> z1{fFpks0;J>MBnTy{i+x>4p*RKHihghmMbAC7ir>{e4t$ z%uXm$P|!9ke}0-yyi1SG8XPC=HEZroP<82+Yg6-> zaP(z_SDGLQuHC6ysKrA<*j#F`Sl`4t0eJqjHnes@_aq%g+TbT8*S3=h33&(R!W^RS z4vSa4B>S=R>nZP2@Kz++kKJ!vv2PHeZ!a<0myl+S6nbt8f4`r&-ls?39_HNRkGaKl zLpFfC`R2W@T4nwL3?H(tiQ!q|GOx!sd?Za@;O2cQ4@ZXuXK;nZt^)nCOkDUafmxyl zwJXb4Fwn{Uwejs+;UAET_RLnm+Of@a)jb7zwo~P~njZnbe)9ZhI#2$k!FTL(p@EhH~>tu9y(l)p9B18GXDL23yt=Eyu*w%KsD1zXa%S2($xBGsk z4!#hmrcca_Pu?WL`B`}$aAo^S{DYC_PoN_p)GsbTpr~1tfTo1qK^v<;%Up7IrDOfO$6cO*w@h%Xj=UzD4I z(cL;6q+w~@7ycrKm(Yd_9@a_>HJ+m)7#&h)@Magt1xLu zutEqgkD(Kv8Q8852zEMS8p)82@lPK5mS&^@G^H74DTn0p{}Hkm@K0bVwxdZ>@&B+_ z=YT{tQ5yOYY4keC5&WZN)-my6mScYRBk6_^!Pj!S-^;TTHB;T-n(WH~%S3szaP!&^ zqH8+XLFMl`U$yqN;I4zpBb7{7IbMd9rM@2SdQrW1`{s>qK&?*O&wrv_K3Q=jb6$iJ zEyV%cqN8uIg4*lNeH*_hyO7_uTV|7{M90Q2PioF$OdfVmEG{WVRsnsV8Rjf@5hy&~ zp41%w27GmZ40N0QB-?-Di`EonRS%EJ#8~Y7t7)+3X>K8Kixnd~)8TWF^pg0t&|C8| zg0p{=WY}?173kF1+rCfQRqhEJ#V#w2MTHM^2t&!pD;ekp{^r{kl@|3ES=OZm*`%4q z)nΠfbqaWteI3DF+s;316x=$(|s+$T=uAcwMxfF?g^tH@S_4_UqU`HHX|e($3C2 zL~Ok_RNpz4hrdogyj6j7zyNs4x#4R7WxEw0YtGk+HoK#=G<7|nazcqBK{BL4e~wwB0=bA^ode@8BSi4}d9v?Y z>DfxJVZyk`6F#zm3m;yl`bAy3to@{teeuTte(prKKkCkMZTvjRz8>e%yZt#~k$DIC*nRU#R*A_F^pI2O3z60jiO&i}c$(Cn{HpZ57Ig!j z{9<(I*J8h4e{$2~>x?scJ^vN?GA>8COp@n_j`ovdO8-A*LUxNncvpgP1d}8(ooAA9 zgn5u|#!W?z&OY}1)l zhB{zV?@>~S=Da&l=EBAU(-BAfrv;F3QJ5*)Kd(!) zyt{hhVSe8F`u1A?+24Pz`wg0!_nmq4iEFREJvcfHUi$y&_JJKI87GKP#a7akdK8471^;6ulB+IHBTiM)EVHruST zRZ|jjR09g`bJ(=+2OxLFNnV^>r^z_$R+nq?|B{;v57wP?yu~sWnVjvb!%wYlhHdn# z{k+sV#9{E~dE0_4xSK04;fX=P$9bdw-6bqbo7#9z4!*bplY@-LZt|T`tgY?;>`aZX zYJs;>5mnXF{*Q54TK88}mBOs)^rAFhvhh`?4}t%YDZ%q!-i_qZk-+CE=G)8-lQ5Vo zv8PX{?|zD@$OL#L>Ck<;#iP36g~Y;NtoizR#^pa!(`QfC5T&#H*YTl;)2jB@0LbGh z>(zRk#x-y|lSMDKn+bsTvTjGb_nuwXRJ$@g*TnX+QUy-Cmc23c_Eu5%M4)A_i^m;( zP8BkRHh=l}MI$e8*Qk8#_lFIP{`JUuKYsycM1 zC_4b2st)A!tWTsycZ7CzIN2X6;Z0aO@|Ht&-GKJ9NK@91&D0N-yY!xvhPaI=ZAM?w z&5c0j^q?BLz(X)Le#U61u6z4g*_u?+;eUD|XBXW~C^~=eS;(>n@%ss|>qGRyMeE_w6b#1M3*WqD%t&8>2L$tZM zPtS1=(J@S(XJ^l+{nw~JpP#pok-AZJv*W*;8}#lD|2{ECf&drCb*OiH`0_G#MRU~m zX6l0U$b;}>R8dxYM)SwO`gRpZv9oi46|1RWK#bnU`!Nt$i}iDH6ni`9=kjvDz9Rvm zBSESyV=i?QaI8q46WFL;a=QMpe7)kxu+V!N}%m!SKq;@~-jVP#|jF?(>RUF+| zew<59?n%`?oA&ONnm_b(d=dx4D8cE?G2>rHMD4EsJ6JKy?6cISU_l4KHX1 zi_b(E5K_fQ8c2QQm(sCK4)^I>j0%IvI2b4^It_SXFP0ip9Vi~7sRQijb5vz8N*A>- zshJ!>!aRHK419H340VrmV=7{~dM+uIgP99;OAK`odVDy_G}e#LsAIX(TA`A73X-&W zx!g&V!}6}S*xK$gKG-{Xtu%QX+ys(I8M|+z7E$!ZBNk3o=1)~vm{D&HXI<$fx@R`~ zDBoan9)_qL{vLJq09+maI0HkrwQCY}@?>?1FA1|c2E2=sH?iFi_aum>Fy!=Y&14Bp z#sQ`8dekU`tr{&uX_0q{ilv&qb+P_lt~Bd%h{HE9fwD2t7&xwlklKXA);MA4z+#iXe4Oj%Jqy=m5BNuYywn8Sf!y#eK+V|O(d{l17tD?MeG;~3XZBi&+2w96(Y zd&Vy24c19-TDMq2_M>@&5B;Xo|8d6P+9|&gw9`F)TP*F}sUnd~uWe?`DfvW{^>SO) zC}M`f1EDpl7JvA3F&?(EC4lpx&xW5FRJ}g44SimhZ|*v*T-PXl&6a&-B7LY#dN}pH zs;+rBv3AH(x$SFWO_<|Mzywzo3)9TE&`6k&I3$r2i;&E_yEJIKWS%?ul{a%7C()4m z$bEB;+SiN8Yx2Z=& zw2Ri?I0Ntaln-(X9#$kj{#E{1B{8eHm}u%%g7BR0qa*J=DM{-TXP`uFOfyk`={W&# z9C}-u-E$l&-f}2nUAx@wy58!zm|i$7U%A|FxZbL}nBF*{9`2ls?46WvzYO9f0nMv@}U0GI{5NFPaK!_~lvHnx+u;wUe&T*v3S) zFm1lT-b~g3dCFlUx2ZRqa&NT7He?qsFOMP|yrW5grcQeYR3gsUAK29XCwB?3l zVP>Fb9$gShG(go5PMklm{AFS0-%RsA2o{=T7ift4HQznkcHTArN)6m@mwX z3Q=|BW=`{5EBfFqR;v=0xvfE?$^9mcnxy!$h=OTg2D%&z#oHzg0t2dln@m@}By}AwMLxnYp>Gz}_<9tQoJiR_``nFDj!>jVy;H zh_(Lp#xmE;O$EKPbQ{PPs6*29!xG-3{2co#J7yg2(T#=e-UT->O2v9}hlxA24apq{J$M>PAWoO}ot+Fw$VqvZ#%l#T>zNTi#DlZ=e{K7&j(u8LdLkgDH z#{96Qcj_7{l0L5&Tc$}7$!`5@RwrrjXYP#7i%~2kVWDTp%HJU@^de@{Y=h>~*d!J2 z(8f&Ic+2D?R8oupr=Cfsx{It?s%6{RQU9DA(XVeO7g>iDZT;Z?QEFB|=h1&5rBgKS z;wXPH(2Uoa`8I}OtuAI*->0u^ZRhb-$#DC%v$ch*_?8LWJ& zjJb7(c73}YZ~Npj%eEn1s}J2ErZiWua$Lo9oBVF6a?&{E<|1KoiS$$TQ^Lr%Fq(a(G-sCBJ&b&h}V@~IG)1;E7 z=X%p5W`ivb+DRO2aMIstP>sey#a8+fchzL*bl@R-f8NnKZgjAM*h8D!)Si8BC_e$K zkUW%I>ySNUUsEcGh{TPeNvBC}S55rs;%;O75+Mz=4g74-de{{A0ZZc`Z9 zr;#>{w(GAVrMt2XWSXx2200@CHdFsDP`h&~%h3A5DO)9Je2r9+hY4#aZdf*N8NnB* zP#re)5ueUAzHQK)_(0=9Y&)@6+nG7ojs6vJ2>R3jZy_hSq;_-qS7TD9AzrJ2!r?cb zgVLu*;7;{uo`+QnVwFwT5FtgXlEFTsY@-=)+F5l?n~64H)sXgEFND{EFk^aG^o9D_ zy;8Lt%X>(NSSDnNT9{I6ZR-@jArzuZEl9(Bi;M$ z&NP_Gz-2VqiDie#u;!M!wY&TB`w_*I8-Eszs9HzN;6#vbCI-`%rOp6Tcff7YH>UL& z>z$1EOkC#kCK6V*So1R)WSWbSaWu&L5W|C{L7xE>UNkW3r*DL9uonn5d=} z*44W{YYJ_Rbh_HFd?>!kGCVb?vigUJDL3gCKD|6~$G2$-7l&^DT3H*Swp*7PK5VsC zH8A;(qx9@``NDMk!4{h|eiL|?U82Be?X?(>L`Kzu)t|%d(~5nOm2}Y`Gic{1`Et&RDdvpX zTa}3PCkmHuD5lUf?)`I3Fpzv8$)Ah5nX2`fKlc3Tez%4TSHG9uUM37n;@Nag;k|eez&ouk-vq@J@L)%-T zh_g3eV?vR3YZV>!*us*L%|}Z^OPg+3i_Tk%n31k{dM9z(m6!|Fciim}ZOGDfB|GQv z+|L5}l1kvx+jvxQb#z2{RGAXUt#DfZ<(ni7!z zhZ_=Uu0$ChZ`T`0y${HhK4iJkXg+@yRN(nPEPwxN1b0lVmE7PT4pH+h#r0Z_l-T5w z+ds8v*HKr}w+(Ju%5HG9Ez>f=!y+W)y@hvx=nSdErknQQa!hN^4nP>|)!Rcrs zw;#6ErZ=PtT>DB9SNvH+(DA%_Zn^6pQ6)x( ziq6Shi_T4%$chx2@wc4=$_DO{!!9EUtWC)FbZs-|`7?Dp`TM*x`DXdM_nb^LU6xMI z_)p87MYo+yZrjLi!uyEqH8#*NHl5b~8g=&G@&g-&UtC7$`uKRdW#~Ew-{l2R8i%Ws z+vk2rD>0QKC=HmsFWO~_-k}|_TXi045UdXB%)ux(En-vDG29=Co{ic!cQ2QO;jLQP zJ(;>=dk+;I(3bx|Sr(>lsP8C-n2vN7mKUe|q1DI;-p45#Q{30Mp7^^qwW{5G<(}Mo z^a2Qun#iQeTUqCi5Z0$o?+{zrb!w~HG(S`ddYi7g@J=6x3doZ{)Oy@s-~S_ z)A-SGMN_j;mBLbDtHaas<+jIzrns)WH+HbI$Vlk?f3vrUr|%tx_JkHp;G+R&D!Qlg;LvNa$yG=a)~+Pr4^uQtb;4cpvWj%x(>mt{Ml(>ZXP9;tb8r;)V)^#4! zYYE&e7sIOD%pS9*&|F3?8Zfg8=!zX2GKDVsaPITADRZ_nuMYg|%=$LZZV1plt9#Nt zPs-B8TwZBAdYcYmkl(sp&tkMm+PVp^_Hg^qua*YfwG_8kpX~qN5D&HltF&pxfhXpp zD)~15r!(I-on^;$nSUF*4Ye>RjLtXtcvGUw@+KVhTZwX=0UqU@XB3-t{$`2IX$t{L z8<}FFYNG!fSo*i@^9B}!`tIu!a0PAoR$1J&nA$S-OGMl-FYAa^I*(@$jz>#xbGb$j z10GGhe!d4Vq6+Hz0D(EicAfW-_%L zpRdj})BxpD;Au;|+fHOi5GzLw?c^|G@x?v^64pJ~wOqhoC33U+nGO9q^=`=Udcm{& z!P|fb>hRI`7d!TPWdb?8c%OB72lq^lZhYf=g$H|WK(!pDzS3N?Y-qb(`@-lQv8drr zVQ#ZF-FhYQUz=Gq8}8Hm$AU@W@DmG}%Ye2VqA?9CfV9_Y&g?CRTxj&D;FM5(IzpzF zC6bu=U@F6(R8*!RRGQCsPJh3$A!kt&Dm+}R6UM#%sCO`ztzm$9W^8X^bNlfQD@yKx zek6z*^2|+_VcVBOsP)cP8T-BP{F>iLjujue46pNwweuHrhGc5`JlI0%1-n$Q%TNSMiq zWhk%;4L#eH!b<{fQEv1sczgBgZ@+^_yb4Wx14U)17H14I<%pSox)GJ>hti^sqR*Pl z742y#89#b_>i41hpb7vDgjNG8h1W(TM&<@WueZPV!;gINXZ$Yc%wL zWHWO+^ZnuBxM^lw$P844@>vq4=fNH}PdOhlL$d0EHV)q*;IYS_z2!j3!_Cm({#8iN z5#3+pssx(uDmA_@VEwMNwb!M8myxAl_aw{L?S#Orlj62*rMmaw%gL=v4vt1&7e+fk za3d}^mcs{V1=R2X3NIME>_wSt=;^~j^{K5u414H_gSW2M{w z^YNN2mA3xxp)b$$V<%&QjEvNPEZu*=gWC3Ee6g87%Z0?YBxR#srTJJ?W6*W4`C*g; zo<=^SbfFYa&~;FWyZRT^tH()GDN`H`iv)|MLARjms-G4d^dU0~oVAVSxMt5rN8t;T zVB39}LZQ?Xx`GrUH7mcgh#FCo-EK=!Y>kmQhUGf@PkL-Dj|PjCH7^{j>=+LK?Ki8H z;TE2nE<8{AlWeF#m11k)&X50mR9kU(*043U>IcIHW&iWBczU^$tO?LsQ(t2!NFg^4 z(7A7|5!HNgxn}~~hW>o7%{24GuXANhs z{?d;<M~f zkhz|08Kgb@dBk%1b20PbmAa0;XjtrpLRO}rdy-G<^Pj|hK?7(S-$y^DcpB@NFv za=T(uHDjJREz|7Uu;90*l{L#NbqjLbGK;5@26al}Ke1m_O_Ts$H{fZZeI^a}PPBb9EQ`vk8Azs9P(Z7~JB})=y zPx<{SuU$9x#C7P##}lb_hg!)Ng?hvMVwcAMpO21@+!YuLk%=vVo&mmGWO@?@;m ztJu;;9dcOD>oSH%I`HtR^d4yXaXo6=L4UyKsM;!Z)6E^L^p$`M>b_<=$!j&0dO^S)=e}+_E86^F@GZEv zI<7w7OR@tb@eB6U9h*JF;beDPRwT`0CiipHr%}P%(=X+{J-|@G{rZ1j=Y{|R-;c4` ziUu#rXx3TJo^|@!P{Y?4W^0ib&-!I1v*zavp6~82>ysYUA!{#=3!o<4m#Ui)A*wGQ z_wV=|!ppfhS^0Qrvt9>|of?r54^P)(#XA~<2}(Ix|0UBJzwV;DxU0zgLZ@AQg+F#~ ze1~|FGezcMH4B7Z-Gwzo9+v_d83;zIwrKPdFTv^k9`jpG~;hIbJnnYkJNcZvyAh&ia8`u7zKB&5u2HMf@+O zCs@4vb$+>->MF2{aAt7bQ+%!0m@vJiz(8k95bX$kcE8;ZLD~_4y8e&c`T_B5CR~d) z`WP1mMnF&E&ZkppY;jpwG&8+3fi9NieONcldZ50pqoA#YieW_dAZi}XMyKaBIU^gVtUnnB{rqnvyfXu0lY;qd0vMgA?g+gSNM9_gq*x1_N8syXS>2xw z;h#dhqAEr&c5vCMyhblJ64^|KoZVBn&{?W}jb7x}hNd#lTiwr`ZAMNon-ZILBv{*~ zXyy!WzMo#t($Y7F9+=lf8~Z<2>x=sPM9uSz=+)ClhyqLrlHY!=FXPbw{wN@<@(I$FxIMH z;}@6Y?Pm$s zk<(Z5^$b<$vwqjB*uyKFz|Wo6SHO<^Nuf(VB7T69v`Ms%Py2d zQ@P{+T%^z{6c3PM!>+*zgm>^2Z1DxZI;7Ad-xAaO=<~rTCAgxiP)&FMg8=MMFpd5{ z(BU^4>4o=)!3BY5aC32Tl8Pc!1_tpmDabV@U}D3rpli&62)%@Ga}pcI*wAaGDJ4JD zIdbT(4k~u#MnPyJQ$-jwWv;PiP1!)7t>-~@Y6)Ln%20Z0;6}J&5Zct_P$R0aw0MFu zNhYdS1!tc`7->#ZF7Qac z7pE)4^=~295*N{1WZ3oka&h+mrB({;r#YZh=*C;uKNHN&4_z+ytS{oqpB~klb*5Ym z1t7i&VQP(oo#ikDXYI)O=bn}bZz=%|;~tYJAzu{R)s3PLtcbXwpDz*)Onru7t z-i=3JuA3t2a)*8dxNxUnx@4iZyYN?-3r(gu>@%VI)Ek?i+s6tWyRT1VxyK#4K@fn& z2GzsHgZN-oVD|{U68J^ZwdkX_Mg2#tD=2ep*tKU=b3qpPB%gu&4p-+OIg?BAm(L@xDXxn_qO#om?7#JNXN%h_1I>YT+bNL0z$o zvazx`H1y_WxEw_o-a;swJXX}+^!Nc$F?6Q`yn*iY7bZv6HC<9F$)nQ06KG-k30YrO z!!jA}Q7YmRF%?Y$uMu6Ew8*GZBI(GJ75>-XnIXJe;}X`Yv1j(oB4A4V(CCKll6yr^ z^AhQ&LkA>3HTw5VALt7Q6_MmuM$Ng`KXqulc?n9lGzDxUDyHv&X zyZbH=er!A01R-|}+}d#)7rs&g>_nMF^1~e(^G;xM+r&S7@u3)|I@CH8yuG%)w@tlm zrht55H|#-GbVS$9N2u@{MRRED$DgJjSxN=iXFQD7oIGu+zXt+~z7HUpiHzbc6d+({ z&}0Oqqu|!sP$vGt8-PsbD5Y3EMl2i^$lGK1Z+S&wDQdceH_(C4aH8Z82O3TJp1(U3T#3~ZO$ZQ#`|o%dChzJfG?`&KflAzOfwFC(`bvG`O(+mv zO#)~oa@s6XE!oq1Sdemtc*=WD-|xtfL-CX`H&n=>#4hOT`#N+AR}?Lj#d3-fGPrZB z4s3`30$q?jE)0zDOQfedklFgbM~Y>%IyJx*4c7FXxmkb!))d5?>dFXf3S_Q!#ey{j zGsj(G!#ut-=UfuQJc6Qspdl=>LU%Ct;p(`dp`qekXlv+oL6p|wO{frLmoLjVLCnIa zO)R94P?rw$I)4hL1PcP#O>l+NS0N}Voc`n=K?)&IUAWq)Y?!zXvl|x-Bbb?!9@wt= zJ1?O_wdVvSh1WkfDwMcEf#tcXLrIbKwN3~mZs=g74nL#KX#@=A%t2-9O@Z(Bn-19FoCaA71t?;SwQ{Y*ZrpA6Ze3J zxKl+2A8|ovV?gkWdlZV1UdRyB?-c>Rm7vB*`kmpf6puI%25eagk9PoMNSaa=*%U>; zFK>wA@jV1R65NIPLJWzC!a!d92<2h)`3TXKm}~fF12sm}PlO>s{SoVS<3lApNFifX zl&PreaQY)KM2bgz2n!W?&=DQXK-L6)kp0a$1uz)pfHB=H{ZWufk|V6k;X{o=3uGAOS|byaVP=#E5TZNJ6I z&;sD{h4r!Y!rvs^@tEmi5n$*Dp&yWVts9)_zegmVj&xR#0R~OJbqWV zE^%YD|Bb>It!}OG6SNltp>A(PDa6kVL4Td|SsWj(PSo-*;I+jbTmht6P<%kr@2+zY z$49CQw6qIUf?NDv$8PGRC(he)WDb=OhDtcI-;nA+(OEuNteYV&LR)w!>VxgQR@|V- z!S;G2B>AKm(3tRcP@O%6Z!QVRI_aVWZ2{a4l>Os6<9$5E20BYFd@|ueGGup=Z6*1yTR$3LB|y2 z5cIL3V@fS>bs*@NJU>QV9z}*R_COak4&;)t7g+GbLtI>m#43*6q%c&&-kecKfLxaU zw=#x}TqmvV6U3c&fD;EhMAH|!B z0Q^x$l6Mq}l^@~jA}M?ec}G!6lEs_4JanPjD2r`^P(>1L@f%xG7;S7B#WEB$$R_*t z4o0CNutE^VOV{hXq>c#5z+FeuSBAd%T~U~ApuL@jAj~${UeP#uNRVRLgusFm8?t;5 z1E-Gz^O!rtsB5EGRwws2z=YUbPZk5$5=~4*7JNhy-vka1P4OlnJ=9}CNMXXN!K^^+st-j+2C8c+Hjd~{dWxr$ei4m1Avu0HuA zDV>U0lh!2P8?LEyRjVMKI>XeqT?T8@GD_B0C&3%oG;h!vdrK#BAU}&_ofQ8$LW&47 zT(I;@W>!-^`6$XZgLu|ep$rA+D)A^+7pX3=-Htl=POME%tBq@_)S|JKui8oKyQ^qOhf!m@^Y|Uc4q@cZ&dj08J%89AY zsd^db4Q0G!r@gd){V7|@+5HQWy+wXWqrDriu7`gPSeY{ z`zppuJB8iD7mnAW;ST8`zdp9zze{DK%Soe{PZr;P4R=TaLr?XX|yRfzJ!5o4yCd^SQMeasI2`Mh1=U0Kdp~Fas;g<*1f@_Wb`$p?+rff!v za`;rk3OWSWu%aDO)v&%ll+z=iSEnIX7zpyG%?+BWVMRRT*Gmm{_b$!GN)Ts^AO3y7 zA8{Oby<7t{^_}wS9ZAa7Y?0PjG1=JKf|ZIMQn;j$gue-x3D|fqUy$pmK!&S)Mx+uo z=vc9JBg>6B7lxsve_<-#L!vkn5qqn3muWj)J4x-w#^Y_h?HGr2vG(+`DdX{^yRcaA z5&M{q zBgrC4tUcG@?lDP*r|asU+8|IH7%NwJ4YOm7yL0~5Z za2n3qO?nL1Ji%3Ioeb5Tfs105+2z``eA!&shmbU<|3h8B#|X=6`Bd z2^1R%skEwOx@3C2OX-i=!m>e={a&8;&gC8+HoZrIy53Y(^R4crp~w)^Fm91}(O_9{ zscq?D0cGLGlFY)ul1ZI%<5=BTGfPt?P*d6HP~*_#(0trF$ zS=w3H+1Xj&8P-W4we9ps_=xn#{b>IP7Lxk@O#MiIGjW`Htb4q^%=w)fSkFx(bLS8oxLy~?A_}v)canRkiAXLQdGTi?_d z`uB5&h$Gr*otoiKWH!DrpESu#CCmB1$&YMep5GxF*j6I7_cA_RqhHD3@wO50wqZQ{ zVm-m=UFB`zdEeajWqD14OU8T|BSKTjYk!?4`#^5=)9&EZjC-Op zu=-_18GLw|J9hkM*L-1kwk0FFop&Yc>q|70#VWkL610rfM13T_5K;4i65Wiimn@_c zv=4g3x}hc!=MQ%RZLs$qf&f{pHF5sPGrC@mkY=n{>P&I|h%??^A<(OY7r}-|$St<0 zIDe=U@djFN7|0r16nsax(GA+e8mIOPI%DWHaJ2)o{++W1`phA4tkvAe)` z#2fE>wL|Pc>l8+^ErDk&y~-hmAWy6=$(EQikzP!YCw72TOV}CiTd36#RFD|;Q_vaK zhHi)~sGIUB_Kfg9DJ&%rJjg0UJcI%Xz9)!+N)Ou}K>41Mm=c8&KbHo~ z5Hba_#2TjhO_>BphRc4&{PGQ%)VA#VI1x5dF?S!l(z`o5=@11_GIkk-mUPJvHPT#^ zG9HOWf)Fh5L+&@_r(ArnLx`XrgcNMS%!f8|Jy;13w@bHM^xJ5hM0J#BtTFsvz?I(o z#NtG8l+^i<2yTkxLo=8#>Wm8OXKIEn)`ij^8?X<>m6R8NpUam(m!S#L4+-6)?1*M3 zH{<9<2%!aisCD9Sb>{E;;Dabms0#bs;Kgt-v^K&s2aduWB#DzvVes`Za}11f>dsA z%>F^nWdifP@i;+oCGJI*OW#`$nxMP_|BLwppyslH#X?>{h*&68XkfxUZju+P$Cu`wUWfoNOp8H!CthGG*CU374@jZ z&nR|GGtoEog@U56Ol0(;Ymm$sdO1UOKulOVR25*om>Lu_mR=@MDd-Suj@n+_>my)B z-AflTkHt%EFR>6=gKj3&ixH9qYR8(w@}NGFScrng)?k{6^}>e)gTmlOk;g*eLTW>_ z-U%uxMHJDP8@$7MvwFLbLl7VKH|iCMHyT$-)QHeXW4IBgmN8+gO%IyAO zP6Y7ie7PG%gJ1nA5DbW+=#+TC&+mq<*q^}xZ#LflHl1%%N&RNZvW=xJ+C;g;Z>H(< zHa#&|=~NBkZ?l0hYqg;x8^Q`&#rC0kQ+n_pax<)6wGaX|2hndp445#CVo{jL45imT z1R11=l|o%1(J0-L99aWzhTDrDa{Q)@8;T=I{_r!wGI{0&cz8$3OsPA}-bYX|_BhoS zU8Mi}{A5aF!oAcJZZqNrY&ZeSmr+QUZI>12`hS%UyT`x%V17S;@@>>wllNjV3*$6f zZOr*yBA90bU$W&!9n&eDw`fNE#{JeHUEh(4&%W~DP5T%N$pqcJ}1|-I{bAL9-WH`T?kQMh`Nn)JJO(5>>?&O8C zL~%ofdbo!QM=-6@-70Ep)lqHOs~9s|J#jQ2{r8G`la7x@{i0sdmNO&G3hra6MfAC+ zenZV?w?9_DHJQ)i<;n+OEY*p{c8?ugy%;pzUdEp0RFx0$;DUUBBnZ+8T zZY2*!^z+e89?EBzXXzHXun{m-fU4ZYJ~_I9E1K@=d?Z7W_jQjXmd=lK+0v-tscwcevbgByN+UGhlFyaSEYL6X z=Oa}0KX;xF_htI0YEE-stKZy?kKSw2|0LO1yH(#Jjp~~9SEW(5sPDO(%dVg3^==p+ z#Wi?+8_GwWMXxFYA8r2J>%K(WaNBEkAfo|Fn8g^q;Z{4&66tMd;JFl!3^lyUjYCkC z(JBTe8%A;O!O)1WOGg@9rPsRM__}k90k|(vchq2ou{Np~%f=fjp3_8Cj$ySV@!K9D zKs=mpNC{!F!MIYv3gHu}Ssb{~P|hodY4H^=)EnxPhd z9CyGFA-zV$;p^Ln4VR?SLj|`S+?{{iF!Kejio4tKp5Y_O5DNxI7ocXZ2L=yb!Q8MV>X)+#^aOM%!Y%@ONN=0-dM^eu)6vn6cR*@~RU?@p-6X!Wm;-hgK)8;xG4&uATWS&#F4u)Q8K8Lqkm&l2ShLX(!W9 z4q85sGKERTF*5@tYu7@A_TuQ5Om9lwBVZnXV`UZ1*`~uG&kfz1V@i_5ZkbLzmY*_J z@C=G*Xp!V|)j5;2OaYyw;?hf|U@320!6}t6K?=2ZUo5F%?n1Ss;7hfT>EPrLy>MG{ zgXe98N!TsTGA(dyGS!HYR^grlYOnYU8#s%I!RVz4j+$3TAyty))j20ZxGD#@+t^;5 z-BqY|uMxMYpHHg2(y@Hg1LaHR@Y%Go7?HIyk3G4jPBToq5nii<)AUZNsmuaT7U zEZqD-kh75WqfqF^+B)b9EoSkjn?hhOuF50K>?g^FN0^TUaN6x*=DU0O=zEoAz{`9$ z=+xV?gzpgG(%;)Ep6hGzki@PJvV==sq2@)SIP=<%Ef2l8e<qlAtm-zg3=O(L%)G!ZT zvW^Yp#?Wk#*z>Vff}T4H^JY*l@y0Xji?LiOjio2}ZVoqx(tf-XN5|1_d=NQ|`a)eC z6`sHCR=nL%ym=3M&Kbo;CJb}b)a)?t3)dBI=6G4QOFWI{vKjG|dk7oj>0lns?ReUk z54tAMcs{60kf**(pfQ}H8!q!CwGNiG4$cp!Jvfgskq+X6v_v|958h5hakdHl*gEK# zLw5Mlcs+>*2O=T)>!oSOF1iuYq$k8g9H0Kb!>J@1G=Z5Kabl#m){~IJU_uOz zOl15+6{)nBWk+$-<$1Qe;(u68KD=#2&IlDDJK7P_ULn3kzV^tc?+JuV>PIkY$LC3?QaU@=R+(F-@U@08 z6*qs+BI#`jnPnkF=cs|)>nW=!%Ph1NgM^a|f1<%*QT~ZyO(>Lf zNR^e?C+C(`78m4Uo!GOBiyS;@i|24wBr(aZhiA`X+0f*yjKbW)jLBu0B_+<7FkcUS*U^}T**MzCxp-GJ zIQm-VRJ{EV4ko`ueX8FsEdH&bgy1T1czUjLlrJwXaR9Rw%Mlo7_*O_8L9I1x!9{Fn z%;+hvTBWw{cFNjVGzGS-NV{VBGFKiBJbQOM!cN1T(HOZ`7Pi|8OA2x`>?O7gXNRQv zzIA6&Zhv&(OI%&3%Hg?xMApH8_?I#kmJ2^;OXct}(h0qeHla+`b6<6dD+a{WW?1%SDU7X(43xOi!n!+wdn0Poch?t7rNn)zBk^ z*dMfUT3?NqU_lD)z<`Ea4jL5Y;I1q{OBwgM$(Wj z%#o(=`n0c2#pbuj#i`eBv9}`y_KGO{W#ndqVG1>Iy?b3Z52Rz>d+3s|!(bc#ejtp{heLOBw4JD&!Cm#NqQD|x7%WBv^ibinCFN~7bUk&Qfv;#Nm_!U68?`&V9hJ?{n zx_Xt4M!};I9#B7;hH=5;!mJMnmhTqyL%Emu^zeXlqiHA3R`S`b$d024@mTHR)J?N{ zdqB__*^O(L-}N7l5ZrVeo>R*_;J_7i0JKb(k(&DN`tJwg#&8`MjuNTYHhMtb zFKP)X9`Lm>=(l!@2Rs`?J9A3JSfrfU?ExP?R(tCm_hq)bm$KQy&Yn;|mIjBiyo9`U z{hhr_3E4Hk)oHy9__rr1v1LPS9`)v`e?wu{myUrp727q_)+Lqt_AWl@NlGg+?U`kf z*#(()yQ4|`%#pn658Z>8FyL7HDLd=QJ{p*nN`tws&8SN?Bt`?DrP9v9%(JHYbHm*{aQd90rX62{TsAUq2ve^>eGAR`_&X5tp9%-w^*8fX}Y z^}}wvA2Pv|cn$P@)6<{h&&ldEB==0wzzb>U8)F=X6!(_*G$eOQMHHN#M9thx$V%1W z7crr=-#SOuySEmaTBVOOeY;Auu=ah8WF~?+*>ELlK;1Y(8W*@Y;Zj(k<(AM|Mvko# zn$xHy3=h4@p?m%+#jjapIL4+t9(6sfB{^C7QOn0uYanAyY`0k#kHCffV?WC~3-6`V z5PodFl#Us_e;yvBW2Ed@?Kd6?n=ix5<7JKvM!5GqOdC%FILBH>*zzOn$G@C#5eM!C zZ|S&wIG9c;$IP06^XpdW;H3;1#WY5N%{q831C=ve*E5R!Jso5`(fY#03|s&Vng2ei z@B0$^?uqRp$YH5qGC$LSTCWR+juT|wAHR=4WA- zXDIDtl;rJt_$mu~69Z^$gJz5g0a}1vB2)p;@LgP=4@6|kc^I0F(tGykq4B9MAi{BR zGEJZETw&{pn$Du8V1=fh`{d|)x#)G!D_h3<^hN8O_4$~Wx1Fo@lLLC#>87^;&5@A^ z&!N7WO&z=l9x|dzGRrcdw2+#31hy|)F7Lw9jK_|kJcM@d=LJCv^**qgsbO7aE2>d- z8RiAYa%ec0p3-Lh=`1Xc9@tU+6kc~3f1teuIx!nE~>bY&gZ&vP#YNK zNVXU3$d#MM>IhA1e zB(|dLf{L8nsGRKb0ys30S~#-q+s;K?#tw5CJB+efuhAOe=zrw;|AiUb?_~tFO)kl= zL-sxzhlYCMcBxEgk;Mp!Hrxl9Pl@}|R@u>~0a$NJHl3dl-b6MY%mEt%AixNhY&4V$ zy1sh*5#B6F4i=3vJFoOJLf}NXrN>W1!E1wzaH8Je^N(u3IVSAe26S>UI%!qZ&Fe)* z%p9Iz7?c;8lDu*wJeWxNXAB6Kgp?gqjnI3N40k4@G)*@`!%>3|tgVojhpwZ~!?YI& zS-H;{zhyIwP(K>B*6lDtLV;YFs;L)l562dD z7k^5(WA~r~1+v>8Fv0VCjj*ngn&H<1Y7AtM&;Frh$Se%H7K2u%wBxrBP)I{KSB2aC zRT+5N-}j;Oh4#+(kX0yqG9vy$@8U2*j_h&nwo5c7=7|aLGizJ;uuu->L?QY#pqmN0 zRvEovN-Nn=gyimHO%T**w7_e%GJ4rfnWm+*4Iqjc9PCRkW3r+5dW zYJ0KqQzikIyu{j`q&qgyG{7-izC0<5&tF5KiX zM)_or06Xh3#HV%{YE3zkyT=I-^oGeB`b?C`iHuyEC_quUjQ7lg8+y&fOJ=)3=Nykp z5umwT?mlal3T7*=&QIQVF7n_^0q&Q}iKi8)zj~ekJu2i3OkfnR1p-X3kUieUDC=Gq z;EM`*Q%b!UboD_;>`n*p-mGXYtCtF3u9VS@sYG-y?}xNX8J{{v7<~xVR#NHH_i-es zemg9{6}1rjyzZ=%k(~0G0Dbj>1>*6eHis?^$*$wSfT@#d7*Fzi;lE#Bz)pD{JEii| zRK#icXfpdAhg~7;MtW%#mcdcH>MFa!pmX3dg@*7HM~s3tC$V7K2EGcsGam{N-&gQk{+f)D{xy_+|1aqB znk-A&49mJ1if3=^V@ixhsLT)(CzxSDtMCdGR@H=?aiIzRFfW~IqFESomo?UYg)oo9 zgQwDb+g|3sch~YVTYi2nbe$;QRU)cTS^K_bh^vy*U&1I^1Mtj%6dqW3yR?s=Ve|Oc zF6UxCHP{TNtK?#ygWu+d;__RE%TIao6^hKu_u@Z3qNd65_nC$^-fqDEvR)K?cqX`^ zhcUnCj$w8mBZ^85KfGmz#%VOvokiMfZm0(YR8WiR_tj>&`h#FyUfl|8H7cI7)(jEV zxB;^ZL@J})-(ZF*)wDg&_l>c`cC-HbD>ilIr6uw^*i%gdIMVw~ zr{h(b`Fe+mU3&u6Z}|1DbG%G z-mcvjusC=0caGuUB5w$)l>twvMMzp2y`i8MPy8&b`HT|!gzK}yA>3R!NGBON-q#Ah z*2;x;T>W|V?IVPYOi(#xW_p|zqU-Q9%Je1Gq2N{>h2lCI9Kpi4aC+*W*Iy*0&lTsk zus?(TjZ=O}83!tz0kvV>mYIPVa(le-DnH9zTPlelQ1*X*L13~eq4QRb#HIEayAY~y zczW-q&~*lW{6RLzoWYO~Db&o64~_2)^|9}0x|7ujY|HQGty@CH4z)-IScaH#{@siLrmpJVn zkrclkguH|F4`Ev*uJ^|T;{H1Ik6Etp{|wh$1LS|kNXa|Se+Jv4>i%D&Iq(N8{lB%O zm(b?!A=rBJf4CPToQUB+t3Cwo&%fl&)e&%>w*N4?Q@G`VzqK^OCBeh0{IihO;Z@+_ zZ~YmXH}>G2(f?VR7x09L|Ey+sgv|e}T3907VgAL^UU&q}o^A-%$p73IGC`VID8i3_ z0n}j#+5hln{tAS;e@W6_LJ68-YZ1);C%10_ffw#y#QhRNF6G}+(sFJQD1kt~cclHD z&lJLy5QRAMAt}LwUy^W&WaE|92nsfXidllFk=ebdQjASU5eBJNqe5>mir9(-#LQ0H zFMZjZnTpuDo8r%nlulEdlGg}3;};h0*}>fzwyd$+8Z{M`5|9Ke+3y^^9VzGz3)Xul0@;Xh4k&{g`H`mTZ`6!YMu3j5yIP@*0^4coQNxgBl2Qm=X!-gwmP7Hg3euvrRn5DwH3uy zcJvj(v6!uKrE{%ARl)J{>n(Cag2J5zg`Jh6^TX{sF2>Vw!<|~wPtL7Xw(sl@-9g&f zUkpvMJC1%vh|Th^YA()ClZXcN{@VO0JN@envbS9sU3{VMMPafm?O;;F+2Ou^Fr8PG zDeS&NXj~@$($VA!Q?G6%$kxOrW_kh`LLJFT?}HZP*G8#ffv_%1JKiau_L=aD(|B8Mghd8c z(2rhB7%n$P#F$YWq0HVDc+j94t)C2=^WliUqXVNNrOF6jXRvwxjLYlXhfhOp4)=F2 z8_9pSG1CvUHbB}6zs8x?7q{FdHuaueuxWyiKPnV|Tb&g5TRBJI0* zu3$Y>m>-AS>~uP@V>A&fLmllg-?ys+R2R@#k4IWJgMVgr#hu$5{!EH4BIlQIcf0@i zw(W3Pmq)rimE#0zZ?PxRm7e2T3v`jwv>Wf=vY$;f^S0EmrK(S$Bc&Piwk9_Q4EHo0 z=QRMGoGmUt`Y22e3{6+b+ikrH*%qU31^cJG{W*v&9(4#>cBG9L$YmF-v=flS%C^_i(d+Z-3LeRhhLGK3u7KTZ^YSqqmqZK*0#3BnJP2WExX*) z+xz$?5pRMPDI1TYtKi8)J*ql?WWWlaqpEx6J!xvxdhkL|p&X=}kou+>)E5IQi>b0gBK! zr12Ks-{P--Jz?x1R$%Fbke38l2i<<; zTR1J*bLiyPq!1=1H}=DZ3>Mvs2IBpBAhxGmGF?k5Rhhl__Lcm3O0+fIEg;m%Ki6u3 z9&P7fZx^0@OfdVy?=em9`pf>N?p}j|SZl?`U9Ifm<8uAo-6LUL(C|=LQkr?2Ghhok zri1XF+I?D>+=W`KVVMHVdtjiex~A^JKw@^7e`R(!@%1->v?)Ix4rlYe`<=Z4kkWYN z>W|F>G~nk1Vs=q{r7G8BF?hWRbQ^Y_<7w^k!7geN{LaaRYG`1`(p;cjkKKf)?r}Sh zbEkWK4}e`D73cPL$2O*vzu}l@bYAZrq^qSStaL%qjc4zs*WSLc8UjecR6KPyv+khI zmJWY?+D{pPalb%6NV z{sEHkTGc}E2~?P;j(@F{Yt2sXmoR6xtFz}b9;#=kH+$|DXj22>k|l2Tn2M6po{(h4 zZQ{h)zbwZE?N?8SOVUJ6D7o9H#bdmAjqRT)zfz4~4)0v3pvqrAwF^NLSoGo=ieP2dokb3&8#KMkkHa>W!C39oYYth_pL2;PD4; zsGqJl1^T0xaid5=6_!vaMcxg~u#C z2a}ZRZf>r{bkUwKw)N{k@flwCjdyb0);akC?9YUg+>wL&q~yCsNLd1A-| zy<_8((QgwrHr$_}3VBN3ytncv)P$)U= z#!NOIPeGm+d#Ht0#B{3y-fw)Nyt6GgV%_$nxZNl2rg)?n^rk!qm zy}2>17WvuggROf9RO{OR0IeDd9uIa#u6{Y)FJu`G>pFcv-iMr4t4zak-b3G@7^bzx z_nt-y!_NN(ts%@nE-kp^w7Fcw>2wlHUtK(|5MxtSSrrIh(1v zgN&brg|Irad(V$vPuw`0F0_Z^{w_cJh#(Q0WyesyQ|nH~G8pNe^?Qqr73uD_ z!j1=Ndzl83L&z5X(Y{AnpRp``H=n>_b43~<#x{73YpB{S(8ccgO((3$`_`PCwGdcw zk_uiMR8`{nWNg)p3MOU85v{LvyeSDL^X+^KtLyDb#$TsWEuERBQ`?qj3Y&O~*i=~- zYUl)N4AX*<5y=7bh1q(#_3V{4q4iY(LCFPU#$PS?zo*{02KlTD^P}c|zS&BVMD7$5 z3zEV^`vap9dG-_3ig*d@fiM9d=(LI|gY+Qoedz*SX@ZpSelc#Aepl@Sjkr1R!ZU8B zOFLa_UHev#xs7}t=-ERp(Tg`Zv0<~1#~*up=mGyJdF~x>8b>YyrYPJqTWBt54xflH zFjud(vvQ^I|GDey=Po_=I7E)I?X$;N(i)`cs%CV)J5=;Ru3JL9 zdaXZ)Chf=Xk&;x`kgb&I6}@)J=hR03+q=wNe2_A!O=w5rQ`K!qCtuT1=z& z0-;*1!VC2ogmdpHA!v*EO$&96W;B6~x7f!?MVLZ@sNKH0JAqLnc`QWe_N;j83`||% zV&4+i$BC~wk1-{75~Ei?=bKXw3=Ib%b)So0y&s7HipLH^FJ9W##;_bY7 zZ2ikx`D|W|MT*W2FZWNc`iJ=-gxgE>(aVHQdvNyubgUlkns?@RkehdWFfVt(C+k9z zt)K6ay)D~DG*>lrW@Epdd2ZRYx@@uz^0qZ*UMc9O+`-k3)Nh8kNND{2ncHvacM-|OVzFli&M~wg5d%C=Cqw|>(=-Y9lj1jI^rWP%LDhmJv&;SJIQ}4D?oYROFXxjp zNyzj}9}2hX7FL76EceU7*&}s@i-${rC)QZ1!D_>2L{%nq=j$lfRo(Eh{QxC;H>p`JwvuMhhtl7I5lXdc(D4{XgwGj*5_$15x)L)_x+nHnogk>+-3pb8iz9&If z)0J>CtIX_g$)O@(7;Ia1I!?voG0FHkqTZN3dLTlTkDGtkR|N)+qn4|yy%SfE#yE+@ zgnP`K8Pz^e7WAvk-6Y{X(t14>@;-8cUwp_-63%0x=VQ%pj3!J2;>+qCblgGXF}|zS zLRkR^QvqTl#-Di)(3tg6?L+_J2s7?BvpUby6H@VpZ_}H}bGoj9`i9f^vL1FEd%VRQ zM3lqovr9r|*1(BQmmr^R-i?5S@Uo>#KDN9bch#yE0S4bHT?aZD7*B57V!7BexzsCp z%KLK)Qp-Hdd(ziMuw{I2mXUOP_PEOnLiZgV@|o*bD=v5D@7x;$=?u-=G@ZCEl+1^X z%oUx@nS3i4CA8QZ(rJ_qb*j9SR)jH5F?JZR&Wbt<8vyl%<&wr@9;HtzRZ?;*!6VFS zY13r^4sbD2p!r@Uh9x5gBYaEd;`DXYdRzxe`GfoKeESwvmml6bXe1HME4^aD>E(;G zsOsaKomOxYoE*4Ou;}3JIi5`*xUelM`FdTW=f9{+GKUb>2-mAvB&8~AP(hugMKbsK zQ)AB$hbusQ=CDn5zp$91QWr~a?$i5&A4XTdDra(w@hWxEG*jLhb`^JFX=$TPGlw!N zQSlYMuF{Ig$NLX3)gHvIt>l$e&G8eN5=_Q+e)Y>)$(*n1b(J0peji*nc&7Xz56849 zPHmd47j}NNJ^92@iQ0%Rp|JUpWjc_%(%T1MHl1sbZCIv`YEn=&mZ@M%)FYYmlxYyA zCU(FtAiTvc3RKmTVPVN1ikh>QZK!kiBdWwI>p!=?5{#K*IB55HkLJ;c=7GERP5;j~ z>pn@qXur5vM885re>&TEHoF3nU4bCgOwX>*!oHwuCq{k@X3n0Y-EpMbVUA8R#{B|R z;D4z=8LC1Zf}joQB5cniY&#-uGovRMYsXaR##As4R51-enCV-~yQJ!hBMbF#6>T7j z^Cv-C{x?Y@tLpzZ>(aaFyxm+BiG#KN`#o||+VZiwqbtC@uR6cUMbYwH-T*G6D$|wL z(v+?%4lC3HFcd+flN%M%e)Q(-smI(Q{fuf-+Fr4t;Q z6nUx2FjYlpjhNBv&MGTaq$Ssx6gt8wIv^%$AtaUqsI*1+3QC6TT71NX4crP$+zJru zFEb-=iiY0kCP^u=d}?^%zi$?+>O9{6Ojr_*d&_;l0z^ZKJT-CO8nJwCp#JQsisDf9 zdZy5Of=RH1J8}Oj$&3+SAwFN>dq;)$kT2IaG`)-66OBrQe1V0HcM2?b3JaGB^9MB9 z)!DN^`vk_zLVsanlp;%%;sRdMJTrYZe*DvzROzbj&aj}Vww6&vVN8CZimD=URU)?& z?8f9ajo(xLm`-$~@qPh)QH-7vv8+`K9KpzFY;kG9T=uYHnE7RTrs^At-jQs$|EPXVdt0l==J~X69^2Un(Suts;WW7}r>jrOBzTf3dWo%z?QAqQeidykv5AtOG_I zZBkVIsoH#F!l3%hRqusI9KDitJ(H{U87m-~smwG(J_c;_q$y2&kDR`71Db`*k;-ho zQiUcWrSFq8R%i?PQ3FXUn|^4KR=P^N-jl8Ol*PoU5mwwL5FCm*s>>WiLjBz#{MB;< zkmfv4I**|xg`vgWm-IYJ9e*VyF@Ww$tZ0s(sZ3RAJNMhFN1l!& z;&$rPC|lkL8`UsdUK*)K4$W+DXkc5 zxC$~(&*YS~AC@Zm?_!su3tK*$xf$q^rQ(HOMfE-fMQ3N2gQBnO8c7vh4Zs4G2N=qr zUQj1jb8A;DEoUVmkse|g9S~yC`8|ElWL-|{^*jqo1zJzy#~L%KMg1wLPVJEQq0^v~ z{9GI@qDAM&n({ZSOelx=eXpQ*{E22YW*jmQ^n}Z|mmn(Ct6`WN#iLtC^F;dyGhP{d zj073!%Y2KbdQM|`qoe3Kc3KSV&ajAbDr$!aD4&cD2DYNK445k45r|MAp$1`ah5s#z zFh31lbLTB>>+4RF2xda+;ZW$#X1Ti?U26-dwdFu>IK_J7z-FVo?gO1+3&^nLz-UuR z_`{X(2VL@(L`;)TOcPz?>Oy#ZFuXn_gk}Fb7AY+=k_3TeL-n!QonAkZDz!HGWhmO7SARMFzd zC}hqkq|GQa;~tZ*_AB=sW-bdw9(m2{m9L34yg~^45$!3aU z2G!ZkKP}hGuc`JKixxDMAeu@uno3|ATY-fM<-uG2mt68popD;_aaxISS|D;RT#(x8 zVBX?4Ew}>JGd*B+AMZdwr(8Ugk}M%2q{aWQ1>IgkxmH z!MkhR9KA5rq0Yjcw_b2fhss_>XkvtKVuWL2#38tA!LqlMe^UUd>Xlh`o>^0U$LvjJ%RY%b47N~bw!6qyRrwB%B>yIErH01V$9vhM`_b znqEl>o=MY(VHq=f6QBq?N+ajm?jmmnMTUYjHMtZuxkNQN4)R@<$>Q(gN-LHU`Q8j= z3J;Ka z8*6h#GFnbDszfq+z&Aj4AmpV#p2c#1>ZqQ0UI*H-(cQ73+p)PCv6{ciYD2fP!%BZy zf!2YhZFHw?=%#J1@~!x7^LJ9k@}O$Q}oq%xN? zX~jC};&heClv4$PdE-rk#=ctXNUQ$?dx3oMHEs1<={_VZVlbd~We&fdm@}*2vcai?)vo43*ImftBRyySH9%da zm-xJ3RA2`B%+yNFiP4Y^-hxsj`~D zyvVA7S6l72r_~Eo%S<#pHvrNmttjSCRdKEqXsmT~wE7Rkvkp=_)@JENbx}ul(F7${ zx>Po|acNq8wRW)TEQ-a@RVGzV<)1fRPA}C;NW&pSq{gkF;7=8Gs=TS;8g3xUoU=`o zyiAiPM5F{D0|SY%u~+>3wrXA#c+lG|>0fFuzsi&#SJH|#{?rcV%9|#xdhkxt1#-l9 zF-Q4C`^zu^Jq%Y?;xz+TU#ne*W{8@&@P_Gqprd|b>ZQ1#Uf>7T+g}E!pR9J@FMOk@ zewuw*=(;o$)a(4f>bGHV8ftaor5sJ*J2!TTDhiZd(=(~NCTta!iw;u`f&elGh^&Hp zZ|xe!U^!_VodDV4>W3^HLaO1%0niO;#fd=bPuEJH?%IPOtN#n+rezvJ+e~`6>QdRZ zYT*8I|BnZlybu$AI#>EM*B-c74X+M>d`L@l$Q4}p=i8SIyy|PW0b8ri%vkMmOxhcg z!`1hdZ3_nO;M%p$0VZAKMD^G!aX!63N7mapgH!D-yg&^tpXWrj*sCU9J!c2jr5OWP z<*f#0#Nm$vAas%n0^U?s`^x5AH=~q~+X7ad>oL0B#L7WD^Y`O|RZ3FlDYa|*1Lfct zI&-F>k21=W3IZm^#W~JZ$}JHS+-)B$%jP;0MG?d#V%Lsm{p}fyqjD~+%}$7 zr*@2P36ZiI*Stu-V8xpDFu?%Gn5d$OGu6qWvN_aE4-#SxW7P>8lQFn*fU0p6@~IsX zN9zVPwaC)#r@HMnIDNLXJsV-zYybYv>{vl7W({w^P?sz5D*9@k!}g@weP<{2SNd`S zElnpS5K~_sL%TqDXlmXQW4bf4Kg@DPjy;S<4`N9#)#FU4e3#$+5!J(gWy9lVUHBS~ zX!&($QCGuPnu>_!Fa_rqW9(da0?X%#zL7)!K$%6Pj=8K!QOUWXj%Ms8JMhu-!BzKO zNionNMP9E6!tYQPtLOK*wYwhtE$5lP5gY@|rRfD&>*_ftr7T1HOS)K8Y3tLW`6OMNn~xJjSO8HQ=-LGkIFV13j=Pfk~Tk;J}2pYX^7Ox$5|dXP_g zsjCF;FdRJyJ-w8GGlBJ`_TWfI*#Bqfoi0F@xS@O*#-X`xL7zCTe96yYq2^L?V>H=;|`zDf!fnby*Uz&%<`N4BOPyW{$D_Mm^=wFruhQisLyM! z_LVCycHVmZd6Sv9t|yYDQvmn`L>~OX89t)}4W|7DPX{;m4PrGS0EO3YhGkEmaUjoh ziOVl}y ziljr2-}R8KFH;5;M}-@I1hoAVXp00QA{}4j4!@xXeMeN)xDM(k4>$2Y~PMTCTJV?S1t3~ z`eodsBiGpIf3jW6XR?|0N2X3y2GPdulUwHt56QTHiCmMSFaM-Mi<|-d{{R91za#$} zI7Sr)o?*dk{#>ms@Gn79^7UyiOyOZXLPYMvSbWYukm_AZo*B6$-J+2 zYbw6>R$F7XgFF^(#K8~OY=Mh^+LohPuJU!mDwcbyt-#*4b^_}+B)3y_uWYRBic z#K*&fV{`pLp2ZX=z)auuqOE-VP>CO0(d=isyWJG+N>ZhQL3n|Tz6DABvMJs2Uw$KC zI{f5ybq%gq?yk0eZUfyf=)R<>157&~54m}K)EtB*Oi7ps_GvahcnO=kpgyV|D{95A zJ$vasFQhz}>z_Wil|LUUJ%KB308_}b7v1v$1i0_6K6okV`S9S;JPnxcANt^B;-uA! z-AJe?50YQBDF&Mg>N%pa1bt#L?5$oS@z#C6m{Mt>?~2i0&Ud6VUsKT>W_pG3PTxJm zv=jZPoV|vn^?UUiqPH&LVv5N-{ZqL1a`Yo5cFw`+85w-aQk{Y5P}Erz)??$Q1>P}u z;Br?HSy+{(Y3^C9g|7h(&78!HgwLpF)IRgz#|-cB-185KYvmB0AgkGy4-SuDdUkE# z_at`8z5{zzcCiHy-U%Am5&`P`3cq-e1=s3(5N=@8#||YBE*e8G(|lODD5q{#;WoR` zvQPP}uf&->feOtK^Xy5g(kh=*Sdac^0Bmj77G<^;QZ_SWz$Gyd6!kl+D9}G`{HaK> z-6;3c7!4U~yJC=Gzt^N;KH~9XnCIHkxTddZOIo&*m`!`yqu!ve!wm1lRtdA}tN6(n zymlzr2o@!)iVEJ~5*81FA^Gw}|CQML_XWRqKS&$`=qWe_jOJ56i`otys=#vUfM?LL zOdHE0#oCVv&hJ42g+9t%4DvqF9)0o25$5_oEAYf>yTQ=xj5<>TtBMbj8)6$&aw(X+ z!Wv@VfyDE77I*Jx^8B^x=$F)8Mqb}*C${1NuHEFa`=#Ue2ql(%ol)}4>+p_wX# zW~TzxBtrv-=M4u^rAFuM?~iiL0gqO9!;;pa*YEp-sOE}IefO?J3eqw~Av-y{n=BiO za;Kd4tC2MQH2ske))wVDVrpp(8`3&_0o?;?q#^EcdlS`4;7b%wuVm)R6Tte3;&R%dKnP#eDV~I(UvbR?TMo3Enz**1R8A>MtVG< z)xxSNEC*@d@5uB&9G8q3Idckx_EL%?}Mw4K8F!9!%+@j$WKaLF+ z`r7=_r~;GSPeaIM znWOk(Pjm`?R-!kD4`Q7q6u*7XL1ER6!Y#%(_C%dzXIBuqW9e`N{ov&&Dq%>xSH6S2 z&hgoI1`cuSvU*&zIs(&3m&acHt}*YoaZhu-W)RE$blu(TjAWSz5AaRrVRj9-Hw7DH zc#V>|%hmcN>bEJU`RQxO{wEZ0SFI4L;{pvGj@TCJ!9f(yaoD&|^mxeY_P6}6Hug7B zI_I#t-h5cPd~yL|k~S~lgL{0!CB7rwehR>u50jV_eGpPY?PPeyIvEa^^?EZ{A<)VV z-5Mt=YUeS4zr@~K`wa{dZQToQEstc_ya3PyEB^to~;5UCt=o?T< zQKf>VKjNuPQe{)hNP(akknu<`MN z^wKvxDnZz1Jbt@D8Aj=5-${9hsFijkwhCxUvsF37aL`b_{=|+`*qbTG#ffA zAKE?CnHJZ&?k6?g+jg3BMyEc1+WQ_MwtD<_fTG0#kR5oP+f8G|kqb~;QRd1gxMAc1 zG*%MwZ1mjKh}JYTSd0-dhcKZDnlN}$1F({s)~^8gC@L!i4HoXG;N>q|X!h*f12Eaq zF`t5WX$lbRskp0=8&HVDVN8Q#+$0I93SO1M6XOQ6%gG^5;aID{wc!zm!?H;a;N^}c zaADXZa+e3Uec^&<42hu$M&XWwQ=;A?%H`#bgCC*ZLXy;x6@Y8QA!dN7k{y_Z+4UQulk2lLZxWdt|9*)j}nqS_*mTuC@{l7t^>!JksI7Db+N zu@)tqdd;1KY){FZgJmzlZ3e>_A43So{ANowI4r@9G?$v&?3Mj1Vh&iHw*$(s7Kyp%k;5gVUBBmLx4TIPf{u0N!gM4cA%NeF5 zhx`Bl2cqL%LxnS(`%{t)ql7moVHzvrcW;Gp0b4mc13u{qqOm9;1e zv}EWHckVZuEtcS*$QUr(9UnIZ+$E`X0LGMS!Wn1oI?dK$@KZ#LE6g1acNc0zHLwmR zv(W7dpMSXS12cVf+8zwOyAg|{_I$h-f7AB#2=m(XISA#27Z3A7iHDg6u1*L4a&RSI zC`^ATul`anUnt!$FR9GoUU=ZYsTTgyB>oE0zg+Xbg6(er=2Vf{&rJywV|46Q(tdEg zbh5oc89=Jjwyl%meKdseypRS~|#ezo6%H^GvIP4X>i$=UysB~4d8lYLBCp{We z(;lgO$->tmqo>%}H=(U_WGgY>^)l?xMqdtL|8=gdV?}Q9%y78$o$n}lK{*0lT$S%R zkyNjD{3S+xK#}X~&u1ul8NcE?vwHUF9nQ5bFzF?QwloX~?LW zHh$1e5X7o1%a=9f%&JU8R(Jl9RDNVAtmSG(&6m;O!)C@r;v^MYN@eatM@TR%efHs{@Z5lkqjtVN+A^$9k2fRYeTU* z;A=QT~1JlEf)rSfSyK-#QExp>5jPP9Ij@Re$?-o_r`3$fp?7mf8I>igElhgjeS6VoGb^jZ1FY)M2c_{mc>vkV}$AoL1k>{SynEJ>QLH$ts{% z^Xc2$0VTm2@uU! z^@UffvS(q5a`Qd@pOX6h>4pRg`EDDMs+sA{-<)Epe0ud(>s1nsH;6=+e@M2_ydRL) zlu_5tfQ>Wh|4fj*H*qlOcYG+#A$xAwl1a%9syfrr+bFsEgm`L0DpZh3{(#60f_X$E zK71$mgiPES{6t862>XahObYV|OH7*A|Lw~(GL&Oz5kdYrEM`3TDI_LH^7-R*StmO8 zxcSu|$3Ilz6pucSRco4L)he+y#^kpMVn={MF?L%%uV%TK?(_Kw`!+&Wu9eB=XXxws zHC-Z6L0zGdwG4C-wzxAqhHWCkdgcpZbljB4>5NFVeS-Q0DWjAX3UAAX!{0P z3;Ef=ieZ6JIYEzF`_`BZ#%=_X2~wqZr5cfiUC!2+o$gjXGX$Z6D1{ftd%`12wh}OE zFWnt3h-=a(@MibSiZ;0NknzeRn9p@i4eOb(9BgfQq7J;BGc7bH@@99-Qa;R3$BVCP zJDT_X#`2CM5I7j}_ybW5ep)UkgcrN}5lMhMw`ZB*a~xt@Mm6E5lqVche>5ldF%LbF z2E==!A8Oks!)n3{d7$<_S7nZuc@0gX)34QIFE75zxCJTIu$cGCxH=B%&Ro>RTtM07 z#28E`2d>royF1A;vA86U`DwvlK1=>i?j5`)<<~kRNkwOyO~a05++3<0@5KO9xqEf( zX!D(ci25J<1+$;B9a~1$*p0p0!mgHb&U!8{Nw%H5@5q-I2xf`Eopp`X8f=w9vL|y} z)AD(j-j1vLg+5)rK1059OS@AdfqeHAWDaatA|fg@GeRcBV3pqnHIF(C6Uiu@44)>{ z28lm-mst+*vIZZ8L6?bcg`|P|Ii=5X*rlFqrb>A;UY@wR?axO%;{6+r`s;lOBOgMt zy=yr6^eV3@NZH*9EcSvOSdEq=EWZ=G#RO{?-n1mKg=BkuGn?wrmS2exLN1USVw#4v zeou$hV6peQ6UIQ)FGRnd%xfSUHSD8iL4Ss|UB?(#s*rZE#g%h~2q`7tbA=?VYZqpE zhrJvaScxezs8V1fu(Kc^nK=Nbm|5wWeWONwyoIzU$A7O@6W_}F75r}&RfiWnJE`(X z)wAiO*hBWfpwyy)mrs}c^xQ~LKxFbm4B`ZjYQg*cmw&r6(e{+~2%1PlGpj3vpDgx- zirtQJ*(R4Rw*BHROn|-HBUzu*q12I~F+pP_bA`0)prT|jRi4RYC&34 zSXSD2WB`Q!70uWa&l0%p>;?fjO1r4XcOMBQ6P%yf`87^ir#n2#^fB~2B%vqOvXRq> zs9LW$EfqWf0kZ>WGRz$Xvmg^+UfWrV9Ff zP`0VymK?_fEOb`K#6wqTCRVb1(!;cwdk=)wIBpY*Vq5)Whx|$|*u$H;3(8u0F0sAW zq;J?jv7fU=fc*!OvhA0#uozjd{YvzJO&tSKenosOb~PObhSku0>Y*63S<{Jo^76WN zCc?I+Ghes@)Ak^Vp@6B|FI!|jCsG5au@an8LFsZ{Ug1=vArdD6J#Jyy_eBbQz^>V%U$8jhcZ56M6>Os+6Szx> zJ;878-c%k;*4+_f_`wu1YR20ZVO?(_HxQwO@D-*YboYtafTWb5?9?DDwe8H8H%%o% zxvpNNZfWIi5r*?@@3vpFGw9Uoc103}Me$>i0o|)8bu)1&{z8klOl*%!Swl3(o_Qrj z%G44TKv8#oQFjjRtztWNagiL!#Pv(Jr?65VwpC(kqo}-0Wg5l5(Uwb8JMz1B=mo>x zBx~stVuqsN`udkvk|~M8m)VQniS9401aXg)fd(6d*nEpfNi(K{STfGn8MR7X7m^m` zE*VwNKSyx;#7Sbp$o8h~)5RCgNQq)=#Kdf70c0l)^6)#t8%hD>2!UkjEH``ET|Geu zQf_3JaoJsV$-NmVTiviX?JyY&aKcy_y}=VD%IVKSnoqe}?4Jt=XXIa9dj;%RW(1;O z%YJ(+z%?D6Pd+4yGR|Hs^}~)=n=RJoI6uUKmdy0+&80k%%(U{pHv>q~lZktDYL|N! z1t4S8Ejj$gL**%(Ca+(!>9g>6Iw*2$Ds@ z8Al36Qw?q`I{OynKTHMpv6Y1THyxvD%;oIgTC?19jf}yaYLgJ%oU*##Q;nHTIjnKN=XGY( z9Fn`n7Fp(>$9&*GT-@vE(4wIZ(kbB0g>VkNQU77W`F|hO!Kz(5xycRlk3I`GZOwk8e5ZhEb z2{kHpJ1Aj+W_cpjo(vN~QCO#jUmV5VUDC&D2 zcqk-l4f5fV>Kfq=0c`;tNcEAW^v*Yr4k9;n6TA>$Dl14~682q3(ps0&BPF||sPKa` z+>^WxA2~21|As0LYzN}*TKmyYo=A21zKYl#WxT_k--<7gkez=E-P{`zrkvtP@WReD zr+LqnyFMk)-_7x-oV>Mu{jFWMG=WoCJ|IbO^eG>BJHHeB^szDp2!4{&&umkV3oCe* z97qY^!F?pW$Yr(0F1>fPE)sl8UpEg^m*9n;`=$=`(hg~)&_FQEgq2M|l)~-*@**0k z(Ld5VO3#Z+dL$s8;bcd%Mx@z`*U7=M$;hRi4o^|re%Evi|Mfj|=qt=6p0z5xQA{OG zuyBGGO|J46FPter%UTR~%4P_}pSv^kv534%hJf{#J${`T8VU_M!G~?;<`!Qk`WUSt z5F6RV6xVSfmd>o@l4M0j!5NbbJVxa?RLOc3)1Iwv4U;jP)qr0Et8NXWl+e%zb~(5b z3+6zYfR)sqr|t=Tiop6uT}TtvVN!4t&0&2o(w8elNs$BqaTIAewh|0W@|J*=z@D=1 zU9IGO!WBxcXk8FoX>g@E9EsfgGYm5|`aCReSfvCkGu7c~FecTZdGN^4oj@*H9h+o$ z0yzS2>=A(^&rlq!aMY1da2$<4doF2$7}iUhq!VRIb*St0)c8;r(G*WYAZo7hm(EvH zRYPW&(AFW~1nx4x+Dei`hTkcA+IeUK283ECmeT!dDQCny?uaZ`pPJOW=cP%q1_Nyz zdg-oQ4XwdJV~4s(rZN*cabJ2QYw%F#p)RB;kuQPdxjxkGC{Rr6-d(It}%BW#`6vG~U$WY|ZdC3D0}ZEJ~F(7mB2`doABd;j2|*dt1~JB&Jj zsyc6-nsk%oPOJ`qhsF;*k>^U#+)oBSMICj+-ig#vB3`QFal(j2!R2^A$HL{Vrbqr2 ze=UR8h!co-av_Mp)Qm98v9g*l4W!X|F!loTQGZuS(Y}MoGo#^Z%myc9VGUxfE;M2E zwunse7cSTooUqZp)0iH?0oG2zb_gA z@*%6idb!`tBuyD>C^g^^C2P6h*z-F)svqgtIdPO{I=xs!;c9XR7jI1W55lY}vKL9( zl#nE^YFYNBu~#d-YcW_%?R#q*8DVDMq)Nl4Bh^ya@a3>#gj;n2j4)W_wHS;aUTILG zeg7HZEC-uTTFb`xfhX6Q@i(jFisrA@U?h!Sh{4|Z-<^ZK3t$jFFTO_WAe$1$^Tp47 zWQ4h(OO=OB=ct9_=Ks-2Bp1>wX^4%uhGhQ=@d;N074aQrKV}}xSe^m#Uz3>;#)HwD z=&xC<3A3x=1R(vj<>79_YaEw_?p48 z`yXRH|8Etintuz3|F@kT-sk^8+k3_})pmKKdLsfsLAro~^lCsrkbYA_m6Fgz2w(vO zk>1bepn*cAdjafQ5dIlpvbq}Px)cBB;V_hYv?P@I`sb3*H}r%$oNArZw|dLlPc}5 zlfxQo`PdLjKX$)7XuZ>P{MlRRkX2~(^V01SZ)#W>$pV6d+-ChEzdPF-1^UV}-)-nIZS-RpWzpo{ z!}{dBkb%D}Oi47H`pu==Z})WRF;CI23K2vB z1kn(?3dgJ4>$I?jhhgl>#8WZYGkK=sH zwimm1gP!FX+%d+1JI@}v71$W67}-*;Pq8riLU|H=nw<%IV~hJv|He$8{QjLIV|vVG zMhFgTsE$-*52`;CUUwQ{@C3WsRbZxJPqSLN&2%Ubstg#a~wc=J2)% zts@IsIqS{`3pn>3&F?PqrV2S%cQ)Ws(6yJ%t4bUp_tvSiE-jHvvLiTtkhQG-<5h)t zt{A0ULLD&{tvq&q>kO%D>zX7>O)d{tMkV*H<$|mo82!>hBde}vyMEFWh5Oc5vS6$rv^tN{1?my_Mowu7wk%1Vmn_S37vEg=pR`wQ73(}yLK{L z)U~lz)HSc!SKn*Km%b}`Qyh6cF&y17*6#j<7NXi5DpXpB%2}4xviWX=HcwOTwn5*vs7_~gu$}!|@J4+3UP=lxbswR__tjg* z!16n_dPY425>Yrm%$Y#OyE-RN4B;bsb-pN$j7Zny~~X&+Wdv|AsUznm@&jB;sE zU^*|nrji zzmT93F``%mQEU=ue%k|@|0{`@%{OBFr9{O-qT>HXqFbU|YbyEi?)UzSRz7dGaJd;D z&W#td=vXfw1%VAs+H}28|FGFU&WxG;h*n!~1)&YBr4s24h@wHHVgFu3G(_qB7iHk9 z%_a={%u&gBu(YD^-n}mB|AEAXVV^h(8CSoh$hk+;6-^%aV)K6`nXI`sxgZv)}nb9rZ|oV}m07D`&J%dWhVFkI57|T`$Z(Zq|S^ zTdM!xRDYAHONi{P?ApP*i zR#h}lG9)5f|F(0$>8B+Nrl`FMKGnMI@aFDig^zeq>DFI8w(LKIbm+^Wt^{iOoa(rp z6WG;MH0cm~r(clU(~1722PMtmX-z{C`eR>IUBV#UcN!n4s>9#ce#sXZIm=a5Ac4j$ zyV21l3Z8CWIsB;Yg-4|o=`!$U>g`A!pY@bI;B66L+7S!3`?yA{H8v+I%=PzaPz2*7 zTUJ^CHt61W_lozJ9HE+zP`kE?k6okodn|3!v|X>py2O)*-YGYYH{By$NcD;(ihj@E zoy?Q#WFD1UUhnm=fNCr%e`!m3&#M(%WMCaPzDfDn)nOfPd}6UVq;F1oA30Mj`N?3U zGcfdd&hr-C^c6AB%>%!^bF_TkE|ia&^5I zhmG=`e}!e+>W#x%tm1>K-AANQ(Hbhnqa zdP_}2egdzz*&A=+8;?~O)ppwHwe_ACuh}xS@D0Z*3~M`W_s)9HyVY#@SRD9^1U$pm ze%H2~!k7EZhu1(`JQfEik$`0ENieo{MB8!#U%b_8;xk`Rvvt$r;I2qO8n*VA_SiVy zX{%StXa04~)^!W;<<#1K?XelW({8Vq_dMh~FI%&Pw(tcOhPB5g@vGatfDmBei^bNC zX|L||QhU#n)oiI)_(o$-6h)3puz_E+6&LWQ(|Bmtcm8YjmV(H!lgROBY+$4I>Ta)| z?>vdcfq=;IM{Hn=w&Ef_W3#v0Z=R`oE8OCMMLdMxGt|`;<*#{EiC%g*6B&R$YG3~ zlj(^7*XN^vRVglP=gllE)aq~?7*SPMaYTsof`K22U(XZ$z$K-4J4P!HllEvE35 zovg8^U_0BB66MDd%VM8Ss1@hvw^UzXQL+bC2PO3uD!=OGU(>kyNY(zc52{(5!^7ih z9#YA^kS6bWXME+!ZH*_z5SNEW42zR$zQ3`i4mm1OEt~f>-_7kkO&v;9zgo6U3ZKW; zdb|v;##JZPg_j?E4;|^VbtO2rKl1tPEa!50fQ0gB@^Yl~7MPwOBDj&}&EDkPKKh`wK#Czyvc~!%H?zUxiS{&WRAYSYxKJW~ zaq9LZQ3Qw_B?6o=*d`K$Nb^j94gj!bXFc9G?b`N%TK2Cs?r1`n49bZ<`xhaE@VZ+t zubdoJF2%V$0OXEuYh3J)^$otZoe`-~^T7w@l}eFUak)_v*R9xAzWVpoJP~q~rGx5%Hb~<+ zhJXd8wKlWae2=ngc{SumLI{K13mO3`P|Sf17i!Emj;T9V1~tZ*UE3Ez@B%5VQlQ2F z3S>Z?nlw)xgwqqhR7r?GczUM)NDfV&F5ogK)laa>K4XGz5PV-!JzG|v*?wFoeV z&gG4P>r@Byok;V>0QK@o$?;bZwH}6$0iw~~%s$!KgEWuPF$d~gs8hLu@>NR=AuCej z*s?EMc=EQ!)dWq`w|d`7Sz_*6HXLL}8OrB9y~g06D(G&k8AO_wq?XOuIaVv~`#dY1 zo;Le_q((DHsSJj4V~MqwE#T^hYji$QT}YkL?3ATL;bl;pq45ssGf0_$&+ z`{#7T7jIPC?VO9b%d3GN2q8=Z*#*t(OxeZ~oMojxI`3rYP;?&Iv>19v)v#0}RME9W z5Olv>UPp3FJ|XrS3{}7yd)Ey(=Fou9z`RjsyzfGCOiCeFB3*VRYwUt_LG}OzswPt7 zol`oY1uUG;QHB}U%0Q)e+9oD=^L5*A>8AG|$@&a1*ThR1scTio+urmAhjQ9n$6%54Eu1=Xo{%ZS>0`aYeUc1Su!N3~J@+6(m z0CWx1^SL$9VeeHTd z=#fEvCCy`HiLG2OjFaKekbg&$7Y}kgNRE*)R$s7DT40XUkl!qf^G$-Td4N9C?U+t* z1B&Z1sNZRF1wFDp#+$2C@-*3Bz*&~pM&Zm@+XqK*6{QHVzMuf()Uqvx^*xL~O4@GwcmD$qy!losu5H7W7NWqCA3a+3TTKSNCW?@TAsm9t7D4oN*F3zy z!hDoY2nNCT{ijHFRbvi9u5I_IWuFxIfW-@9AN(&sZ&N5OKqqS13P0uY^gt@MgxG_R z*S3=Z<&eA18m+hBh9S&?rJ}sawT&0&*zWlE@cs>+T0sqY(1He2__ntJzwp2E|08_0 z5O22QJFaaKrG;RCzN@k3u|~`R;I5{~5!>(~ksxJMw^xo^ZZpzWM?TO@!=WdeF zD%V)|m*i^fSl2@_%^CEJuO@-XoPd=u zta?bQ*F4{1-_Rfw)l;*I2ON`Br9boM=q3mi;YQ38)cNvb-tz}b(IU$=aB-jcGjtOa zi*SFL8{SLHow`DG_A_KeYxE*qFrUsyxCj^KhU9U_X{A`!_Qr-(>d+!S=ZeW7ttSQZ zuP_-|@a1DFahO>2}Ko{yt5x>xS@Pv8nImpa;aKKXZ+1;=)2_s+uJ?~YS1S9*uKc`neEmEw#SMAg z9d~zTZS4N&_0Zope5la3)0^aYMHq43x6@Ua;%7yp+rpQ+#aClh%Vu#hbE+QT83(nx z=_=*A>0GRfQ;3fv&03mavRJEm(p@GT^MdggtHXU-E1@`v}&l1iiEN>BO(VvSsuXc9k_AE znz$AhcbeP}KjH&O|1U#d$;_#F0I2qxnWjt&!gcU8hw3y{FSC=o&YwYWDb z<+wLZ{)mRwlLW^|?l5%`8TX#c;ZY8%A}xQ@M_ahh;h3(@^n^!+{6#vbg|##wWr0Xx z3jCqJd%~&ETev1U-x~mlEu0Ed&73HZSEc9wtV`RR#dNiD4iW6LbzfL@9h|^@d+xE- z%!ND>J&55BE9Rg3{*Hf6)DkKBe<)l0&&vGzYxgwip?^j7{?fl3;T{-p<0Lh3Y!f)i zMOAqg6Qn;vDcBJl`(c=<5M%lg5cL0$z0%hr4b>-cs`8zP<$+LN-Xh#y7=~&T9GeWJ zR}VR9wnMlVeBkzVx&%rWh@lz4IcH4o{_p{!A*2>pYWmNR)g^IE53cT$=36A013p((H&$;w$S@~Z${?YyMg&E3LLCcI z_w{@J9C=#gFaoIyt{VX%BGZ}0^ne582FZBzgzIk3A#7EjVf3_!7vBI}e2HiaP*MMq zO+#?el&R1fMT83$(HU_T;j~!W%QqH$0FWkaC1ymmw zjQ)1~|Hyre;9P(NH0dq*y7SEVy6tLpEkJ#FY@}9#V`gzmn(JhaQkdsLhh9l*(tEdd&~)!({kUt zFf=!frB<#<<@oHGJuEo0gjHQ{K1{6y$4vOHG$<?>5z)YT@4Yr) zI+>B6hQa~xCo_bgI_XYeg$A+09;3QWy&&VkP5?RTOEKc$zj5$^(8@2>njyKf`=#9f zX0FRY_!kq&Kl8A8uwEN-Lc8&XdqEIx|6Z5S@4`^_BD_+K&pyuwVaXVpYr>5jgj|q= zi>jlSOpxsrxvU;I$8QsLDuG6{Wti|vQ@(|yf3zJBP^FzF^bt!U9Mqb)m2un(A+!?o z|CJfsN>AwBKZQGt3?}3845PdGcf*~K-{1uO=1rfR{`U%AT4XQ}@^M8jnFnrQ zdX3%UO025<7sR{4P+zfIML0=kB=9VmJeU`fB<+tTuD>+UZ*uc<|07L$mI^h z3L25Jo@K>eyF|*X)KWARG#2~MLQjsIYrY%;da5qysp70X;WWoR z6IF5iAc~w7ptZTm@l~1rl&#cY7f|+hW4I2pns&L7{)t*Sj^NlAOa!r;=`pIuV9UnQZ9g_g9Je5ji|Dr1sYKd0Yq`U|`p9^=KSI0IljTZpdgHm&MVw7m*22E0)~naTLzi3h#yBX>R(laUEuzJ)oW ze-_6wr+QO@iJ`}GFpvY=ZAQun39)M1su3~{Q2#a3dzEnXtD<(f+ft>l1%s)S`J_apGrmtUz@03`7ugAtDn zx=RDM7hH#~q=rBaN5mYO#^9rXGQ)o2iP(xw?@}RDCDL)GtemtOHx;IQD`$SQq!;_Rn<*EuX`Nz98grbY;@PV-Y7{Pf7?M0@ZZK z9Pwlt$2J%`l+*+{pH_ihGGFO_ud>MD#k|^D;39I4 z;t#>U+OcM6M9+23S%T6Ib;qvI%@;ZNbIPB`rBCB_7F6YJf9cAz>paUn_xj&e+K=ew z-(TA1WZI6?CA3Q)+DLFH(R##$`y%)j`oSLe1yS>s&f}KttQ_4^TrQV6VNnLDJ}DSR zCQ;>nwzJ3qyJ1esDRZo$TEUIvtH`Bx$LTg3(VxDm*51A0gWdl+h0ajp6hzW)Gc#>h z=o02Z`(lsxnpfam6$3(xud%vlQsmhX>>D(xx(uZ{XMPE{U~;%1m^39oM{q5490kYb z^qo;WCrzSos9-08CM{RYg~2E(Trh{u=$E-+vxX`|ESAH9l$o^pQfMv?t7rk*kO(cZ z8EXN~NKLtMVcOV03sN@A7sRDm>EV*@_|KK;2v(*nt4n&UFl(AVsL@He(aKwTUXs7% zz@G3A*%cy$xcO!j7~5y(2bz5-Fp($;M&fd_Jt0qXd&$$&cNbn>(L}|LMGLI&~A8!9lm(U3CESRtK z07s`uU*ufzV>&^wW+D4Z$5H#r6^FvNtYH7)9C#NV;_+JjOJ#A0sv(0WA<>**B!dhG z*AdV>Xx=++hTPdLiw(&a487ZeK!d3!z#Oq2a;F^5Iq-yyX3>HsG}k^Z_jN_CnLExg zvVb4Z1`uBW5;yiWjQezQ;i12Q6|`z0_=0YH^fTz60-apa-b+J@+J>*@pEplJ8s~s5q)%s$0Fzb(HWZeG}phT=xhH|(@T=`K5_W_SG)rn zs{Ki-@?D50VE<^WP78Z9z=NPIOAGf!L45Y==7{AfT(3JW{o91b2bs8Bv^k+q23a*J zxWEjzkJcr8kUk{arSRZE`c>r4y5n|0*;?uhzBAJqd{Ud@s44{GC4fn(-+iVfn!)SsGuE^z*K^D(0aZ*uSTM4{(3h%ols z!rN->-f`#XoMfibZSii&U--dofS&v!BN;Uh%SY=*Hcm^FwI?G#r%oqdb!}VxyA1uv zw{2EGL-?ji;U~B8xNd76^Gk1EXy4Yp^F{l!zVJPo1ZNJR3DmY-#mLr2VOqU*&Y6uc zQCzVk#2_CPUW=l?$amHAJ8hD8(X$zBlIWScPJ9lO4K>D2*jY6X8i9EqZ<-*vL7NeW zAi4Hy*0yt95PPWk^7+T{*NsOX&2*q4*tVWo12ps`eOO$p^~la+ zp;51Da4Tmt7OBe7o%WGDsj_{5BJggDUL^^v3ZnZQ&fuLfdlK;%(@(jzj84Wkq_li4 zG`sNbriJ@{+2Bd*=j96ISbwZPoju#FX6#V^A%(FW_4wNK!@RN>*Y||yF|OjsFUzK+ z7`8LUB#)n#)jz*I&g*e;^!{BHcACd?TpiO7(%&&}+g^B-XBS!UHn(lb-ecEb!_@3sMFcS^NaK;Jbi!pH5StTU0%aZuBCXY*cPy#Az$~xa{w@; zAg{Ea+PYqLteMr7bv3#~(?V%&cd~;s?$JA_Ht%l<+R~9p0tAw|;hbhxLBWOZ22SGUom$ZvS7u<)A5i*k(G^{nVorChj&!(=dk2;bG*w<@kt-8Nfw)y z&BT_njtD0H$fnyA);BG3C5j%~_=9gl*CwxX;$2k4Cn*zKB0D2QP%Kh6#cS`_jf`~c z(c)dS#V55BTk>pLumX|8ub5Bu>_)me_FVBUWa5)T2`y9zkW%4axtN$39wCAqD94P zmF(ocb$D0wdPgL$M`}&F*tAFqMDo32F4kw!6BDmBwUhhVvB!vCHx!>VOKj=ujBqkw z>6Q?$Mcc^@ckEH&*UiPDN!i4f&CZBb6pIMpnAuggcI>g?*AX@?LWwQdSIlDt615#2 zdkXmVX`7Z^p~zwhaSObJg@et}(_Zh;nd84uR9|Kg(979iU;1Y^RG%?KaXEevuR;XZ1H-{>;$1$fW<&nJO7O2bsPM; zp!lSEVoO11L^*%tU<$M1TL}wWn`$Gw>R%muF8KBH;*(FbCUtCD^!OvCfVv5b(+%-J zlwEayhj(zV_v_?!UHrPI_@o4Wol1N%C!xj8rll&OrK%$$!N11>4>VNp`LXj886d~LnM&2KWEs+6rXZ+y+c!1)G@*l(6`1ccsv&9K5 zz+&A$7;1kQ5q}iR|5yaD5!nWR9Og(!`kkiUF}wP8%WTT!cBp_o7E+xkjT)M^M1C$T z@Z8s;UC@&PO#`bCtC#gLj3V^O23#bY^zhgD z=<_(|pSPFAq+!_3et5XrL}}2F^*X=#C&MaX=Ad?Cdn`W7IXU0cloy75*AH(8wj+nE zgMffhRUv&)yQe+Y2w1RKF1iegpBFgI}w<0<>uCu}we=wd|@&4_D7aM**!zW$ibBR+gtUaP^=c&Ihzoho-an z&7(}JQm+KHQ`ln-vYe%n%dWokaK1cr7f3*V+0_%gDL{9DeVV?keIM-8`JNS`Fl-+I z&V-n7tsI(009vM}5BLxjZkvy`U<_(EwZ~%BCtN=bO(z0gmS@E+7}l*Hjs+gmho*b^ z&AUvh%z+%KJ$4YtWe!bm@S9t_@$@mEhco4&e}P~q`{7Q&CBhzCu0FAGzpPz0%h@M% zXnGX+x!Q2q)tVkIVo+5L@;^1GGG_>CZ|{ejKtK{!)?NlgK;~$AcrmKVoIR+$*&fS^ zbM`44ntsb~4o6mv0Q-*#@Ny7N-q7>_a9!Y8aUF(j2Q*+prmX!cFk!JQ253^b=oJ8- zYgs$dGr$HEpA)KTgf*z0!5(`E%zhY}KH)e2h4b`*uEDV7`RH0uF2e*kwc5mnXIZ-m zh&y;_`Z3TpSl-Z}hp(cljXY)MIeSq_-QI)?G47;0$P7i6E zKDNj5WjR-9EpHgo!`bz#j`(5Nf_}JSXyY_XS$j9mxx#pP!yT{;tB%BA*hK;auZwD& zRrt zo+mS;b7Yv$-*kE3K6eORzp22kIOibe4vfgN1*N+_p2fv^&x=o}AH|Jg+sWVj5`%@kg?P z&TD(qF;BuVTOv@tz(ok}@&NDB3O)+fn&b`rO5gJJ14}uQMM~RW``(q(B6mN$OZXQr zh8Dh37B794axH($dq+yflTlie1ED$eE$r4eSF$A>U+=wd-0LfBNlR>5rc*SyoY7@J zg0LI8u}&GZZeki)B@ihq6j|2>*^i*@Mi8b=wVn2If7^{1*&U&cC!c9eI%-X>eqfV2XzRY0{t0d7p}w^$9QF-{ zh@@^rylZJq$f-_GOQ&9}F6o&m+H7>wdrFq`F5z8j=iS6nC$}SOq3QQOtGug2C&CK9 zgdd4H#9C%EnT9gXkIwIN+8_d%KDB+b&>xC7=Dl4?r`%JD_%n1I&%*vSx3a8be5o->wioyz6 z<&}-Z^Yja0?lZt+) zoOXx0yH~n>@5J*2D z+lJ(J>}nc*H8DGPIX;~$7M~2%65jsYxq8|D16ov!XLQ)$SDrvswfHlidJO&Na{E5N zMU2F1^I4OZlWlefe}Dfqu=@r ztAuH8U!rdJP4IqE7^IQ;J#Gj*3|H&^?D+NhD<4mz-Si!7f6Ib@i{!4NI=yFNZ~Hsc zy^5NrD(dtenMxCN?=qwiza(=UjBZW~WycQ(@ci^Rp{~l8rBl=?mlgWyu}@u??3 zJzYDzSYQ|U+g9O}JFvm_>ABv3lQfIIJL^H3_mZ|PQFmHXZpT4B19b+yakRa0OL+(l znv|PunqlOo;c>WRQ&N1*o#A}r6hm@<-@dpwRCw(Dj+_j7I)6sK=ES;Mla0MKg?Mqd zM2d=Ctr*(ER9yB8eO*lyN{(w@@dLd;b15Sq{zV~P(=Oek1fPDXu{4QWwe{}H1Z4hd z+6YJW0V=sdBLq4q%@!64jww}^p4O1ol#e$|Pp~y@kK0flfMqK`YVYBzNHwGt%IC~$ zU22aH!vyf4LVxlZy@2dAjXZe+U*9h?O4PKrK}H%f3g^cN&NJQ|x4esbBIIR+N}MiI z$%j=G#M<9qIe!?(g6Fx(&^1~=MOd3H3QD+fbTKZ$J`c*(%@=2QqT!*0xQOD~Y&11} z9G4>eSQcf_dN-VavY33{@q&EhdQzT8?j}>5M&| z{LLa-N1_RlG&rgB>ic+-@pwteRz1JdPZjT?Zv&q&)@Df!B$42Ze1*%*j(wAR!%jFo zfyPer!JQS}Y>IaICZS;FcZ^SkrYw9E zUq9SpAH;mL(#?rs#PlU)<2SyRalX5=Vwas5Bb%5F*$0kX%3>c(`Dl}F8#92JmCTOZ z_8@}qf`C^vfkM${rA|aMdtHAWDomv!Bm@(lMb{^wQR)x$CidZ*1D2nTGmAwI^qq*da`o4$|!&PIkBP zapFn~szz%t-B>Laer(d4XkGK%ouSl|YcxCRV!{1UO|PVFcrUtj==qkuLXvM>y6~=i zc1}zc(>xv$))5T=hp+H9Z%uNTpQe)%f*tBr&#MrbZ+9KjJAhRj#s;2dR)jnW&79-> z)XdV~+s&FYzof|we*5QBrPZ+}wA0RZ?KsF%^63Tr9SNlwwdFP)FPWGN4|NA)o?-fw z9_ru9QJ2sahxFbT2Jm}6IUnCpSVGojm07~^Fl0lEibutCCAd|eV^>t{_UWsPgpmR0 z4eBCaQ}SkTn{&fHxtQ|L0bMOB`$Ha0)@JZqB!ekNJgq*FZ`JSHUDZ?*dlwxOiy1&Z zOsLg*zH1igF;ck9eB326@klJi&L<&)@nZzXg9NOzFmt=BqFM{A;%lr+=C^WF$;mcv zn-6IbOb)y;6bJ1J(mn}4J0+zn@|2EWK^nYb46?1NO3mD20v|=La4RLh`n zT`g7Nu;XXy*I$RXHFwNhuDDZD@xuH*8j8=Jyl?5`yJ0i|O~|6ubjGk!g4j51)A`j{ z?Vw%}E^LsXg5A%f=%eVLshp>D4sbrKt)Qn?T=)-*3nJ4h(z6_$ACptvKA2+3T;Vq# zzsz~e-j`hQ?PbTIxl)mp?bHL;AZN8AZj-ke&3Y4-cYEy?kI;ys`xSNECKMG+@lf+O z8IPM4Dbpt@=GUhzl#}>V)F!@mXsp;d?-z3niq6`$-o$L;ALf-Ry^57H>GQUp$rp$m zn5U>rGH?GTtzE?M%~UNZlyA;YyWe9vk!(37@px#KIrU942VV$78K%$*aja`hju^e|sC#-9daK8F zl9sfkw{U%mF{R&@tDM}_iKpDgrVRF4sOG6pr{I#LE9<5eUpWPvBVTziqbFI#b8C+{ z*%yUgak46uuXEr_a95_yab7P9wiRA4AV6IaY<*pIg{HKKTL=gg!q})u@-b~aBNRazhYRnxC zGcAv~p4{zNP(s-sB(KKyfK4#|>p5z(wt?@BY<$rl3XR$(l?R?YG<$T9DUZ?*BiA5j z$frln_9=cjGiP0MgS-81yqv(pQ6?LCf5-$-zSpbH+I$v!S4q(wtTU;m21u z5@I}lYnGU}~ilwiMdH#6YWOq+^o11?OGgb6c_&WHYsecc{p2;KnC-HsZD)w)4+hZ-})I zKC2d^N02K0z)WhtD2tTNJjW-l&XO<(Fphl`_Y}=Pz!Y--N!0S95sZQi}@uoXCAFTfO2^6W(_E*lGVzb=6qjd+J|beSwRe z+(I|jz_jRR@D!wypUt_(g2zgo(-S)MknSbN`_;0!m%_N)xPe!T#gVr47 z+U{0c4hEXaQx1eQN|7%^{Lp2}t>HvM`l`&iBaDATsqQsPa??6)OToZ?kG4qVu!AuF z<|V$^;&X?^ZG*k&jl(p_m(9nYB9VcwKk!-=po zD@(=3)%_^zG~HUd(sZs_`nrYl2;^A&YVz^zjT);{l6{Vkx$JGx!ix?YSuxvu8}Yc@ zY1446Iwgo#QJl%Gts4_=*(#EGTxi)=EWGHyQ4q5&wPB{ASP=3AF_02#F|<16HFKY1 zAMLzOwhwWA{HWNLA-u@BkrT5mvVp~YeBF;f);Y0(!#N>J_zB19i=-WqeXMoOi=*}r zj0!O+R2Z_-UlaKB)j5V-w*-M5ol|r@Joe}mcKL@ z|BHmtX1XfwEw`=Xk4a;JwfeJ+&eLYM4dRd-KMWv^%<)goFxrNvL9qR#?+H^Klz!D5 zyL?wPFeJiMt>p=Cxw+Vv)&*7w!ygpfu9F6eTAls7)>?u`nzBCqsg}~cTlLD%{0I9I z9wuDyi(`PTkmtN1zg%L)G3J^Qm&y<%k1&FTHJoL4Ji!MiXZ!X`zjGIDxiYmZiM6`Xyqim<6l)`vLw1L`x() z1Yn7R><%H?={m4fW~Fx>SZWf;y?9}z=x2wk9r4}pI}b;YVdx^`QFhZ*!I4*&vX z&KtJNC2Lp5&I1togXOn*NGB_r4x6MM&Zr4Hek})31Yf+Tr_we7@vk@=-3pGZ4+$FG zlk7{FD!H*_S16;dGkzw#cKt~vh)rP0F8`J~^$UaV7cShXk8}d~bfq+<&j^xUA3sBx zyZ$5#2=Of0<>{Bmq+*P%>VH4+9|j4WZ>oA>n1~s0ej3!f=lMP1A>sVaM6YfRQQw)V zO2}!)*izymD(lnXFjDH52HzPj+=&Qv5&0m_{%l)&6YvO7y5t7ibF0g#m*dk`kLQDBbpyzs{_yR^X4K|^|A9C$Xx z1+oJWR@sEChCy7cS(rR$NRgbyuuMX;l<*c6?KfMEajurQ1sN@m( z*bM+gBt||La)#H@#fK=15g;OrDY4WJrY|=F4SMsxJ7DE{}gd$ zPq!yw%0X}`IUgkoU8E|hCmB-*wWAX(-^T!x3^ouYxo}4nvq}e|>U<$DZUnOd3&?K# zFaj)~pCz`RlpC@p=sV7m>|n;cQa};9-Rm|t(n%#F`|;Rk3=1rE2Fq_UEH@-M!x*2Q z^($XFBuY`<>sC(0P>!GRYhRI70f=(`_@I#qjlXw>!OxNG(EjpD0#Zy3>EVVj_)C(d z>(#4Qz!k#Qr)$&0KD{(>7Pwr3U8rS$q~)rnK8($yKcc28DPO3~0I=h-mfIP&$Jd=< zMQ2EMl!taH!DXC#bf}exDF6;dmJcIAACVcdDAX^xo{A}Gcv|ym=U54}EeH^CDCdh; ztctS&pq_+>s_Mhq46touVr*W5D=naXjgX#STK;C zot3p*WdvJ!MzSRTkx1cU?&;alYL?cZ%o(>3wJOd&c2}FWXk)(mWGn~eta!yrB!@@| zYzqNT#)Aatd?Sey2Z+2|$SVT&BFlii!q|wpM}F^=8;YD^Y~Vs| z4C&b#vL&SU^nBWa4O>RZ`pcv?1=okv{NCxKhzsTpcIU3OaJ>^MJ94#aqRHyZFYm*7 z|F2DPDl#nCUw(dzF2UU|+&(^Dn;YwqdBg_R+uVtNkveA9E7DveaLlq01`A<%4^$B|o1o^RQMh zh@`vm?2hCwJ@tcbEj4#xs8*^kgy~e-6*VBG5pyUF9JL zs7jdD0%h()7GgM(_2Xo<>OGRSXTr_4?sb-+-jjf}B@vCTxiiO47p{K^cYa#)YPXN( zFg%XtYDitSH|4r(Yk0#2QjzCp{rpyQxOT8Sw(d^@_3`5jx1f59ABXcH#|&*DR9`HO z_;0-$(SJ97>2gW=0dT0uEuBTOHE0N{%{huK*FYjCC%?D>9?u^!b= zs~$&we4xz1i8)xjb2)fEPqo7x(koVH$%sT{F&vk>(T|3nDNlP+%V%f9 z71sKCc1-{x%BOenvztU9`+0L7O(*-Q4p0OW1>l0BUtTo5@ERp zJ@v6MO*QuyMKIJY#uY(->hr?Je~8*$bL7WliQr%0&xGG4+K&boH?!bA)31k+Y_9&A z_~nv4QpKwQr^3=%Tw9i3>>mm2F;JCgoF~!*nR{psoM5D1f3njG&>vBq7k*1LS_h0m zbnp(ADSPW4&-E^?62A?P*dfFrg?&dfIrFaeX`|%Sm9S zfr`t$N+)xCSroQJ0mc@#qjuu14~Xc@`jw|7!ZQsJ9q8bq%H_du0fR3)y0=K-qE`xeoK z7ufR70T+6i<2BB(qd#uFz=&``ZEoiu;~)?c0-Gm>rc&NCb6oWnDWd)OJ_ponEhT|Ja*zLE4$ZLXEOtBmA>SXT zi(nrDx%x5Bo7Sbv9$mXl_RWGZ;*Q)4h(t$|s=XoI)imSd&PEBARDMqi>?>y<`1+AH z$L|;ph^O4({b+KIgz>_}*lh^R2U;aLaX2!&;tOPVzCVY+)}>}-rN@Av-iR-}UWk7q z2*z1*B0i}`&*l9`>DNiag8S4-56QnLoQjbF^AN|89Wl+bP%YXbM<{EC0Ll-T3@4f#A6^t% z*q${l$i%-nd%5H(*{LIN-f(8@muNPNB&J3f*H9PymYWg?vI^x-%ulr}{C@rPY`FW{ zDwv6wBi5I77^r>y#BbGevK$=(knO4bQiOEtnM|D=w3R4DtzxN8j)C{b6n=N1{FEeP z=cfpXJ!&u^Ccykq46xb@UQ~CV6YbTh0(c}#aw7AeIZ=|`QGI@T4WP4Zr6(JNDgKc{ z1tVPsvzW}DCGcxX931g)xUN{yTs$GpVhiW|WCZB_!FX=_$0ZkVx%ih~WiGvY5DCZu z0{0eKkYh>CYvl&133_)j62|#)MQ|_kfvqGbSW5}42@pSV5L+Pi^A(^^rO0_Bb-6@? z?36f&Et2>hgun{@GDZG4^dgFp`5gdtXP9sRl z1scGkH3)>+!~a8ED|6iZ7HQTW5cI$@aJaCB&KI zDfykRWY;qy=%=h8JL13?gvn?Uctr;NNskPqH`A29FGvdJLy+DnxDn`4{_#OSa~uoC zLvXJ)KYj{I&Nketn-dI?L=4Eka}?9}Sk;m5m}l#+J-$WCL@b#<)cOC1s_%}c`iuXM z>@8%kl$lZX4uu9v8D-s@LR@5%c;}jt(X>frl#44|+2b0?>|ox(t#JP(8->Q% zcV|SG;^4jaJK%G17+tJ-E#C`krOVG)l5<_?_D|u;&VI6b!o2h_2gr)Q(-&R34(~O; zQu4P1)k^!SpYlp0`BX_}#=Zs2PM8_=MDp@`s)J6+BMn^Vj0mOgfK;mzy$;tbuH zrneP=Zm1A>9<)fz2|>DRdTjn0%wmUrI{i;|mmabMuNdB(0ba2ESvfg$C7|8SW+DHmBEX z{%NvTppGj$&Cdg=x~!={olxb{(P(B?j+}q~Jw-T>lLajqNeIO2V58z8k4~!sZhoK}?4bnkhTZB| zvly0tTXmn40g8>fy{5&3R)``83TN`y@GEn$V6_KDV0#SsjSMJoQ&RG@X;U0Hn;ip2 zULXTr7d2__L?^dOlk*&Ff$k4V509OR0|zE9u#tjz7~b3`$1`W~+2&H5E>-Z|_aGXI z+)E&u@*tC+5|Zr2&<+;XNC`mcB&mUypSsyCN`CpNHBxdNlcdR{Tan0DcZ1KpL#j4D zqgJ5$!*-JahVH+b?kG*|363KLZ0`*&N!@HRm2gjx;e5l8KPCGwom9u^{BO?vEiwQE zeg~`#?>P%#`L9)0r8(cQEX0rp^e{NKTUI(!*(b3XPrD%^XX<)pKH3)_)stQ$HIMHt;x|&h}nR zS4ySHl;HZGBL^jSQ$u-UZfcrtq~m#g(Mv|=<`E#T!=H>GNvdKtt~+d2qpY^6^Go2Q z$<9Ebfqr?0lMGqDP}ji?mT5_)8x6d%VO{LhUHGl{F5#IUs<=eNKdeM z-lXeWkK@dN<@YOtv!-<9?KN2*G#!X&)R-MaWandGSVi2pSq5s8$Z<~#rwS^q~aqPXk?Z7A5f$TWq2x{{W* z#sz$)O{-uN0ES$EAz;Vk&nV+#@==pa;QPMQ(=&k{U7(ShnHrngI^ zU^xI=KACnO(4v=5cu875G%gS_3=;#ZVT3-63v3!oXrp^?!&J_&(2~a@3>@9=s=*WQ zUyX|3n~n_#qhK_nLxHnFDnGH{-Gj%0pb7wid^yCnbnARa(oIDu0}1X;fGW5>Q{QYB z@*E=KUV=(R=)=G{?z9eW_I7!DMKjkb*gezPaOCsIi6#1&R$3LG=nAa*c_wh5CW?*( z_h}tyqZpehR%;`6aNy^lv;hYKX@=lf>9!E5X->|QCr?+h;mC&z@)hJYJ^EuI{38fR z(Fb3X<{SX03r-&Nx5!3tSj%`DrJZZRKqmlOrw;?yWG{X+W?f{U_QOg^f@>-jQ8YNG zIsb;4slP>TfCW&33fz)CxI;mV2+hwm)&~b;X|Ph7(Jg`NySwLbx3A{DzbHTsvJRXG zEW)%cI2jhAZ{GxWXMvDD?D$5qDa%!wdJx=50xfXnE}&WZoO82JBm^vbIVuzGV-5em|dxYKo+B|fmDiNK z0^zV;kq(1b%~;s?)(_keLw~|c&blccZ~`?Jiv1KEcn0p%+)q!-!4ecsFD#y6vNOS7 zo?JFurW)ZMuur$|;@RibydFP`QvHKi0xuf;!=B)b?It2UA(Rk@)}B8Y3hLqaRrdAs z^$T0RHY`>wiw8{1+*^j07F;p_Ca6}FYOZ{X~g>&HX5Aw+h7uulUuURc{Sv7P_# z9ikFZDSX+mSFTqPtv$Cp;4{j9exRW*LSGN3W9j0VLxAsluf>4b=AJO$@$yutx_R*`jc!Nx>l%q|;a5hvd2c>YK(KPD-~DBP zJF*|J8CYdLU1U{m_cH+<^7T*H2YD><&d=4@qbcUoP`Wh&Udjj$zFu0$5Bs}BS6uP=edD_Yv=7bR9Oj)L$o4MwKG(^P^OslsATUtq&Dd#o+k+Po@Fuu) zOb-`oy<%G>T-UVmwo_G(b?g1p>X&F!Shobv0W8W9v&yNk4VF_Q(h_$k$vf~mi z`BpN+h(4@(Rb&1^)%vqvuFl&%tcvxcTe)%Y{l~fG*UYfQ$GNxPzMr@wXIo|d`wQCr zR+Z832?$+RzOnH`2DT6Tz5F(ynb`kB*Wzf9A9bq0y!09ujsQ>bIk)pL6=#A34G$rwM%snGHh z-=AX3{CnxHk97*WzxW4L6vBc7D&hxKu*79ohda>zC_gIeW}VB{DfF^+$jz-Bbf(>5 z?7~g?LS6e12fv+=L9;ugJdKQPzTa*GB|qsf+O9897ici5L)I3K%!q#1TH9F*+>uRL zV7K`MtUFIRcxdS)zR+#LZqpu@H>h=IGe*_A^H!m+Yyo%0y`cpg#s#w?H@9)CMGD+X z@fP$%_S;c~c17o4F&$o|f_6xV63_2iQhX?a*d7WkQyEK^x+?Y7eCi0|f@T!={*?`ryi$-I@khv}BjmZ$Ri(|eH1TPDIT)u%C@%7@kSl@l;U z&$8I=_w~yB6A1sZAavd5k?`&zEJUnxa!%LNoY3m4b=~Ac3ywbx^>OJc*E`vOn{(E*43=7VM7DZVu6(=zc6?+npauffJqNLHY z73v7*TshN7;#oiUg`NRB^dY9AuA-A4VVCO{H}sf<$pYWXx3~zaDcQ0qn%ttnb3VHL z(Zn5R>gwZ_-U-`(kRI+nwL360@~%A6ALU+%IUHq)SpJIHu8brWEi1YwVj}ko?Q;Bo z<1LWxt~E!??=w9r%g3R*<*BC_eE&+k|6_-WfJ%A1P>=Ke&@yd#^j_c5C~>wEf|dc#o{Yggqg6F8X|JRVl$skAvE;*pWGu*=a9z_NcyM>3$41j&e{|QEpsNY2n^#}2lUo~GzL?wj(60E|a)_PK>Q_OG zgYRx(XTt<+*xQcZFOt}>_@da#AEaP$q1a5HRSvh6?t$@O@kHC?dURJ;L@Z}`T(7oc z#QTkBEnxUD(4=30e>A~u+jZQ=FPd1hk6h`j#`=8Rk6P*VjrMQYPt<1EGTxvG9$5H^ zF`~uU;~axnT_&F(R@QA357CDe`6UwNmD-EMB^*aqrMCQXkWVijR#QP>)OJ8FXV<+7 z=GvqQR$dyc;MUR&w)?qAv|oF=T2VLLS@%9NyDo>+ zx4$)!y>-;gzcjmKu-E9ftcdO%(CHH*-W?(w={&aEuN@(D>P!ZPhcIr*PI4E!P3D9+ z6EjcZe}@eJjU?zz2JB_c?`Nvwb^eP0A zq@lw?tp6QS&>?p-@!iqlKGx?0spDvJo7BNCaPz^Xc#4~oG%xrcH$97k1-CylIVlvm zY;-bIXf0h;4c*fCCTt6)`Dm*3WR4C?t@G5ou(ev@osq^#+N0mhEN8+OSdm1(z5zWF zzwbH3s&KhG+h0wZ5cNN+O)8#`AMZBB(_*1b#9CLA6|+4hUO7B~DU<=@9Cel{?C3|* z4}&z;N7VQ#gJNFFUaTl9^N2Flo~}U;vmdkUFJ_h^9VI^(8=RcLlkvXa=y5gQ-aZ0s zzaQNK*YSL8y$oNDYTA5&yMpx)@K;0#3t~KZ%1PKz{uSY@C1#cq)!P2GVsss12a?5l zMla_~3p0}j_yulxT>i*@GuyC>xAXzkKr*|V;Svu;wP6Nts=_JXc$C7|4&!f-Q4e2L z;MuVTvEhU<^;0X8FDDbeCL;F(?!3JvYuI$C={ix6`*G?>up)Gz|0A)Hxa89A;u2tF zB{=S_^taNmS2$#u+%Mrj9B?Us5O$^_kt44gjlz{o?_SS+6_Vkpw=Bc`PmS1&^eowIQl__?Nd{YCb@u-B)= z-)2x9rd;io*vcAU#SGuLr#>HwTS@Z`{O^{h!XJir^zWkGUC|KRXwH;hlZ9U31Wh)J z5Ph@Hqp!i&+xdWAhx>c4KSwYPnsD61&97-?h7SMj?w=0QIQ%^?k#C^u zX}Hc?;XDxO-pf1dbR%MUTu~^qlnX=a{8V99%=59+^HeFt>ChB)zd4eVen@r@smon4 zr@r^Vdv3DqeSNRAZsGd*g4Hsoo@&?A1KW4qoR(9lw_Jx(N9fCP?7NG#YIYIdR>}xH zP`#6i?e++3_k=Qk@&1yJkFC#Cb?;{#2|t#BZFNS1tt#!penz|xu`6s(hmc?O+y_`G z(yko0Em-xDPYa$UKZP@EJmm(qs~WmDd&Bp1{=*7vo1{KomZgx;OWE_?ot72P;j?6xP;5RKiF-1Kn=i*0E zUZP_3^9n|vaXCShBrfoEM0>3___Hj9>r<=5fZhg7tcr7+jvJ{#C9$0K6eM4Y>UQ^l zSH7*&)U<51lWX&}GwIvj(R5hWiCY9xQL~AD$|VM`efqj&YXF@0?ct)=&gz;XZeSf&$_%ympo^PO`>R$5fmQEThw=8Ii#@q<4F^x($lh5U z*t}W0v)OC4>s{gLlXWM?dFH7rTdyHxQ?prcSxbyyL%T{b_|;FX*Sw|w6@R(f$Y|!AF|**ZskA-YsbM!Hl4~T_FK*v6 zRuFHdW>LBIBXXmqKiOvMd4H7^Zts`*+nLm^ZobyCyW>Oh+%jCfE*``4oNrGZhKvG(id%`nUzVqaPnGn17VIoPoqNr-h{h@d<^a}$bj zzbtfHK5MtOz)owQb;R&@lY@wTSnU^wr!{`@?l*)Exn|ye?`+TuqAoX~kI*`DYms>^9Lkt@5$!}J{ySXwoc*VRjo@FfcOARGte#s*>w;kzd$RJCY?dR~>W z=`1(b`)pbJ6(q5yRrRYMBAFBIAaB2m+`*ScytQ?yL7m8=Dm}$H08M7+o*5{$v%h2( zVa?01q5hbj3pw~a;xhg%_0Ci4tq;M5Bo{9VUGAaX_uKSYjMItch4^<<^GRQ5vl4v; z{yV#3t|cQ-m^Y=~aTz5$>)yH6WgL?@v$#Gc*~?n@acwN(jMkUr+4)=dErhjnU4I$v zngqUUzCUkCFf6(dzTixBib{3}K;RTE6k#h(~+>!-zo5iRXm# zHiY>j-}>*Ws_*H!GE6XZT@8hKi21&kPdb6>^wbFl$9!1;4Mqm5;nIQcAJ zIWMNWQCzE1-8=M=&ohDwk*>ShNvlpBiPJ(f`DA<~q^fWPCit-ARN-Rt)s5KGQD;X*FVjsou)>%K|t{EQ;G*kJj$}4vlbHw8D1MmeuUbw896^&nS0l zuFYK-B&yhBv?6gg>f?sxdg02+fy*00`ei|s@(x*-1$?tx+)|uN({9y91#wSgU@9`3 zJ5%!6(+3mR?#%_E=D128P8j{E2t_64zTWqF4*G_qWjK6@yV(VXHLZ0B^!Y<@vfz}WO;A0k8jmQ?d#nNa$ zhUZ+(vn4c^GH0V=5}WQ>S3*fVj@m4x9-^g&f#>sJTl(H7*4M4M7kOS8SSMaZIU1Xa zYRN4+DjRqwb+tPsM?_fq5_N1;W@S2pZI+lT11GgUn6rMf2;rC)WH z3X!a>UXCU7G4n(Wa&?@9V#dQnt({+LAqQm}HR678MXWR_pfJWl`b*+38mr@eT|li+ z4%wY85%uLagl}Eh5V^k%XBvW^O}y_XhGr29;>yvyz*2bORWy4I7YABSEJ&a*ILwc? zW07wB#Z~LfmrtMJ##3JS@pVX8kF%iqjYIYRgx+X%jsGRq;_=-V1>uc#unP&Wal;LM z{mKX8mY!#Y&-j};ISLwWNLi{IFDWB%-BnbJI_8b&YEA3ym&mBT=GO3RU6{xz%K(N|W~!I$)fS!W#7jwR zEh~d2fr%n9rwY>}x9N}t?A11^v+hU{ID3s<lh>nV4n;|Zu2642{gN*-2)3njT6rJi&oAaMv15= z48CpIXV&u;%DG;3(o>!4Ib*6_=K}qsz)4Z#F?4kb`FSv9*NvgCSsOA)`u1w%H|jN- ze^r<2xu)eX2l=V%pV;7M$nDEIfu!@cXLCS-52mu|^+5cV$(*YORO%32*~k4g%gafd zvA8SaiQ8hxi~v-z^h6?^_YwPjBi1R|=OR^h;5NfgE z(DUZHpG>r<)nz|B4EJ+iKf0VW7Twnx!t8mAs^e{p-MCm__8H^i)`g9kkXVr_?wrM7 zPEQM}jzK8KZptyR0r1)v7-kOvPdMlLQvuJrR2>{KcC(f1^;eR>dVQsB|Fqr)i@w#a zU9BJcSu;`pRI{nWIFTTh!o?Tc^2lG>5psX8)tC6=WuO2h3I#P9%#b9R=*X#|G zwBnU7w*~X+ZKyOq-sPyxWIx+07P_{tSep;ApLKxw)vRY3CLM(J9qPnaDkFdKx7=No zU!O2cdKx(>*dENUx52GndEi!C$9{HF)W5fPN;^Sh7Zgguwi@!6S;WfT-P&%zI^&P; zovs5czQX;4Z4Klv&j^nL*1#Gu<9ef|9#X`%7Lt<=<)J;XNoTIWR=wRY<(>$MP}7vA zllUk0>CE4wtM>y}#f+Qu{}4%GmAXhywU!l<1CB<-IIM+_2FCwPgqj1cw#KS*lFl5) z9!2fXkD&%?9XvuX8^Fuxf+NQhx+##Hfi2TW4m=f=UTrFt2EoBbl|zT6RaIf6Lp~5; z5o3VkpNYggQ_g6_P(XTY>~QPp);S8jr1LRYMqvy)9a0h<#fvi(q5GiE<3WW^y~K8w zX$CFEi)WzHQ?kagB4pU8eu^>~#NPJ_6ZsB_uo%TUriY2dPz<4|@Jv*CH`t;i&XtZ_ zVryaZN-^idvry@M4O6yl!kh#%L4?WX2W&G;#8{<6px(C>O2(>C=_S#9M;Lv<%fK{| zBE}Kcx6j}bMCeXQHUBj}hq%T@^_+6u#FGnQ23n`w3c{D^ks?3OFa>>qzXsw=Y|%Q( zXgfW&vxe3NA_yxW#MOMW;IxV?9TGTT{096_15)Hd8y_EhABdyaqm2DZp967Pw&-hH zP-!M;Mx&!{;Ce*pUfrfM$dAGefL3@+r;Jh?kwz~`ppEYuJUCp$*i?+^bK3pape4XI zX{y}rPpg-t24^lsj{^vMI)kEjxWB+{qZUysX^bwg&g=Fka+wt=vTn$OtY{La(@WZX zrpD(W;mmA_>LQ%5u!HSh*xY> z9n>)fl^!@bQMy;x(NW+s7&L6yqP(@ykM!Bja*MLeKY{N@h=4tYZ}hm~VZbx9)g;cK zmt-5GHn14I%!w4K;&l7|ufYZXqk1A;8luwkzA(e~ms-q33~BR#6sSBlv!#h_MisPvbthVQ;{rpU@=Eq?e=> zqxME1dYK0)62$3d{QS7V1$R-WNWVy>x1lh@=5q?;3<0ZNs2p*OGidllh!P$k{sUHN zV+?vfHgU)pga)PUzhPn$T4UeePT zwL6({%K}J|T@Y*RaRbDvA)KxOtb$PeMKJ-umJcfDJZM13UjY%K%V!W9Y*b)lGQh{7 z#|_v%J%cfOm699pMVS2LoAOxok|-n8e$_GIb*c0ic!v78j~jf=Y&+fvN_Hx#XAf8+ zYj7nk{%k-p7I#{%4MT3dzzNAU5y>r6r*!M_dQSXhDm}I%4c_DDJl7_45pkNGN{?qo zVebioAGFkl9`oT1!IZeMly&L(3;32dLI{x0w&*^tr^g!u1{(^lf_X`Y6v?6UEcI-2 zI;F>U`MKIsJs18O;G(Uuo(LJB(JaP<^=zLbbK}$m8aVJb0GHIl_s%i>xd`3HBs>7u<5+*81P!007aX@QF(H~HOo65S=i zJR-73Z5EdDUIEjM&da*tG~OJ*$CO5G|~afA)<_K6Qw-<%v~P9AF$;K`a5m{_)}Ib^U>Nk z8Zj`P1>N{4@h||iBX+}Rsnv-`kL_2ji0SnuEatcgH!*|Rybu8!CR?5q(b0$8v}ezk zVS%NSn-isZvS<@SHY#-zT|c)xybKUuK{DSn+6p{$W84*i-QuRSo<c`DUf> z7ZAndrt*yMcP~U4xv4!=PKOx*X{i#1Kl$a3z(9byK{cb)QG@d*1Ms5ePa$f^M3(0A z4thjAxydb{kbC_k| z;4Y1u1`XaH1bq;`)^6nBxJgzhVas>!f$=AT<|;bx5jvi16QTou092YvZYIa{s}Rsd zPlWiEDOnl6i7jo1%MM;y11(F%fpS#@aGz}j%OxjYFQ z)k{ehxZd`cO^1<8RY>i>%%Z8o!uE@_oJsq}!lK$^_})I%0voijWRk>0&H4HJ&4@Dw zFK@r}=~0&gf6~I)$`l)$;?r~A@PhL>se<>C1(GQ~wVRGHLyPMZA>$!~PA_Ua5?5yx zX!F%7hV2)#E7FCA+X;~0QK0P`!Ipy?YwPRlyAIg~iShs1>r#JrB&XYviQr|nKsvB8 zx^jS6TZhPEve7~kyCYMx;N>G3DI}A75J*;EmwMAoy7oCGn_Y+eA|)9lrXIXF=Ipj} zJ{h~yoJcPWUfHDUM}bRkgg+gk{xP9XYYoWwgW7*RPwzhsU)MI9+rf*_a6N~#_u-8& z??1?Fh%;2lqOF(t7za8NEp%(QYMSiGX78uIk6*rq`tFcl2d||LzJICB7XGKE&!Szu zv57rKbhw&hWX*L*M|OC9m;3h4Ez5_xMo-DFqj-TQpMDc!$T9aeyw*>|eA&A-bBykp zwrb1OMp;vf2J&L_Vtu!)@iVuLg2?tPgj#2$lWgB&y-*#5JnX#VGx zwY%=Uf3`kxhMu(??&Ut#(!CHZ6u-Os&z5supV-$Yp)LPRbx0i)pu(~hk8MGa9*g$H zO`o>oP)dw*jGW^S3jV>zneVXQV=f#k+uDI7O)K?hbkU1=`gA&c4mI`X}wfa2TWf zJ@NcM5455~yEYU%kKKRV>#6H{#fX;!r4sz&0&m`ntEn2|!#5PVyEXco5z4ae7y5t9 zrEAr+l$UO&V2WQnBA6;Ik00iR?|1n!xu%;osr9RW`~HizB(1n~G|y(~a=&6dH2U`% zXUfELS`)qd+4By+o+ygUiYhAjz2v>~S5omCj!E$o?ulagH)U+xu&P)rtLp4U=mJM` zypwrHj?=Yy&+hWC2|{e}2fPIesa>*lthf?m?XB<0uqb<@UNd*m$F#eotE%F3szpV; zVxgP73Ssc5Bg26ZSe93OfqTHtNv`X8YMi%aO2t-eO59?m$IxJKzpZ&gR#o{Cy)+1sVMJz4{pC?+fq9a^ew1rGkxyu0sGwGL1kB-oMYFV+-i!f zokU8J-FAxmcwWkIb%=}osty*hT0gn;=R$k!&soJyr(Wv0qAZ8h%)06nJE$&8X_dI* zIX}YR@w?DZgU0T+wRSi*7YqtvuJ(RhsIkQwIKa z51~es;g7d@a6Mta=N%tZE~|aSZ{yT_ffz%ti~GuvjPvGz%HN91V%|y|J}A7QGhvx| zA2IaiA!c+SrAWswvgkrlOm~)lb&Hv1+(RB zQqJtOT*2&YRuS*CS{6^}DmR%EK${SHzIM-r-uEGNmvs}|%Vp<`FoOr1?i0vYm@VWW zW*J$88E?LbIc$cDi`{#0W9U5${1M*SiyymQwT8{#r$=b`f}hRr{kV!z3uH_#8(=uwpCxe(D75f59co28p#Tlt!#v9WD( zQ5IouV=|cv8DxZl8QxJ}Z}*6{2!Gh76K)ZGrBoHB41;S4zhjo+@;p&UFT>kFZOLdU z9!h~yLHQo|SqoZ^>=;_x;n?YEJHy^4u0{`rzrEh|Y%tp51`~u-er92e3R8}-FwHpO zMpgI*N8`p+m=?%6L}hs{H9|Sk;`&n-H=53CbIsmxDp$(jA@HKOEZRj{H!EK$)QKU?pV#OkG|Lsw zaOP(f^7ia1Y(t*|DOKo(N&^FMFze8DakMD9rLlzVyzY8=SbW%)wAUHLd6egKUbGil=V|1b)LA&SZ9b}t z9vzxmi4||Ac~Bf_g4{A&R5XiXz6SmcW4^H+VG_xFRW;lsoY~ZYHJye3ojr9r1wS84 zM0Z3y9fWUoYKn^X#5!ROBYT_X8VwCw;XZK3W>5Gg?BmA-^e)c#fB@Xw87pISl z_+yHDiC+K7js6IG{h<;T2jjRdM6JoElKaaVcLCjTt|Us3G2L?GJnlYj;MJ&Ndy~_f zfvmff>GofE5mE@t&p*(!aK-ESFp0*wH+u~J&>4jP{9E*E*yc6)2-)y%S&rs+*wb&0 z*!?deLQ_@Hw_!%_KGWM!*jO6|uie3YxHuY~-;^Nzam$WgGw;RFwlD6d(Vx+53Y(`9 z#fPbA$3)3znbbDkmxY!PIIo8ijXhz44j9!XTikzN3C)&Grsr#TFns>{Bh2VcSm%$4 z6Og8`zj+k{S{ePivlY(W_%7LorG^djVGM!0g1-G@oZf~}vuI2M*NVQ~`ABxS$y7eS zqLvEtwHExKC*1kn@OCio(u<91Rk`R)JNxZ6E_B%s&nVzq-IQOoXsi#qeUYLgu5{pPL{u9eGI zXOhy!rc_|b5_gX4B+eb}$K{8aWT`=Y=pkytk}Yj1YB>C_v<9JTV98cbPy4GbeTfZd zS_!keyM7&4hjx=*i71M;v%Bg%6M|lpp1)Bm?C+lIg{Fn6-dUgzX7dlpErda=O&(u- z#h2i?__y{*ZOWS5|3c8r=yVz9W}(P&%lTlOBicrW(4^A5QebaUEvXxbj7d zns|1p=SMZstZC&l%8jJ7wDMyg*4sE_H9;AC+TWAQZl3_#wi>E{g!~=eilVt;td{d9 zg4v0e04Ev7YW1@M;w9dM-b%nq8ddI8aS^4LUVh<4o1ojjJf+7o1(&<(;!fjcEo_=F z%_^4Kd>CfpgTZz5FwE>u$O)px()<@IEC!qPjkw8Y;8SdZMDe9v)C{^3 z*86Y^xg1fK@|F=#9W1(d9*0LKmnB5ioeY**w8wei3hyRkCnBM`S6i}6+PFE?I9eNR zGWey5*o?81p$QhobT(V~aHY=wu=;Vn(}-Gvds%FC6Buo5dg~>m2Odb_Efh%*!8F;le|GI(rmEy?73oZnMsp3xY%rghPBf zOczTWRud*NF3s`@H-$!qm^&7BT;s=uE&Z2gJld>NxGvMxq|+_L#0YbEP#N6{%XU@_ z{?7|%HPR*IWSp>jF@67BW<<#A?!#Adl=~X#b!k1&vykL&dy{_X3)#)ks|9BZ}I`%hl`IfpVoDQFOM&fi6!X~(-6jX91c2` zs5nout(+C8g4`{xIo0Nee!Ealfh8dZt26XKES4RgsX?zI2cpi~QU#IXYme%H zt8*&GjiB>YCzu=CQ7X&F1RPN*(PQK}a<)-cV+Ph#nAcK)H5*kN4X{>2!%CLNz{&2j zFym%HWEf91PS7)Dw!yAJy7ouokSO!3{tr;@3U`Yr)E+^gw>nkROcya=#i9xc{Er*$^b_kS`IGf&Zo1 z9$ZKU`Ji8SZ59 z^kxToAJeA5m%sKVAik1qUogoE_Yz$CllL1K6&#LGlmu#}Ci!HwoJaCJli7lke@_8l zC6rq`L2Q{gUts`pJFT8-)(79wEe$FX(k$Y2wg7J4PVWY(^fxRERnSSyoLFK2dd|w& zNwVI^(g~pFlje?G-^Ptp4FWGSlRH!2wUL&_#;QPAa^D5%W)J;CSCm0VC^e1%imOIp z+XrB9|Mm!PTE5lo2D}Qs;nPzOvX$sj&j$Q&?T&7S02Q&x4-oDC%b3guaU* z-(>;X$(RC0ENSVvteRLqAm+6GBwKU4a0;luzBdNOXsJUs&;j`0Y4em7^kPqIlC^Um zo&?%vZXI{ifRmqP6T_z=;@@|UfM++dK}POHbPSu#LJ;xAJ6({6VE@i>0G&1Xg&u@T zz|3@+%tFKrO9@atHPwTcfreE@H7zi^X|t{llAh#&~(~-(p zD~ENONlOOHex@^!zd>;3>z(Y7Pjya0N6x}-C8^P*5hJ|Y98g;)rUmU}kK^(0?-idJ3Unox2kKZM$t@;m<)m9D+0c)kB z-TA+a-^98D@_JsMtt$l5S+^Si27Vu|6AXSz-d*=Q2_lbp;>IMXPk)DGC$ioua{H5d z@N&DIDOg2_ujO8wn0^m=#Oiz3Gd6N3`w+$GtoOYRrn}4sN^}28GAnG%lek$d3kLo0 zi%ck|x8a=prKUC0dhlf2S&mum)+D|5ceb42(cG}*kPCATyp2n5Zw*DKjbKIejq z!TwTwqt_9Bpk3^1_EfcnWIK|T@ksQDknksRHEJhYW|Cu)IjBKq*bVH0K3u`mihw8m zx0(l_{HRL_AosTRKyg=nsk4Wk0kmtLJ$V3o@QDv=#7=hSf;QP8U)_GAnwjm^M1~2_ za3#(m7)G#iHQVhVHG?aM3;?@g@39IPl0tlR@VN(`)fypAAO@=vGcc(M-g~xSTeJ<= z>FQ0=CM<04g6vfphG4*;4Wm6z18tMNHuw&d?KT#`0(#whGcaH$jabJA1m{A`zzmbC zTy+N4DwaB{IA@^ZD-8$$ys`JN@tb|IMFaWJP%$4}2t05bUwr~tqg$FMfimW>9#l@T zfo@MpQUkt19wrB(TD;R}OSi5&TnYmliO(*zn%(zdU+|?w9$=>}c56}xV180NV7snb zq0aCTg@*hV9mvC#b!Y&PpZs<1A%l!(t8<(hE+j1j@vUV@=!Ih2);x&=AW|Qyvl;** ze;+x|6eq8NR18Cn>p+m1uQ8i`U03ySBYBBs=6x&*Kop~S z2PT5YPUAx$7|-{8m;tc7r$Wd*-fv{*eum#Cclf{W^36aVOYbc~&xGd=Jz%YeTY}`O zK4OEMV@Orc!-YT+Jbt|I1jV1O^^Fd|_tSW>M1!8jH(AhAq;YNn`@d?)+B^X1=Ie51 z9AWjCn=&FRDDJrOZ2s&PGG_wFLE-8p1}i?Q0meqa=hI9bAZH#&No7D#)0=M6;QQg? zX_g>LAbG{0IC>gdDad&Q1QU3htAu8tl|MOlV7Az3m9sES`n0pyF=I(%uX3K2fZFYT-$G*RtUxmdM!ki<;27n?FIq%nG|?+S==L?N?gCsp%NO0o74!Bi;EtrzjQ zGkS4!7V>CSunVs3%)BVj05TOY`nab)NpUh$<6n30@qu(p{@9P{9Se{U#puobq_VNh z3zWyQL^6{!2Hh1~D+IMqNSJ!Tr2cVS{a?4xXvzw>XBi(z9?eaowfdy8;Y`(6*@OBA zy><#nb$;Mi_xB-?I6I}U3@;Q1?bQC4sr4#Y9srNNOjF#+u6gijj>$RKM)jHx4ap}t zejuZXG?x3(w*(|9_Ez;U$bZ1D7|5Sgb<=II7(Ta(p_gg>f>ld6K-U3;dWm7~(*Fg#InOh*JE zfkHBiw(XcTeas4W$sn^-UJR0v#Acs>y5GjB&0Pg4H)BB`0vuO zxY@hyV4&o0NYa8{=PVTEHBpr;{+DcFkiHAUmhD|~!QsI<Es&I!QNe4SDT%3~ty(<(Q~XVvt-?N0S+f3xP#pm>*4Tk5#M zFx7P&D{f274ggW2X&adtqLvyY0GQ$bn2F-hKd#9!cR9OD8%*n!S*c@Hv%IMR_OeOA z6y$BAo$P%CgOBEnPmNhVpdJzG$#Nhf*_>m&uV@Vq^i~YnE`g_h!C3gLt7Y-+aDd19rC8h_@P-UV7;Ms z;uB&6yx~Wc&1(&fCN^MAr_@|_=Q-kT$X9bCl3Nv%EW{9ow}Rgtqtsa&kP3pCF8vwJ1{Gdr+u=)aYk>#7JPDq zL|rr&sc<0gqPXn_#-u!J7#yysm*>33ftrivsum#nrUWPf?a^L5I8K8f0fy9k0P~Mp z1323;ZV-G{uk1MV1sySwMKgPc$*Jo+2!;V-h|Z=ONR7wlb`u~H4wA`4M+zTMeaH#M zZ$@O8iW3B~#wulfG>CccJj|3l6zFH_f?ljNho=v~+yUQKpr%Te1s}<-JaYia^OPs; ztISL=+^mblzE#`<=WDX8y_0eZrF@!MjjRmG)PQs=#QpRZng{NEom0h}tJn&_Y^h?jD`o>Qv#J=y zip2oTqAJm2p>A>{xS^EQT==L*=OBLBOmHVt@Y%U*2RCP&H#+tF*S1qXLpvdReXAeU z#aksNoAXCICU^8)q379(w^Qd`o?l4qwdNSO_CH*`1yoy4&^O$c0xjC&T3XyGUcAL! z6QH;hcP~LwN`c}OmjcBhA-D#Ddy5m?DHdFUL%;l==ljn4p6{GJzq>n`y>oYG@0{F~ z85_I(>ay~fB@uF4=h`w)YB$DtKy}Qp2#^gpQygBV2vxOnZY)!!ZgJ*JU3B?V_1CM9 zAdLu#%?Q>W1`O*C>ksP;1Bdm74S2M90Q6|0sEnv+S#Sz zD)s91CO$PNG9(@__wNg9O(b*@zy&OUj%a6>iL3cEpy-gz5Fi8zIRk7%r%QRYO-td* z_4+;;C@Z8mk_Dm$OoLWvo0h>OR!A|# z7GeVQ0^$SJfLy>FNIfJL)2eI;0ul--fFwa`In9xnV?0O=q$yGksfScU8Y7jE21qU7 zFH|MXFPc)CLYi`#d>RN%F--+c9!(id5e4YKpZ z^?XuM&PXwc7Z3`70=LUX3}8B@zu?+FKOABINB3pC2Bvv%U7r+`BT^XR1e__WFjxZr zg6sGsp=^;n5My9tnXAE49$e2S4dsfIf&>8ffR|;522#I3+UNw7C6Wn32vGvYmx<^W zH(sdo0ViXI`@E-f9P(Fur=WfF99c=mr7`9)*NcIc&cs8eFu0~q0cKcbLjnNArLXi| z08(X*Xe4wSzy}d2Yk**egf93%|3K$J?_g#D2bJ<*cA0_-Kx#qE%R0)|A&ii9NZqoC ze5ER6sFbsm6AFPkLQtisG8#zcj!QS1(*UW9G(u`3by6x(#i%k=0q594-wx6O`4-8J ze24sm6hb;9C6Tg7Mubbg8GVVkS-nZUtWP+mv-~0WfIUFkF4zUPJ%{4;*psKCP*=Sr zm{BE2x&8zC8_EH5w3!Vxda8rX0LF(}MVY2Gb7n7Vlo&Z9C25=bN&1}cdoF5d)ikMMeA-EysNJl%UE}ZccijD@-o4iF_3EVa22wOe=c>pIh!;k^ zP5{cX{}soHI3Pp7^?gEB|3}XMC9)2$Iuz=jX@>X(`dF`k&Qx7^UF`BAz!+VDVU=jY zgELt%K&5pY&}z!P*W|>qhaPf2r=cz$4ZHv!~8AOH!# zozd_!ZEb+GL4K&VFjknpoT>QkWV1d`?r{*+WvU2^a?1OU&n31A%qxGl+E_&FQ2**A6nxal=N^gj zOqpg6Mxaan&IQvqfs(HjP2`b- z&5Pu?FcO{o*Nt+TQu|TgWA?_P_Qq6u04kUk<)x^|a~>qi`vX}J8h(1R(TQXE%wX>f zl6l_eFeTXqmOQkwy%dR2{I}_D6s@|w4vA6nw`r#TLuJaV<;^SLfC#-58OJY>; zZJPbRtUrlSPNA);u9A_iQYO+&!!b6|sGx9~y=;>Ee^W-0Ov!<>FtXhB1(deOQNq~8 z4tn5R@2Vd#|7vk^egv$a+xzM#bfq*Ha4#$7V&&&f>a^WV0DKNCx6v z?F*YU^O=Vpu5wQjZhO1Eb1^Il=yvE9U!u^Yo!*u7f%E(X$LW^9vYb=?2o4sJ{F53) zh+JEGHfjX_Ty#syMIm8>3+ktNf4f=zLxZ`SwCc6NSB402y-Ix+gRH+be?5~H(*qZo z(Hpv_u)msFflB79Huso~)YdKhQkz0BLEnr|_n)vxd4v_$EgtZ-)o`CldG&qjTz&K? zA{76Twi?#c=VXtbJ$v>jGmtH9r52Amt$!Yy5-cS$xAaFu1C&OgtfGeRGMSAqwpW>` z)5tPY0W}sbd`b%6LfKAYsVM*e0Le~#1$is2H{1WSBK4>nmzP~ov*cr?_ zyjZla(2jh91EJfInR@>Zn6HY39HKOop3kNqpxL<-aTWUOg}`b)l$FDkKQb$Bs$sHxtCfrzl^NaOUBydwqGR@D0jkDU%k=$@zw2SrdPX&eTr5;E5RjTQE{NZp*yEzjr5-L#m8JKdhPGU1RAd+_pQD zykf1(alSqHvv16_QkSEvqY2pAl*ZNcQMtkRPzTzzeOAq7=JcXbG z#~(V%)F+(~*!$~;+dk#Z4W5$z^bMwMU;R>Y%1GkAXX0!E*SO@*f-tzpUvy!qkMnG{ zOH5d)u^2WMr_s!@J?Sg<%6GoV2DCA6U*WS+mSKERIRMKQa1Y#-1U+1n=DKBRjT-1j zp7|VJ7trfz!lJd8EyB7N=$G3pG`Z6x5M^%mzFQD2iLSSsulGI&=}%Rz1X?J>p*H@q#7R3g&;ZMWQayu5mJU7L zw&Bhduy(3>%LnyK5IF+ZQET|`CGhv*w(ezcD)(+yjURR$m=wCr+xUt$%eos@0-aXc zs*p@C5RX6f^fnV+DPJ&Y|2%hn655<`<&JKh?c7Rn`7l(wvMELblg_1E*#GM{VlMV@ zr%=mipcuVPta`Bevt3BI$*wmK3lSrM@@yQLtMVCzvi?xLmc{`o|&-uwt{$@;ovP@Nc7Y|CUc9~B4XM15|njm zBHiG?itKOe=?p42yJ$vxejq$LRx;*7*%6Ounqc3kz&B0Il#bYZ-m-lzuD*Tc>F=0{ zbD5AQ?B3>gpR7mz`w~WFBW`$zvdBD>q(@c0t4{(KQ;P5Z@E-AzN5xy4$=r!!UyG~r z1vqNKj798_dBS>{rmg5l?ISrjm*Tab!BwI`HLwBFktY* za$JkG)ZUtybd&$#JiNG^WzR|!X;;xqFL$4yw+>Ru3iST*Y4d5Ix5u}WRlyyrQd^bb z9P9^7P`G*@OAAKRsa|WnWSztE9Vgz7iuAE2|H;u z`p%`-b;1Gmqfw+;`Zb==B~MbHmek2p5f+RmuUS&NQM;vaLRSeBfZ*HchJewVzhz)+ zWL)rU5X|QY^HG&0{#SiblWZaS)P@2cD9F-AXkw>6n&3K`FeCBtutu%6MfvaMyM7FaX*w7m=%3_yyIgKA^?o}7YSCJisSgK94ED>6{To^=PSGBD z-uSa%;#*){%Ed}+aMW4c*sOQAEgb$`CSq?Pz*m@7!(iD2^+JqS)9wgnA%(QVz)jSm(ax{V_42ZNr}_OIjPH; z)6N+q+PG!#nM;56@7OBAqxFLnx}S}n-Wn4*ihJ==2GOg{nIbJC0dywzfT3$&UbpjC zS`NZ*Y2AKqC=kBY@;npOSMFD`?YvuRCicDm;%(AeNs(Tuau*FTjs?@~iWA9Hg!mgv zRhFQkyYCt$fOe)8BZ9@lB0FAFHG;vdE=M+E+hS$bqq*WT_7WEAdrrA+PJ7GbLEZI} zb{YtQ>3}aQN&^d;=gm7#gfkz#+Xm-*snd3DdlwC@S4+pM;xBG#d*4Y*I8FL5N&awz-V5b^>ePuMp4ht^Dq<&d%Dd zq#NvfXJ%(pyNBynS$LEs)nc)TNH*h>!rN%#Pa82vBs{-&9|fy79kPOQjlV=p?-h1PK(NH}ej>zLuZ-wFsHVwtASrt&NG_!~5#rw$+P`G9bYoCI_!v%;8RF59D&O z`-qL9^PS?!$*9qd{qn?k8Lg3bvlGVc0dtGzof;9S>oag+@=QP-eGZ$3WIztyJ+$QL z)z5=ggZ;Sd{cUmB`u%dpHJ8Kn#eOFi7jZ+5bXT9X7xj4~n`g|?`?@hDpE_?D7g51h z`kMpbxfqjy-R@_VqkpT?kd{rH>9j^Z9lL{4FVw+Qz76FkBD9NGeH>aeK!2mWLULOA zJlOWs!y9~QQ{dF)(itwrg%7BVo;`Cp7*A^qdg)RUWfd?6vhOOhym^4L4*D#(+6Z6! zme{xH2||rx=Q8&W{vKKGK?sZkRsc#ZX;UA6qfAWq&8$W^rWu_6Q44Pc>g2wCFa>zj z{1`2$EF8r@(t>hQOk85yvwdFq1>4MnY6joz`#jh<~Uo8-q=)`|pd zH7j`ut_6i6v{37`Sba@YZuwD>Z)PxR8I`Gpy;N7W^PFg;XMm_93aAvHw# zq$DGilnLv8={{_0t@Fo2$l~-5*?-4l_K3?W|JM@YMv;s-;wv)dED(_~Aq`usVfHP$ zL9j2w;Ke4&ua1Zu#iauafu!iA*uz7f+_i@A0?yD|5xBc$BWn#0vb?$UrSi1|LcrJ* z?S7j@hZ+l1f8H!;nr^2z-V2R=r zJ;j^durn!BsX5sOrv%0CLh8?i6bO|tCvBFxuLBLtNRIGPSao{QKJji!b8O7PZ>A6sH3INXUSQ-u3sMI>nz86L@dJ zTi)1Oq?ep4zB;t~QR^_RV>FB<1`cLT8zrWkbkh1zamG4?6c!Ti{FwS9H@llfK+<=> zOMC^nu$Si5ReJ~7-xu-~nORCX*!^+(*-ByF@y%o)F>LRZ*w*J)R>d(C;b+afC1N

&>M^nL)4msLZELKpG>7pL&+2wz)j|qxw)@{a!PWGcuJgF9>4@J98mEP z!Wbk}lpeSDT~R&kb~S=&>X#qCM&uKo((2c*geAV4$&Z(-5)3O^Gdj^q(6)#)Iv+YZ zElqUgSX`moyqrJ1rYzAqcGc>!adG8qLXQEP54#|Pz2(IUxDvHNrY+%TbqBHfcx>>7 z4{dZI%MJ@on(pLU+ur$bZ}135Chz)rQ7=H)MKxY+5_8$vcQk2fKsd=k1E(5N%pw@- z8$}OyjTUNPURW?XirQc-jm6pOJTBGSGAntdJu@T!55CWAfqHgHChjNC@NAVlJ6}>v zPR%v7IW0{$)SvPvi)7Q+>J>tLe$S^a(RHZwG3DP0obtCM1gIy2&*a4z^hWxxu3t;w zeC5!PFj|=Q6?V1Hm)$LVX^C+W;q)^~i_Y7{Vc&UauB$*C-cavX6JRpaQ~*m7c{F2W z?d7GWgj*R)p4n8&8fU+5mD$w9%9|Id=D4m4Q1mqUo!VF2kV-y3TPl)jZ*4t4+aw~A z7pviDtv4j)?7BY-E}UkI*4sS1$w5h8K_kY}z2p@@>&A}51Aql*{s3HbUWL!KCAW<|dQzUbuoq8h^hOY(Y8eH_!_ z^DBl&;!$A%X6yOyn`xMFlrnv+(?ZxVnh5(uH~TB2lc=b#F!;dGAkenkeQ_MA%3x?B zX%S^N<4&~8q#p6jCzd{&3{qQ!2Ez`?=liC0JaSwwH%&g z0m@P@_c>rcn0|s1@KHPSNac)T@&Y58B3?HL9lil~YX2Uxd2ZK}4p15B(EPkhlg>rt z5+_N=;r}*=Lk6;)*f2^y670ZNKFYOzUKgH5GfR6o2r)27E+Iems8??gtD)P8tdW(0W zwIoA|=cVu1l(ItxF-%$`l9QQ;Oqz^@ro#hc<`D$p)9VyiFY? z60*a^5x>E2RxOBH?#f$R>#~27OV2+BwRysT8!6dY(mP?$2PC!GmlR7plWG2R2cBbG z<#d*h;jqM_MIy=j09e>HOiWm8ga9qK8+AV=!5NxK$Cm=dv;&6+45-dN^@79{k?6KP z&bc|A+gWWksnSZJE$v>nWw@`Bu^J*|3!0WVIRX7?_XnTaEB3mM2f&6EK!{I6`L6zK3Y+CvQnV#j`#Avn=lrgHPZ{jU1-Ug62_X-al+*-l*(KEFA zE0QRHl=iR3@Z4dy7^rFWkK->Mal^ogts5zQ;SGC2p@97J6h)&;A<|e1@GSo4F~dz8 zwkOd$Ly;cfi2b1_3Z`p3EUc=LyQ-1#T-b(2twlWw93G||-cKXX32a+%yh2T}Z&&tj=29Ia z>Z4d#JY9E!5T>tTs(HHW0e08^Iq~T`Cw0DSc&P}Mj$^dGU!yLL$M4tk0#>Tw=~-`z zhhG>7^ehp0xP*U8e6wb&Y%j>1LZBilrri;4DAWxxmMi^`gq%mV0y(;nq~oYaOt{sG&)@YW`HKo7n^hwn zQb?jXql%J`fJ5#qB$Hs(Z}&v&gR4Vtic{p&f5`p8AXkK;i9aj*W`DAPNmx%EUL*%z zWHVl*138Hv8HpD;$s{LpD$TZR$>`yBvaZQoPhCx9Q3^qYvX#+~9-W7$YmG zw(m-QuAHZm_@$7}aI(Fkzic6NNjYLKYMPI9swcG*q|wKtuLXbYyG&WENLV*|(@XxQ z*Yr(qy=)ZMz3L)R!vvbyl%LszL49El1z`^ZVGo$&x@tR#A2!Jh9q#~Kbh=V16!_c1NA3)WkD0xhkgdwDcXNi+&5Fk zhPd(uxYjV3Vj%m&pbLXsmER`*F`KZk)Tyen-)nV|YshkC778e{m<9H~f1V=__4*6b56tynm5Y6_32`*g6efAh^|C6QvMO*{700kdU2VMzZC#_h z!u$_z0-Q9F3~my7vie>pjdfV!$Wx62aH@db0_ub_*a~i zuO@Gb!1c1KT(YVyvZ_vD$@<@teZ!Kc@i?**aAY{qq^iLN3J$QSiKwWFil_-A@*;Bb zB2)6BdQMd?PSqApRVQHbi2Avw){&M!sb&jE)d`)f11gB&%8AjJq_&wIYO)%%?@iP( zD-@_;7ckQKM5gn}MCVgo{s4RaKvVvJy}}P2u=sTh^lOYhHnjpawE;FY3{M~mPoM%% zz=-@48Tls@@=tY~1MC}`eJ&2Rnlnv%Qx%~@n^HKLjO1OD-*A@B;Z~9eT%L_kM{1q2 zn9??a{H-^-ukuGplPVWTg^qN7e^;^TIk)LSN_uc;ndC-rGXA7Ry_`X4@i4tf`7xIE z0}ME0E|OFU%1NH`8vh-vz{AKw{$qmjhyGb%k)%UVPD~~n)8;r=baOxn*?ryH&S#k` zz@bRhi6~T2Z)?Z0WMXnYh(iI$p}@kSfY!B&GjCKW`^EtLolr=IRO5iCa&W3}K+;IO za0AYiCn8Zrq`)H5ltNOZT7*~_!k-zYD~~p^Y%_PGodEgE@+eJc0V;D#A-Sc6xus?@ ze^&MyaI=-}G&n+)=ih)1UH~QJCy2(KB1-4qB>H|gllW7=H_YS^wo@+)fW1)RlCLDs zcMMBgLSxp|4h+5*;^)s`>-c%ddjVk07#w5LqP>S%K(#0Q5b~^gZX|7CDR5^9uVP_N?mPsW9>*&ELK_u7!~V6BHPjm83Eb3RI-on85m) zn145!rFsBUJycRX5ZoJ%mt<*mlrv88{?W~HZKAoq`Q07`I5mhPzcTOkq9>xE6VYlD z(R)Q;N`?|V6>|?n8;N6XNZ=7-8nB%^g(LD#!Jy)T7j(A6cnoUXYP8i#Jorik;X7_d8%m-QI2ib`a zvX!BQkkG=y&_Xj@6(FvP3a$!*C=o)Gm`Ice2GPIutGq4fI$6{ngPZXv=ql<~5^P~j zKU`DXo|XJUd)CXVh^io56CtjNiLQyDrMEICfGZA}TnSLjX%)j6Y^IDw#ZeW7Oa>s6 zlc$oWppn<#vcsMR9u8IBQ`FO`SgIhp5+PlQiCu}Hup&TM5p!74Ii6Y>o|+1t+Af&9 zFR(*IPjyUp37bR3i32gM>zJFAn4B`f#Z`16#-Sp?f$-IZ`06J5>Vggmr=;0f%9x7` zR8)Z~s=6wwm5Iso+l9;uh38SqWl_p1QOdjIKlI6eq>}xZ=3<0#GV*XR!VKh%4!kB3 zi6%hwg+Tg37WzW8xH42+SxsDd5A2hm?~{<~oiM%k8isn!v-=u0^T7!D!D!-xQDtZy zB($zDw9X9I0f_6Mg6n`F5`Yj1BoYZ=dTsl_yPBrxiiz}S5L}kZ%E4S<@a$|~6J1Wd z!E&$3qcWkPSV_BeiuM!(`_k4ulww#o3k=B4aJA6oau^l`49iDFaAj=_q&B9oHpa~E zkAY-2y7 zENz>A$)802Tv$sMJXAnVsuMIMf676M(8_o#Q?2j_DtHAAZuoUiEWc+kAL>43b?8`8lr%$+{v!xJL^%3_{EKnFwid_#)B&v?sM-I|)YyvAQorosa%5zxsVlK12! zMd)ReYT7W0r9>{5sarvem`C}?1LB^0Va+u8%}PA2u)bAr)Jgn)USZwU+P$3Lv@so= zVU%3RgHSTd=$VpM^rR(4ETs1U=~aj@&-o&$3BojpuYPW3kq-)q{EN*B;(Hqj4T|}D z#sbRa(+O&mKfNYFjHZ=Jn=@VqMlNrwr5iU=JlKZ{XB%F9TB%57DN{MZXZ5H*O8aq( zt)G^Di>)Ayc~P0!_{JstRdkUdfBRTKqP#jwP4b=_Bna9xW+1&Xk#WA?ED6$5jX8p6 zT*CQ(*nYBrwz+k(Yvt2u@33iz{_Gj`nsv_RDhX({-BYG%++nj2aG?2Y1HL|%<58T5 zN-Mp?mQG8%!)AZ6m61KvC`$Jy?iEKxD8Upo?eY$r89Z2uN-$}VKdQk*o1#zjO5Mq> zWhV7X+a*5rH+>HyALLLw*ZkNeSw6(Dv>6)m8)M~O?grJ!qX$U_+0@RZKPKuZFpHT* zE}vjRhuSgv8#bFFWdN@u!Xt1!=iXq=MH{E>3nzGd*H88S>d0Hu)FiXQnfVk~1h)Z@ zXJNrL4Ay(MD5F|3;(`h;f$pUAMHNx)-1ROWD5W(?q)wa(c^EPm&$P@Ua@<7dW?#+@ zD*IBGGD?WQm7KzDtR8=#;$A-C))NvGa?d^bI8!K>HqV`s+ckSfV8pCUar*t^)O3nQ zL(UOVS|U0;?^-VO*n&jz(b4X2AM~cZf|mIr9PBrE#bXSUOnM*^oPkr%?V2h!?uhJlv0@dsZ-tef zuFxZQ{!QJ@h7tE9a&7||V7NlGdxbG~40zeqDt|$$dw7McDPs*0;)3VN?HA+Q!88{L zUSmF3fX8bik1Z2TMV_84=7>mhASR7}l{V^z`Hy%>&6Mg0buZ*Ox(d!pk4BupulpibWiE^};r82vk0P(_cafGB^KOzy`%Pfxj+E z{r!4K;VI%|+jau^EoW>MdA@U-wAO)(#bD*A-8mOipr|;*LTKEk>@;rrRAHSo=??jae;2Z}N$O2fm^z=dHylSu zhT4@#tpBXSm2IV&{*}bSfe0CerG@?QXXqZE;VoW&gi;G;j-0!GNa|PqmBjaT24~|+ zrn{G-V}E*G)jq$v|CRL3j0>f|-9%hiIbqu$0>*wY4dZw5M0R5-kLZ;s-@o4j) zVHAx@{iO`1y?C+5vf1{{+9o=Ly&6Tt;``|%tZQn02l;X1FNHdyGDo&QNKP1!sk7Us zhEP<+?3+`J33 z&tDjVJ9cg@?q3!}bl#9EVq9F0Qr*8OZ?`&Us(OeUXR^2_Eno;m6DY=iMZ3iWKbgj~ zkE4(f|W18=yA8s z%((HRj?BpMqt49O@qZ!0lm#+hgoz8-I`Z#V7&!syQlmwOI*(C{JLt|N_@xDiC#p# zO2nf3i4&8EbJcv+%STSpg03e#0ZVUWZ@izykhDe4H^}BIkg|BT<34##shKc#|M0A^ zWq^%7fF}Y#sh%*Tkyqp=W2Gz0xMF-BeEO7RS}Q?2@OjaNsFavo$!rBc>Iap9F>aj)uLE&c2t$ooWOkD$U5ZiOnB zA>?WH>f)$ncJ=rsTkv4dpekr9#m!NJ+xJ?}*sk2`1iM1etm(?KA~Kg@H^9`cwc;vf zhC13SfHapTS^CDOPYoRH7Lu)lIA!Y^nVmk~tP+%t8^P_pn}}sNIv?H-4*pXaJXM*f zvFP6jQqm5}V&9nk7Ws1ltpkjdGlbec%Su>AE`PJIquDeXl^m)EDT%qTy=g;iO@5tV zn+yE4#8-dqZH~fSRMkQWUejGRH%=tos7v<;(N&xmN+*qaErCvA0m*(J?x zZ>pSSz-v|Z&rS=kOPA)DFZ}%{0-qc?liapS#@pWsen}pG_Bwqtu#`NymYk?pEgJCmRtD*pY6GH-dxRYx0y{5%5Uf ztzc!3u7~$$h~7xrm0Rk*NF}QipT0)ITbKSs<gnJh{FnN@w%^^`MTYDVhkk!4gV_zp_~(fgh}4NZ)u)DK7-*zf6d={&Hk z6Pk7XVed@i3-x_&=xp3R}xW#b~HSliC z{3@a4{4`&hw{YTtbmGD9@s^;Xa;TM1`(j?fxqJ&#eN{O z8Ww*bt$@Lfd)ip&0`-n5#8DK{U}rIYfw7e(bNg4X=yn+fTsGs!*b%VC@ej}gg0d^q zHYu`J;m@>4juwDl2yD~Xvp8nCdtJ~Y;5ggTXqp~}#(lxTr6IvoxO2P*J+7+Zz*DvD zse606Zg|@!q2BUE!l8FE$01`&qJs27q&!JnJeB^}HNpCnj^049f2Z`%xh0N_%`f+VucriVzn?H_@Z}wlNRzh4OOfaD zsF;^abA{%Lj;RM_>*KU5x1{E*@owu49h|P<{PYs+w5GcAJmy+kMRry~QeO5ea!sv> z79K{{yVx8X*HMsa@~}?DfS+GQGx|_D(u)#N-{WMiQDe`0^?P3HT-<@CfsP(S>= zn=!P?M?hxf@@~H8ADQdIy50RmJHZ1dU7+NZlQ=rm_>M=U;r+tBG?-4ZS5v4fl5NMC zw49C58*SzGVbtd6J#??^txKxRWH94#*ISq2cHIxm^cpNc4VLQh$D-##*|gpCchR=W zV$`~h;bhs%r=Q*w=BQd*v+;3#va}{PeC)iB3nig7wFs;7cvj9SE*R0pc%JF7ohc+F z5T_xR&tRc_X6OnNPY+ zusT(nZ{3@3z0YnY8C6>#f5P4wm)KjtETKvsU#i2GaGtwyfI5K1TWwH1I+jqStktvr zk%h3kQ*0S|2&;Qk_qZv^ZfM=Pcc7*`f_pzHa(Rw7``WKVOdK5FPQoo7zk*MZ-GB5L z8hLLs>uwN~$|KzFnJ;`fs_RE%MC+Kh^xmF+4}Zt2k(kP;`b4s{MPonYjuYCUUj3wh zg$8kemEa)}l=2vgMLQ$=Qo`O6{yLOl(dPo;^vha9YGhQOCt8ZZykI%w@9WR4gb%-G7d6;fR316@Uf{eCN?vS?X zgty8pB=+))4-;4QY|Wpy*1f4#;nZByqF}*fXi-td@ra2ZSCCA3B?00?&U4Cwxh4vb z9{YLUSXK$nQGs<)muc?7U>w=3`F)SDT(v82!N3(Pud#ZexgO4V?)zZmU(Bs1cU1L4 zHf0}-bNgKijJq?id0UHhZ*b-}GuC3S;wpBGGd-3{FFBR8^popTK?U*&KZOz0Ip3LO3XAwk51EOQbOr2?y*LZJag+&s0#u|IM zvm;B(auL#zLt!K<^f$`soSOxKG+^WPmXABHZd@sDnjku|0mY%mbc@lk#9y~$Vq=Lz zeHcWf@us)ar=t|4-DZ%wwi$zwY*y z`MutD`giqX0LDz+pRXq0_behtF7ER2?WjddDb^>e5T{4LB&0L zH@G`wW=H$$|gjGfAkkS(2mwtO1X%N&Sac91eOc1)YI;Q5e^y!+!+(vKv#@ z@aEH3IX7Nv4p{)31nBbh-2=$+!l63=+r`P+3+A&8-%!fNf`kKUU32PU!DfXG1|bby z{n#~>_Gi`4oI#(J`V@&J;w&o1HfcNG*u^5 zhU2gE;WyZHW8kOlPq?V%y}v#1(2dQVkXpd-7{$H*3DTAK^~rR=8Nbi6Vf*P&SN|A) zU(2HOJlb^cPK*(ew{<-4e%$9Cedqe|oUS^Kcpen=OatG|g0DK5sMz#gDy$p&Hj{FB zU}#a^90xOHsd>pI>jsuKr?PkV{3aUF_-)+ZlJhIhFckcY4P)TNTBX!?=XXgfTl$CG z?cTLAeYSf6BVaVLOv4`E{7@(UefsH3SZUvD$F7~hj$FfD`kub_JUrs@iKt;taCeKq zqTZdzyXX;y0w(|X%SM9Fj|nfsZ@bn^uHK3evY&F+L+1xi^}}~>-M&zUcFS>(T70I; zT7?oa6lH>?rp&3(W}W_Yirv_$pg-@0Utz!E@FwT%?su3DS>xtGGjpNkuN+6!S8jc8 zxe-1Q)8SgFARo$ybQvNaQi|55)*;GS>vkyh(8U6&a!jRP`_H6sZx4J8O)=YcJk)U z9q2~7n^zF)wDqyUl)k$4bXFC{U(52>;j<19m&>N>1>5cNit=jZmB-ce@xn*QLY2RC zVf)g17%3vne?fB&{JtghQIPWCvjUbizp&61+X2c>h2_v;1q%dmmRiTnBayVP=r_(H zU(l9d=f2LHXCi_YK?GTtf7Kq&U%~u$D*uBV&RhocXz@0GNBK=~w=ESwuRhAxCF1HH~{Oionef|{%TYMTYUu3#(~b!DV{rHTv$sXpA9(1u89H|GUi@L2UBn)I)!P~H zW=_kYrr%NL3#;H|*P!`WmUpkgz{T1z--m$quC2$)XS8&8;$+7XMjb~^yNh3zd(|r^ zb^g5Q);gxPx{4P%NUARt@TOGc3fUcXtSHRdt4!E)6Pj6Z(n;77=)5Hjrpa3U_52?g zU(z2P_RzV=PV_IL9ToA<>6Zg{17B`|-c2BX5Ab_#r9hQf$C<&$SxG$oM_JfaoYnqx z{5)UoP$}^c@p&cU zhT|kHvhs8qd$tw3T-UAD4(uj{D%lgmz2aR3P^)UHwY-PtOC+4ozl5CjR=Uy(zS@d zDj?n6vXlbSA;N+nx#W`Ht1cRmt(3Xc;Kv8s^?V+?D>7?bcPeU`iO|N{WI~rZ@~M$Msgi1V)D(9 zVAnL%xs&wqcj%1xzSf(U-(BT2^|m@z>z3x^oZ&{vDLKwIxSl#@(FOh5iE?0iXC0?;>}v?*d9P#TNv&wTWcG7_{@2T;5VW35YcbCsYa?2 z=5TxdT8na20QujXHPF~3x>RFn*LHO-Q0SFWdCfJeg}72igE|Xd@`>57SGEl-6s}z; z0=CduVI!gm)+xuZs-52Fqz2$i*b}Pd3(-$SKAb5~mG({8zG@{ko^5Yr&aZjM;$o9W!SA@ zAUWsCh(Duq*sXIS+5OUZ3LWMxUmMVV3Z<&CB$fK|X~&XOW=e0dj~;xVs2EQ5D6@h9 zy7@`1{BK@Cu(0we*Xs8@1Nr>1`sFVFnLFp#KHwy3vjh@b#fbub%(;Po9|v({B^$?6 z9*qO?KYnOAN69p8BsiOdnjwp>7|Gqg=lZK~@%+DhUXs@$XM4t`;%lr)8x>`eS_3Fn37LPj2}q6V|C}!Qgl)JJw>G-b?z!tf z750W^q;JC1!Zf5bAw;iFOnTF@AH3@8aH^3A%HK&}ud!?6mF$ahQvDT)BAF>0^Y8{Or)m6`dX{U@AjrHZW z`?WTfS#F6v^-qOe)1hW@<^)A%@h%2Hm^t&K*>ZAO2zZT=$4-a1Lj9fdbrh00gads{(|x^~FkQ#g0AFt;(!3hDLkbofXc>~tMb zl-o~E#{e$BaJ!~cQF0WE@h-oHgtnx7EtsVqp1*Wfj*gO#0jqY4!tA+fEw`gU8X<$+ zE+4iM!Ry}yMh@OVDf>ZXJ3xG>z#$gXOrG;X+NCRsX?XK zgf|FY@>0a_?U7z(=bWqYXQ(bdbWWpKwkszevpjcm?($;1Vwe?TeRG?oF~crC?K>TH zF+i_nXJ{G4^07V7>Boz=Ib`48Gi}~GSfGw``PFh)$NiVlW3gn;;*TmK(`PUSx8yHg z&TY)^hPsae!JnpGepTJ|tRN5edBH|8PZpV_P1=B@AF`SZbCs$5XZEX;+Q!RYPH&y5 zwR~RkXAHelRAXy+k%d2Bdidp;S#YAw!&$5m@Gx2r;uTeDwx)Sg>0pI&^inTn#2s|+y7ds+9D7x`7y$XNISMZ9UNGOAf8)Y!&HVayEQ>u*yK%ImK)vVBTJWHpTrq5m3si=O}4-B)~Vcv|h zLVS-tcZ!jbCWczY1j)Q1Q;g}Fit1qZxH)@;=X8^Z%D`IUpZ&!zdsf>WclnN=dl0*JwWeu=Fw7QGSbwB^noVg3;gHw0wmfEe#T?M5+War&f1GYn) znn{T)=3?h!@P)Qj76zhjt=;Oob9lDI4r#|@q`nL3{3TYOPr2OQ+sEs=|d2i^U zMeuh8I{b#b)=og}9 zYi-i`?&HAELMadrIJeMO=={hvyM{~CHICOZj5s#s;8z!#y5|=OOt#G@XAqG=zrAC5 z+qAu(Wv+ul%it~8X_mdQZH>ZRaSVX8brh4}rB5%J$kX$!I40ZoCwJ*Y2Js%H-en`? zOm$}?WK6YWBVk&$dK9zmH-hIdfT*gc2bc+&BRD1+rlrMWFk5*EThu7 zD}}lSZyKgq+P>x+6#6f;lKW>7_8cW_#vKXyKPAu!F%q2T5}a?W&m{!jET2oJ3;({O=$oc2D8)3%t z#Uy6$=UHnOrv5FV&oYvid%V{h*d7<|+c5sR=2ogWTC>f;Q=BXI^>8UuWaI3lrGs2F7d2$I#R11(P?o@;^x%cve%8!|0Dl zUx$XtME%T{dcmG~S*)OV;G#R=W2^8g>UxhKqZ%v2l1toN&Ar6h(o6f)Xph;*Bg2gz}4JO?B>%c4(iXGy{iR1vE>SirCsQxFo@!@J7B3@jIsFPlj&fcZq3QDDIoh9D!>O7WM3e4UOhMvH=&O>P7Uy0>cB0$BX zvv*y&&R@~O$BE@vBS56S>|I*u$rG;TNn&|SM6OTgh{O^|Wyp1&MGIdbZu=2o?$s#> zNG_#j@A5)V^tjG5XyNn3ZA%f0UY%}|OLf`1aITI zy__Y!T8_B#?z~d`()zevxryFfJa05FV3?JM3mI^MJVo;zWXiyz33S^a z<9gun1PmLmLT>Gb-{PzK+5%I)<~T*^_+e1#Sc#Pm<}Z%|zC9qFC)J~ZS;Jv{>d{id zOeo(#B$NbIe1Xi-ml_vM3Oy|*+Ncf*XoZZ|6eydDGojl2k!JUiW-CO8KS%S-9)J~r zNHZR!*(#B@@;aGt7+!=5`bwppl-rlgoxOzCLbzfn0blH9pIQf9OUz?s9E|6%GT> zt}i4Tz(0iIc}GLWo6x*o1RL|$HlXsTV*~~GhG%p@TgYh$WSnSVE~u7hL;2cuqG~FQUVO2jb?DL>mLwHhAGMbAHc#|GZXCWa~E3Vf<)btM@i+ksfw@rYH-O z8u#VG7oEjFM24K^K*p5|lx1bo4Lk2pj#FMAr@TPI53-bG*^%%~qC@+1yPSD|4V8NX zm3yiv`+T*INpO7R`uGTl^>m?v^3V^-#sF(O2tU>HU(+EOFC!RtJ4eEerN(!-@RRoy zE^E+|Fn|UIs&LWZg=&KmewxHT6vsRC1^o+}<)A@X_Ac@gLv*+^ns+J9 zgbE|t095YN;uI?8fid-n2c@1-4_xr*cEfotqPOhuKRr_*xIik6aO)Z<=;0KX9ymD; z;?q&Xbk32V1~w~?l(gtzqHtJ^&F7ESY$%6oj*XDGt<)IM5TO&_^RjKaZTYpDwynj3151$DDSjD8S#KTWp-h`D58hu0kQAmi^JP<}B( z#S)BL$)h-ICJ+o8G*SDoY15-nhN~K;g0uNd8C+7#j>zR3O(n@p9RVsBdk0e z$Wb^f{V&!tg;H#IW659!Rw+i*zi=@_rs_b{fAzxzhs+2*$HYoH+zC54I=PabWR&_N zlEw)OXtsgvh9&)(h{9$VGr>5gJgW9DD??$sqrIEvmnP>QWS+eYWRV&|Ac>r z^!0Ht;!JI#q_#q5_>o5^id+2qEb~<-<8xfdqccU;`z?iy!>sBh{UR4&p9N+8B3w1P z>nd#bD>z3EjFaqAS~*8H<$Y1b*MV_rc~t0VUKA((p%vwLdC0B3a4DlzP<{--I0~Yh zH>kZhAOo|$wvh%I-y3z>SZPo;9!!kAj$5uv|nVzN^9YR;|7Kel}d`zKT&L{1O{Pcy9)E*a0bI;-jUMK<7v2aS2$o-V zq|l+QcaBGsR$V?j6aI9$&jYzIK6Z-OZX9XCN3)Jx8{hNR8`{{JSRt#gMJs z$W~C>t+ksQZ~*TRoGyITqXTxq!On$b!-k*2)1^nieke&%kQ2p=@3*ItV98 z@t4Cyk`SZHA-a0$YdUoS$nucaCyH9!`(ta7pXgya7f466OfFXGI|<4G4jTh<$1*bR zAZ8Vq@uhlSIqk^*iths;# zPzS5*B23-z7*B9^QO(I*Udj!W#yL`epVe`?L7ATcMh=JZqUVBkb`?|zZtjJ@PBznt z@r+g{K_MWzjb11qd5xk*UBMJwJN(ySPMlz%5N(j)eg8%DU3XQ!c~?o46a@w&f5GJV zX}?0t7=DeSLd~h73*R4oX6_+p&XHoN4Tamg3NF+zaK)%iZUD!z)sD)5+m1@{K?_4E zYf>oI`L)f~O<2=8(kGQ^14=p0hF3F5>1dt~#@}-2j3AN%RL^i#^7KpwW_oP{3DK<` zlm!>gw;>xx;TzohJWk*UT64ga?pLE*hW9VP0e1WzQ+NDc?{pzH$w^KSF%|d?I~(|o zCwQl)Uo@9NeY3?Lx7A{w+H8!Bmp8(~20ge!ejGi;@@S@jRc^KK(JuT^Q40k!l;a~4o7l@d%H`vgz0%H~Q? zj@kVR_6e*pwNr8{`$We0>nX|rWcO=>0XLNrz0=nQPS0jp7BHbSO}a*KFxgdTV8Ef@ z1&L18#J>1(H)11(KhyH|at@ z7j7Ra#F+B%x0&*kj{1Jg%KGExRP_P3RQ2KmQy#9#d|(FuKP@(@-wpk&cB~XbSCO*# z+MxJ=t|Dn{JeUntb^}#*su*1QXMqSdRS50^C^s@~*v{nELQhjdPHQ3K7k^hCJiJ3z zdDKpdp^iD|y9*iqwhI~1cl%i;;IeVr8WJ!?xj_W3e}Vx>_%Xli-wSx~GdP%N%VfA|1C$I*WE1(-AzM?5{U zi@=coZ>B4RD{i3r@SNI3c#hBdnLpH3;YbNIqYG%ptv_a*6Y^{$+PH@24dg*|P1m#6 zRMsfKNSXm7X=`-Ses6<`D7lBOkzF1%P#+2aMAH&-j=H$A{_Z>#2VJ zn?Y0H{@X~Q6kNi7N=7=~glWNHLm*iwkz;9y<6gLtzAXv@4yxgz+Qs1_pLOY6=(bx0 z+nz#9wzf-Kw)R%l6wd3}z#MNI>b@xb^a$F3rW}fm>%bzXf1{EwD4wFWo z_sRT?f+H{ra(iZ$o`^02BQh|nt@5oppDBUht=*N&))7*U35r>`Q}kAqfwauW)qSOy zny%W#8Ot-i2@35`IQUX1jZ?HsTTR!IVF_!Ft~>_Z$NYtT);={1Em;QE0;D|D==7IWzXfJz{!BEqIQw3!e>3O8w%AK@k9Q51;vIr z7_vT7e+--2>f`5Oox5g@tu>H#J}YId)i9TKZrh*B+opo;V8a8B!JDX9cc0!g4dQIj z0L1!D>#1hG1`=^!yEYoQbMdQ1pZNxlO3!Cq0>z4uXCEFbD6C%_)xG(sC+c-ekQV{& zQo4VBYhh?h%L73=c)Z+jc2Tt>?`FHu?B66U%_Vt7g4# z#bu$UORN}7xSB2|C+@1J{|=*z2HR#`_dm1N8iGppm$44RC^!T&Aq$|Qx|uQdjTtp5L$ z&RA-K!tOSm|G`#1S&dfnzYOt*aY@0TD)66{`P)-8&&RG8 zh`)x}D(7c)iWV7vW}Dv(hWB$YVV$Dazr`^o+k+kLfVNw-G?qGI9sZK}R6f7?3^ec& zYi)t`;!?2%!gmogWh-d6;An^0-T2dar*X)0)eC#9p;6M9_)po?`5x(UpO!^G zeNKLQxDsxs3czVcM^L9``L_(1K*Td$wxp-=&8nic4?gvaZnrjb<~K_}oaOhiFFaa3 zqIXdJ-mY?LBV4fWTwP}xT6Mpx$s#^!?Qu)z!tg>46@20ynNmQyddyY6j!(HS8IrH+ z+k$t^LmN5(?RvD$&Kp=dSfAXo6+C*MIj`ry+Id|Duo0gjdOgIK77&vvB9@$#dl=dA zG)q=M-Hr&ED)dE8qCBFRdF!r?2IEhDOH?qTEaJ|6%lm?s)xmM)5ybkX5lx%EvA5vw zoy|9@7oxNavR<^w$797%8|b4^<}Jz?Cf1J(A09M%9o;{B@m8}&n-({fU+JSIemdpl zI;km)0cb5@a@>VMoMdRbtq}q*f2R7aplN~J0#9t!v}#>;q(JVFhM(MH;@B4&ejUc0 z*Q|*Jkr4#V5j{U`4cTY;1Q|0i1W=qhRemb^mh8OKL9uH7w_pDp(4L?W75gKML%3E|S-as)dB8ZP^ZnTQsg@Z^6NIN=hL5BlAcG{E`DI>7!jMT%X4(l3m}Sg33Fa0 zaIM>U%(JK&)2vPBml&(5~L8g#7H`obmnLgRMG# zX$vgd1-jd=*#*9&?~1n)KFSudXLupL68weji<#>W%Zg~W#KW(Y@ocAeiq5+)9Wt)! zEn}h7xkhX8N3>QmvpQwi(camF0#Q#H!P~EMg9`(xJy=F{8@h~m*E3@8U8F^V3apck}lRlIt&V(TjP)9Uyz!t z^Sd|HDFhn?5H1VmgbCk}#it>-a=0Q*KF)0(epSUWwnBiZ`kB&3r8;0#W~@FuKPn)tgc{cUxl1IN2=;&}lVBnLLH!*z!DVBr$=JXuTE04lR#oebYVI)`0QEzHrNP0=nCrMd$Uf zhUhFTtr8~31FcPx95}!&&!M}`TXbFxYiP^DiYQ@1J0s+@?5%XJVi1ZC>ax| ze=W@aLl%}L4{I-pX;8vcc%b(q(90Oa8fo&*I~}+gDkvcfOR9u9TR||~NapJ27QD%$ zouUJOiaHO;!m{UKl>rZQY$SSY1z}FZGXkn(h&q3jg{8^EYD!}CBhU#0+-*F%@Mln* zXdZUV16@X%oSUp;#-p3VQPix7l2L==$n&r=k{Izw^vD3WAOnx!TOG4Iy6~q^{{onQ zMHZGP4?Ez2b|X$UPt-Bv*M)0A{o`T&AG5GVd01u-H1InDJ%B+h(({xdbj$>G;Tlj$ z6;!h-)IS{NpPhwO&BF#rVtgae%qs|S;^f6dodrJK1-_zY71a6XENqh!rqlzyL!4YU z!0krIvxCrC;M85g9kBgQQIG;ENC}EV!f;NK7-c1l8wNp1nyiiH2JY)# zDnfC0^RV2Kn1o2Q`~WwEn&*nA=sX67^OeMCN1_2VH-wJoioYmG6BT3x#fju$4J9$l zN|=2QbS`PKAjss7?xj8yz-i=R!N3E3&+CCUk3m?2rkPa2fDUzwK>L7sBpP(&CEDvj74LR(uK*ezh9sR!V-z3m5(g;R^dFBDMWVr$ zksHYzi}Ja2JQ}Tl9M>OG@Z0epq_95>_EqvKSI*Xeh(D-Tf00`6{Y5hTizNTInODiC z;dlgbBbm9MTPLq_?#%8Mhi*#Xm1ce<+G8j8qZWz}IKE7u`3qUkzMd4O`*I>xIOSu7 zR``=|cCLUU3gZDo*QZ`WrJM=DQJe zUC2#=!V(rj8%klDWTE@>R%k~{*wIa$=ak`CBzsA+bjy*?~CC+ zi&m60)07k2($u2r)N>F&~8PL#TA16yaD!VGKUFiry;>0yX$(g?0eZXmy5f zgnKlmVH1V!+r8qpi&Dgur(yR%8fqOmu%CP@bWs;FiyJ5m5+g(Rbt9@ekwGS!l3ZkH zu5QFW2t3oo1u-JDW4IY26mivQ*dC$#xzsuWV1I_fm;taquEHP=GPI!;da@Il#b0Q0 zix9&ijA6Ef4b$leP=C(FDWen{G&TJt$k5{52ng6+VH)-^BVwQjkqfpcY5Mb#p_8r9%a*Xu zG&*o;8!dEykzOZ`+zL8*BQn;8i)fmUds3pvMA z*vvwR5fjFM0a-`NZSBB_knTo+F5bSWGXu5*9EEY@;U0Bq4+O~2$2|yQu!UMj3T)vm z9Hb${$O+p#V?;n+aVLRQf`x;iJ@ABWKzmTW<~C$RM0X?nJCQPcg@a&FL|dWVC(PmZ z3d!s)FnxakHfo)ys!J@bu#LoTL3z5r04nW4)?q5>@}-itb3jv*Y4~(t`Cp_0e@Pks z1#tfbi2std(flQK`WNsorpEsQX#ci+_{(%Lmb=Rkz=i(>Gu#6Kxa=SCNT1 zD(iO9oC0bSq2qdAcbL6s?(v_ga?aq-R5|wum1PQT_z05(in2UJ@*X7VxC-bF-z}O` zLS<<~8wy|zAz2>0c^-EpF%wD{b`P{m1iEJhF-wxH@rApNmM8U{j;o-q07#&SGJ!%H z@?khJNeom8^V$QQ9f?lHAewF@7k}aQrTep!*yjARlbAF8vy*6uhBc&QRf3xiHE2T- z3~0#7@(|4Pz?Z}NpfcYIZRddOr_L6#N+kEGxc=cQ6pl+?3c7` zQBE;c4IQ?}&N5Ac293r8tRLIT6AKP(pVb<#lN&`J3Jcr#2ymQ?Oo&>PCuZFf+&eFf zOnPL_E@}Nlx67bZr<|QMAa;E1VA_xCHE@GTX4ypx$?^Q@Yhx#p?O`^E3QAM9yU-qk<1(Wwrs za~E)K=>)3E!&rSa70soJt!CJnW{Jt!Cn$8N9g_Q!`Wbje7>z zyy>!FyM=S@pCSExX6h|&FZlU;$_M+hB73(V8{bjzYyDbS2iIru4>^b2HA^i3t0pCP z@mD;(j>_(CsD`q3_mQiFH{Sz#951YEg!LZ_TWKf<>-S~|4S613RLmd@TtqLIDuttL zn{vf7FY#4zkK>LCYgwXtlA32oo-qM{o9aUs4k6}`>R6}Zkah3`=80@^w~dR!iFu5Y zMp>f$y7#*M`XQ6!dVk{VXp$Cx_D`2}@`aRzUoPvuB}M^BMFsu3RKLbezm3{A@_)Ye z&0byGSo39#qVWg&*;)tbe*$k2T>0w1t`#reD!ef>%+g%Q*lVDl=y29t*rM^!3Wlh|Q~pL?}8;l&gPhtJCV<2Fa&=&57r`&-nhmuI?O14dg@Mq3)Xo5eD%^xEt?rY+&(xVlx|Gom)^ z%~ybrgMU=L)!8qis-M9rEuWabg9j@ZRUehv1ecal@^<;{?{OqXb`S&jvycwHC+tpq znxWu7gNw>nmqe_O_Jx@T+&UB%hw}c8)v2y(WN3M`!0yyyrfYcozJ_u4-s@ZQUr4*V z9do-K`>bn{DrO$RtqwkZoGUtj2pE&*N)hG0=8Rp^S@X7s48Xcm?8Ua()Uj9?n%uAMInruGPzb&u& z1E=WRUTlXkeo9JNPLzB@qO`-O-$EtQ!Plh;gHnk+^~q41UG2(e{hE`q@y&rr@zYiJ zbl6#f;N8dBmoL4^s+itgldb|{-(4GXPtShDmck-ffABIEFUi(7o{_%G<(olVM~VSG z^Ppe6gUOv72f|1Rdaeg@ucW(bP4oI*#QV{Y#CnwbDL*CVQuwg(8pr z2nAe}f0q4PSNa<2v`;oS{;1+!Mc}2?;7u)yEXAHIezQAck4yHR-BoLcY(}Lmamx;0 zvEL2fP1v)g2f|MiuP3G?iv1#(P;?QayhBUPO!6+Ro=*lP`D8(Yfzr|C6@BXULFlI> zrI&`G(_a|c--Y(Pn{Thgiv{v1ET%(y5!Gc4Ias?|ED+Gi;&l3up$T}b>W%p2Yf<}c;WW7}^Hh^hmC_k_t zdZPa|BR}lKE+74Lvih9oEGU)zYntGQL4CYc#7mnDpiQ`+vkay~VJan`GbK+alY}QdZfh z4|q!mH_`}m>=E5-I+zE3q>2%E?*Axct!O1U7)0}j528C_t|(le@wRE$4fGv|JWrSp z@_kh;<)Uuvr>5r}$1~H(ZtH~ilKZe*VszMVo3`U7?W9ox^nfC+TFl`%rpw-lIzXLNj@5^`g~_1XIk#DV0QB1;2XG6WsW}})|KP`d`3jNXhdWL z9k@E}1wM^`GE(v+bL_e8h%;TGUCv>EEw7&~!*;spk95;9nSIJKyG!apktYA7{ndL+ z!WoZDDQJX8o}6JC;#3DcY2fQyS8P8ob8lScz&{kg&%a8iehv+QIwDn* zQu`e%Db@izqtNFQb59%ItE(g*Qp}a!TO~0}ZH<1T|0S449KYf{HRXKU=*zorsqQ)6 z=<1VXlbf*~FH=7G)i<`CN+oytdnuef_zg3%X#OGO*;;7848W>KKXqGn>kS&uxje_` zH+5Gg)SQCeHp;I{bD&ztljGNQKQpPXStH=5oNTCV+6$zlAjE#S=;ekJ8l80+!boRpr zV)16^YBM1IwL}fcG=x7yb3H>?=ButEjA;O03-5aJOPQ|-BC#Bz43l=w4+I&!3kseb z2FM29@!&IA?C}X@vlvyUvqEo7gRvEm^^ugiGLpPvIt8Sx)Dd&k`0Q>go!IQF2zN3NN zX~tvr14P4%r>3XXhabdsSXjM>3PzI_mOHpw&MqE@FP5d-l3$E2+HkdSjY+SEX7?I& z!^Z}(UIBLW*)6EK!T0+6tm_S7s!iKNU%=fpe{#%Gdt()el<5y z|M=$kn~PepUuPXeSs?F6zDcg$uDl~cM4c)A%2|PGx+DBc@eOuqf2xmBAr-MiiApyZ zoBXNL@i&9n9b*jpn@=9j?_Jyc z{bISN!mE$8$;QChW}Cjjc(_`0_F*DDGV&#hBG(vE3$;Zk8y?p1QHcG>?d~5J+Ezbi z$->35m*-vCc6u#ck16(p7*})mEZGt)0eBYWekbE-?!M)A``gPf%6+2H;QnZwkOkMX zXi3@M!7^~MDWNO0v=>PsdG=E(a8NP<_1$NK7 ziL{@RA#!m)o^&hVA}Mn|w!<;ucGt@nM+ry#cYa7hoOjQ+nPgtvd;PUd^HZzXHXuO$ z8Q%L)quWSU@_VaRjP#oPTFtz0{kBe{_iLEzUunNm%ygL#HZn^DTjt4^Ecx>RV5ld=qdi|_(P zOtgf0S-iG-%t)==(d1}>&QDLof~M>5=K;zx8ZjfOEV) z8B0{F8T8~_BSx@FY&X2{#N$0>h73t-k5~U5X6$u#z1)$Tb;ZX#5>2|ac?F;cKf#X< zk{|~|bz6~>1i6_1;AvesLAQo!XOgV`zHHZt>|ydd>6Crh5p>9Q^Hat3@UU>nZi2-n z-jkA#7F?blIkyHKemr>*(?K%aS?L}rZAc#;7TeHGFo~rLTF`Z;(fBFjZSXFXi+A8w z$&VK)gLE4eFT$0?QnXCmgUvethA|Sj7)R^g%!#eU%kNq-Zs|0OAgugD-zeNvB{-a~ z)zc%00rI_VJ^$8E?eE{!yd{Hml`lRv;!vMIBsiwY>-h>MtB$FO&gFiJPT-LW*1dcF zaZZjW@V1^J>8Hd?UD;sWiuEc-guI?0M9<_DrFy1wc=VJb@5+pWa2;21YQX zC192bhF{>w2v+TI@#F{Ki_O*l@U{yEzorv1F?vgPpjDmoZkq<7`-<-8#z?sm;bCV< zdBq3AUoDbsDNR~@o{}2W&m*b^?NrG4R1BZyQX~CB&5h&emL~;X^pH)`+x5iz($;^z z&f8ID+^x>|nYUm4^>gp0ZSR_LlQGt|h@=#&0*UqF5=3bALtfShF91p4pnm>rG18yR zr815|FRB2Fb*p?3^&VYW879Wv_ss5uZcw5p)edtpvm)lh+~!KxG~{kd@LbXUGT6iA zS)$&`hhjq&d#7?`H<0UEaRs(hnLu4Y#F6CtVf(V{|#skfq<D=?`s$o77Dl(f;Au;*g5PkipK6QL&g>UV>n|#Z4$43d8C5)Q zrn|H(B`f`!Q6om)G!`(LU$81^QcCXB^V13^wC+K5G7dc(Rz#{l zsGmX-V55Xss%*C(ds8#ErCna(LwGh@byVm$vRCFqG!Y6E_moo**1RdPE_WPp7kyiD zM5a&I?>JI!e_Of6F0Hubp)Glt64ykGzM^$DnclBKDI(5nQv(LLgUoNM`fA?ax6-_P ztmjV(C?ITyuF!0$*q5gIG@dT58IR zW%5+01#MiCk=^>AF8CX-z+lw(^c4FA>D;Nnt?-CENRh_GdGD1D|xwM zAK5fz3N+I>mN$`=x{Ei>GR}M79sG8|=0mC9lr(P*Yf7DG=4%|Od1bcxOKy@bh`dCy zal|`0F=pHILYnVQp-)DG`f8JS+j7f!bc)5+3uLx%4o7~ooQVs zt77qF_)y4;N42aa6Sp|3A5QX}u=*(xnN>MB-zqcY5*vb5tvfezhwkxS@ehdCT4ZzorBlffyWHgWy)IpfFopYu}|Y2>*v+mRiouCx=9lMdn_* zsYhOM+6OfOFHvIA2f4P|p4LR=MdZD5T|f@8rStBc+zFDAN;}ED+eam#Cz3}dH+~X* zFt$WE^WN@DWJx9EXUqQ(KVaxgUp7f4HUKM|cJ}%DG6uUA?a?dgOku~`yUJ*=R@rc; zm!n=k>Ss>h>dS3v=bX?$xlD+ai9q%F23PW#|B=iZTThO-dt191Rl-1IO77`HVM@R< z!{Qr5KXHC=8D~~2&Iix9o&44SJtLXX7kZ<{`S)M!zu5MaNX?l*XMna>>QkL9^BFzs)Lqbe zKnXl~oo0WFd6Rtj;-A2-_6yEH9htva1JfQ*9F?&v(xl_LLF4dGP*U~UceL8pyyV@* zQ=h21EBCjo)^R>Bf8mb!kLbmH2m=Q!HXUoFA}a2z&5AmcbHO7@g#oHE8z*I|f#JH? zg09u?g|O*y4&B?(oBgcCPYXh5T;q`8TglV_bh zsqJWQNpv9-7GTgAtlKH~l{g;TWW_(`tbHOQz%cN~QbTnkC#$o3pmjxN2gw88xQH=U zN4ikU$Y4lzfJ)znPUoY~ic7LbIrW5s##*tS63fqPlSPKDjmhI?r)n+Y=EFpW#m$+{ zF@Qo7Cdp;1 z+S&FKxj=cweCJp`;&1GNIHP;%#+A`qODTMofn+J0fK8y5c9mIVvn$^kU2L?D!%IuZ zY+rh6MKIwivx_zUj5b!eR(zwcb&_0ZtJMW-wavQ zSP0*|-R4RlZC}4lC4G}!w|T?S?wi~yFMp02d*Qv32j;R$mC0t_4^-xq8TH)MeiYRcq+hYE}jCQE4)FywZHvN=# zX!v1jH@Adt4@&uVK zfUUe|WZCoxVWjz#VAx;+?>QQdAz7?6CnssESiDBpx<=Agwb;;^u<0A#3E50gh|G;2 zsu>lP^b5g#55k1}pm2|1-s3t;V&0Pm&c-ad%{R*|1ht=YCfcObT|4)NP#jes<`V;_ zABnCw*_Qx#D&fe;1@Fw{PuY4Lx_30IBlqw_OYK$W#Srrj+1cz@zbor2+BJIA*XOYa zKFOt$k%8Eg=JSWrLmHAJP-b<>uHg^K@3P!GiuB`(vux}d(DIuliWnAYJM|(!K=D%K zR=w0CDa`HF;yxH07;xTVKHuEu z-1m5nNA1xB%0!K#7g zb3dPH*d?$jZ@uX&aXTj18UFy#vA*M^EML*w`FZ!6$>!_25WXfySY_-}cEc}>{YCgr z{P4uib1w^ik3K5OCl+@j;}OQWdh#OE5Q2N^=u2+_`uB+n4CIaWX1Zb*gSrUvS6Y>* zAKR9$pS(Y(ywj=~cAUlE55HNx;qm0y8H@j6+S@|LSb1z2k_C4tlJuVN5p4pF z{CVtw)Q6XSin#z2<~}Mny?!~gn`oJ$wNW51e5sO!`Fz4OR2;AQe3?hh5)`fw|5eu% zRgcUaBEais!nqTV8u0}%_#y4ay{FEbs>q6&^L^l;g!LxpPTtzkwMPtg&Ro=9DVq57k zFRS23h|Lr$c457c(ZSgD!BwYqv%=kLvZ6HU-TO8ndEJL!R{HwX)~XnuzFqx6ur;|H z9Awzl%wJY?iAUp{p32;e;i(@VPK)aT0Mul{`y6W{)^)Wn0ee2=LN{0bu@YcC+A*bA z^nN?z08G?|O;LZ>a}R}YcWsO7SP)CC^qa)*x0$T=Y@Bd1{*J_yVHICgaop`X*dFJy{Zx;rTSk%>NGVcV z_0?-UGl?#uv!E(_@$PXq&oVv4hNT)Uo-Eb-&vxB>xzINk{_cS;aj&lv;H+H6*myV6 zdblomk|sg5m<1WKZ#v(oHzX0eP$?y~pnvO*&2l9{GdbwA7%m`0GQ`{!tWSB*z zz5l`!c`)u*?}>RixyUy9)jqL15f zg#u%{=@q#dU#EYhZdqK3(vylAhJFB^cKxIzc_*7trl#t0aDdOZnUgbPmC%8%Ixn$8 zurLnTg$>rCRCU+(*tIyjH>6Ku)7+|(yeV@;3Wg)Cl<#!^&EWGqG8b8f!wHJVU#5DC zW{3Ute=Xka+2!?b>6lpT#&D_msjqnU2lC#}t_Lr%{M-!ita}Cd?(*v7W>2*6cg;~i zz;1eJZUzZ(eqQg1@Npd@hlXEk?ImlF{dw)?9rD{7zzn>Mu6Cntv+ zZkl<{>_Wo!$WMo2)}E8zNbIVkMa78mXbdid2l@m!($>&=Y1 ze(qij&-GPxEHRkcn}Aaolcj{WN^3#v@U51qUQbuOH`@#tbg${f1J90Tu3}bz%3?`u z_`g!(UlGQrjb_-EOsuR#70)f&^s_0>zI2Q8?JB%foF9H?m-6_hobdpq zG8o`&Pf!y1LkG{3QGjXaI6EX&#Dv#~@yq(u;n`>4uKma{*W)%M=T+(jUI2bJ- z``UIc)*2i`@OfL4bob)6@-C>U3hpGRSIbQJX#VV}@y|(dhz*ZM*CDw@_6TySG~m1n zTNwb}O7}?K`c!}uS$4-apa*zEFsWY0-PstxyWzhiOo4iO+)r5!?gZSomEVr{PamE{A6%x1ig#W)w#wA)qS}O&i#G1qGFl#?dQ><2cRinM4<}4Wi5V@2 z#h$tjQ5s%=jPS!$>MQOPGqz@r-`u3F#KQOWFLz_Sjk{hNN}1B$FyzEmc*nAoY4o&Qa)gFT8F`oN zVQbuDeqc&rF%|ZpFL7SWmtb?#leqGZl8&q7P$$pvwMV}L9pMwD=~z13NrmGSmE=h< zDFqBHgz_u2Q@5AOAzX$Y0^+IJga-MN)N5@*Lhp9rI@D7;P{(WN!2#;

x6$iYEVB(uUl>>%S@`gM#jH>Yt@h+%}L z@|FTD4SozF+Jm=vEJm1BmSV=&>W?l*-STOSaB(+r0%3$X5nT;9Mp!)Bm4ENSV1!*h z_C*E42xl)E#$h+WyV-ZN;J}HN<}Rl5l{k)gk=%5|XL7{Ki4`-}U%Lm)-ugi`7e}m~ zN=n6w74C!P;oAae{umrFC^+GNLos4|-Bi4Yfe||{idq%yR0nk|uG?-(u}w6dg7Lbs zL8%)fcC|z=DKHqZf6VBI-G8wU!FJMMB`igt-%yYgy0jr@gG5L5)|!$D5@&md!}usj z)UMS-$uR>Y_MOL1!(Jrl(f0b?&*4$-1*W#@>xDSlxEs_wDaZS? z*{NqT7I3`XG`9+wz|p^HWk<>CEFC;sWkQDG1T<`9%2DEIPPP{baeu_IbYoa2VBp2v z>OOx7Ctl2LOHNcc@nX&H%o#UcTpaFRR&XIaXz@pEXCl(meRB>If@s9 zjn*ZkLjvU<+uF(IrGE%soQ)kS(>*O?xz;@WywD_R9QV2h~dv#?@rkgryNV#R~9zFs8*E3TSC z%M>iE=sRW_RWPvPT$J_1149Wn1C0kBT&0or0>2q4iV00+dC?r1kB4A7vMNi8!Gvw; zQ-vxvCfpt`N@SE$=ET=T^UzYtN&sO%p1<>PvWP8trB2U@$libNb78{k#Y7DsiwU)s zJrh)S|?}#IBRE0zLv09qB;_Y9>s)@w1Ps5tyhwDhMXmCIco; zHdlXvVTn`KCk20UXU$_+bELlt4-+*R)m9$NAJSlAub(%E%l8x%O~lQq@F@Epu<5t| zS2s#b85#~3qca5bb;A-TO3Z3ms}y5U;*fb}5rYyt`}HO%6D6Jo&Qm(nJCs;`vsR_1 zp~SO^oGs-YN<8UkiQ!{V;?nYdln8?oTa&bF0yauC4%vULIFzWr@ym5hYn$>ZEs$bS zqTgcIjFOEKFQQBtQWPZ~XI5MAHGoI<-gpTcCHfC6){D4M;>P8rLE%J+y4AgL0UjmB z?Tk+|EB#jbM&}W=AeDa3F4LG*C`xS8Buz6%FjN&)Web?RuyrwK6q`6Cf{uic6S9+l zn-^|HrT%{=f(y-tp$KxHp}4RmGVj|cE$pbM+m^8F`I-*W8pw0RjSDvy=YIMFNK|Cz zAIN^)1z@~hKBGFtd@Jn^6{3IqPK;P)7vkRa4J7;q68?ig!Ym&5a{EtZy5i(v?xq+G zU!uL&8ab=t<3}quBn%i38d0(CK?g)9SC zy$(Alw5ZItU(A)AEStF9BfUNvT}#tO{CgTk&0Yyi=dm?E2^Gn{a#nP;=-0i-M^5=Y zT~9l!Ba(mjL6?F~WUhR7hK0<)u{|>&K*7wI4OFd#s_$aloXCp+2^OD4*u%zEY_7Lq zOC5g}v6I>h>AMY5MkaSRtvLqH4f^7f*N2bbNFOqash2C9ENfD;;>e-eQGWqLr!h2q zF)HNL;)bqGr%N&M>{ix@2j)ay%+rJ_7-vvH!Qi4A#WmY2=1pv8oK4MK93p6;0+Eq` zPKOeUiT0B6lhoMc_3dhZE02-fYg~yB;<107i~hOYJqgAq3J=rQD_FEPxjoOQaI(CN z2YBKv2^|tN&*-<_+(XZ{hjy;5 z%bdZ5>GC*2g~b_(T3xY%om47}vn~lZgbCJEO)8(EUn`1C^5dfsO82?u7TZDXG05{K z8*rhCz25A33pV%u5VQo7bI!0@mb~Z$vykaeZ$`}RsSplYYMs-lI3Ri8#dd#>gw3HY zwc1cIO6KG8D$-O;QfAlZt;$Hb>gyLEDlYs_vBJHW^qFQ4c7b|tvo4z(9QmakCv6b-ankGKpg#m{j?YfJz-=|-WY}{VA$((cv{!N zQK9ezBsp>eU3&J$qoQ3YbL@Zm^?uO5az<7OTep4KVJMG4=)h$cOF@u*Y-ktZAWdO* z%ZiXQE8ep@Aj^~on0aol*w7ddvufnH?^ZUck6}Y_3VbfR)Z7hGKb+EpkkCVUH z``edPIIvjN6m~1Yk%`KckSLCRaYgAd3(o~yS=5Q`?QycQ50P(080~-O%=XxW zZt}5`pL^hDkUTpWn!lRV=3s2=)u*6$Eoy_KtI~O%=|)U%gmQnDm7v0uE;ZzCwqOTq z+y|X8QE41S>W_dqSsNy1VUICmORxl^VCX!xH%f4Yb`OBT?ES`_1H3f%TkwrCY_qXJ zN=&D|KZDh3Y%3Ksx;^y`8$K-J~ z6H#)hM07uzK4X8H2SXf~cM)C)MS&Y4Nu;gM8ZVKz=Z@m+4g zDpBqOW3iU1$#Dbunx&RhC5m+$61^vth;F$nEYV+x6XNOTZP#*CoOTv@QZHtq^qTyX z5h+$06?GXU#o~{W#E2En_A~K8=k-#Y?sId}|3b}{m7GmAJ3QEgK4&aE81_;4Kpsgm z7Y9pnG&6rXJ6Jb^;~rtl6RYl)JMn8nRyE3?R;xn8wV(fd%1q{vOp%W=ry#)Z0-J!}m55L_k(WO^V1#dvf3p%iHf z;J;g44`+WuiDB|p-M?f=X=lUFk5!0tsp^2#N3ju@Ht(>E$K-42)n&IrbRc(BdG9DT zCVGDa7W8Y&N+up!xtK`h7~k{&tY@5s@@S-}uuRu2LNvm2tFc!iHdbyrKB|?|1QY3_4bp!*wCD_p4Qth2s8%;MVkTIQ((wJp=1Db1 zpy!huf&%A>j zX!``5>oN>d4xMkUbzaXBFpi{F?~5=f&?ma0Ma8aL@rm${Q{kjHJGz<(DMKT$XwHAw zK?*jmM@mj*h+IEqBD6=$)@NLs4SouC`AL1^x?S=0n&|erx^m9>Rr@|!4gt14C?I;< zhoiSg@4Br}js>A(0||j*q`gvLTuC3MrPJ| zdKV`(v}&$eRZMCaXPI6W(y3v3W_-SwNeyQwbL}jh{q40+f1dP?8ai$@78#ZrZj6m? z$=K8|Kr`Twv8iF@&`Gn3K@H(P0sMCnZb{jhN(UJ@y2Yg(ij}P4;&pHm|V_dY*#A z+ESg2fe9}oZDt{Y2~+x-4>)>UJOFKzmKnswrWi|Ae@0Y?62l3ruJfgIGFZM7R42ud z!Hu)jU?GbPj^3`fa3pf?f%*NJZ83@lyT>aR@D(Lv8>S_Z6AgxHk1KgxXs{xBwjMj= z;t_}r3?baqUl|Ri7i%=wYM3XW?Yyag_jPFSWN5IF??Qve%~5sOOy?ue9uR*hVWYu- zlk-3he>6CqebOYwp}{_XM_mfWt>Z@gjMTyStTF@*hR3d? zC^^tz`tnVqkbwrvZ?z#FC>orL$vficLYOhKd?v)8!KUK)1|+zlmTgk=R1`;3V+qe0V7e_0T_0}t`f;{^fHtd5&=1%A^iEDzkD zZS0n^dEmfJUAm0U0~@lE#}st<7rQ*aBf-Ew-SpA1oC*IdF+LG0HvHRNm~4^J;a^*d zK7^yu_Y*MLR8`~Q#C?^mwRRP=*kEMhxYyN`B};RBg(bd^KwFu`o5lO;dRJ4i^G|21 zf1=w2oV;(Vu-%|Us(cqhr*E-V?LKI3uF)ykz%TB&G)RDoH4pN~V%48XWjrs?U0rwU z?zvjwUznxFp}+#iOcn}x*EW)2EDj*K;6;9phdc%2##|LSV}=cG9JcRFI37P{e| zX-;22x^4*k%MV}2vcE82!?g#F{nZQR0|$P=6+9dhOdrkg;m|rg z1f_xca1pMhgDJRd!qrWxadf(jV?wcQ%rfG^go0(mlfGCT$Wzdid89@7CY9t$NZqUB z(V$?vvD6~RK*7GHfh=qbk^3Njf6fxf*(tWA_|TzdLBZkRlzIUM3T}j4_HtB{&tDpi zd^Z&=D=`hT<#QAj)Z2>EFjR10e~pghF%gacuJor*@K6G{8&tF=VG+RG(b#S|jsPaiTvm(7 zWA-bMY0M&QDGmn47RPpRHl7JvI6D&HU|{HA&9a32=r6$asehG_%>^%`GSh`@E*N3# zNK~LCaQ}2Bi%heyNuZ^=<9l}?Fw!zVk10m8IL?bW+Wtg$>8B)jeC{gMvi@yVgiK6N3bn zoi2q**d(y9$tPRnCV@-Qvyn=K1Ww;{V!ptMS)Er zrV#-K1umVRQi*j2e+mqmF@}lgO#|pBuoF|=j0U9&*|;zx`ZfvMeBvRfK0k~RAhQi(ACNGT6EeYjpB(ZiW#X>X**Fj&jkPrn~tZ1OaQ1gw{$Bp0I(yVX+_8efR5Cj zHaQLe7WEjim;i9EW`9b-27qImJFRL204}HPlzXrNU|;82iiiyW=aX73>O#XN4)78P^e~l}NM`{}X%RC%Ko6}KYh5z(nhm6htB7LKqr40Ue8#r+&W${1L zoNu`F2M|)o4j#zV#OeHfvQISm-}8@ezBTv2h5p!o`c9rub}K;NK?i>N|1ZGr@n1Y7 zY!p1He<_mjt0OJt|46Kg*FXGg=li~n1^(#SS6}}s65z@H;@O9n&X@f+fBbv0-(|k+ z*JLW0;^i-h9r~KIS^qf#y#Kcp?svErfV;`(^zzt`NJ~S;K=5}^<6$E*%2IiE$|9=w zr~e@|Q(uxV_%~!e!m_Ac_Q0b1hS<_l36kJAfAICqmLm@GXm#d>02g!x+ry4kv@ld3 z61ys7WbNm=jy9-5YWFV$y6w3Jt{}${cCvsy2k#RhK<)?!^Lq$t2f8#YC+~K|Gy)rAkYkAeZ$R!xfr zrcdN_5H(CMExM2}RgG*@QrFmOLI&YpJy;vTis2uE#`TSA=%BgUc#{LA3C}#UYjlIp0Rp@oe~Z2J zMw+LU6Cq?I#B_Q$ZO2a-&irQTfUgKkK10`93lz+(_|)8bvgEVx%6@}*G=D;~56+-u zXZmo^Jpk7? z@dMaGt$Sd@m~-iYB8#cwniP)w=JAz28QUQB3D{^JZxrAXLd)7}6V&{ZtNb;@280!( z=u~^`o;R*;AS}J4gX5IA4{GA#9DJ;%;PfOrONe8q-no}$5Ob5q!Vd}iKed0==5C}J zr#teMa3%aY;@irUY=B#me>0JZwLXu)-0Xl3TiWsz3`Jkpi!d2wYf@6Tm`x#XHg!uJ zJim~n39)Z-B zqFoO<(yW-Syuvri%umc3li~oDUOSv2XR38Zv(xmj!0G*`kKo+me}4l@5p{uE)9)E<@x%TiLsJ~m93^E z0gcVu%|YQF?5t%}is2Tgz_MpXJERPO%&oODMu}vO7Bu0R(B2ciHW*GXZcyOB>p`Yv zK*-F-X@=^HdANFpe|uo$sQ;gEp%UN8fMt$mn0vk3VN%NZ{qWk$nJ!rw59;g#0rnU7?PG zMcc|Xw&l-5u(;6O4fQK9xFEvckI%>(eC0SEMuzPLT3T+De<(-D9Io9WYxo$cohnBb zaI#(EHjLNC-zYHnFsqF`QJE?COT$>23Kf9V%nq3NINDokj$QO%pv=bM@&hF&eJ`#k zr~0A4{ppY3IhJZRT9jnr^Pm1H&`qwq;7qDj953ZbSmL15io^=loxE<^zMslN`NUp= z*3Ng6ZcClDe_D*6YZsS0{S=2u`iiD0@jq51mtk)j@xUlfrlNejB@FEfHN?I2BnJ*t zhhFuwk3W1*E|b4_|LNTeFcaUZ$4>Wt2=Zow%zRXeccMQ}mEf{q#W4g}!8n5{P5&r5E7^-f6i($42+IkdfNWsTi0Ru=ASPQA7T)9m(tWARzB( zSBaBkI`m{MH!5NnJsw@b_Dg>Nc3al6c}~esN^|5s)YFNb< zh6h`8Ex6*y$(-OonNut}92#=&fo}saoRpxKvsJj^hxrx`5zLPDb%T~P3nhk3Nx3K>2D1q^=~M-$g6hz zGd5gaE}A{Q(@eN!7JakYS^>8FO`o6>+(oa&VkQ|X1PgJVlYM6?L6f0Xr( zXxf!C)M$H~n>W~23y;Cj+T^JO6$SPuu2eFI!6oMytL02tX~?F%j$`86##LiIQx=;V zmC}Mvd^6AL4~3`-q1LfiDPn6lTPrgy9*jzW?dy#*j~|eEaoO}=$&_zCeEL4w4)(*x zFFw8cR`*}Ihzs)%-x(Cj3We-ff75BpxIp#?fAN{@*MIc#XP*dn{m)4+5qf@HXyY3; z^Z#o$^V|5v;wCeFIfsXR9Y2rF*{m>ILkN{N}yqmtHSD-vXUwHdshQe>d|}_A7Fr zha270bvD5hoqXTxclSZYa>bDc2esKV`JEstcPbfr+u6Oqk+*pW%4_@d@OXLi;_ec? zzI^@VOX5PwfiO9wL8BoZU)#awDACC`ZU(@_3Gpdk{PN>VPp_Z6{z!hp;O@|>oXjv2 zw}o&m$*A?^FFt+`7SDa}e<9c{Dvc2_Nzg#x0 zjf6>p+H%AC5E4`nP<+mA>bK&b*3Vfzl2E*{1%*h&O(Jj4BhR0_1`U3f!$>7o(Qx6u zfW?POkB@(Ye3CzU@i!m6{_-8DnADYEwR8`_dP!_Ep9zctZYs}|e{5hhkiT2Zy8}iG z!TNp?78spX#>I0?{CNQCeXavxPJxJAKf)*X@|~v_*eTwmrVdg|m-=^58>_ES&~Cwf z@)mS1Thm1NE`d+M`c=8_C>qY!>V2jw;g0~(1@87)n^x;0pIkFUy(U|(f zM{=yQYm<0^%xK$3f0S2sSgg$gEU)Tmy&Q*ntvh?+`TRaPJr(Gt$KnxnRl6qeR*E56 zSE~iFr0t-StdYHd-=_WYhvd?38nAZraCEC(pLZq0(XF0-N17T(x5oUJsevifRYL^u z?A{FeHgWM$%i{IRh5p_KR*I3tBpDZt{eR$(BR$l1O;YM!!^l#1~AJ%UaIDp zFgMjYFo*+YQ&k&zTut@XH_Yo~hcXv1^Y0y0A|kQsjnqpX1DKsUELl+f_MC8_A$xgE z80U?itThQ1f1@pUXASU~7%eWhbpZxIcb1-9BoD|%GNSV@;^R`9k3sKPz;}>0JtS}Y zWX)7Ht0PLMd9YVTTY(SA*J*CXn&3<+<_QCTz_M?RmFNC=vQ*&b$P+dYJsMQdJa^sCvzz^NA&fq zP`9uVe*)VUQ}2VbP9m2FSIEei%@4=&+8?9%&^z#Sl24uNgl%_y{m;l%;01adHxUYi zEwD0K+10GuoRZY!(?EJF1f-EV6CG zae=bP($VR7K7&QJHujtgKKpGMxVsAHNp|OJe>ILy|Cd~_GUg)5TglbucE^A9Um(8^ z{`8QH(`@LS!J~{g(_5noYmrVf6ur18U#;hT_K#f`=zI#Vh@JP7m(-q2sf{R6Vcjs| zR^|@)_6t!g>SH~M!zyeafU~?@8wVDh&8RI<;jn1=%Gr*J1B-4=T(!tCSoC($*B4F# ze{~VC2`9^Yfrhw-5+McvcVDm6AZ4hd z(M4e#vz{J-a$x2LQe(PM;NH0dV-Cf8Q9k z5#%Fa>WS%v!^Gfu2O_KWmnv93hgyrbAla`x5e5+CegE>OV0}g(DQDxW=vA>4+sMUhwVm^y&`$o?MdtkYC>WO(w%!tm2 zkEqg>)=FrwJHNWZ!DqwnxZd8%RvDRrWl8ryRJ`A%*zJ`;k8gWzu>fu+c*QRW zADH;Zq_x^e4&BNlu+iqIk-9Ck^H?Iy-9~%I5muvfAN1MHRR~fK*91(Ved=`k`PCSt zzHEvJm%42%b#I9~;7Cbde-h!mL*iK`26wKh5fclaN6gTc9uE4Wg?*HxNdA(u5Z%x5 z_};(OmWl--PeE*{bx}dX_xh;mO*!+{dVz(Z+zdHmOTPtSYij`t($rAlZp7?ptqfB@ zJUKtyEnsl}nU3NdDI>xpwp!nfU61Wu_Yg#-1x|aQVu0M}rBObae{Q+6ZO(vzI%{e& z0#d|mtw2(Sy+)2|1$-?l1rl}{$az+amhvg@?)A&JUw-^0ywzbD^$`NMl3>z%@7&|g zb-(ZV7KY+UMK!?)m%hy@6P!OBRmDfu4OInGF#?>rVaL=q4o&fg z=g;1Gz9pT1eMXH<%7}qpfY|MYRz5=nVJ`1X)sNB=5L)X$a!lP+n{2aIsO0*aqUU61HK?Z#^Dc zv6?YDQlQ|JVrXt{1xkz*!^f9w`+`U@@KZ{*Wl{5(!N_(Idj9^|o0lJ+ zzohKb=*dcg43kD8?#*~kMUxUEH_-=pUvcy<=n79=m(%1X4xi9)nOknMl`?lFq03DI z{B(T+e^hR=KXX3KAve*cjhAx_GtIwQ98zKO0>o}L#P8@;cqPh6VMLaUp+rf|&b?5w zl_;x0$%B}*7j)lC(kl&W>M7IHUT?ur#6$p(al*U>I=`$&sZ-LTpKHGm<0LKVmD&Xb zM$(d$w|U}$ev=n4&4>43eWwQ?BDE+_NnIs8Kw0cUE`$F6A?(W~>D*2FTp& z@evrRIO-O##W68O)pIhuIHss6oN8vn5XUU(t@$o-OsU^Mpvt`!;PFW=zr%{`U@1#9 z(`wJ%!H8yd){=vmZRBsScae@PIlcYue8$sw0nQe-m(@%s>jen8C`@OnYpxPn2h?tL ze@$QD<(V9#u4&J{4B{w-c?2{^Ck>oq2aYaEtCehZO_blJK}=WIgf-4Pq^P>aY;Bsx z4oQCq3}=l|LJsRr?2FlSeE>%(`V&wXX5N6yn!I1Hyj~Kf;{kN1deeL=WLQ;Bw|735 zDyLW1zA4A6a`xv2d(}8q&SGEomfm`pUZy?%x(Iq5)a4U*1I(WJhRfW^Z8&ylH_|XIcPGlf z@**N;&^_Fs!!?hb%rhk^on(>9f9l-$Zr|bt5>ES+ABzx=gK`|ZhN^#yp6YoEf?_ME zAzv&gLwcFvoB0Z-ZYeDO)DNRuN^g!R=h0CTJd*t5_lxiYY17h2&Q(aXc9*o)8jE9S z7vpkF$omo-kk`j&3!!R9Y4Ld|z& zcJgsy0dZ*Q8>Y1ajIRZqzRtX}6s*qv9vDv8bVwKqsh@xSxwA}-BJ7iDqCa;Pi6AAFW-Kj_>W8ke@lDU$D01n z-w71S)`ZMge$%!3o37RWAYH4!i(6-IMb>0PSeSsRygHBFHAra$4WRPSmAxX_z4;Jfw`%%owIPhuIeya!7Q9_)h`fNgUGBL#??AG zy-Kg z0GhAMiMS2lJGMY~nXjNXBlo+#?cv{vsj@Yf9ek{qYIJ!%fKyD>VJ<&aFnZM$?cT<4 zXt$q&$>5G2Oe^lnxxq9sR^JpFpMHq#eRxxobB+}1r<>aAe-!LiL?vSty+WL3X|5;j zREg6pm6rO2t8kj7){U+Vm9w|?)<{`1+8)QdtkX|PZ-d^}+!@H>0zUzvy^WjL6ZsKH z7`FOyROgpwW&~rZ^NVeJ`!a^u$k#AlgvF*0!A((losvUT6lE)Dg25}{k2=*sAYy2% znn$b5FPo_;e@ELud|!#3tUa6%v7ThMH@2xE>X%F@v8Z-vz%F&vFkAA`|a^D)N>^Z?Yy6y0Fkj^6_{X^C@^yXJANH`aa` zszkXle~?{5u}YNg&Dk>qVp2K%s;$U8F;0o1FFvo4V$>!Dw-XU?4-*H_pEwU8Cl9jf zLbHU7Ne6|?V<{YJ-us~8B7aE&HM%ccnP70?xA5y&aY@VW+@=RkToONGjaJ`DN3!!G zcNAO_kxFCJn$XppJ$SV=OUdlpJ%60jC}P+2e@Dm6wR4QLO$_fU<>+Hqc-lIF8y%^e z%?xDbQVIK(wA?tuS<^p$R@f%OX*?eQzs>9);}jjc<12XrwxT2bW+Pd_q3GyqyRP74 z6&*c6SH;-+)<+;Lr>2QVS7h9bh3N5$41G>RGLP9gt}|}+LV^=%gof<)@|a?Z{3P9! ze~{5dG9zfUSI&@9R7VY@eyxsaO7Q?O(nb|~C!N3SEi1Cfu1QN)SA zyERRtN+;F6v};Pa=jw&oYTE@)SIuS9=Xw**$&n0nplu&W{UdyH%A~xL3;7-DUf7tp zP@|~3zh)$phPrFV!_PPdtu}P(e_PZzE`1aiOC^f2T)Hf3bBNCz7L*iiafooJI;f;g zj-u+rWdB917VaVFSeP0og|;jl9bP!~hd8o46jHLoW0B=>P5zXUMV13&S`0!aS)M<< zF2ytkINm(IRpQC=R^gFGMI*~4#|wHjhAj7nceTseWI4t@H6>+Ei@4RSe>BRuh;r(} zs)qlo4`w+Tyl9oOU~^o_L7$MueOpTgy{Vb4#H|IIw0K|a;r#@h*Dv4TXs%Dqyag*G zcnbVXZE<26%^m7@bc*Ttw)9N1EJE>ZNcwRF*0XyGbSX_S3Ov5uDM-_KFhK0+Z1udH z4Ptv^4sRvS_`;dG25k{Be?}$R4Y+Acw}{27`RUD_QVd@0S{ckBsR6w|bY*xj$GoTO ztN>lr-+?DgppJT@jxof#Zg;xTD|Yp6znVAAcBK&rzJ?HZFU=Qj!z zfi6X59(phk=+X6MpwwlCoCvgZVCW44Y?f#5)$phuF^uIN*>e7mf4D$qXY5s`05cfa z*pwyW;+uJ~UE{ds)%KGzhm_4djYsBYIh}iUS5|akx(W=QALe3vu{{LQ73KntB*+sm zkuW%fAJ06M6VvN~b3X5Zqpg+qczo#>qF)f=@a2WA+8{vj<$8T;s|sXZ`s57Ohvly97S7x4RK{SQL zPf7RDg?Kp0bl-joX8WvWjy@IlK==0GjFL8WKB8la z)Z{QngjLji=A8f3f7=`;$Kb{#!{jD&#vsIvDTP@UIg>Z8e@)tw1q|M}u{=B~rgaA& zwH?j4JKk?tqeCSag19zOHXz3E!tw!oDtWpwX1({g$lQ{Gi{E*l%+*3W z`MbXhh7Z>Me@{HV>nd#UmoV_%QCrX;Hozx>#FCu>F^ccvtmh`?c)#>v{fv@{?@UFD z0oa0td!TQ`+bm;HxWJ0d7!QQP1@vzj#PkFmamUVLw%d5vAy`}GHb2Z1_Kk^yYc-q9 zHDpel$rxmAdCxH@`2i#xvZ!B^{U)t%Fd6(j|KR0Ge~zF2)`{9h>9}6<9fMGICE)%9 zI=xHzk8O(ZO>2Yy3|brfcmJV>??!4_+TnISrpZA=W8ImU+0nqf9c+b@x!4G7*FN)Y z8trP%-OCp-XqWB!?1Fb!Ti;#VO7$FZ7oo>!DwABJ4epB2BmHg))py(^M`JR>ehLUT z*|c>3e-4T|_I>)h$&Ua31?Db*>g0+)#8hYd^$aA7Sbc`~0xA#0^ya{E*X=fD?I9&e zkL$h6Q`0i{84a83LS`+mHpOp5=1PO-7asm4QZ5>2ZSm(}y11G*gNId^g2#l;)IcGU ztX&<~7Bf4lE)}otE706(d`zfO!cmr&d0a9hf1?+(6~-IwNJq`Y)9VEFXTK-=F0IQi z{Z>0us0|~wDLy@^=2%>(xTHHBmR5Y^@L<&z4W;E(Fb5yiHk{`xY3V4R(IYdi#-{dq zJ%U73%skU=eUU8WUQnt>J*Fuit2;w5k6WFkrvRnDrVLGaPfAzPCmju>iJduz#KM^4i?NPJNkefyE+J1KwYHaY~<$ zaJ;YgRkB3RK?kF;GK}VUAvz>iLW>9UPAc-n#712l5HC;Lm}s9GyK zHg8Mj)H3-VCa$U(9#2XAzz9=*v|h8?B18O-Yjabi`UlWN%WQw-9_UT(GZIlefB)$9 z=36~~gp8l3|NT43BF6Z6#(TdJ@_ZxY`3Dj5{QlQ2IGX!09kA?Hc|b4~Q(KmycJ__; z{P^aR=j(f}n!D$3KZ5Z9?JQmJGm*5KsKyF@I*0r6goxnB;9u-eBZ418aO$nsJKU`YYP?;7kwx087n^id$zWxb={{@%+~g_#qAo@Ol?oZ zPKX~?GVvHR+lE^tY;8}irp>}#NOf7}B>qwQK1 zTgnrjvYnx1NqM%z^sCs3)ek^b&3U_+L&{SW8K+Uvr92kHcAI;UM)djqi5x5CDez5i z;}D}f043Uh3lD~rXSuxJp97RW1)AD~NX%%B$@+)_IeyxvcM~-!mo4R~HIzil*ixQ6 zU2Ge?!LMI^@_hUH!v{~|e=9z|Bs^5&9phPvB@(vI=OowHPmI&~T;!zAs&S)xwYj=K z#I`1y%RYlg^FRGFQ13VKmx#(Iqv)(rMpyY9RvGOa#T8G$&UR`vRwMTa1O*x9IaEIO zfXERAs`Bx!KQ@vcid*Glj*I#pyInH{K9+aW|9cLNPkBn*x`GxJf28ggorw`Wk8xq( zQo_*l4AsQ?^00cIkl~Y3H8ZZTM%?VmQ9;jzC8Q5q!1WjeuAa`rj1u|OzkK`2^NUX( z$p3x`wu6=jL`vK-SPGOlxzCNRH(rIx zed^|S=0#|`o~AIrG$o?<3Dzc>{sV*BauW+Dl&Ib(es-qZ1JV09+Je`(rgfwq#vZBJ zf}f>{j&>0u_%Vb{EQpa9@_h2Fj6;q17<74WCvnc;=-rP=>_q#+#qV+xUlF^EIJP{$`U1>k9vZOp z@ewFaDVS5x6A|X#<#4>Zr_#LGrC_Ri!WPc@`8ajYe?sQcr4)`~CG6>I@=*NZ(~mt} z=n}Tr0g(-Un<8e)BfYgw$DAX3xU-$fVptC&qF#Mrrmg zx%6Nufb?gJhxi64nfoPL1uf;WcXnt+#t|1C2TZCkd63Y?xS)02B!AiFesLCu<|n%KEFIRZ-7O2U5tipmFE4UoT;0}8a_IIRfrXmA zXpT`IJ0}`{bgGASuB%IpN`fLM3;TEsNlU?f3dStsdOuZM^aR))(-)e1urR59N7e}6C)K`A%awF0zBhw-rYOwJzYku`66 zf>Q*=4m4hiFp8kwA)jtJOA*x6Q`(?pDuSxFOga^7_@;N|O+24132M%Ypt^c9B|&LL z85?q(Bq(svu*_#WIv>4$@eXv3lCC6OEOZ!6PP*n23+0+tSLDw5AVU#RGES|KO;=T- ze`Ynh(48ISl6DLu462D5aZe8M_PI?Gqq?Bx3g0@BJ5ktqcGHD@C?Y#LJg zNC|DShw=wJFiN1^`Qj6-*x)Hxyv;cgyPCtn+zWA(y(SFzmp}aoHVbQS__VZee``_3 zHJORIlL`4QZV6G9kdJ0&30bVv*R`ZI?_k67Cm_juuqDGIhq$Y4pV@jw+p z1qs$$>~aLOl7Z)-0bk^6WHlth7*5G zo>|)=)^S;(*JRU`kD%hx(V?WLf5B6VD+9%DKZ0zd_rjAr4?hrB^yVdbj)9GU0AB@L zb5y?@(k;hpj!rkK(nLsVJ+f^yiLE(ubPqv-%}TKcXD<}<87cP0!8(VK;e3&?C=si? z`3OeO=H_^;mJF7mnFveVnLGq#6BEZA_4rSK&$cO9h1Dzt40j(OPA#Qp(Cm!1s_>#Ei*~xi11DPQ+$dcU zqoSq$?$84zMzqx55;&%2e~Omu%l-w}-FqJ-w3bKnaH6GvjkX}+nDerD^*)RBO1~6Cm|ezTkPG}`Fw`pY4-TC0p{Ou0V~Ljo9(0EIUPqDe-aK!-yc;zCA6;pU#ReD zR(qQ$VGEzGVy(VR;Zs(Z|B?I$5Cq8iyEiH`#ys&Ztmk6r%6= zX6nl~(kL!4`8!<8#myw=PBscu7)jJbb^f@B1e4G&b2((sokkOA4@)#WmnkI~3US&v zb%WqMaLQ0>s+G)d zd?0*RC%hqix#J#_j~Jn!t#d(o7)E_by@Pl$=q)HOhv)bgzx>kk)l0Gg5MiBP{=)Mf z@D0cemAT*$d3-+eB1JvF^!)VhXP~yfZdZwrRC~_Ce}xB*o9=71jeO|ZQs$HRyjRX9 z*wm@>`W=YdZoiU#_WQEm;(85niKPDkPe8E0xpd_pB%m?asfY}JHG{z}%udZf`Pb`L z&z(QY>*dcpUy+TjL1muf-$31q*XxhOeSbmNy`JUzZ}>xl6gLTqXEAaLlLZT`1sX(s!a`P zv%Nr#|3QVyb!w51&g%nlfnPocBN?U)j->8G&@*b<6@M^^a(Pu|tN3zq>Q-t7pF?w^dce`95?j;L0ced;RX`E9L z(0wEp_kUa1B%1Jd>ar~Yay!GTC9VNpF7Zj8KLb|{dv>gI{}|MUXD0CPq>c?^+vQMQ z>AFGW8YEVZzIAj#?7Dg6gC+hM{<2q~J*4PJ#3tyDf%sSI>(j zEPri4#e75sLJp}gzuy>ECt)g8v&T(UWOU0=s)ml){`H+Ip!#$wO^sCrR3CI?b7Y?% zfP>(?0aCRlCXEkqd#8;HKZE`mVhM@IG9FsI2bCI7kw-o`;vfC1f&w|>%BP^ZF=mL5 zst95xE^YXRAf4G&-3rR|KZj=T+4JWwiGS<(8DZr>V&?daimfDQTP>ve3rVZD zGSXO+zl^xfGDB9d;Iq$U|Mk}!dE%wdL3ENmUQTV4=Ttt#c^R)jLZ&H{#}exa&SATJht=D=4Qse!-nMW+~lL|+d%sfUOB2bS)(EtGgt+2`cQ${ICBuiel#cc9=i z@j(Aqc)LJt_j$R3ss7N!wN}W;MbEO)u2ZsZ&e#R*u3Oy$d^bx*c=Xeo^bVkF2P(@n zUC{l#^C{sO0wQ#Ft%Ov1WA_%}OuzRc4qC8O5gROY8ZOs){l)8#AIR=?55d&jR4JCs zJ_gN2c@-+A@FecS&!nI{ZhzvdK2n>9*f}rXJp1L#7of{G(hhH{+rNV*;uSbv3MiMb zHp2T)AHin*cAyfcWa;c5+TyvCEHx=3D*}v?rPfd}0Mny1SCH^jZdZIwGDP4Kwzk{^ ztI^2UQJGxQ{{)wPEg78Sr8-iwOiD5LYJY+(QXMUbh%ntpTr1V=4(19sO%ZJhMb*%C}T7| zmQXH3G%x|)CHwH?ATQ@FrEvkXqiF*$J?!+rJ_m!fsaoaNOJypiV+sXStbj|CJNL~y z(5`W$iZCS=-WidNLVr|hvm3M1s%9Lmguxk0$S)&DAAB2r7x_ixvvGz=#CJ4r*}sd( zb1w7!f_UU_;J85Ib1#fO4SWs-PhU&8KlCGLYnXBk`pl7?Jhp33EIg2QX51EGszfyA zg8&g*3}hZNpGXlgka2q6EOtE~(jz1nOZ`C8g$hv!^{nQf$bT7CCdb->bv~D7$QadG zE8@}&<@VY##Vl8k^ux*1Yqha|1@@LDFNqAZ;5Ate`~DIyGK$qCokFP=bWGp<;v;49 zW-l&AJs58BePW??Rp}j4POC@?b`*W}wSDgus6YY3%J24k&5;p(iejOsA0Hhb5wsN+&Rm z7Wm9|97pRMV&3~;DWfNxN0ZRd{T|m*mXIHRsBq<2sDFF}<&zM*;wD;~O(!RAftI0p zU|)z+Xlc%-|CmwX)KZ@(x|3{$r2DPI_E_-PaZbnphZz&T3YS;n3h78&15)z(>t)W< z>Mo#jAAP0MmJrol0191p(s~eAK9?NXPdT}4{}7bsROPCSkbDA^3oxrGsmiawdsK96f=J9bnmI)yS_Q?i}|;YU3H zd4KDM1vOTecRD$7h&2AB)<{sv*^t=zu-zXB`Zb*~(2axf0K70~nq>d@G8<0xa64S2 ze+A?Ff`y#VN%4V8$G2a9@)RrMGv(z@@tm0gT=WH|TVsMq|M@qOOwU*EVTx_^Xy+fp zbIy5FL1kf5iU*aGW8?(ylP(%@E!p!WQ-2DaOfY}iRh1j!(d{V{3Behc3gCzd?{L|Y{Z(wp9{)GDep<+3g14F$1+?M{gOle}tK;w~& zRgLMp)^?#}h!Y2W1~VjICr*r?4@rh67ZnaT%}--cTsf(nV@noW+7|x|uUM>!*hh|4 zVbT%rf9gp%?4kA7Ftw^}*zBVOsvj5iC#Vf?o9#yXW1C|uBDYkwUFNKWF-V9Vz zCfD`kseJ|?lhMD_pDvWFFqgb>1Q>r*ladUEuJY(~>hCbJ$^^?z9n5pyMQP5A+S2^) z2-K#*rSk4MEk{6@^~qZOh?1ekJkG8&q4VX2%k$^hnFKP28<&tWt-SmF&>4CU%_)>@ zWR7}|%JEx`a*7uHPeP^6-1yHuX<7D;14}bhSHZV^<@Jn+I)U95o9sckDolS#=wVnk z*{k-~XxvC@4FCtyv zc)yKkqyZQuvBWQm_$Il45#cR3m4=hIva_zl^|oeOf(tWh3_ zJH_|xL&b%!h<70`n($lqBhs*|`!uZC^Q*Qw+mH+bn8{&8FCfQ^2ROmypmQdK9SjClTZ=6`|-Vh_vV*y&I0)i zr~1=c6^SJR_8M>FLKwxj5@fUHUm37c}4YqS=jE{;2$M~Op zPxg<#CV+Ycw4LOf2x6%(Fnac)=U5C!YrK5^ zo_PIFp1pbH`RwP&>larqok7~x62DAvO=lEIbBm7H#;^fbhivh&I-0d5hl&{ z-lab6=LaU|YI3d^iXD?5UNl+f@`%{4!W4Jn;mW@=PibO1{2VOb9xe%JNpmVa zVcYW7t58jM*3I#P7^mspOqgngy@;tN`Nb<@mELi~#P;A56*DRL%9Bjjg3$5qG6h5M zol+Os#oZlp$8N0^(pxw5HSHM$&ejdqkd^~#oZj7vp`3pyN)@FY>By0}Wam_F4DO~^ zAYy5#FNX6@HLyC?f^xj+T!PcTjcYfz~Ju2u3k zvdw#0xVL`~d5^yBvi~exk)a*`_bi=!XJy?KbtJL02;?{41Kty@8$zeGbsx_ke)9U& zM^CTUKmN%_aDH%Pb5E4?8}^@q``;;BQZ+Gav^rcmlOsxwZgCf zb3;J+%w&!Lr`)&?sw-!EIa-IV=uK_VhjTtjr==ui9prgqSg`VN1q60|LyeHLfM7R8 zH^X+AGl{EQ(A1y7Y>$!^b;Anp`UB5joLOe?1 z#wCBZ^dw_DJU;~2=||-w4YZ7zYg`qMjjE(-W5kxRM9!e)yh%ro*HqzraH0ZKT4L7(+rk z>Vq}a6&mO&GpHb84#S!P{spU)qM)ZZOTm9CrHJ3_KJ#FfQe>7*n8au)MNFr4NRBV1 z=&hcq<si%s1r?dN_js_mYc@xtLS4ny;RlmQDP-Yhhp*uvuS|;9{a~8%clu zWx04R8A{rbbK8}KX=(0XfLa$C{2;5;9=F6|ZQ zsI~`Ud%->h(Z);@w)^oD5SCIw0 z5Y;_eDsiQNsCbF)LKBDMR8Pz?MN1i>0O|XXd5*;dV~ZMr`OuMzk4)h+RD^fXWU({9 zlS~_`n9&Ca>9_r{v#pcm=)_lztF`gzEzj_P^@Deh{d3T2*1o{h-?Zh0m#gsNz&k4t zTB94s2Ez})q%r22@2tnsjBSs`1p+Q96d?bXwwpH zf*Xvw!_SC~N8GCq;Gn5}#N&=B-H9wiCZfj3E?al?JNt*H-@TKFzIgxX3x-5AqS$y2 z6_d~xbKR1ly7LeryPl`UKBVCowL0w`n+Sg(;plzzMA*-msoY17l>2`KYRrLbnn* zA+)B9AjtPDK96$RON%_g!pyw$o6#M8ca|mbELEf=w5Okxr`mr2Ji&<1ld&URJ3lcTYG=z2tQ32i1)Gi*E-_5 zV$n+*A0x{%XEu&E!-?M#iMVv>QVrpLX$kxqA_#vFym6Uj}tk+di!O_ zYrHy~9G}$$*e$DuO_|H3Bzn+^853LB5;)d<*D!d>9GH}qXV!UzeGU!|KMd)|-X1X?5G=(8mW504Yh=loxFyYgOpWt!C zQO35B=c{zS3L*55mi(-2m*IN^8Gq~S*J+Zi$)2eqT1(x{aubnx^rw-@b+pvoq17OW zqH837Tq*Qe`K1-a{V}-`>`#j!8ES1eo>rs*d2hP8va>#oQb#6M4?2J+j`QdMoq6j= zR}+O)L0EHB@eR==0}R5DrV%XdLN0{+0)&*xl^szaPweT#P;Ed@?49uKYkzh?3qz-7 zNF^d$7^cg6vIP(gS*>P!i3>zpxQ1-d992#6#4c)0(l7xHnKnz;!-2+0=*Vj7GxtP< z*^Zyijg%)s3Xm6XYYIDS{|tgyVY zWBj6t>6f#4X~UTf=6J#3-OM<#Kt-SCYV-e6!d``B10091c11rb&jsQRlv%00l*3l$Aw z$bKU!eM0Iz;i;1iX^YZnXmk$Z;EnCCK9kTWDOjQ#*~u*~4g$1<;pV*xF%hn!_<>j$ zc=aZBOnb@)Q5q3G=t^57xiq`egw?Ppyd@a=Hxzi2!-k5+4u3XXVW{X&m}XEFhNXtS zE(V}5+>5JTkbI8Ix`fI<^$Wl-poIy*LjRPeF!a1cRTz3d@m^v0USaqh6o%k*@hc2( zGH=ejlvJ|9uxIc@&H-hD!@H#eLQoDk+7~4UT7CNDfEnt|2?kB`r?qYEU5X5X zJ%`Ek3iF&-Vt0f zHDirK+gd1X>r+H~qUjO)k(+%-On@i)8FCP%+rxc6 zpFV#0Jb&aVI>&krRUXQMKQws$9GNSZJGpcj)7n{$QSw8Wj48v}vrh9o9m_Ih;r3^b zp5SS840x2JjcBkVhN@nQwpaZXwj8{y%CePKF-3Khpq#UEV5*{t{Fpi+OjQ&+)@=+T zCptYlg(uOu-`>+8hKY^_8sw8KdN1T;nKJ;b_J0B5sH$wlJ?05)a(Jg7fRc>wBQuI^ z7p-DKyp-Ki)GnqgbV{exw_?B}btg6B5nP57Ju^`ca?^=w_xPNANDx4VW3h%G2+4Yz z-lJ#%O>fgsRUIh?^fpP?p$aKfZ`0KiUcmsHA!piZU%?eAb-LSQJ~k^cj~es|A(o@| zHh+plWpixfRN{*y$>~Hi9^SM~;7AFb)kJl2y?Ut_XT#z9B@yu7LsUyjw+N<6DK<^p znO;?jd0^xa=C3*#+7rs9$xmuxdPebp-zPsIKotsP(JPZDC~PjG%dG-h>5JbQkV2#&VQW3>kS@y`sty;M5c82`9bwzQ8$hz5&Mwgr zOxBR+1i6pkfImf)7MTmyzhl3rZG-Ja$Qaf}k@<^PZ-0q&CPk$1sgjLwyuTJzvVXC# zoscJ`sWWz>$K(<~ozdEE8wjY*7}>tA2;i#pF;X&akAc>XK0*>VT_<8+=?Xo@l8r5p zCeEcfx+$n5>Boq}IGio=4jR5Lyn_~5-`x#um-+y)-OLi+aa0vUMyNT46+p(INT{oY zIl*q*woatphk&kCG>U)o?nTHwM1OCq$rlFFE9fV4Zt+|>8jO7H@ypJ%D5{uH4MM_c z<23`;=ULs8Tqz)n5X!0)@j*Tj!d`#l621hmLBXgr?_Oc1f!4gyWl11iz<5=r4qr_q zfQAldV?tdw%|*7iN82faxmw>x$}g7w8#vW|uDaul0ioL0G;}Ucv;ny4`>R>) zT(VDW##CM%14=OOavrR6U~KQ`!O=UsZRi(Yz5R-qAo+#0JzNjJhp0bqo|qSTAoY5= zE9yu@ph*Tgp?{tGZPe?##ExP<#20p?^W-`}XXxpb!E`o-41KWKS;&Wy zp=a8%;>dJoyDP7bN#!rkOePb|8BDz8-CohzDDTX-b0EZKchtl=wjTTp<(84O|AR(Q z-c_4pnJ~LpbN-#1Vi#*188-{y#NCYXqd&y?wm;9S2k6u1}fq|EdT+F?k%uTFQofZR@3KgE46(( zk%LnV{pb$cH9Qb6uF;gi4@f{XH(-6aKvG^y=6I^m$Ek~sxNZxgQ{*M_Rc=1{Vi($;@d2_Le-($f-N3Ocio16YSNnrtoV00MNt`esCoMdC>Imjw zdo44|Sah0Me1A*IqBH=_Y&lcW3vH$F38J{vbx0uYw$>{b7e@7RD@-c_3MFg)=)@$T zP_pJW;*Hn>BT=$?*JeJYGqUdDr;izMMplt&GG0JpWXZeAYj_Yw)?R_8P(&qIWk)+w z0+{^YLyB4~X;KQoYCmK6B8WnzI*8q$k>V9Ya&`pU0DrMLQI?bc8Md{fE9~hzG&*_u z5Zx6l&Wu{m?b8;;qErxH3x9RJ>H17G9V-;>v)D0>PYYz zqS|ZMF-Rn*-Mynpk)N%UUEAOilj%r?X1fgMClR66kCDmI-HaeQKWQ@Gq=z0IpCK7p zj&tZddw+lwOij1&;QXSn)!Jq$z%Obq>?M@BWPVZW`SBG_Kk-Hx*xrNm_C@50J;O>o z1Y~%9`9|cS_t+|04;V0d&&6KiCKp2GsZq3-Lfb!ljHFcUpx;H%bk;lbbwDfas+hh8 z7PiaaKxjcqW`9g^wJtFg59AQ2GhRi0(8@_Xk>3k7Y-%fr2zQ|1FaDM>e%>!h( zcwkNp<%?vWSR!etohVyft!yaxa3kEk)UL507%3#?(y={6G93xIIF4r zkEyW9I;i#eJ;Yi)c_F2-F=|`#4x}`ugnw%HC`LeKN=)1x97rHkh`597C5Fesw&JvL zp95zsMD7|lnN-HY-tplEmy(702+=QIE(XXVeul_~5+VbTZ9hUBRjs$YfSiQTT#gU$T$0&?)qSji{l2;$0Sr#v@vl_*p}Y)Q^$f z$ZHLQN*hR-KTc%Rc>%}f#27xb(tp1`8&OL$$BiDpvk3!r{Z4WjDO-ae%l(5vLPRi}G=pdOwTuhmgpaEB7>N@x&(*d1)O zr}ZMBa^1Bxbc%hW0H=}R6^7f&a3-xXU9&he?zJf(W&~C@KwQJ+W011+Mt`Q`TtqEP z@Ag+FGl8!~FIizWqS|8{Wb+{lyRG*+L^5_9=34 zTFZLhn)Cn9MdtqktvP=u@SO2isAuL{bH$`Cn=}_6SBa7uxTU18I-i8ZkEh>}Z6W9S-IBqvD zOtZ)>997w>NS?2l*&5$AAc7V%T@wc<$gbLVb1>lRYslE)lq>I$k{e$buY0<8PkX{#RyI> zz?oG`t92?^O7t@MszFT4fZlZ2^la?b(E|zT4!xOU0zIdSoPRq-41f2i_LJpFHrVzl zt*YXNM@^|^9F^&VU=5z4$4+KMVAH0Pv9U`ArD>C5f3H|dZQ2xej(Hdp$tg>UWdjcn z>79_&!-{^qY4+n^B8s+-7@XLfaZy_74j9VB?|<=W)E4o{-s0w3QJg6Ra?Y z{xY%{I=IavWq(IH;-jwE@UuD;-T~;Y>&$mE5ApB!6$@1vCRGvq#0@Q_A=KNP@Pu zBM9sS5~En{5_%$?%EY=`V)Mopc*KS=p6BnV(V)0iF=!n%rqX3&5>H2s1N8VI_1g}9_Vovj5B#h~ z@TCuD*up9{{S)tj<9p!v4-gxnGsX`ak4NQ7CJ8nU&K2iz0odreEgay&VB_Z8S&Ebd z8=Y;MDUf$KJGizdp~A-4nvrP{88#kS(}?cCWPjK=KD5}xr^3dnTurtl0Bjr`DJf-> zVPnL3+^)a#cll0%T>yoRbH#HTAZ$FS+FIh%VdGF^Ts;&vHbq^Xim9+sYwXAtdywIB zQT;T7j11=!OmSioG8|vuoo9o{@ai(Gn@dNAeJzdwsh8n|K2XFqvbiqCA=I<4AHG8D zihoeK4;`vp31+NT3P*>FXQe-&g2T0xWetZ04mY=oMtQiOCm9?z+1ftxVZ){JZ9>lg zV#E2p$znFpvNyM*(!urOzstdObZ!BFZ>Y7sSxN1D15ip`cs#!CF)Yiu=E!^AsA zk-^to`{_#$_A;7Ec0>^D<;)0MV30bJbvA4rVw^_;d@XcE^QhR%d}_&OK(JR<;?WY` zpcP#gMV9`*^+2zrE=8dPfL?~YZaEjoBO2QF)9BbMBjvP30L5NgWeJnQ&wugLPIyAa zUN0Vfup9t;y?6x0Ug#&@W3Ttv>sP>Dz8T}kUY0hQhDX9)ZKnJ)5rDm92ZISr81`D6 z&DW7rc8$?3ZVrIGA}pyV0xI^p+Q?F}X#3@hN1pvMEF&t1=K~in9w7%ZTQ`G@)g0;R zt2C^(vouUJZXsi}f!a`|G=BhAJ86r*3?gH-(v2RM)Q8n-Mw?a`P^`9HIuik6wWiF` zbPTXiu-fIS;orfaT78*K^NrhsW`?1kn8WbzMu{JYkd4IaIS>+tgd&l~+ zVh_%>)q(=dAP+c8aD@NgbZn-ouj}9e*v!yj48{Kv{N(L({Ch5xW^`V8(AtLED5Iq3 z+7@cZc~rEvIZ$AgkkfM~d)=EX5U!onjqh?nxYiqb-4g`Bwf&;u3<;2qv(BCZjqUR< zR?GPiyjGM~kU__5_290sE6tB-u00} zYr3{zngM}b^MBFlOF<;qb>`BBihMb*qMj0S5EXZg6y`fP5Ztw_@6P3UaF=>@=5Mk8 zqPS~qZRkG$uq)DDn9cxTSG(n8jZcGJog0w}OepNyyJ_f!l*yOIR3sP5o7;` zeDm%D=O22J7v$9s(>cO@HuQ63{AFeRztHHuM{e(t8<)$H1QCBxhAV&HrBI`Fh|y4%s%ER#!CuD_HJ!)0st>Yv`ijD z@#6GWaj1}l7fo628a{v*wL8a3T8TGvFVT$~OkjX8kAPTmgdBUYb8`z zI+$A7F2-D#aE0JU4`09e*jq6Qd5Ml`WO9@N=kWT)#@lK2{}iq@PSiJ~GpXw0lHs9F z0Vp4CuytHxx4a2!w}9Fk;xz?Qy8e;{JkY zEwy!GheKBgWvhOS*h>$iIROCsRR3rmj||w&!zXJjA7D=#EGHVd&;YwTQq#kN8>xSc zq%EKS1OW3BE3!mxAjNUkR`w!`j`Y(~wq8MX#L69eCElHGlvi+Bpzx_yb!~L#AU0r-7HpE$n8=<+d%v}evQen@-zUiOZDJ-jfPVNawP~79N{oNkjq6r8H2rYj>bjZ(=!Y8?ySqeC z{qW|(?I;%v*s`2wS3G*aX33mVap1b*{QTbd4=~RDR9|Hic%0j3w(BZIVPnFc&#f_ zT|%0GcXW2dBBVv(g*Cm~0p+?;js>MCkX(N^d3)v{fLu4FLcbE^E3?%0ISaY;P~MOt zU&ccd(y4~8SNmN~y@VI{O&aZmdv8(2Ul!89XF z3~-X&LsV<2@woI8JH~vCkYA!p=NqJc!5k@o>v0uf@gkTWceLDkD)q^4Bf=t!7=eFu zwv$>%tG2TF%j9Hw!Mf?a;Ss#1O%4XX$9a zgetg=xGLQd2WntdI6H`AFo@#zaC;78n$rZgd7YNOqb6mR!;X&F0ra+cSy8ECsNS}w zbEQlS*V`7|=nVpLmZzb1Wgf1#t-pV5u}Z-FPll`i4nJ?{I1Fd;1S4Y!28$3T(j971 z53|73QBHDb8w*Gs-RP$EK|t!L_qe&A^=<0g&=jW*roOFRD`|$xV?IT;=STMW6!q@@*CML=wpix)b4Ws~YqU|z!wq`}=D zh`yx(4?%1KHdTK{Pne7gIghG(jV_sX3&B)je@;~dlP-iE)MdB{S7lNL z(NJJ`3rir5v{Wo}A-dPm&Co3&Ma4SUx!J&>5d7SGV^o6QZe^jIRvea%UD_ZWJR00} zC(sTa9d6UA5RkhboFrcV4e7n4d zM-jEg<@Lk|;34WWG*djE-gU6Kznu$d36WZNA@FBTTUO7Ecszk=ZlAn)jbq(!koKsZ z--3%=v(IJ*M6gtAr8>5q6;RWv%{x_MBS%Wa_9Y}fg{WM!VltwZb|I3KQL zy|u>?>}OA2dD@{)hbdY6Z*^$`s*<%ia+#n?`;*X-ZcP?5kht}-*}B30?iuQ}q_I%} zMfaLHHn+&7Bw8M0FgqA2>Q1WRkVLCxq1|*L459fPIB&8GA)8wdaltE79%Zd+8mHg(VBbLh978T4eP+X{d@FOf9-yXiwzU0-q@yH z7-@nkQngeM{bPShX~1G=mx*CcC?6w9j@HjTMT5-3i!K3~YrAYw%fwWn>d@t+ngJK8 zs%GV5bZ+itR!ob8qC-6xt!#kkP_M^|n?b@y-m!d=OEF%LnykEL0y5L4mEw32L@_Fl zZyX8W+I=6Xw<^A&?hX-+>x{`ZR14v#UAY-<~Fg8?1YR^p1g1QTRgrutywH&yNG-)xsi4T*J zCZrkqnRFSc!98w;$w=#t+p>ea4qVtG33-G0Bz%L^bX{(+Kz(UTmG%+-|M{42j(Wx4bQg($@ooaqw zUNRe^Q#~EVO1ebpYD0g}DuC-$tyAU^0ad5kJ-jy6=S-TRF@z6DOE}8T2Mp>1yYhuALPY( z-J5^Uwa%&_xRO+1*-T>N%6M;KvF)Ju*Wp6aorn#Sh%O|(vPa6;KqWG5bu=Rg6q4SR z%)~-1%RWNXiyO&2KuB6Sb)XQ@Dw(^(I|mYIC9}|(Udg8`N1faA)igunhV0qWAV4{4 zHAjZ>KF4cD!V1K%=ffT`q36p)Xv$IFi&TH*sQ**%Noem&ncq!0N}V(QN@i|U%{vkc zt>VD8$^)v37fWUZ3szNJ8CdJ!kgAFoml1^^3+*gwPlnssggky7^62M?yePE}Pq2}z zjFacNe^0LFrDpGyaH-Y2j>hD4F14C>5mOo}!t!}kOb?)ux!771D(QSxQX_wDL4_sG8U`#}JHz@X9Qymha`kRj*;@U^cMMHlI13$U_3Z%Gl;%P89?Vb?<97M>$vtJPsXIYLEOhei-kj!-1a{t2}h zVV`t#F=!Qsi@maax_zc?JYfw#B3_|W6nh0pN^(!Je5v!Rb&T3iOPx=Tn=XHXfZX+Z zUw;=a@qFV7Z;K;8Dt5bZ*)EN!^6#( zr{2_acYIl;08Bj>ce#>rcJ|W{^umyWvIHfAn#0`)Uym1&Q?5!|Y6lNYtmc(B5S4z9 z?)7Z_ZkY{cOSfZ>E5!J`dj5YF>B-A%07Vitk;Z)?kUG6fIaJ~!^8A~xUL%F&IafG) z6Pp~Etx{UJp@mDzYqn-OCh+n+y3yeeBBro@&o7$2I1ld@LsFbMN{5o~{oZFUULfnC znrJ>P!5d4a^aj52KJBc=2dl@0$FmHgM17Cw&ap0M5_k6$B`2d+g9ESJ|X zubg9n`MKhX~#p2d7DJ+k*649_M0x5%&B@439IN5c2_hipU`U%D zlzL~)ljpCI=#+_beAj&Q?lE#xwMV#*gV_gpj5~%(G|aXP8GV0NSLVB1Vg|i<@$pAU z&eA|9lbk~68Xil1do!%MjdUHik>+*?_#s&3urDSeM^*z$2Vt&?)}# z8B)G{e9NWB@E7T~88Er7NwL&`pMuzO=-8{NzzuI6qtiETe{Mz$3pV?Q_onf4`OTN; zEq;nvvU^e~D&BugMcN4d9R4G^XjY1ETr5u?r@0jML!YAeD!;H9KY37WfV8=+O#)I7 z7oTuW58~SFX@s2f>D2f23z>P*|%oMm3m^E+rE`E zJlBuSG29gh*;qPW#9?qN)h7OHFRen1Tt67u?MSpf)c`Bq&7lN=z7ujL&wd;!9KYr71t zNEu&jJ|*O;=+^M!*;{m&?jhC^=aB@66f9b29D{!zDa5v0M+LA*!IHEV#`dQDhzIcY z-osb#o})PFq~2V^_2!3OJ$m;h#M6_!pme{9NsTBnrWWNatWPWY?mwnJP7gG#Xe!YG zH}UfAJ;Y{6p7918b?pObV(>0MLZ&*CZbalLq(fKNCN?Z@|!n(Ek6UzdfO^7v>UM-`7(f^im5Fw&oSM|jOb zqQ|91jc|swOUKCDb^<*tM(2o37yx;`zIW zuU@=+`1BW8H!yVbK#o?^<{0D={RmOJr#jeVfwihp{tGa$xNA7c5QBll)JU@yG|*Ws zGgJwH*db=!Wg{zg24d4|V3s+35o0pCVy9!V-o^mHeg0_2kQ5v{e2TqB60>R&1m1sF z$g>=|OqdAc`U3)EyiSK1q>|o<#klB<+0WH}NU_|>Y3|}vBLH`c%_@N*e$Do75k>w} z9i=8TEhNOhcVVd#QxSiKez%ncdQ2ZK)(;9iz`tvCw1f}G`uUNGMvMUEEo>`eKZU{j zs9e)E7lik%VUA>93xSOu;!DFrKa6??%lu`qc6Lr*v1YvOt^ z_V~?oC=uN-A@nBActFsDr1yn|)iGngQ(A(l8D{VD^15a%8 zU<%KlpWU^}7w}>XhQ*#eMy7KyZ@}-<0o`qS&etHGYqTvIq;x)DYuM4xNoXy6scDpA zjF?(!JmG$Kw5ET^wZxIpnzgITApy{uv%Zm15GBpWV%c0I%(a^zI-J@N$(T&a*tgt08YT4oqHl^;yX-rXmuzdiQA$hy^+!jEX!vV7D}Ito!(S?yJB;tcUlYdKBMAN)UY50h z?1J*T8mG^H|FFG(dWZqyuhR9H3KkWAne!H%c)b6M$B3&UxdKSlH5O$4CBK$|@8ANX(sLn;`jF zPwNlHIUo{K=bH~$RAo$L&BA>c5F6gxxTI9mH8-7q!&EE8<&xqa9uwml)CF`t14k0JiYdt zOD+tL#njEIC>}g2)5b2xlO$V~*Q$hmK<276ByebeY%<-L%kuy-Yx3PZ4+6*{Q?pjc z<>&3fm}!9zkaf4rpU|AAhq8)^ucC5(3zhjZ-b~oM#h~ST09npdbGZ31G47j+D$GD*e=Wz4$d5ibbxiE#gKf^hWH+>B><%jhY%(W^U$C zVVXHBVKgXEi<9x3X$cvoDf$Lhgg%&NDrm2PdsS!J)dgT-d1g2f6fLWSa?#!2?vB=S=D#r8~}p3c26Tf zk-D;U+(piYt!2brz^bMD10x&s1|ypE6_XIaV2SGd1(F~2%EqjN1!Az0shn^Qt*3~7 z{u1hMxHninPmvsNlsGFz6cDy4%j#m#3=WgSPT3w1R%P6t;lV-JZoyd|$>6ZN=5$?3 ze{L-ckot&LgLPli^$I)_%+H_Cv4wL@yUw&6qR@R&F zPk{6@aj_os)qOa;M#gN_`Vs}$M?`(9pOn(kSmDCbJez{XO!GtY-U?>sz{n|^jK;1D z(oY~v#QmL)AUYbe$FC9v1RolUO;(0OfBJ+JCsy?`AZW}woz=pFp|S9E`5;A^wyx40 zi9Kj+B)O)XB=(x>Zp`P>(3q<_G0$6;tg39Q=R?p~s<})hBB8OWS-HVqmTZ(cCs*d#kr;ukI8jN%gE>j1Atj4wHc8jYRc=B<}o*p#|f!WYBZ;R#p@+~x|cg5AVVqhyg8jrf@?Q*xfmdna>N`QK}0p${?kZb zVrysBwj~M(rP6jMt7xuXH>0vrdegU^g~da>hU=LnNLcdm8QooaXFA)0e-8|Hs$p-% z*iyelN{h$U5+CH6?kSJPdl-O_YxJh|Er7VvrZ!KdUc{BCPP|})h-)z4cEHAIK99fn zW6yPYj?uguQ$`RKjMbFv+xX;kSpAvt9f-vWIyFrU5Q~LbCWyMB?_5Zp*K~^kEY_K> z%$1U`Sd@HY0hSJ%C`?Y5f6%a4_f%gz55QszW3EksAB!z6Mx;{mVXnF52{9Fmb>*HU zK`WETvDX8XgqUpqEQTsCnXk0nNT^t>cc3E6Yc{Ra6lQYaSj^h4n!(Fbo(J*p@w>;^ z)Yn)N=13JwQ}S^W4+hE9ydX_PT>@gRJ4KU@1mlgd^`tD#$0D-)4vXdT+MPtGvjmo z&=cl<&!2?A8n_3CJozC0d$dHC=Ot>Q%lnD<@asMN`fiCXe{jb5Ay~n=xlBTWV5yF} z2_XQ%_DtI?A{YeAb#`WpNf0c4O6L{<5G=o~^EikK!73KxD*WdKyEc4O zJzs?Ey3(ugY(Mt78ai`TeuZ>lWH+pbTS-h! z0tdiw4!2^1>BVrV*LiIe45ynaxTSH(T1yV~Y;x8tN54DGq;Sak+zXT@Zq4IPVpaH# z6K%of4p_KM?v|-}B&3#{(4N77AhnIz<5D_@thp*TOAH{jBl7{#Da(V@3>CYSE^a$z z^+U9-e<1Fy@CYUhsTB;!Pbjj0+;U44pMuop90R*FA#jX6Yrv}kXer$c9n{bDnNZq=e{W*Rr z3I~2q$b0_m@gHCfJ=%WHi_N@$`f!FJG%@_|z)n_^1R-6noBSwo`>PzTpf zk+n>_noVu9ki3o6PJlKGnMPTX#P>dr4drfju7N@6v(T|(t`-OCvk<*h;gV8&e}3tpg}2lLtHT@D@nOi!;kq|l}Z zM=LiMQfhC5s_V5JCag(;RW{qhfHf&dSk=t2VND7a5=u=B!d0ErnBcm-tT%w|+XLia zcyt@;%5@)c)HEj29QTQr40EUZe>{4lfzi;~SSHYD;I1imoc8sEJF0oW*~SXcXuveo zdoCfNzF3PxOK&tVtg9Fi0gVQ_Mu+Q!&_)B7N6KQF-yvZW9lv7T59sm?nQXd~zoz+WTuO8D-@OIQA5U9ZU86$ zsI|Tg4%NxOdFeEiL3i?ZYf}X@T_D#jDaO!64#`*$Kh{cmM&_V4;rEfIjfzv~`STf)KUi|? zH(T^uCs*0kMmE(}e=bc^$_QkuAFgm0LG4M=ExBa)gy&nEq;-%Ge=(HE3E-i>W$Lfy zLp}5pm%@nrD&0f>(4t%yLM`)ajJp|ZnEQQ+Zm?bihDcHI`bqw`IoqGz&M#wlmg;QZ zka`8}I`Ju@PAV%GQ;hASBGR-RsImRk@aZ_ydt`cz>~*f*AuixpZ|aj7g|zT%>abxW z2xd{QsJLnJV{B=8R@?N$lH;*Y08HTKx=(&d)E#&N8ay{B88vZ^Iqu*UF9IxUdYxh{$zu;{umz zKi_;17bl^6%^&AY&x#@T@nLI234Dru{FSX?m_fIIpTDbFfAydJBn$Zd?4A`4U;*zq zFk}h>TELfH&R2SC4CYybgA>s0eJHjtEQsRv-mux3#qwFY-{dUn*mO(xzU|{JlBN5G zCF+nip^5!6ROPJW1oUXniXI%0Kpfd;I!^n2@YV0gZt31ygd)FBkn?i8k_Yo%*UhP} z1QhRe?S(?df1r4;%eNr$=WIgDR!?<%Bd7Vyw|s9 znuZxv@AbBsva|s9()W<|hV~dP#W_80KQEs_vPVBzQItSe+XJL6JTyfDu}3#wj;-xAKGL?rPkUi{e%;D=RL8o^Br_8Dknd-;=mFU9VDcsaE7$Q7zR>xE1+) zRzp6PA0wNNH@Qmw49H#_XQ!!ysOI83R|?Qvd{wcbWkbxxlgcK#1E8DxNb+4ur67=t zcvxnGe-m>=2i(Fh`}Y17*(`jZWHUoRHw(|OxQ(`Zcsk2@#fO`PuSd?Qy(SERS@^c1WF?3akD%9GXgWNUK+MAP<7TGWpeMK^ zw_yU>N(Ti<*Sbq7&H6ikWq(#c^YYeB9_+BFe_q}V@(UXm;^m#baNX|BE;Wv854dnI z@AIjiGzrzqyLeh29w12m49OaHF9-v9d3UKgtqfq-#4Wg+Ypn!D-*3S^+jVgz0W7#j zH3Q{Lh|6}pX}O&XaoJwAO=-O*ZmUhpT~eq&_Q66-1()iNtyD#yi%;L+MGo^5YZ1-TjTL5I!%57bBv@n9mhB(%< z2N-mR>`-ORFjyju*wD7)c9wpJYK}L=e~B;ymsdZ3`@!H3yve;lZ~1V8Ei|zMf5ZDi z?E6COw4Y3ou3uLaa1t$wFw29Smp#~p{#GzXcDCEGO3!CcK*6U%4pfAto9 zm`HhYd9T!WPhY-z@#H?TXy47}1t{Ha#W|I1GM#RzChZenCbM#)VvYx`+#aX($FuP+ zNBB>F{pdMjG_-t1b;jFqH0|)b#?mjIeDMS^?dR8lYSRRD>L8n*^mN3hoI^{#dcCRD zZ%+5+qt|br1tX`5xE`Wpi~lJZ|-hPQRL_6eYJ(OGV7yfZVf9hg!ZHQc^-dQzQ>-{oRPgYVuT={<)IvA58@7UMFC z1KaH7tj@BirR)hqTo}DZ-L|rAq_Yo)!zY~_aEw1fG{%iYzHfY9G{;*OcPX@q6l)b#>*})K$uKzN(L3b2-?~S$?;CeaZ%IIZzReb;RY)$L7aa_D zv3#R~KK@teq=_)5#!_5a+_IefATV8|b5_iQ%@R9}eaWB>rRe6af8Ian*tYTMm&k7N zP>RGi}( zk)qR9H2N!Oz?0ObCjX}O8hu#p{k?lq61Bp8dD$ris1;Vzu?|9Z-Jcj<#m{^E?$C2a zzu(88P%SoQ6tx_0#zK2q=U;hm2#}O{Ub_zPFB(%Uf0aSNQoR2qa%3I4#rOcgk^CI7 zXYKuuG^)l>c8>|-onE_T9|RqK`VVZ)e$4jl2{!W~YJt6Gc1x4zJSkS*iX2p`7uh-r(Aq1XeJU5#!EfVF)ek#!Cf z2tDz6e|(Hl&+)TAGB(TPK{WP9U*krbSE8QenplIeKgQE?ce%jeKll>q+HSLub?eEB zmNF3;n7l!{x-5NuZ=sgUyD_$Z$%P=>-FF*8Dz$gCu``DO;f=&<4TWq^Se~C$py7g> z^_NJGp|h6{ER;`?o8_(|p(k2yQ!k{jfK%lje=;0qUIDdOS(*qN=v1_H7a74JJ+`mk zBC3kaRI%4DnY2eE2is+LK{SHO;Z4q#fDEjj1*5>qv}|`VptKeD#7F}Bb3AqsR{w>N zkJ02YBHjlDf8h;neSiC~mo3z@N$(*CuhT5yzx>e;g}?qY6yc#u{m-$J@@FCFf({fj ze}4EQ1g{XlI4 zLi`T?`m5LIzB7^)QHFcoV4uV&p?Denf7wf1$Bxv-wwy{pcF}(5%{6wE2wq{I|95!q zDL?s7pnRjWs1zlh5hRo#PoH8A%l9y+TQo{7iN8K%(a1=pj>Kg?jf|A7=(}XV1pM=1 z6W=Em_|vb@O(z&RFAKLyNHKKsYTFptVd`c-!@BjSGf7_qE zdG*(CUn6BJQ`y`Ad?%-~epC$SJ6%Vw4l{h;9`fSV+g~C%V}?Y$gY@fQbPygsc@g~Z z4R&HwwT-pm7C^XX05(RiF;60UjpmZ;^M!oz2ssVA2oK1i+DqKd6N4P8Em`Qkh|Zy! zm@}v1aosOoW0&FWt6aD+G>pSVfBY&4rPf@;YpO=mEvpMEeU(p$c%k= zRzziVrRR-ikm|kIt>?K{&w{9ou9CC9C7h~*-;U=>kh1m>E1SaeTI(t9f55|YV#2<` zO_?utbOiy}SRWx7r~P>njI@ot8;c}Ef{BJ74}&ot zjrajcJbr8#klL$@1|rx)e+QY^5DM!$a(SMF2Z}0Rp!*AY(iiN>{TfNPJ4GjkCUs7B z%XE@J#I=NROO3#5lkxHq#y-K~8|-vNmUb^wh%^NDi11mZ&AY9|4_j1m^;f24~D29zroIh8gA z7T?fS71xzz#0xqPF+}r|uYU6Kub)495`vsIT1Hto(7~n=`hXw*@@)vZ+{|ky&3O3u z@QF8Xi$vAAPJ&>3J4J5E1s>iK&+N_LBWD%WX9C~;^5*ZcnJl{~&lAH~d3m|nDh7;| zr#Z^qkkD9pqc^=Ze*s8L50Da#E{9g5C8&2f5HoNzc25r#{i_XeB9_(Ea0<656 z(ylmY3HTG_COX=$mI)q6x)luiyR>+tT#; zjd#)jJig@o72=A#&jp3==($l^o}Ww3md&ojRPbr^zSz2Wei z-}n+-)8jmEe}&S=KAhCa`Z(ZqqmN6ufAKfivcms}eFOTpY~$86ll+*7E=qD`uU!&| zzo^q|YoYAWdr16-Gn6i8Hclu~m@xk0)~PFsK{-LuA2{!DE5vmEV(x;vjYU>PXC1aK z&s*oEe-7FCKm1+cZ^3{*36g~k6kcM@5g_bR z+1VQ69dPU$@YCllvb#3ez=o4f$CTyKEEwrDvQu3l!fpV2X3y~096dORT+m3k$#4+0PjS4gGFhrhl%@KDydd} zy0*ZDlWIdls})dg+-FF2;(oKl3zM;#fABiwKOy#(gk=tdY3nw&kMpTa+YQIbEGrPx zw*R<&Cjis7-km%khB0jiM~XCJYF*@19<|8}K))SpT3lp7>9^YHhFK_vzK_h59N2M9 zJdVYY`N{HJ!pX|B)t~^aY+vU9REwp~ZDV@RaS}8S zMm8e4EAXZ@zDiG9dG+Vt#vLxM+8xA+Hx%x0W$IZkl<58`lDMk1Fe&8Wh}|kV4@w?R zILWCEB8fLQJ4bf~R5Ed8=)4=&e-)s1?p)8tV2tPS zLV%cju{y9%FET}hZe@#L#N^i4%NYWFc#lS`(%##9Ze@UU<>*n_G);j)oDlW8wM0CH zPaFeSBw_2T#JZ!gIvbxul2+LXY#&N@>`ID>q2c(%Qf%Y^|bi|;c;HfWFWdJAKFsBzJ3 z5#uL@cfZER7(XJj);Cje9V8LhnMz`Exc*=ggQLY!dII%Q7}Xu}NsZUwk3dPC3meOL z^@hN1o(na_*u$aps!x!Uf5n_WAsKJr3ooq4roodfc$)0reD(6}qh~MQAm-kRoFHGq z3TyhYpO&ZP_`%WZH*b;U#n|{DDt|k5rcf{SM$crZ{qR2_eH~3QDV^JWbQ+p2rB?37 zmvTbAy?xPV*?Ai~LKNLF?CME4UEZu1gCL~v9OF?bhe8Us-#Ij7e{!vd6kb{xRWE{( z!cD`&MoQPR%at0!h0wa5-4LAdd433d&a&W&$8YOTeaK;j9JM{j@f6}Aw6gq}aae@Iqdc|-u-yvg9sWB5}e z=wRDhVtaV>3ah--(#L`k?2pHn3ndhSeRWUgHJd`P*On&fMIgccOxu#fqZ8~W=XMua zaDx3|V^}YTRMXYgZv z-8(D@aepGI-XQVE##q98Zhtk8LGaax9TfYcwmN@W>Q7hVA?8j*Sq?Nw@);7bnV(KJ zZ@NB8Ud2s8iMVn+%+Sa8-6R3@N8{@H#AKa-J4Kbsf28XKCI{PRnQ)yzg)RPEN*PmZ z2e+qHs-g4t0TP|1C1l9NN3eJAMt3`LDjabHV0WLiI)6BE7s!LXA%oM`=%pCa0b z%6)>2;=jH1OZx$j&L_yfnMjlZd;({>Ohy$?e;Br>BH85ql$da#m8sP{KvS{3U{(+X zYVT>37Sos`#pW%#ntu|EH1t%O_p?3!q)Rk0@k{WN9E7Mn?UW zk$@W{8Ajr$rIta~VW{*|=@1>pR?XrJRK@lQQks}t<}b7n0JJA6cPxNZ=mBDCR(A=Y zf7*<;{i1l9B9h>tU%RH)`QCy6I?P|Z#LB*&6U=aCaWLS*je0}uq!=dL=u9c=mQYgG z=#4t6Cl+DCjhzBb0m;Jvd#bx_2^UcP<~?M|t}O7SMSa4JzKMfte;SY|OJZfX>b<0P z0eKz~^|tkz)I8kq+*7JNj~}lA_D`%3e;Ssycgv)SLDpRdSv;y3WIlOu9VP}b6((;n zJY=K58!xn5Xe^PLI!CA^p!Rb8#7HJEQ>_fjwoaM{s*dbWFTd-SmXt87=8|U z^TzWCd#}`a>_DoL)bOpTfU1)IwvtjFhEhDh;?Li`{pxRCKYB&r{IUg0D?Y)oe`*4j z`Ky^aNMm^)0&ZG~x1vT)@~g-24Uoc`NsCMVP4C-1r9vF?%_OCXy`eNILPsInY(z1r z4a8M0@mG=KL7>W|YU?nT1*lwxu5J?95S7d3aFuH^3ZPV@#Q%<3Iq#Te+xZB6ZnQpg_9p6vzslOQh%`DL7s5nX$;HQgJ^gKBT))+!rl+8cTLc^)s2_NFRo*Xgf8 zVo6n`&Ag}f_M7bpJGS$w;VrQ}O-9PE#Q@K!zj?bHs?q-xsW%mtaeZf^e=m27$ZbpU zzB}~Gbhd_LW?1C#5?^1H`3?(yln`6Mk6$8_=M(jyolaAuT!Wt--UK<)H5yTc2gZJZ zPxf76nAn$%#2W~{M54`xat>VfQ(B>2-~+Osv)myKofK7Fx8%T=m#0pNtSv0(a_Q=z z!hvoJpQ;XO?2VXZ0qUS}e|>%$<8z$EA}sr!P(oH{Jbv{-md}lm^2&!(Y+)sv_Wt)0 zp#OTrcKgr8weT*)n;D^D_-r&!mxwAilQXj~g?$Uz(iBVOF0L((itqS<#G+`Ubx`P+e^{vJ8dE7fc+DzpG#*j= z?&I}G4+&Q8a%K1&lbYsC%fL5tskRyg;P3uHfbi7tvqOXC(rDidJwK z=FYt~{Q#~BBqYqt?_AC~{}cwCIcykrqC9vwz<#FDfAAQ?01ElfY$1N+{LG*$rI+}V zqNTC?R%W1NZ}S^o!L|3H!xXLnYVVUeBE&+hT^yJWqq5-a(+M9&Te~RkUp``^n(;I1 zK^q%v#&^adUIAGP2`&C*TH`&w)tll#jrW~4OQO(tFOA#(pf+O@`fX)7t;gOWysBeS z4fWf>f6N$^4HvCfxlq|~O{S3mD|g?#zgC>MxRyj~@P-hBGTmD=ZGj!|4dIY4zi6v) zX}$kgb6>381MS1xT%A|@uF6I9T*84RQwhyM8M4LQukIFes`C#??%8whGwNwd#w62n z;-J@F1~Bd*hIr2t+Mdw%54630^e0nf+i~w@e}>Ms?Qt&-hM0(jLPrIPZNmo*?h+c? zBI-2*A7ERo{x||{&>qHZ$CY%pIiyEX7L#q^ly{oLWZUn6EXIC>Z7q&g6-H;9Lmjta z6x%ZR<}P|+c3o)PhXHK6OB>w?wpq102{I6FUNzAPv28l+S*svNm^Vy41fT9U*=X|_ ze?1UxWHT{P3c78`Zn@#WZo`%P+M?T{sg=i5Of1XV1r`%-yMd;Mt}o!LY0Yy6cx-qe zd}ykm;C5oZCbL}tx}1*oS$KflvL5peB{$_*VxkO`Tkpl*D2FLG!Y#fA0^xpL>Et|6 zZu*1zHUUVpLFus&420`g@QiZ+nr&%xe@6Oh>#pzKIErSY&Tc&$qM3>q`jTrlFVf5) zntfB0nRRSmRR+o|oV*ibOn!ZH#zb5czm&SW0Sx4qFLf?u=E2?A&6Ch9XecRaEg|_8 zU!RQ&(DR(#@>zm5&)FDM&y=G4B@F0~82&P~Z{j@IU-KQ>VFArw-7cS!;ja~=f9`_) z|Ncr($uqf`;)1Y}p8gU5SS>xdbQ}m+iAX@nW&o?%YK@kWz}i!2aBd-g<)Ljhe~1~A zDrLFcGYVdd4T>7*uM!uoRI_1tHQz48%K>=pr={W2`>Nsv#YggvO9Ik#Ys;~p;WCM# z@e_h&8QJ8+ky7D9s;ml0dnF2HfAP&*FC(;9x3bz?Ny04BZ(8OTVdl8)%CaDsNiUs4 zToPt-w>piQh?IT4j?jl6gEO5=7zMM{o9qam3A2dx>$(5|Ga`9v;gTn;e%y76kuq!Z zr_Ggs%)E1MQ7hQnq88RB_OEIKji)bP)i30wkuXmA-%i<0MmsE z08mQ-0u%rg00;;O0GfO!QZAm?UVat<0AZKb)C4dfn|voywkuXmy}Sbe(}fBE5&!@I b0000000000006rdmnPK&BnG(C1ONa4D&M%? diff --git a/design/target/streams/compile/compileIncremental/_global/streams/out b/design/target/streams/compile/compileIncremental/_global/streams/out index c2cd437c..0c714ff3 100644 --- a/design/target/streams/compile/compileIncremental/_global/streams/out +++ b/design/target/streams/compile/compileIncremental/_global/streams/out @@ -2,84 +2,23 @@ [debug] Initial source changes:  [debug]  removed:Set() [debug]  added: Set() -[debug]  modified: Set(/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_mem_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/param.scala) +[debug]  modified: Set(/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_ecc.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_dccm_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_stbuf.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_lsc_ctl.scala) [debug] Invalidated products: Set(/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_dbg.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/br_tlu_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dbg/dbg.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/lsu_dma.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/trace_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/ifu_ifc_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dmi/dmi_wrapper_module.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/lsu_pic.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/exu/exu_mul_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_bus_intf.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/exu/exu_div_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_gpr_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dctl_busbuff.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/read_addr$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_clkdomain.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/ifu_bp_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_exu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/exu/exu_mul_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_stbuf.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dbg/sb_state_t$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/ifu_dec.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/ifu_bp_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_bp.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dctl_dma.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_IO.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/cache_debug_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/decode_exu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/lsu_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_tlu_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/quasar_wrapper.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_bus_buffer.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dbg/dbg$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_trigger.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/wrapper.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/exu/exu_div_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/rets_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_dec_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/ahb_out_dma.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_div.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dbg/state_t$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$rvclkhdr.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/axi_channels$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/ifu_compress_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/exu/exu_alu_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$rvecc_encode.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/axi4_to_ahb.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/predict_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/class_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_ifc.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/mem/Mem_bundle.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/ib_exu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dma_ctrl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/pic_ctrl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$rvclkhdr$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/br_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dma_lsc_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/ahb_in.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/ifu_aln_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/read_data.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/tlu_exu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/write_resp.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_trigger.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/ic_mem.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/reg_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/ifu_mem_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/inst_pkt_t$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/lsu_exu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dma_dccm_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_trigger$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/wrapper$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dma_ctrl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/CSR_VAL.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/write_data.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/load_cam_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/trap_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dbg/state_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/mem_ctl_io.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/mem/quasar$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/tlu_dma.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/inst_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dmi/dmi_wrapper.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_ecc.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/ahb_out.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dma_mem_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/CSR_IO.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/ifu_dma.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_gpr_ctl_IO.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/quasar_bundle$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/aln_ib.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/iccm_mem.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/param.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dbg/sb_state_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/mem/mem_lsu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_decode_csr_read.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dmi/dmi_wrapper_module$$anon$2.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_decode_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/ifu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/read_data$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/aln_dec.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/axi_channels.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$rvdffe$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/quasar_bundle.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/pic_ctrl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/exu_bp.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_trigger$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/csr_tlu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/tlu_busbuff.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$gated_latch.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/ifu_aln_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_dma.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/mem/quasar$mem.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/mem/blackbox_mem.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/ahb_channel.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/ahb_to_axi4.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/alu_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_addrcheck.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_alu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/trigger_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/lsu_tlu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_ib_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/read_addr.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/gpr_exu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/exu_ifu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/div_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/quasar_wrapper$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_pic.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/ifu$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_addrcheck$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dbg_ib.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/wrapper$delayedInit$body.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dbg_dctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/axi4_to_ahb$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/exu/exu.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/write_addr.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/lib$rvsyncss$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/exu/exu$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_aln.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/mul_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/write_addr$.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/quasar.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dest_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_dec_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dec_mem_ctrl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/mem/quasar.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/lsu_dec.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/dec_timer_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/dma_ifc.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dbg/dbg_dma.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dmi/dmi_wrapper$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/ifu/ifu_compress_ctl.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/include/lsu_error_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/dec/CSRs.class) [debug] External API changes: API Changes: Set() [debug] Modified binary dependencies: Set() -[debug] Initial directly invalidated classes: Set(ifu.ifu_mem_ctl, ifu.mem_ctl_io, lib.param) +[debug] Initial directly invalidated classes: Set(lsu.lsu_ecc, lsu.lsu_dccm_ctl, lsu.lsu_stbuf, lsu.lsu_lsc_ctl) [debug]  [debug] Sources indirectly invalidated by: [debug]  product: Set(/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dma_ctrl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_ib_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu_div_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_mem_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_compress_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_dccm_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/mem.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_tlu_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_lsc_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_dec_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu_alu_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_stbuf.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/lib.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_bus_buffer.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_bus_intf.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_aln_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_gpr_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dmi/dmi_wrapper.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/param.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_bp_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/ahb_to_axi4.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/quasar_wrapper.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_ecc.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_clkdomain.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/pic_ctrl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dbg/dbg.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu_mul_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_addrcheck.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_trigger.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_ifc_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/include/bundle.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/quasar.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/axi4_to_ahb.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_decode_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_trigger.scala) [debug]  binary dep: Set() [debug]  external source: Set() [debug] All sources are invalidated. -[debug] Initial set of included nodes: ifu.ifu_mem_ctl, ifu.mem_ctl_io, lib.param -[debug] Including dec.dec by lib.param -[debug] Including dec.dec_ib_ctl_IO by lib.param -[debug] Including lsu.lsu by lib.param -[debug] Including dec.dec_ib_ctl by lib.param -[debug] Including lib.lib by lib.param -[debug] Including lsu.lsu_clkdomain by lib.lib -[debug] Including exu.exu by lib.lib -[debug] Including dec.dec_decode_ctl by lib.lib -[debug] Including lsu.lsu_trigger by lib.lib -[debug] Including include.exu_bp by lib.lib -[debug] Including dec.dec_gpr_ctl by lib.lib -[debug] Including lsu.lsu_addrcheck by lib.lib -[debug] Including mem.quasar by lib.lib -[debug] Including include.dec_aln by lib.lib -[debug] Including ifu.ifu by lib.lib -[debug] Including include.aln_ib by lib.lib -[debug] Including dec.dec_tlu_ctl_IO by lib.lib -[debug] Including exu.exu_div_ctl by lib.lib -[debug] Including dec.dec_tlu_ctl by lib.lib -[debug] Including lib.ahb_to_axi4 by lib.lib -[debug] Including lib.axi4_to_ahb by lib.lib -[debug] Including quasar by lib.lib -[debug] Including dec.csr_tlu by lib.lib -[debug] Including lsu.lsu_lsc_ctl by lib.lib -[debug] Including pic_ctrl by lib.lib -[debug] Including include.write_data by lib.lib -[debug] Including exu.exu_alu_ctl by lib.lib -[debug] Including include.tlu_exu by lib.lib -[debug] Including dec.dec_IO by lib.lib -[debug] Including include.iccm_mem by lib.lib -[debug] Including quasar_bundle by lib.lib -[debug] Including lsu.lsu_ecc by lib.lib -[debug] Including mem.blackbox_mem by lib.lib -[debug] Including include.write_addr by lib.lib -[debug] Including lsu.lsu_bus_buffer by lib.lib -[debug] Including quasar_wrapper by lib.lib -[debug] Including include.write_resp by lib.lib -[debug] Including dec.CSR_IO by lib.lib -[debug] Including dec.dec_timer_ctl by lib.lib -[debug] Including include.dec_exu by lib.lib -[debug] Including include.read_data by lib.lib -[debug] Including ifu.ifu_aln_ctl by lib.lib -[debug] Including dbg.dbg by lib.lib -[debug] Including include.ic_mem by lib.lib -[debug] Including lsu.lsu_bus_intf by lib.lib -[debug] Including exu.exu_mul_ctl by lib.lib -[debug] Including dec.dec_trigger by lib.lib -[debug] Including lsu.lsu_dccm_ctl by lib.lib -[debug] Including ifu.ifu_compress_ctl by lib.lib -[debug] Including ifu.ifu_bp_ctl by lib.lib -[debug] Including mem.Mem_bundle by lib.lib -[debug] Including include.dctl_busbuff by lib.lib -[debug] Including include.read_addr by lib.lib -[debug] Including include.axi_channels by lib.lib -[debug] Including dec.dec_dec_ctl by lib.lib -[debug] Including lsu.lsu_stbuf by lib.lib -[debug] Including mem.mem_lsu by lib.lib -[debug] Including include.dec_mem_ctrl by lib.lib -[debug] Including ifu.ifu_ifc_ctl by lib.lib -[debug] Including include.decode_exu by lib.lib -[debug] Including dma_ctrl by lib.lib +[debug] Initial set of included nodes: lsu.lsu_ecc, lsu.lsu_dccm_ctl, lsu.lsu_stbuf, lsu.lsu_lsc_ctl [debug] Recompiling all sources: number of invalidated sources > 50.0% of all sources [info] Compiling 39 Scala sources to /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes ... [debug] Getting org.scala-sbt:compiler-bridge_2.12:1.3.5:compile for Scala 2.12.10 [debug] Getting org.scala-sbt:compiler-bridge_2.12:1.3.5:compile for Scala 2.12.10 -[debug] [zinc] Running cached compiler 1e118cba for Scala compiler version 2.12.10 +[debug] [zinc] Running cached compiler 54337b31 for Scala compiler version 2.12.10 [debug] [zinc] The Scala compiler is invoked with: [debug]  -Xsource:2.11 [debug]  -Xplugin:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar @@ -87,5 +26,5 @@ [debug]  /home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar [debug]  -classpath [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar -[debug] Scala compilation took 60.598061429 s +[debug] Scala compilation took 41.490630519 s [debug] Done compiling. diff --git a/design/target/streams/compile/copyResources/_global/streams/copy-resources b/design/target/streams/compile/copyResources/_global/streams/copy-resources index 2c494ea8..5b4fa95a 100644 --- a/design/target/streams/compile/copyResources/_global/streams/copy-resources +++ b/design/target/streams/compile/copyResources/_global/streams/copy-resources @@ -1 +1 @@ -[[{"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_ic_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/rvjtag_tap.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/rvjtag_tap.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/lsu_dccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_iccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/gated_latch.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/gated_latch.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_wrapper.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_wrapper.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_mod.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_mod.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/beh_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/beh_lib.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_lib.sv"]},{"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/rvjtag_tap.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/rvjtag_tap.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/gated_latch.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/gated_latch.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_wrapper.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_wrapper.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_lib.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/lsu_dccm_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_iccm_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/beh_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/beh_lib.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_ic_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_mod.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_mod.sv"]}],{"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_ic_mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_ic_mem.sv","lastModified":1610015510081},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/rvjtag_tap.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/rvjtag_tap.sv","lastModified":1610015510081},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem.sv","lastModified":1610015510081},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/lsu_dccm_mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/lsu_dccm_mem.sv","lastModified":1610015510081},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv","lastModified":1610015510081},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_iccm_mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_iccm_mem.sv","lastModified":1610015510081},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/gated_latch.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/gated_latch.sv","lastModified":1610015510081},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_wrapper.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_wrapper.sv","lastModified":1610015510081},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_mod.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_mod.sv","lastModified":1610015510081},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/beh_lib.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/beh_lib.sv","lastModified":1610015510081},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_lib.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_lib.sv","lastModified":1610015510081}}] \ No newline at end of file +[[{"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_ic_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dpram64.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dpram64.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/rvjtag_tap.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/rvjtag_tap.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/lsu_dccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_regs.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_regs.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/axi2wb.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/axi2wb.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_sync_flops.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_sync_flops.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/fifo4.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/fifo4.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_defines.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_defines.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_iccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_rfifo.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_rfifo.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_wb.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_wb.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/gated_latch.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/gated_latch.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/raminfr.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/raminfr.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_wrapper.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_wrapper.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_top.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_top.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_mod.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_mod.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_tfifo.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_tfifo.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/swervolf_syscon.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/swervolf_syscon.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/simple_spi_top.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/simple_spi_top.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_transmitter.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_transmitter.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_receiver.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_receiver.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/wb_mem_wrapper.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/wb_mem_wrapper.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/beh_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/beh_lib.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_lib.sv"]},{"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_regs.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_regs.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/axi2wb.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/axi2wb.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/wb_mem_wrapper.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/wb_mem_wrapper.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_transmitter.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_transmitter.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/rvjtag_tap.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/rvjtag_tap.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/gated_latch.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/gated_latch.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_receiver.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_receiver.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_wrapper.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_wrapper.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_lib.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/fifo4.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/fifo4.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_wb.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_wb.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/lsu_dccm_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dpram64.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dpram64.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_rfifo.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_rfifo.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_iccm_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_tfifo.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_tfifo.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_top.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_top.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/swervolf_syscon.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/swervolf_syscon.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/raminfr.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/raminfr.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/beh_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/beh_lib.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/simple_spi_top.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/simple_spi_top.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_sync_flops.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_sync_flops.v"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_ic_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_mod.sv":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_mod.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_defines.v":["file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_defines.v"]}],{"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_ic_mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_ic_mem.sv","lastModified":1612181833548},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dpram64.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dpram64.v","lastModified":1613018619735},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/rvjtag_tap.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/rvjtag_tap.sv","lastModified":1612181833548},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem.sv","lastModified":1612181833548},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/lsu_dccm_mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/lsu_dccm_mem.sv","lastModified":1612181833548},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_regs.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_regs.v","lastModified":1613043119075},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/axi2wb.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/axi2wb.v","lastModified":1613018619735},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv","lastModified":1612181833544},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_sync_flops.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_sync_flops.v","lastModified":1613043119079},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/fifo4.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/fifo4.v","lastModified":1613043119031},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_defines.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_defines.v","lastModified":1613043119059},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_iccm_mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_iccm_mem.sv","lastModified":1612181833548},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_rfifo.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_rfifo.v","lastModified":1613043119079},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_wb.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_wb.v","lastModified":1613043119087},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/gated_latch.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/gated_latch.sv","lastModified":1612181833544},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/raminfr.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/raminfr.v","lastModified":1613043119071},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_wrapper.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_wrapper.sv","lastModified":1612181833544},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_top.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_top.v","lastModified":1613043119079},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_mod.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_mod.sv","lastModified":1612181833548},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_tfifo.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_tfifo.v","lastModified":1613043119079},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/swervolf_syscon.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/swervolf_syscon.v","lastModified":1613018619735},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/simple_spi_top.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/simple_spi_top.v","lastModified":1613043119031},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_transmitter.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_transmitter.v","lastModified":1613043119087},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_receiver.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_receiver.v","lastModified":1613043119075},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/wb_mem_wrapper.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/wb_mem_wrapper.v","lastModified":1613018619735},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/beh_lib.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/beh_lib.sv","lastModified":1612181833544},"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_lib.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_lib.sv","lastModified":1612181833548}}] \ No newline at end of file diff --git a/design/target/streams/compile/copyResources/_global/streams/out b/design/target/streams/compile/copyResources/_global/streams/out index 66dcfa45..4d2a5614 100644 --- a/design/target/streams/compile/copyResources/_global/streams/out +++ b/design/target/streams/compile/copyResources/_global/streams/out @@ -1,12 +1,28 @@ [debug] Copy resource mappings:  +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_sync_flops.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_sync_flops.v) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_iccm_mem.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_wrapper.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_wrapper.sv) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_tfifo.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_tfifo.v) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_regs.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_regs.v) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_receiver.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_receiver.v) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/raminfr.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/raminfr.v) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/axi2wb.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/axi2wb.v) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_transmitter.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_transmitter.v) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/swervolf_syscon.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/swervolf_syscon.v) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/beh_lib.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/beh_lib.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/lsu_dccm_mem.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_defines.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_defines.v) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/gated_latch.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/gated_latch.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/rvjtag_tap.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/rvjtag_tap.sv) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/wb_mem_wrapper.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/wb_mem_wrapper.v) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_rfifo.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_rfifo.v) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_top.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_top.v) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_lib.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_lib.sv) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/simple_spi_top.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/simple_spi_top.v) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/fifo4.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/fifo4.v) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/dpram64.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dpram64.v) +[debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/uart_wb.v,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_wb.v) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/mem_mod.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_mod.sv) [debug]  (/home/waleedbinehsan/Desktop/Quasar/design/src/main/resources/vsrc/ifu_ic_mem.sv,/home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv) diff --git a/design/target/streams/compile/packageBin/_global/streams/inputs b/design/target/streams/compile/packageBin/_global/streams/inputs index 2b2e12dd..13501517 100644 --- a/design/target/streams/compile/packageBin/_global/streams/inputs +++ b/design/target/streams/compile/packageBin/_global/streams/inputs @@ -1 +1 @@ --2118640063 \ No newline at end of file +431444702 \ No newline at end of file diff --git a/design/target/streams/compile/packageBin/_global/streams/out b/design/target/streams/compile/packageBin/_global/streams/out index cac1ad1f..6c361de2 100644 --- a/design/target/streams/compile/packageBin/_global/streams/out +++ b/design/target/streams/compile/packageBin/_global/streams/out @@ -34,24 +34,56 @@ [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/quasar_bundle$$anon$1.class [debug]  vsrc [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc +[debug]  vsrc/uart_sync_flops.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_sync_flops.v [debug]  vsrc/ifu_iccm_mem.sv [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv [debug]  vsrc/dmi_wrapper.sv [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_wrapper.sv +[debug]  vsrc/uart_tfifo.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_tfifo.v [debug]  vsrc/dmi_jtag_to_core_sync.sv [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv +[debug]  vsrc/uart_regs.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_regs.v +[debug]  vsrc/uart_receiver.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_receiver.v +[debug]  vsrc/raminfr.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/raminfr.v +[debug]  vsrc/axi2wb.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/axi2wb.v +[debug]  vsrc/uart_transmitter.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_transmitter.v +[debug]  vsrc/swervolf_syscon.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/swervolf_syscon.v [debug]  vsrc/beh_lib.sv [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/beh_lib.sv [debug]  vsrc/lsu_dccm_mem.sv [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv +[debug]  vsrc/uart_defines.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_defines.v [debug]  vsrc/gated_latch.sv [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/gated_latch.sv [debug]  vsrc/rvjtag_tap.sv [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/rvjtag_tap.sv +[debug]  vsrc/wb_mem_wrapper.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/wb_mem_wrapper.v +[debug]  vsrc/uart_rfifo.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_rfifo.v +[debug]  vsrc/uart_top.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_top.v [debug]  vsrc/mem.sv [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem.sv [debug]  vsrc/mem_lib.sv [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_lib.sv +[debug]  vsrc/simple_spi_top.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/simple_spi_top.v +[debug]  vsrc/fifo4.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/fifo4.v +[debug]  vsrc/dpram64.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/dpram64.v +[debug]  vsrc/uart_wb.v +[debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/uart_wb.v [debug]  vsrc/mem_mod.sv [debug]  /home/waleedbinehsan/Desktop/Quasar/design/target/scala-2.12/classes/vsrc/mem_mod.sv [debug]  vsrc/ifu_ic_mem.sv

S(XOeziDG08lRj1vF|5w*i zNg)U-I_zh+yR0Pdi6r_)i`jj5t^ zxkUV1!xIMe@eW-sGEyh(gQh8o(11*p+zjf)tUpT?)6}AI4uK{fDyAW_#q=B5+M+eu8W%|VkzpvL6w9Wx^CfyRKIjR(r1P~yZE1oj(rMx#u4zBj^~#HP!5Pyd zj0_Jg^LR;gM5n$oWb9wps-=>S%m2>I9kyFo1QQOH(`uUZ094nFAg$#wN5-PLuyz-o zBCSwnfjnmAydgmqx4q|jshN5g=!PS`_)$MT-1ycW?54kWs~t=fd`|{50f&6%*JBm= zc)mAEovOuah?n$A+2T_wo4kFHE?P;aoq5X;-UH1XW&s04*NgQ8y>lJRX>iRHn5C}< zgnTZ&tw34P`o)sr-?c0Tp$lsS*NkC(Y^w%YVP{^F-18E{AS%?7&QE4BrN0`aexpUJ z)e@OTWUgyg^gYM)42o))N9Cg#-XOyst-^J@K%M|!564Tyzp_@_vC5M`ygR;s&4~T( zf&EEDv!DZe!}&~5T*p(8TW8CK>9h@3!aa zD43-*mz(R1QFCSd?brkR;qai15j@MbeS5BRtm-~G)kH1{e?#Tn%ghQ%+x0dcp^G$# zp(=ppgj~lCwR)%}g$aQ{C6-*K<`JzTO!1>tdv^CXa`7%t(0%~ zTrY&~ikT0=Qky&n2RP-DG<7Gnf^%&_2+V(!{O63DeOsO)&REnTb&0u>Lsp()cM{8f z@m%iZAu=wE1`I>CV9Tlv&`_Vi|RJ$BXY^(JFBk9JA`=T?q+mD zlnlP3j}zNjv_coU6zHl;5up^A{o<2r*hQ;#wBc1(L3th|?LnGIC6AExn$ll5VL^5W z0cxBfpCtcLVrJhy4~tV#qC&pWo4JtxhyEDTr3q93y@lr(@oFf!?BwMcfNb&p#kN)u zIzq{#*^{OwjeHmdp`ba@NR^-wqr;mAVL})Ov{bT7(uU=XmpYd;%S|IQ@p0+%G4|h? zTs$23=T5I`juaAwg2IL>2gF{tE@G|1HdO%iNdGLJE7W~=!h-5^NtLQngT z4dYJ^5wmZD@0*H0bRwVToEU#TBkd|>8*(6so)ed5x)#(3pUkjwARa&brI_A(Md`LD z_{gNX6@s%7Xxa8_M4|0k=jZ`JqVp zErt2#^0+^t&^wQS+fR#U!ne&I2F?|m!NyO#->-?#-#wTd+iG%mA>8!U`KtG04#^0V z_c2um1!v9^*A3si(aGbykHY+SNRoXkzFS>9{D8J0sA*E$cic(6uvTFIHYA?y7fuMe zIBdSV6xRIc!eRgbGB**E*lD*$dusPF$9;cij_)4%b{|kTIBS)ye;7q)_df`p-{_#e zdjKE(!@qh8y&vDvD8DzL%hG=GZ{Ow9yA}X-sr&V%pLnIlt}Af9;XJa!Y~+V+PP|L) z^XRJhL{TaHoTJXb=Pg(GJTK&b1Vy8igpj-cwmK4~4vzuwg2u_m)^UOakxC#Bxq{5b z2p-+%?dHnRv+(TuXa@jjd8XRw8>Ttl%$ce8gm@Y^Nbs=A2}^~R+73NsCUYRRSn*iv zhBCMODrRFCnf`KN+nXj_3rRH*zwrIn{}!OCq19 z4#8O8KUB4n;p-!p4VM=}kL2rLhVol1<$6_O0sof+{@f!Lsq21xTUx(3Gerelom%EG zg#=;_iT3;5RSw?PPP;Er2J&4tZ~bPmyQmKrYHdhZB)Ru(6_C%0TX#PsKJ*=>oa3s} zG}5IQ=oKeG?`XbpckQi&o?JCQt(9)Y9+OG(g`mVvuW$FhEww z0Gwb<5_x(AElDs{*`+E2F$91Fu%mG88BhR2Cp}dxyh#Z)Zn!{0VdT(}Qi+hmd ziQd|_kjYqLI)kF8?Lz8i0bB0hYsXY&{VF>b-50tTy7m+Ut;MZ2f3G|CEA~HZy&F!{ z;wpU>>zsH?e=*?hGBs5w=)MFwcb_WB6a`pEGx=E$h8JneMOhbl_p>XiZG({qs((DD zT-0y9<47^0YaxnVPby*RIogmNa%HHVU0N_1ZIt*UN6|HSY1k;q?|Z4e&}0M4Yw`R# z&Ml5!HR4V^5J>^EJIcP=3}R!t72O^XR@=H)_uMu}*k3lX!y)QR)PF${SUc%N5esC^ zhxjOXZu@MF<+i>gWw6p4)O})-155iwC9}WKb&>O`}(hF3qeMR zvp7vWw;gkcU&T~serYs%B=YNDCEWvqv{jwRS)_cjNaqG+0ub4l&zu$7p za2X=WqwCH&>XOPzUHXJ2`!oJky#| z#!V!MW3`6`Ibc`=(woQ3aX>)IQ^S4H>Az}B23DOxMZ9OA%jMzV{K}Lv=nkOew z&sjP8-HrQ<39syql*W{9So5A(#P#jfi_+Zh3#L+r)ic{pvr?LL!ht2o!{t;{+Vqlk zqxMZbWEQOr&B@f#OR0p=_@yv&}%OA$#`k)$CE#CE(Xk;%21nY};_f z@9S-Q@pCqMRjBy(>t3A05m}6bSM?ksSz;w;8*2ls**)Z_UVMaqP)Lr)Qu)){L!-v^>Y}+re|O_xmkH*_B>L-^Ij>i?La?@44Sw_HoK2OCJd+ zB&&cjiQBgBOtfRc`H1y#>_^8h%@b}0JvZ3If3I!n3=8x|m%sZKV8k4Cy8{KTWO-d= zIQC*K+~8t|^qUGi8h8qpE*07*5eaopSy?8HX13X<7RzQ?02F-UuwRo{CzbjaHkDa4 zCIW#a3wbtF_D5R}S+GAriCZp{`Lel0B-`u|r`kf)+m50i)~l&@)v4qLuk8HwJe$TQ zTUGW?Guu>W1=tts`%j_;fQD`%SHm{vh(;1B=c}fNV)g@j-2&q*pF{Vc+T}%`FXe~I zP1Vz{Lcn*t0+;2B)!io=5rr=n_DFCKo1)T0}2*go@YwD1be`7W~O2 z<8m+XfndT|SFhk|*88SFi!0jY=yjp4yWyj9e&4vC;$;_7cb9sTmVr3+U2Umt9c}or z&zH)V_ua&H9>*R&ZXM}Y**-vG+>BN{4aajb+tPls0;bg;gf92^JLi^##`YR2h}VLS zdsk!wK6YKrtegdOeQUYP-t#QQxo>h^=_I^ciCu5*j63fW+HI>pPVF>wZ(rhcl<&S zOZ%e}0?^vqZ@)iIP<<4@65)DwD7LdL{e&&oh4V__I;=Z=9F3Er-G;0GFh1xl3wG%T z%R8En5m-GZ2{g|mzAe#oVHdr1&J47h&J&Ng>^_;zJI3m}rbu3p%}YMNyid0@zaWM-hzn7j4Ba`^h7yXJuT3Tczo zQaIqA<#zAe?if_J^$KQlAA0g}I^>PmVuK{hCFU;SIa9CmEqA9`#qZoFlHegN=&|ok zj9#|1xkZHlgN|VgknA|e#2wBWgZ1bqRhtPzm9w6NeYIISww0sAYjCvX7pnJ9_H z_*BJC!kAVYuKRK1&xN+)YPpncl?%JZIg5Lye{}qsZo=Grp>7s&tQS{m@|q4YHA6MP z(>8hP*zJ6#Cz+u8je$8B8~u47mTK~KG5xQ)R1D;6inbt}YKwBsm2xZ0+B5zSYxcX4mhpLhf1&8IFz(wq-A$<-TI zi*&BY-?!J^3YG<}J62rw27j#JYV3`v@17^?QK%-ji+q|m@cih^efge#evPtqR-x+| z*T9l-!%*n6+96mBnrytWTv`-g8;~!?>&MSS|5Jt6*It~54e9W#$zA5t;*RU~_h*gM zu;1LcF6CVv>pWo{Hd)V;Ffw{=;+6wmkE#fq3BFz_VfD489T|maK$fzGoCE_@Z0eVf z_t~8`S^-Kji+11( zUm7Ob7{z7*QwAKliqd|x7$?>TvIh1HvdJwna)DF!268gNhG0qBX}T2$OLE&6jt|+* zg#JRB>CR3&zbtD_Eq$ruY{;f#upuoKFYL|-cv5cq!^eYC@AyMJPi2bBKhz@glw2T2 z4r^xAk@d$8w(~zzaWY{Tw*nRyN$%)9=V)t87ZbO6ykouwC*3&WSsvxiaEPeb*xp8w zw(V|xSN;3mL3V3}fS>nrc2u(f`nV^x1>xR%aMPTfnD-Sl9Ywl3R$cw*x+A{3XV}R9Rl?n|>BElr;i6%y?AOjb1KPpBwI4$|2$J<5ZZFRwdm((pi?gdz&POJnGH@1IGF%F{Q@uI(7eO zW<_YZt2AFLu4p(Rg(VIyB zqd!H9tduK72Fh|s;5`Z2KZ>R&wW5puENE;GM+}W&=oZyCMq^gbOpdRphnU)73G8Y93f`-ZyM%|5KceT2+vW zzt`j6*7i`DOzwnQHVdRX6Z)v@?med|Kf<2J?czLuqm)_&X zy$BG!$=ypMXM^D82dv3O!#cdxJmkC;QNGxQeqZ^5!Y$#!t~ypK5&7~YxUDP4=zRyC z^xA#~(gxKmz>CWWS&+R@DO4nY5q@x$^HB7DhZ1GG^L-^!rq*9TThaK^3+G17Tk}(t zEp_RuD6QH+v}wh0zdfbMy~<~)Gu$cP0+{m|`o*d=B7TdI6^XF_?Bi|MQj=|c7NN)K zph=mA(aZZw%hehagTx`Ieo~fC?!uH2H@LUqFLxc=ETC0wO?%R-H0zk5n2&um<80Ty z*QUYf#^R)JhKH|wZ%Bm$ zGG7+J^Fx^rr)J;=zu}TEYi~SDN~}QhVoQ|ck1vd5 z4W&&yfq*^7?5JP@iL0|mIizE#7e7;be8tJ4^J1tRG$t6|(e4P;RGKg>xG(E+)j0WT zH`^mvuD2pWsI?q|AAPJ-M$cXmaLY)n_F7jw_=j>bQ)pbithOx-$pUMmPdg4qyN0n- zHsDIgjdGb-HtkBo-Fg1HGT@O2U+tT&I8Yu5tQKMTC#GsY0MDar&&yZ382bKc30!nN zXaWQ=sk)DD(NRpauW_2S`)HytrHXqU%1`2oAM1H5zfo)u5virWH*sT)CVI)jc+ zX_()|{R9PL6QikB=pzc|Q~ergK-(!H!^&V@yEFzYf6|qd^o?wwfwpi29tXrNPwb5% zQ1(n05^$t)^xh@Emlg6iul-G=TDCNj!rzY*WW%n>>Am>s$+oY#m5t(YEW|Q~a)vFF zQNA!iULU{5dmY(r83ojxa#vOwDMY^iIug3i!l`bt%Y5rq*e;{!#?8rDRUIT7S>%@= zbxOcML%&nE89wZr{E0xVP<XydE=>kqZ=K(SmYOZ|^iRt8;Ju>>XmBa%;Op!DY7Izo!?wG?Ukxp{npY?IT&8HtXsrC()%Cxn%3WJWP{GOKBN#K;n?+Xae9 z`9l&NIUqtM?W#i!X*>0=OXQoaL=+<4PD^AEu=f!eYxqYRF&nIpK~h0 zFsGI;epUO$FK3r)Gf=6j+%F$;D#kFU>lDu9_bdcw3U?Sa#mM&rMQSrxsS4=w`}kM! z70TVD`Y?9N(#sPR@T>(Tm#^u^p9fw`YI*eZ;$x=}v$VM5xy6Zr&v_=2vp}HV#ngvl zQbGf_F@_mZmfTKI6gFd&T-wu*OOJIVO6h5P@flL&St7A8n&&!oTZ$9E;Hp8jOiJlJ z=>NV7%J)!Xz-53yOT{$7yT*Z=mHUv*TqW9fVw0NwC5eMcp@Emo!>TDVg?>n-r|%gO zn70CNc3RJ+H_Mc0b;J<0{Yw)E3EAgy3||y$rmV9>+MRsT+bmV0HFSc+eAMt&+*c}L zP1D9Z$w!|j5~EC4q0)I(POtf8(veErq?~^G%cLijCIpm3jt7=ZGXcq0EJI#v{@=c} z>nd*HcZ#~-zX0F9GFg(0!#b3_7Ws4dV~W+g)r9oqwS;DpV7Z&xL={$uT}8W|;uc{R z6KzRV@1az#=1~Q`W;7EQtC~(?3q$|QY_IVK`3%vcB&`O$9eQz+NO4pep$FTc+_(#f;*4mJ4vMp;%3yScNwAwoj z9cTVL`Zu4AXfAfZLo$?THQwBOVG4Wys)C+}AvsRZNx4=*xFPWi0V&nVC!5VaC0b3Z zAbK;==(J=})<}hf3*2?OO@`qtFsp?F@?xdor#4_>cTaHFfr53LbM4J(5OQjtuD^ZV zdvg}-^Q_T9?%(=1Bn~Ejz5XJMqhB0ks3@Z(7u?&7x|}uK1_FIrr<21m%@Z>M<;@Zn z?bSv_?O=a+_8;zeaPg@J7(lm2{yMm%lNJ-V1KqeX$QZ6Y#Z}YNg;de=Y&zV0*@^@X z!W@m~ShjWX5l{Bd~`H(rCB21)95N7-ZsJ~4m0TmC9L4Y zf=|}JDe+6PThKs~I_t3xUIt$5vCIiprYml{x^OdG6FiV!=%is%MNhlwa2?B}uMT#> zpx3c9_6zwG|HFmS5;#4Doce&cy3@X5ieJGlNPF71edrfTtEzB22vmM3+FxiBaT)*` z&HeNh8;SwD3GgnK;uy!~HJGiclw%S}s$f(9F3{d_R}@kx4|WIPUG-Z|_mc3Z4hMwS zkqRxpJJ&OiW}dyr%kZcSX3A7UE(Lg{b#KGS(BUW%$9^`*{Fuoy#YQ+V?y+aiJBa*5 z$ufmToUoH$m=5%>!Niz!CW-K>sAQ48C_VWG4lUp|*4F2JfwZF4@WnahIu>_&$oKwF zAdP*Hb{`Q{xq2RGB?l$|&?tBVTE+aM>{7~r-3o{$G-M(!ropL;-^ zUjmG{qyR>I<=_R6n9ymNEsZukK0~4o_Xr-imb&ufR|2hMJ{wyF6f*NkUJ;SIjvuHFu^)1B|;1#!a7jrUAy??MyP`7B1>!*?R}57bq*r07^Fh zD90&^s${skcfKBlS=|e_G?456IVO@u}Cf% zezheE`NP7W4>Gk9fJJUKsYB8G7H1+f%7|5tynUx3QB%uuA=;*ZH6+@E@DU#%no4j1&%VsSQTyH&m{A=Afv2-KaMl)@Wp zz;rNv=ci0`)thj+xZV?K9<~6w*t;P&L%vBT;lXEyjudx^360zCM$&Q%odYLgq=0i* zjNPSyReJ|+#!KEWON3`MEusSGExN3#N73b~jQveaNqA@pclZK{L$Q85eXEQ(LR<0Z zIr@WI+s}ALTjEsImgH=#7G!K%J89z>`03)9#NR>`Zqvjv8gTtt_NO;?D+Y#mhhztT z4ABi$Y#a|AI9&{8J4FvJ9e575Qvh$jq*~JJ$BU-2{c1{Q8@xiCJk6nw#$0|&L_G3_ zh_GC`-lB4Fq|Xqq($BT7P=+%RIr1E{sot11$Nu-VohQ9tPN4~aSaz$AU-oU7pcOO7%rCMZf z<-EyYwS0&^w8+9fl*vLq6khgcsNbPruvj#Ia7$Ez#<0wkI?G{(_IURCi&Nzd=7P`m zt5Xwp^aXXt=L`CQC=13B@mKp>^nrU2#^X6wh0EW^(wF?-?j{;nM9Wr>)!#+gE%>_e!2_X z)K1?Cy<#d60rTYG@iNBvd zqrGX;we={SyGlN{R{-pDx9zlYtL?pD<}<&q*cz?^L;KI;3Tl!6Of-k-+TDL^vitcr zWQLnwRFz^YUq9UUTNSRS{nVf~-~Ns&pT7!Wrt^V0cxUrA-*$5t-(2%F-}p5n-|;o7 zjA!4=#8F&XMNYhRu`+fh^+MF4eK9!}d?g5d<{7V<08he(?<)YENL2#)Qn-es?jwOm z2;#4I>!HCIDs&}bIS53`lX7F#p?%Yt&`vZW`4Xf%wDga$2$$?ND43GIA?R!go!AHT zTucwd^H)%#b96IFgb}=1g!wpVom>jC52l_5LD0etevOlQN9Y3<+rkR@JSWs+J`3@V zP|xSi5*mV>Is!-_&L2ZP7Uz)fko8>dEX)8TOK$zp2UND|2ilshu+7LYPT?nXHsBYR zSxMMtw6toDZ7AVa@F`Qa8YJ+WSW)x}w_i-qF=SQ(L&SMhGg(VdWo$M z+HAAo2$~<3GQEZT1F`&@Dyj{-Pu8Vq4T)Jwy(M^$p!U~&Oci9GK%-zYcPPt1cWSSn znfAJwvISBNi#uzmaOg6wAaOdrUV|Sd8?IhVvuT($qKhHHcCNK5{Vkt;B|ng^Gxr# zUv{bTmv$ap*AO{u&E*g;>o|l@rtj`S&P4vL33L%bcAV zVc3P6F@g?;9_O4dbmDEHE#8{S+9C9oUN}q}@waHHWK9|LmZ1Sj2U`zTC>XYk=p;4e z1enc+U8vm>=wX|EOl(9d$8a*!={P(_5ujIey)%HK+4c!3RbV^m>3l8d6@iA^1S9Ji zo6*&cA?e`E|Enkon?)C3*~c)$4vkT%Lam^w;T~cL}qpj#P0dQBSiq|VW{fx33kmTw0PqhK@Z(5$&$5eA~4m+lWe8;0w&N` zmgHdr_?VPUZ7n%nFQazKok(ImI#SJ%1R;jG+yH}^E?|v7oeEW4myF#A!OxVVbvr+ zw>HU9oCs=&Pa9AL$mY*(#*oR80VnfL*RUAWMry#&Y(12H*c5r*&x~`c3(EPX08#%e|#gK!@@Z zlePJ;k;v(=N{;)NHKfoLmV4rwh_Fibd*K@S&`Rby;`svT3P&B$yo%X=)E4?BNlkJ- zS51yK|P|DZBB=aNC z%d{Zuc|5ZSB?;^ULNjz_8o_r#g!2(a#fX8}^Q#(v!a80YtZR&f0qm%k>bp&0?8ujj zyRy&~1fN2_t)pJSFiQ5A7EyQM8nuxb^hTt4TkByYLyQAG(qBW7<)OhQXr3NxhS1ow zNy`%lGt*SCAu}^gu6*IdUQ<0xgxL6EKC-TL)D`)zxWeTuz|1u50Y?wB=6LuK#R<8_ zb;KK`5qJJ-(*YR>M4un>Fbs7@y0mPW3v))heA}W4ZA7>$U6O~cp!$>rahM$=-W3HA z)Ko%4z^{$x1;G#`Zd&r!T#lqf!(Yp={A zLLW5Uv1@vu96D3$BN0#zZLpDtK31Od)Wn57=m+jp2Cj5Kq5fg zR+T#y2%kJFh71QX1phRHMi9|9_*l+h`uK3yY8`#JwA+9K-h>$h!%2U3ZRH?l9mkLyMO1pbQSjaF^7o4lEGXpzIyMxh56W znw?synVUTH0o0V9A;Q6eaF`A=+_wC8APF41D1;USI*$!_`p>pp5*02j2$6)-xw!3u+a6Z{z%#h`N4ErAe2B&h zA6NsK2K;InO%iUj&u4@H2CszuLxf8^RKA^a@LOwAN(j8fDM-}_%Xp{RY^5LN@9O`j4Qvv_g2$QjpoGV9-9Lp}BUb)^ zTi-R=!}(Lr6yt$PFSD#^xRS;>7Cw{Fn-1Zgl)oF%;7U8gj+}(2NnGa(AGt^Qh$csP z{U~RvSl~*lwhOo?_aOuw04c`0n8GQFR0X?({CDOr9pU`(7sufXCH6QT8&n4P-aUu= zw28FC!*n$DV8Lz0DUIRMNXoJQ%DFG}3T_JwaKNRCInUtHlm?FBMNMjJgik$*`G)`I zMOf7zxD?QA>qG+qgTDv<7ySI_1nz3Ttcwf|it0!Lz5+!GA|zHoseIthIyPuqh~Do? z1kSD6S0r8fpgiN~9o&g&X%-F{*ER=FNUp~?ysQ$tkBA_|?bkr~Dm&kW#J~qPe!+$c zkJ<=qzk`qC0HO?c{SiQj0{V;{TEkt(cD>;X-z%gVJR0O_(!axv7=j8q<<0$<`0c>` z3)x3`;>+Lr(f4s2I}b>3WA$TZxH0ja5j=aHGu!lVsXOey(JJ3=!E?~Lbj1yiS{^J9 zz^R(o@N&&z&jbTt zK2=G--;sgZkoWKfw$m>?36F>U@!UQ3KF)=#FwPT9T#ocDiOi#X6V}uKHE8Q!^J*V7 zxzjyH#2T}9Nxmj`h4 zD!+*k1oZu~q5~p8@&w>O=x;;di8>-*M2Al(GrPBN>0_QD96lnv69t497pj0~{Jh6} z;Xi2vHoSHrVilxtX@PmGEA>-BF4lA~GHA=Aa)k{_ces`X!Qg5U`|Ef&R1Z$gfCLA) zOe}lS;B}CsdPITyUjM^~Q{;?rMTaADzlkFUqwCV0H;3KFK}ZtdTfu`(?|%!TT7D0n z;SfQMV>;0FPeKHo3@py*Ih>-f(iJi&9Z+wj0?9~z&4f!I>}O=)!zooy3j;vouKO;$ zyV%&WVPv6@ja zSeQ0JANo?{nFhWHBbvgOuulpLFyAF{+wo+{Ew}4-*!|WrtX;4x5Vn+bv`x=+Cz+Gx zrQuQcG_9K`p#1I<5RuH_2iWfy$@3&lxUq43Hk0te({BDoaAML! zZYSiYYuv$;mpf*cI0(^IoU8~!+}bF`!hKu|f5&HoT6+i7p`k~$w89rD@Js!@yZ?Ms zGHKvYe@b~7K;#EQga52xs_Y2>Xm*}cUj;WSnj(YKx`k-i8>(tft1ttyb69gK);E^P z8W$-HPstwIm5m%nGp)@B)~7$$a4v`Q>NP~dC8^8MLnMbX6vCvuggE5w0k+yr6|InM z^UbtjeO%UD>?$XD?S)`|i_J6Xl-a*KEX48^LEO!!@!wejAwaC&jfdOj$)t7_Wvz#c z+a`v(_9f)2-c8!y;l4?23F6w*l)uA*`Q_Q^I=IcJP_3!Z=JkY_2*|8^i?FPyZE=G;Im z!`wqZFL!nH#RJxHA6LsgS4;kc<4J0GNY(fY@a0oODL6^x6pXqI=Mq8uZ>q<2nN+IG zclhsq1_7PUPr1=;yD^o4q7p#ycD*T4yuoGZ#+(tODxV7gnNIf?N}tW^C^^ zB!W_cwSgf1AnzdTVDg|p_a;S0(R0HhhqrCK(rtUvs@)6WJHp#EqXmX-YmsBP3@d;G2KX{@E!dns(+vT)~vqSMz{((mPc}k#8WQ8%g#u#m$3sE)h}R z?fuH8prNR8WK`slJA{Gr#(btKN~Xb|ZiQx~Eel}QDifub<|&k7DU`<9!RYuV7=#l1 zjr08DBNu{1co^3+iLh=i6p7Dy|44&g^q2{K4dwi+OoCzB{h9>Z(v0ov#}h6@#-H@* zSej-mDjzwDyELXFZJ;XYsHQzm>BzfW#9Q4#dI-=^t)9AicfFdNJ2i* zxegg(`(Pzi^2%p_3qyFl? z4kvfRS3;SN3r{^gB}(pgbt6B58aFf9p^~wE0T9WtO4{FcK#J3NFc{S{d{uR=hQKgP2M#Zw|ktk5sO+bCm zdd2yfs}#N;Az8QNDxn=#HAZYyY((-pt~>)FIgV-`DPIK91fGGkqa89v{4?h~%KC^G z69BG=wCxVk(}$*2Ng6)0PvEmit3?_n4?yF`mZGazbisGlg0L>>94mR#$M0aKMJvt{5I=h6kEC?hyE>Q zKs!s&12t7LK&a%;x9>4ndhq|zYkkaoA8k(ca`xlxKfHFNm~R-p zZ$0ghok@Skd`I>QZ=!KS4GReKO`^dLkOrv2Q9T~asjCX=KJnMQ68K3Jjw+Eh);p1rZd`+Y*+V0QH1L<+inoFaS3D|Dp1Z}3FzOr1 zaA@(oKr_iD8`fG8@!B`BB^;ceJ^x;dy*TeFrpi2qK0d!Eba-q0?YpY&0oue%lh1Pi zX@8SV7ow{)uN?;8aIw08c-?M-YMfI*;SJ;vhXz9+eZ8ZIn3p08u`1?Chg zpP0EQ?UGgF4p3`Ib`l^`G6ha#*3+-EqP?}*$;xvL@66a< zmGvVvS>GTwlVJN$R70VIXd1c2IvLi|M(Klu%BMycjBrhRjv<6?`&Y~eiLur-D~OV2E$R%G>ieu3ARqSLBR8AwlZK!8 z)im2jx79b>M6=eU=Mepvc0jD8!CmC7AXNVwHqcw2o}`0$9=ZaZ{<&sveo|kf$1D=| zWyqDAn|-UWFB?=7c}`ipGx((#Eo^_X#6_vfWIbg)z4cG1eGzxt%|YHDkVifAlcM$P zrO@7LZ~68M(Z^Gm>oesFTRx$Tr@k1 znQz7TKEInFU3`na^X%}N*}=u&b(b2m|1j$X)q7?1XIj@afj!*_2tuc*2=A4VQ3w#8 zJ$r_b8N{T4@V|eWUin~tzb)z+LU4{dydua22%e_(5LuMFY+SZ<5Qm#J>yS)k5u?FaYA_q zk<+9df9H>D2Ah?foU0sL+egtKO@e{}r(IpGR0uC$i@ibU5e>K-p6rs5 zclFE%gr{kcr4f=co0_hQMea8bcU8)mat>Zst2QuXUCnwooO>5qQDc~8n^<`}=$Zzq zvHWi1Ty7Vc%^Du0RzvWR57ZRCWkS|RkS)IU49rTRR79jODJR<$FZ~^>hj-emt=*Ow@jPX1P|RGWNZAYH zjkJ2-oAJT+o=@qVsZ3j{c)i2vhA&Uo85k063%7;XflB3MmZ+Kgjn&s(@A)?4_)eKJ z&Tx27w|8!MhN<43zI_ekrFO~6+^j2f^r(mA2m>Dyy85yuhX#-NwyDfFsBFhLxlf-n z0Gf{kbU>moP?jJ$kS)19Xlgf>Yrn>H18Kk;<{Y@G-LU&*$#Kkk(yVe5f*&UgK3vMZ z9ib|=b^kqbAiE1X1KGO&Tztv5%_-1OA}ndMHqN`Ln|VEYGs_s_84?o-%cc@M$vR8R z0!7}S=n)gYOBhIrl!PV2%+>_AfogtGJqK6Ez}1X!bu{Rf%LU4l7(*74VHKOomO=P} zUNP9V4lh)`lS*)#o^QrQ7d5fDVR$pV@d)NJUQ=xR-O^jhe-@o+9aGorr<1^C+d+LC zeGKD0_(#`~h<>CL`~1j`d>IeI5m~xS3vGNR{m*0<2WVp<*>hhX9|*$ zI%%1+vTOEIhqZTxn8m)<#eKG1k+#DUzs=Z|*<6(QKqK~_J=mN{yQRu@^Ud;!gS!VKU!Ewy=G`mK zVgG#|{?Aztke*WwS&3RjDeOfd@O#TYzYlRnOS95U#3~rX1WgHW;$m1cvb-$EX1%#f z%iJe>|8X&!W~U04SQ#l6QGXWac0apcj*jS7IZX9ukMS&5VoW3WGC?;GZBc8U$Vtip z6R8FmyqCtrDc65e%JPaIY9`{n+Kvv=eq(MKys#4MzTQ+6|6&UN6tmDqjc!ueR-KJA zd%@rA=TueXnW6iA-DtqQdBA<7#{XjMEuh*AqIFTUSaFBqE&*D!xVr?WP@tr^R&e`q zEyXELf(D0DpoId3BE^a{xI-xrq*!pd={;|~bMCwAopshqzWjU7w|6Ep`Df;zHM0kt zv_h{S;-I@`w$g%VD=ubl9cY&5YWmDaBLn%;YCpA?nksKn?^I>>w~oP%vyN8()GKl8 z!~6Y3DBxX@wO=Ih$a;q2wyjN8i@?@g4*B6|ZMv_7Cq_o{BFH#VeZRIKN=hS8{3ocd zF?i&u!`R~Y%%7WhjOy^$BcM|Veiqi-$x_5%xu(RG>DOcRpH~`dJN63rZ$CQUs-J^hriF{ ztccrnx10@DCj?i&ybP$TEKK_?@;cD)+2^-{p2GLDJ)_--b%$35GZr;l(XsUVzqLRt zEdaqE&3B|x!vn&zGy|_0-brya&L8HFo=j$Nu8ZT*fI{@OwH;t`vN&lK6fn$ zXNzA?r&kb#T>#VceQ$6TW%0d(!`kup?;(jQnni>3G4j=>A!kDM!dB7`m+;~pazkAS z--UiS^r1pfy)UXi-nVo+WQrLWB zRFU+1bY5%U+cq;scDO36hFW{R`T$?Nd%WFg^7o}|=bp%w@Y84eMVlTwjdxiWS<9`NLovrY>K`Epz&<5*gPxlJ}zXwH=!KP6*FeIt^-59)lC*ucu0ojdpi; zH^+KIEr{QyT)ahRTjT*%2bO-dLV2B#rh3Y2^NhG&Tlg!jx7yQV9{DqK67B%}@bGY3 zcFY7*E(`a#zxQP$1ucUl!^^jT#C41Kw1MhLPsGpS9JRv1K!sYeugHt9T!_}sbOWO^ zUuZOqr>1UgstyPQqgDFtKF{|5?vD;7B*i?-G)DV9AR?|_4 zutyYe9?eX=#yiS7 zU!`|Bgxv9)c8RA?$cn(=UCfCv5w(uax$S_Vm3FQ!2hu-<8Iq4>oq&y-k@cfjw}iS5KOkk6$-mv>k;=TUHw|nuD*0!xHXGu1Wm-pOP!YgYkm;{{f|FK{XHoO*hFZcuXD&37bHn>z_O5IP+nm9So}zLocA&Mc_r{0@s7LGs%V)I?Pv3D2a90O|#7h7k^|t;8xxV~m&Ygl3 z6%X9LZ*KI+n2iXloHU@vPjt;L^QyA?cB|DynT1uHq8C8zTI8)r0odx&}upAPuJ*)75|Lh;`kRf zL4D~O{s<$nyG%7;NOC>!ymHJTsZXbVZ@|`C)dE&H_?mBb2YQA(O~QKR+4O zpdBJ)ragEvc`;J>zF*UeaCF#sFxcfiI>>I;)=3M<`L2jTK+{8c%}&`M#)y@<9(?f`L;XoU?yJ&+xdQj)9Cw|}mNRdk{$Dxb z*3J7lCI%l>9`s?+c?xhv8e-Ae3A?Uu(|Uvi={UAO?8kqREpC8e?8oWHGc1#~({g-v zdQewTdE92=7-T*?Y2Q}HF=b`BFxA{tZ}niqFnFu@36RYj7Hq`a70D^gsT1TY>9@DI zJZa1y$$_&9i@h-A1x-%Q=PKiJ6DdxU2$CPR|6H~)c~QlnBt!L$ZebWPiFPVrjZE); zi1{c>-?yb=fxmq+2eGW{;`H%LMKyvaETH*zxg{-a6Xh5yB|n$z-v3vj;Jpt zwG|lx&Odo`Q!dGf#?xTFE3UYHvd^@+o5r*cg8$G}KQnCJ-}&>tGb>~0{jDew4eTRW zVNdr{gL#V{YS+MOfUA^%YJU0}W1OqKb{)cky&k?@a_ zES*!%bNp`}_FFCoxV0fnO!4+QI;8UaCd4HsfFb`x!g9vb6kns){0!eVwqC2 zzq+~c*)i2>hJJ9SG6+vzo^eM<{ItDoEJMrZrVd)`04wP!h&@gDnFNnC`mraZgD+UN zyqRfgc5yLhd{Ju{D!Oq*U&`=DODDS!^ZTh(^iHGbc|&&4Cz5`((mU=PF13fcw1b7t*xz&M)1oQ zUggz1Wi%$ZFQhpsSnP&&4%q0XnFmnfC=_>~k(Lq1PrjOj+ITKx)hP{Px%1 zniWA6#KARh=J`zOz>N2&MrzG1h7_56aEmr>^6Dzf0t*I7mZ>^L6kMa)e1L({bV^`G zP%SC)t!q|sPh(6Off$CeTnSqzxp*NX3q=zYq8I(NAygG>gX8V0a=vjRw^_Oc@UggM zC|I{Rsb}Bd+LRNKHp_8nZM>^?MIK<=*$`=Y^*Zl zK(&g>&my0Ewd{}a$B0j6=0v`9Ti>mks)^|xnsPf77mBZ~wT_floU*L>_^}P9)=j&LV1V_vb3-3#OxIN)99BPmIS5 znAXk0)5{>=>Hp^)dl6%5YBtTq4?zu6QXh#EJEyVJSIOniCb?Bn?rxY~aI-#jeFTcd zf!Ea_LqUdkc-}y`qCKR63as=&CFO_-}x3k3@NU{?Bfr< z<2k{Gzu#7E*1+pM#GW(o?^aVZ!*7$YN+W&JV#FK8;Uz!Hc5VEBPt^sN@(q7JR5lip zpO(Re)x0wmG8e6xk5zxr*#p@C#^aFjjOQ9ki-JCHRQU~sm+Ou{>uqvUxe%@EQln$8 z$b0fGGunQf9&ZYT?mSa4K|QCc*9dG$+Bo~as&no2V!qS6joY^&BhRCAcAfq_$o`__ z=oy#8*kTmO3D)$`ySjZ05Odh7oc!stfCZfn~`9p z`O;!n8=?NRl+m8PZGu#6X*;&cGiHsWX5xzQ5jpx82m5hDW+zFLZOZ7tPyJ%YG*k7O zWF7U;)+j%RuTNkm5@ze|Wn?TSy<{LWoWS>n$%DM_^~9)nrt2-Nr=XWRtudDG7l~cJ zhzm>uy{@la5O1?yc2LLE*4adAqzrB5=Hb0`^DuPWhvdTXMc+X2-IQ3zbVaz38=qXEK>8=A+75E2NIo zyo~WCaZX|9A6;96k0np$CML!UwFV=Itd)%cbMtb^U+H@^-*OzWVm~na!3(CM2|2YD zN!iE^S>oGD7ric;!lYGXvrXwxE?i|U6!st2*tYlc8<5A$C{ASFL#Dh9$4JB^Bg9>G z^)P5vw39;8QF%mRaL+CtO&~i@8N9*#M17h^J_1$K(`FwCU!-;LzkOyh%)2I%EMi0l zBtdprdMH7jc7n+B1ABvyqS5jxYXbYGGH%9Q`Y}EJc3AznaR@INKBCwXAy*v-%}9dP zZTj1VPCyfHNpVdR)+_LcO;`QImVaqsCOx5&C2%3{u%dkYUAQ$RIccKr75ut4TDJZt+T@{cy_-7yB?v+KbPu$} zQa52qFDZZ1t^w0UZzid~sXs~>0IAk4ha&f#0`Nwb;RkAFe=$%b4PPTKrtr?(4boe= z;dk0@QLIU1_GA)A(!*Y`qC&(<{+1{H6U-I@nJJR$c6$~wG z{lbCWGqn_yHWv5$rFu`X@ru*I{#&A239r6Gv+QL}SKJHY%(JXn(B3DtLq_tO5&R2} z@KCNRBE8me43&#PhcaD<|9{_ z#Oz&>IW3)^Z=d}9*sCGtkg@nkRBhw@OLuTyGK^8dSTd9|ntZ<_e)%lRq^g;EG{575 zrtLdT|M>f&N~SJ7nNSr#CbZo51~V@bMx|iP7n)~u6JvCvTXx~0eZ;7JB$~P2%Gc+` z+c##Cps@bnG1@DUf-&tKoY7=9_@T>1&LsZG+eqzdgKy)?VU^JslggQ+1ONLnZ2iHM z1WU;V_Q-qEi=`LwqXXO57)^h%;gNFN;UWdwd#+kvqGvqrfEX$)T|OLlBYZj|e33FT z{GG@W1C~cCP0=E~6LW5Z@7}27|2isl zh|`KG%&^|4N&qUal*(5lB)V~lyK!?Oh}5q_fcI4eFA^beksl^@8i$xM^Hvb&AImLi%|E;BhnMM?G~m6&AK~dF2*zUVAuO zuZhYJ3Hs=F2c(bN73_EKQYLQM9M5CjH}rmN?~pZBsI(N4(91DF&b()*f7z8(d><(! zSqPMNKlRR@`(p8dT|<@J$GWac#~1M!R=Y!3sXa*pvAev?c5CU+d-a8K8mP0`5a zOODfHCRbr5FPCMP2vB_(pqhOg$BRvTRNy&r79a`H zd5px-J&6}_plBTAg#6&_$0X$hm}zH{13sPlwD-OAz@;C0349ijpwBsx`zQ&n@= z58I|d952<*DwzwxgNjQ%*rdZ8;t*^w7-tplV?jeh}(~permvUwYVPXd)_c8H{zQ- z8^X?AgulS!dLEw}Jezhn$F(M^)iaP41&j$16lqb&w{QmgYF+529X4{UHR<#?r9~x! z2#TyJD6tnlR9NrJhVE@q_<=X_R0 zcfJiwheKw z`93xsyHZEmrNt83B7fr>a-ny1*n}c)*yVUJiCz($9A?denJ$mz9zDj2=jrlZ zE=Efjv&9C>w*yBvke~rY(Xh+)Vp1op_Cr|6B7U|v`HNll@xP1^?(4~}rZ z#M%F@GtT*e)k;XI{dolU7o52_1oN8|VuM^ivUJ9UK0vjElv)bK4N6F&Mo1puN+XLbZ*sTm;g*Y@3nW}XQAzCZjZ7QQ)>SlU8Q8TH_MjcO zr-8FUum)>oyY#3YcY^4B4)82zwuqI8-F2kyEN7C46@y*7SP!>L)Z8TgLK(S)7RN}I z`m9h=gBF8bix~UaYc9y7QdDhZoHZVKItjZw(<*I=T6vl16_672K`uj!nY=}o-8Vp0 z_c*R$le}@51Cpi@1xk*yW+qRUWp@uy-8+uU{!MO&PWYhF%bARC#Z2BV%g!C3I(r;9 zyGj0dkfSF{10j?Q*J3toku65M(mRg(xJlkjN@rLjc%gWG@6y@9i z%rW^2%#jn7K34nSh25*s@`PW$1?I}9S+yD z2iKq~2#{Eny!nRShe}{AXx!2UOJ)npic97Ry`Vg#QyVP&God5YofV zQcgm3U2WWViZrq7k~ZC|*QfqfZ5(}zG-*VOx@hqXoqC5fdE6mwI*S(n$K?HpGjDYl zBoe8~^$Y9OXx!K$F9v4Fa#%TKnX`K?0K1nDz~slWHhx})`)xlrA^6F{LeSKTu+_Qq zw|27A9VMJs7Y}zOaQZ_DsJCVL_sXITeSRe&A1y)MTB;vLj*u&cerzofSW>Tp&<7s# z4ESC+jBmc>o5d4vs^*zWT792Q&H`uf7{mFR@syNSea$hu=V_agc`tRJ_^8H*836HX zc`{4;Q`zK2EcH>6=(U$9n?oA@O2OiC#*e*Sec1Bj{6k?GUDTOhY+Z@<6H!nV8?#^pZ!5^>8WtwNlNT6^oMaxYEBufy1$ufV4xmfr1&yI{pSno zu~%-7O(s-J*r%xxUy!ef1rGl{s{(c@G8-*V`Qq;UWA2bZ321lx4t=OD{lt4wXOD;D z&Hc?ZC3Px(+Qtu1<{v?ga&zrVTHCo9y$6n*T1}KitKwQ7Z#?>`y_8z>pQ;x(S7Upn zO$gUhwY89cC^f6S8jAf!()6gL7*y)4-ConOA2|4A!GZWq>g6oa-y2pKnI+H>DB}I4 zJ6y;wC2?g3`OV+IIKkn2fGS5=L&f5%j zPwSCieiTMO@=Y(F<5;bA$qfWomyKs`rWX-F8S@>BKMtg=ULU>rvm~c!$Cq^YgZ?P= zWsKG{Lf1C6NUEnp(m0mMCb*1%0?dc83+l7xX?9*9;tw_$5nH>Kxv+sHBlePOEA#z$@@hgadcu2)-yG$nQ zQJJLGewqWK{I;Jva9bVCQ6`zGa*ZJY{ryqsm!{SV`jEJ#%x}$WwJ(hJJ%VyY<(T3R z>PSrcktJb;THJJ!gWHNrQa!$EaB9(aW{-~DBI|~&75YX)aqoO;n=MZcbjow5+I6jG z(>)Jdl|FDauU>bK=f|4>0iZA8^(B2)Mx_@<@_JA3coz`flWc@OCM|Y{7_94g>@2oy zo)5lAM#~$odjt}Wen`6Vn^Iclij>6gUfOHJl{~IRTDyn=SY03{yJ4k}H+VbgGA^&N zDrmMI@y}9@<6C_ee1P7law;&>k$oYbIZLNa>HjKkL-WyJNrbQhkbV3<%|caZuE)6Q zPCjcZZQp(zm9lFWoS6)bWeq}PWwbS$@C62EIgY3rL~h^-hT}tb==n*kliShzV1a6V zx*R#{j#2A^ZNBDjQi{d?xpC|CHyeEgNRUw2gy_4%hQ%w-TKuYECo!k4uENH!9TMxY z_E1}lV{0Jn1?4QThgrO9K<181;C@SwJJae*@y!k&dLM&UVQW3V@Pgxv9!NQ|bY^tw z65jt|YMzwd4xB?J&}Igi5^JA@f5e;ei;aL1h;2ZX3=g%2!R;VB91d;*ll)oaGsX7y|C702~!>RD%%YP?uywQBB_ z$6=NM7!mrAc(T&?%?N0s#U+_Hkl$F9Gs9|{+Jh%jp|Ab zu@)t4vw%)bBiSCuv_JlPwrN%T_gvF!WP3c*sbr5dY6i(3v6}JW3{bi;;P8;SJ;33i zaHGNDA+LTIksdEj8(|zLP8#u-Tqk_>K8`wU)dUjFdLiG)PL{7;b4hj+W}%_R~n<6xK|o8f-&BQ0;h{}nvZNzwdOu@)e#cH5@8jG!p8UHnkHrYoMfR8v+4^e zAn0c5m1c~PiT4r0@gv_2#y{YmHemf6YeB1YC;+j>>h9=mdl+#Yhcd>}?~7h>b%L;WKkj6O+j**VqEehm4S=hutN;ZPXD1aXvCQ$&|bL_=T*~ zOJp}$7F`jmT975YZsXoImWYaY6f4dc*{&Uas7B3-;-O$8bL8q%h#Y43NpB!U#0urE z3;vyA&5F{Y21E|8yC@D7gm1$-&BAse+GrEDss`!6@3xIYnd9GS*L+~TU~IGrUj?`z z9S^#Pdjqi}%;QirIQ0~}f62b8*Z8sd7g+pNIegG4AGN9n33=FU)q8~#(Hf63z`xTM z6uawC`3E}xK;s`6pdm!@AHXdyoo}w=EYOhs5AYhtFU|@60pC9`fWVIaiv9!JUgZs7 zr7J$VziaFt2*;t}o{T67u%-A1j#8-vnV_so z_-Q5CuQ8kOcmc;1iOHwV&sX%)sV}kx6Dj`C z@|;O}G$e>0l|~==;Y3oyPR7Ib`XxSLql2?8x!@JnzteNW3k`nq*I{=Yzn`YHbo{wG z0K@d{WV(1F$hc0jczK3yz7LFd&%Ok2o@(Gtcg%VSE>i187jf_PHP+-cK zn*HIo%A+=Y*BKnHm-LMyzmf3}THx8a;Y9NM-ELv42cK-i?5GSe>HHsW>~$r9>2ogT zi@V1j=CaNm-n1J^-|0)@RfuxWWSKv?0&UdCP^9?Z6j^86^rUpW4yNYYjDjIl(cjr6 z_r1mhWC?@|11IWX*_{P6y z-Bi98hrP39*DnZVg8dfe$a zuT`%6+`Lg^nr~i_-zwc+t0}g>J_(N%due`(#uLXKY*LqUIRqZ_xra<8=|%p){OhGJ zt{xm`;al7bcYD~9UR{940-mSbOUd)_B2K})ucSA11}oP~Psx@jmU9MWnMh1>9#0XQ z{U-W&DL-_TS#tlG!Yds*t2xpU`=zzQ3}an^hf?>DM>tL2 z+;2d=kyyNi6M&KcFZSER9gUh@T0ua{Q{n{Y+F(FQgf?R%ELjCu<(T$j1IMALY%m`C z3+@&rM1C{)3TT+kThg|NvEr|#kExJxPqVPbk)gXu)p(Q>{vXO+VKPD1=@nL~1Pfu6 zh7^l><%T$mdN%=}K*f)$yA#&~OVI{An^G<%TSDr)Hs1Lrzx1WA+$_F;1K?LLHJ6^Ma$Xe|?HZR8Pk; zaEe-BtgY~*xQVhR%?cep4(ZaJHVn`e$v?-q)M&0)07MgO++Iy9U21;mB`P0G#}%2h zc+=<@WH7`0_0%9sr(;z!;3KVWx0-q-;M&+MY^@zcQ18Nrobmf8OBwCkv7YHP*n}(c z^RVeAReJCF6ZCEv+^gD^Apx!~0XnCUlIP*`o> zQbv;x#s4AT_vf#V2C>bj^1Bmz9ZCNDxTr2Cf<6a$W`YcBsIj(1%xp}#L3$F+=KC|Hn(=zeDy{Cgzk`lA2GVbDvWMzH2iB^3M zPoSFT4YT_MmTtx?s^YF_nKj^ZzG16qnKNKht7EOm-LJrMe#BN`xnB|C%*$Rezh9y3 z?9L8UNbgnjILEP9wCz=}|8{zSy&O>PLZC-wHq?!Dzi)k(l#IaQ`d7DP_Kn12iFAWV|?8&PnyRZbIt6n#qqY zs~L)sr!HT!xk^@_{((I$@*bkU{mEv{|9f%n_9vgSi0M6lq)!Y_S5ewn`q+S+pOS)P z7Y6tEqwAu-y^=kFSb?t<#xMfmJNK=c>pM%4Ntr7@j8D*VscGAV5Ns1qkJ~-N~#^sgGC%G_~S38NB0tzx+7%ajxM2sx&YRV|pcC zR~^tEqimE?y~ckWI)~$Vy?rK~SiIhq;dMOpVOTQn0pH^F$6$e6;c-E!*dsif2kxA* z90y1p-;1keUoyhbHz>c7&k1JwJLWxtqz)`plDa0os@zWupT-6Cr3O(WwA! zxH2x^xfDMwVhHy-Gf^29lM%#_N#wGreD-EYmKTxsW~}6mUG4MFwoh58%u?S7L*J0s zJ}D#knzr+m*Hc9c~?_sh0GO_^po^;JFel_BUkVh?iN^l8?C@^yeQ2KyZs;L*gca9qxFXV>fMajYSnzK!lYO_sTeW+>8r>GJ3vYJr+c~q-m3RY zw*J4zHt(U2o7(IB+Rt+Ehio?$Rspq3+mbQWAIeE5pu2*X_dDtyvG)Ppx{`{uw6=CG z*gpQBlCwM~K(H{+4~!WEFAlmx)YF$fVmycAx0!Ri%j>!?q1|2f3tC*pYF)4nRe`a=kZ^Up!aeVv8xhqhEaq+bi7jF-Uc44_^`U zokH!at^OM6itc&FfAlaps4a+_s-?0uI;dr^wpi|$K>HT!WtFIV^wrDNOi_}hciMj* z`+W&2oROPJIvAx2|HhHGiFFQ~4IV(Rwi>P}PRB}A{OE`&4pJD2on+!Tt}sN5{KRg> z+2%s1+5~S&Uu@Xnw9(qFL?84ytA8`sxSL;iEL!8fvYFl}^QZ2xxD)P;Ehg-pN~Fxf zVwMLOXTP=ny@^rf#^-tb`Ih{(R*(i>+R&Sm`sR0(RWFdT==E}PR8OLSS6q`70>9M) z(6JV!+9}GkZmLsiv2SJnfUUb3&Mi;r{@83i*lv6Z|4DP8E*Ymt#*LS^gfVIxgztbw z%&DjOb9#%nb}jvY`}EOff7jRDZRNLW8aiIw6QAlCpz zL}n=bA|AJXW^ee+;YlRIkL2$A?(MPZQNh;hqFs5G`c}nJRNx?LJY`jRuNsrI&1DDXGEO~FLG$bhcd|?sb%5n!-x+~aTeb9qdq zAYC+mZ9PT~i=3-qWiS2}4xQ$f$+JxJsnn&AgFutjukFt{{LQ1@zvDB&xR+D~px!B@ zg12{qmDh&nFKy1f7L!X7LnDH-**~~neS1wiSt^q9XP%k58M8kL4@?_Vbu9_wr13-Z)C7QJL!q;Q18IT2VYFF%-^ zaEpAm9zF1J4JII@P5o*PeZ|*k6WL=Bk9t5=$2n~UMn7Bvu|25XKw3gc%H0s&Mg1C5 z*elXT`Iw#%^urS&DcNoj*gxCiKBA|j_v#U$0okqs0QS$duvJ=n3JJlER-oAJ0{a^_ z%VH1;lj}tFxb?nKTJwNxmM~H(IP_0!;bFcLPnwl#)xO@`#M*uxBYIZ+mL0JDnOXa7J7+Re~o3r1Bk) z!RvZ*urS2`N~cxH02El7ITMJ4T4)m1hCv=TnysyT_Tz?CC>7wzxk063@}J>#x7Q6c zG-D=gXf3PbXH}j@I*H;8$2U_aXrwePK&5gl^uYPrH8iIt5dwuuBu8~(wOXf&k)FUd z;KJi`@XbJ|Rpqq=->-P)#6938c3|5fmb($?9LfGqxdC$ z`&hbkxQG^;e#dB0TYnj2lj`ZEj`wjnWVN3s{rB%$@Up)C@_YSd!-ujL_C!wuB!LXS zwDc}zp1+_6Ho+g0^1reSxx6`6@;-(^z+nby$rgnximAa8N3svpj_JRh;7|>|ZQ0V| zWmc)FfceWdhT^Fmk8B5!B>a9oeiFo+Hb6SYa>UalbR#Zwc@GZtW+e?Qq?HBw(J{!V z1{Z%{3Q&!4PV0bWV=d|xkAl%t4?rIg`u@rA8HSJsHIUOJkvpR5p1yV!Cn?C{L{zYTMS@0V0+wO0`d#_3Ti9UhcO!v+mv*8Ul;qdG;dM$&{R8sN$n>1fa6u8*WlnN z7^`=laFa-@(F1Prk&X%mp~xZY06Chjcg8H6u|p+zH`AZ&U)1ZB=tuOST?;_j|JLAWvT{&v)^f(`e3}G%V0}U>~;-UJw zn|xZnEVj0BoDWULU-rJAN_{^;4@zD&y8Ql)3(nQ5A%X?7@cBOG_tknV^^jJ8gGV@) zBFDDFT=A04XiwW@uL>f@I-!1d%=z&$b769@mUuou`9Qy<7` zxTj~x#3**H@FmdC+F*8Lop21L$Xs()rTE}^z8{g3fI%FW$_@k)097qdkHF$h-6@5V zY1;?#(vfgIA)=yEihsC|%K=kWKaBVeRgFPLJO;C?_;gG0ro!4@U}YR|O>^=t8Psq< zPstj!p{nY$SO^3ASDL-9hIV~MeSv0w z#R3#Ee#DuRMNRJZ5~}QgAZoBvl13WEoe&5piXz}x*y17A@jF|xNQ!`5VK`djDh!XI z2v8M4anlPG6K|UTAWhVxrPEz>+U-AP7uxQ> zRbiu9y|<@ui78%jQYte^~aZdMYfwK#`0Z5 zTNS~fnPUc*H~d9K$Csw^3Y#a@!T(9b<+WAZBm#Ga4beh_F*Ah~FR}P-!;W!H&}|J7 zJQ#C`7JP}7Aq+kKe~G{lZE3Jlrm*H^1ix*{F|KJR>n2fEu+k8%$z?=_F#H%7y-R45 z$fy(gUx{(Y(I%Z_n?#ktY(uo@{SO(!rN_~{wwjwnhMg%xw8g<}nZl}<%KWzfNwgcH zHM{J|5Ux3n=CiffBr@)77@{o?2JAA0buWAPZL^P~O*=(4iK>JDlc~mQtGbzp?jVL} zVZr2?!pfKI{I*fYY9^idn~CsX@*!HoOZE)m;^Y59EDJWw6xO~h=C{o_Rx|D7-At?s zHXNcgy)4cUt~yrZvo+aFH0p#8(f$V!xI|p4z)oUawFnCoOmvL4Z(x>b%3GvUX;jhx zJgap4Ql*(Q`X@^j7oYbX7^L+-rq-sGfhukGb*88;k)KR3?D{{HT$$Hb(ipu%eNn;C zNU0ACyqe581N3i)XZcGAzl;lnz3q(rYeR2W&=p60OayCffHu7H4_y=xmBuh%-M(^C zlTiFR>?PRmqUxCW!lkXFgt@JE?t%JN|j+4uN zS=0OI@!MP)O-yC(jGl6~Yw2c)f5r6EkX;J5IIPyk{58Dd~&@C+M zPE!Y{DRXk($*~N~$||fa+j8FdVi{PNRajp}>%3#|=~Nlip{XN0J*o5Q)Ckm}t0O!! zSp>N5P+MM!f;xL(|wn@<@)9cDW9zb1>^c7#8j27)@2bi5FgwyryRmVr%K%f)4_ zt~(xAYRZ5n8O<=bbie@h0#{-TqynAE>Eq^vKLWc%U7ELIlq;>)O ziw%BFx=cZb?EDl+N8Ao^hH8?fn|Vux#ZRXJEEAL@DmTStqI;g;&K zEE%P#W0fhiaT~5HZnWhNPICfF6&oX5QV{IMi?HN@``myTKZXN=!&pFc5+Ssx0Hi$++&G4=Y6%M^M~DLS!4)Kr5hO&2b3TNNK3IWnooDP5F4CJ2rNRSe zIZ`P|B{r$YMd}jzWKkmKR`?K4sgTck;3pR_p&t*b#;{P7gg!7zgqliChn?pd@Ts>Dg{eERazP$_0f?44_H7w%fPK@`6sE56I!-}9u$N9WR#Xp3Y2dXl$UmK zd{)T1R%gA!uf(Ix32wss7E&LN3$ju1f0D-$oz+=)%as#BOUJy`-o&XagGdf_t<=#^ic*&VS6D!oOTk{~ z^jmah3zR!Ha8N>k0^)j3vA`w#DoiToLY2ArY1M z2okOY67Yrj)mL!97ZvmfV~${Glyq~HsVYoai3hG63c%OCs3bwbYWm>58*mBw5Aseq z$v1)lU7ks0;EjA}|A~s;$O9yV2*F9{^O+Jst|I6yfJmjP>LqYJCi5$zb|sAF_ld~8 zDp1xd0skt1ItEvOMfnhdJn%Uc!BZVUu(xT7)Bu6&0hxiQ8T<{Q_!z;^c|l8tGVDel zjE)J1{=@QX!j$#FYiypCIv_APAvM~aLNCZ6x;U{-wL;CB{l2(v&l35B2*FO+JWGJw zW{YWC#G)<%Q|p5>RbP*+}r5f5BS0dc$tbLBHBpfr(FKn%G< z>lzemi^0_eP=*+P%?9O(O|Rl#$ADxiaB1bM+^Sq#0cOmHGF-wW&~;me>na*OzmC)F z69=pNsK~{Okl=y;CR4HY2zM<8kLrU#=-Noa2qeL9tfO#CTQ9A$Cv*S#N8E+dH>}|=*dn`;fLW1P}KawT&mmuNA z=+4Uqwj2jcflaL4>hEzm55PT>}QTjNm8v(4Q>p z2BNK2P$4K-;dMk?3JY?55her!e~?zPmgp^VJZMm~)`x{6aeVs7S~IATPE<&39(eUd zY*bBDXfiI+mCz@N648t<6m;VtO^ABS1Gjzn7!g7P-{nIP>w_iHjY>TT?5$O{{i)PQ zHjXRvnbMM1cw01}yo7eTK&B%1BD}3Yd1(#DXA3PjhZpb4s#W+Dt}E4?jJRf^ywJu4 z72BVF8q3D{6h6D%8zfYl2}saKv+mF=l`krCx-jO~5m%#Rekl+yZ3L03o=$F76WC7A6SkIh z#bs^#m#tV^nIv2MEJeV9wAHad80pp$$rcDPcZi-QQ1#|IR4QQe!7*ujLCr;fCFzy} z_A%&;25<|OFvz-5%)UY2`FvZ|7t@Aa^G4odw`#T4OK%w}lD3dLhyy%(N%ncb{nNm$ zO135Tn%Ad8(4I_AH4|*@-w&|PvukFjyRo(9vO^$}c(lvtVlvPV@pY@U0|v`btMrAQ z7dl0;B=+BlC(P#6#*!SfZtOqil$J3SYEKc}vTg6Q@zglVvnXe&5vOM{;~nHil5V}n z_6#PTh`nO)(}`^204`VMnMmwwh$p_&1$tCV#cLE5tEi2iHFK8+9CD7;Ib4Y zOP7H-b6^Y1Jt>I-?nF-e7a+w~MdqY9A18rpDr& zqf+=UThj~5{>yYu>UdDaz6@YT_t{|eL7h*SfYoQ=6e);PIxBV8RI>hVt1XI9qa;sF zTSaa1-`R<OxfSsFKcFnG0I?oSaCwi zYZA_M^=-fa`JXPndAz62f(^=2>;GajrUq2j)I0LAIpwLPrez6YmI4gnAN_jo$>{1U zs(?7&XisUl@2PQ;u&q6q9N<1G6PyV|-kW@vT`wY8hjCylU7`a_Kh8>KdkIT=;vOjS z|My(SbRV_~)SA<>{J^|wf*tSrX=|nZ@?;hN|7y<<(z5~r9vf`wVb_7F^7T!EusCHA z0jC;^S`p|kmE}-jChwO`0|sseR-DvbUS;}X_=f)jvIk*l8T{gT7xsTCOP}19`F{q0 zYge=Z&p+W<5zf7A5T{E1@P*o&QSGU3<7^J$K)k1y+HL)R^^dbZ{C5;)i8uWJ zF@f!!thTEE;?{Y13#viEb49jVyW1zQRIA|twkPf93oyT2gD02!;BkVbC=}fB+5g+i zwAlZP6WexKR@?u#HDi)xH3;l2%KtYMA25#x-Sj`<@E^9}|FXe=5!@fe>iD04_{U}G z!wMvbm>9i~p+F4}4C)Bl)z!ZL-b;-Ohh)K9&u!LQZ+Xf43`92|l&KK>y9o$| z2MxggyH9}iU!t!?ieNYsx+Gf;EJZG0pt-P4fQPEHTQ4UswHk^6ERUCozwfLOg`T)) z{;q!`PcpFfAYP~zsVVrfNg6%~*o9h8OYV%o9%qS?3~WEp=IK(S!6C_0`>dkY32OU8 zVjoPb1)hq0In}yJ?DL2xiuOq&_N;c@W(Q{ly8QKVu%3a?&#u)D%z6^~>(k!*pI$e( z3y8b~6G>^AF@9+o)^uzI5uUv`TX5tWGUa`P!z|vN&gZlMTfgzEl<)7Ay@&6-sbda$ zKv)~IaVIowGi8!{b*I_b-DMr0q9&BXd)Pw#q4Q7%-v+iFU8#EHpT!s(<(JKKbsxU? zGO|ip3@{ehf4+sRcLsM5PwsNeRM zc8=`a)9>Itf+a>zy!r~Lqy*v8_3MN+!*#9DMC7{~WsH}v$k$>6k)awVS>rb8@@$6> z&-q#eJjS1UB|B`q6WGyk*su^9G+DIX6ckcyc<#1zX9VaykaEcz=}pR3&&|a(>byIB zV0+drQ1&*r0Vm(tqjI=$&XcV<-jRme=RO**gYuIJv(fjt#otgP~-)ay+np80-( zN!#?(+V*vwMr14Y+S^2yjau6pmTSdRR(%7y**$B`TyNiyWdmfnKw=hTtRKId*+Z#HhI?tBQyu|A*LZc=x!t~B^qWYFG)tx=vD zvo==i5oF*Si_;kO^G?ysW34+u^N6p&BmuySVs;fcNgTaJn%?k{H&TjC{2H4 zYgsye)1K<;h01F-ZvRvM*V5omc&!x7a7$<<$gg{YdBR0b>mawHccgocrIH1us+iD^ z1Ci(koX?lmMh?My)GYp9#ai&moG|w&!J4-}2c?8}=)8-Z-}8&Dl(|{HC|x%yhD5(X zaEpBn`O$1tX*TY&75~>lNaXk9=YKt?DWg8P#D3T}#k{!Rw(S@F^zVJ5zZQ-(mOp_X z;-h&EL><%>WX^wx@A^3|MSYOF1ndJ6ZVR{JHvoZy_Yad*0w+C05c6kh$JDF4k7!N!ne`L#-Oz{mcI~hzH}yW^s8|QNQvC!z(BnD z)5Tzj<|G6b3?Zh3mJ&ys6ky(qqjAR@!;p>9o1)*4zCz>YJmP4O%L_yJCnHvXv$Rv* zbWj^@r$Z`_wT6BDk4M!^s) zyy@t_kjxd zh;~b1AR2kjTmW8rMhNkztAgV{Z^DE#G382V+5^Z^j|W&o_8fGnJ`CZ_laBlYxVOb^ z!e}xv#!6@qqJ3*&C5F^z#p40*JH);ul1D1+9R2`~LZ4_zp%pR~-KrO{dlFLj`=UXP!H z3uQ?{oc@K}CIFi-?o3Ra5*i8)?)C`$fIPP=z(~UoNS<`sKkhfw0CA7p}Nc&HZ8{$k%W-#WCWcZ89etLz1V%oH9A^Qh5QcE!PnQ6rO=LV7bBXp&LZmH8v=4f$U)`LG74V}^ zk^B7Vkapna!{*TWH71k;4MXf_N|+0~2i{)s{_}M?r&Y4~hh!$~4uCY)fAs)-{|<`! zcToGkN^evD?V$gaZa4i`0qwstK>s5g@h^Y+KYUOdsEqSpWw(6kg>DeEszs&6h4;yJ z(qSQ7Ixtqck76eVx=!fEEV4Qc2~O+AKL?!f>1^QAH{78yRzoqqQuuV}Drp6fH;A8{ zDBb7gB?k$O!OYN!L4uE}rJt=5YM6y`4AJFfQoH%!)7{^Rk%0u`)<}OHqO%O6KCGgW z3PI8Jt`hS7!iR8$#;AMsJppwg@gc0CF*q}H(S3BQ>N_z^kYJ-edK zJ23}TQWfT*Mj6sT-{ek=CnVU>G}H*hM_?zW)a`L&0(A{tXw3Eu-85*Mn8@k{m6Wq- zs3W*Y29Z@T^Ez9G&VQ5cQ{IUQ2RqiGN}w2yh^0F|T|2T^AaBagoF~;C*W})-((tRW%mVgUBogs?u((N&-2fvXeG-eJ(cM6)FPJK8- zCADW7Dhk3Vf})E?(pf$dSq+B-?^uS4fe~n9BB>82sHD8$(isWTea1U6g=oT> zQ0hZjP|hk;lp!>R!mAGsD(}VjRl%q82IWAnitWUZtrEI%i>!VIAi?t>&lR68+AOr? zRcK6ajkHOIbl>$(jP5Go;cKrxcK64=AE*zvK=&Z=eZg>1H4VM;1sluI7V*#+mTKw6 zBw8p2oG;(==MR67w^P)gT2e0m{XAV%B#=c{qgyIuRbW6 z@DT9u>U-q&_*~knFBEJTy!vFp#sX}>X&;KLu0VoCK znNsNALHqw1RQVqo_kU>rF{AQdGkhgPEb+IwJIbyey}4cT6zytQdar-eiHp8l?^rK_ z90s(2W^y=&cs`XBEfI1E8+xHiV?_9wVs$eJXW8bVZ-PW0|4Z(qTdG`106Cpy2JSsm zqfZO?kyE36U-{CZtL_;{j~n((%)J7PA`BtRn-2ZsZUSz`Uy!~}$ItP^(cR}Rd}&MT z?q7Kw0z;9FpEj>(GToWR&+m()*$ObSFaR;Bga-aXxXpxt0kl!4$4wN{mv#Jn35IYj zz>vWZVBUltLbz>&fnl`KpvO%DvhnNYm4rCjF9FW+7gA{|3{K3RjPQjaz~sr7iLoz$ zgA;w+H2R5d3E4F2L$@Ssil!lbssA~J_==7Df+_i70mcl5_yV3n02qQ2JchhZlkGA> z4y;^4mRMC+-5y#UGKOHDiq3RbBpO%RB3OTG&{{{QP3m3poCgtg+AQD{2q2miGu%SA z^HJdIFAi-a4u5jK{Dc#Am-yoi3l;XqBRq13`_&2wh4@-)v9$vYkID`?HP&K(4A^=9 zSQNI@4t#AIPaDHl2>fO%T>5kIdqfLjo+cV3E=g6a^Z3K1iLcIzam|I$=7YFfE-k;W zqPqSy#su^BdufJiceZ*)$`9{ts`!qkyX}}X*0|;wX+JyLAQ~ z8u&vq?W9r|62bB$s|?{r;^q%ZTo&FOJDE;+Ph}ma3+M%WxerV>gsU&1f~LGrOpl#t zirUJfE)W#8ZSD_Cxp~zB-7Yex4w(#oeBybtbZ&j_ygrjtoP^_Of4+AUt3C_G?i^jc)Ow^bDJCMgvbdYzKsPh(nsr=$n}?C}h-+i&SK3Eq zE4__dXRgk|6@4d$(H!lQeKi&#=Xbd)M1G6sg>K`^Nl*P1Tn%aqG1?303u?so$v~w2gK9DF9vu@32JQWmX^xIhn#e1ABmT)fWj*wfIf9! z#-tghJDZDeM-^mi zp#O>6zCKqW`Z6S=AJF_I(F1Qc@W2AH^$-l7aEc;UZ?o@DV@RK+o$vbc%<3M%5euEHQQ`QqpjB&TbqHS zSf5yFiR!|&Ak&T!&f~x!KxS>n7+JNdG-^kX@NNrTw(g&&!>vCHp-wR^t+M|l^0<_7 zhS?Eiqv{uuA14XCcUtYzPj#cqLSM z@CAZ-{S6}4_v5~mQizpfu$2*_R~BA?;?f!~$a-01$1e$xe6MxIE<}s6IDD0ROC|V* zGzF~uYL=XAmdt4N8iolO zC6yZohmF)4a?msGVn_bUnB^RvK-it+F8+NpNxAM~rblk3pRm%!U6b}BLUgBAQR?06 zTo{f%UQaF1nYJkQ8V;?>&dnU1_Lz0@ecf3j*m?NiVLp61#a($&R06(Mfx{bdd|lP~ zLTB&WYV+Xu(*zs0oxkycY)gNlzROZ?a%|g7l(D|eT5?wj%}&j{qrWSt>LGxSy3}A1 z9?Puc8O4JTi)G7LKUIGlIYjpMDJx%OL{3P)MJ^Wre24$=IG9Z%<)3RXT(nWru&C8M z)3La9cJ~Ng>*P?*5ef~Ad@7EpqLO>b9AP&AqmPguAR+7K=N1orWtCM+DCOX-_qf>j zi8_5@dPRRa!ZzraK0`bSlfaYA$Zy|*HFCb2&Gve*uCyq!aw<4zw2|a9v0A;Q*zcj- zH&)0C119pQ$YQ^JugP&dS&o5hyXB-!?K!Bc*z1~nN7@xezv{S@YX~!Z^<*i+knsuW zTP%HOl$N^I#B2aJo5C(zxB_JND3;lemQ~@c9Z?{O`nTYLoSF{4*WGFPc~!})WosyjrP!avc@gsn=We0SOWvc@FKSGBIXi`x3;<3WXTkhl~O9j z=5M14!}kvPG|Ivzn{K(x^Y;Ya;vr-0BT@{;$8!oMY7>gqAY&xl43p35>h^5jIu-k; zg<+^zO}ZSEZ=W4#j0@*{MayX}yc;m!7vS}jF;*VZSJx%dRGkEictTaCY4MDSWpbvDX=7h{Dig`0j3ov({l-QF^cfwDxg#u#9qy05 zXx?Ul7z2VH%1>D*CI@69ChD?nlNOHOt4u@jj48w48BCjw%5BZ+y+Z8yaTIRv`&BaM zgio=*eHH5`K&rf;@<%JSq>evQtJs)-bO`87{Nq?pX0vRNW>#lo9IKuT$HeS7p9)*J zH|gWN)x%le@SiOuj4O<3+>=U!NFg4uS!OiH{H3A;9l>N5vF!9OSY77#kfb1tStt{b$(KO4>md>rTu zHWDodvp069u%oQ>a_P(B-Mb!8cGZ$_3h<8Rq@GtOoQjuK6QGIIX09DSzhV0t`bDU-tzn@zYQ z%d8!}=35bYOaIZu7d7FbeWWz>00Xgb7=B8b)~MvSNp+7`99Tho?Uso1iobz2z7nSo zH24d9>+<3@^1jR%d3<}f!y(+vDZWW>>@cm7r?}e`#s%^IZO~kiQ#w^qx4~Ao&D^wB z8z%r=bKKB$cQCXVd>H5)Q(N5}xi>qrE8}gqLz5Gl`c+IuwcJ>CRMUQ15jZ;h;R$bI z^>1z$k(M4bX=I%j$Kdk?$6aT?iF#?uy2_2x zFSD9Q@$Yt7E-2o~Brd)TSR0*w?QoIY!raonQTarc^9k*Xhd!s*&)bD&Q@TEyXE8sm zV|0xnUmcfoNW1S2zbaqWQGN;7%Ws(Sz9u`ZbX7T_E)Dv^$+BM1MacVB)4YhBo|RV% z_gHILFvzw{=A->nM8ZQNZih6hywA451&chqN}4X;$(f6VjBvd*_Y-ipZOc2ImIcc@ z)0YK{J0J7@+MTCleP_Sh6CSJ4tZx2YHClYtk@$Bo?U>2S1Ba8j?%mnH0CCsu*kA83 z5x0YDX@uEbc3wupT#41F1HEh6$k7zHxGr1yMqcx%!qGxo>OB%~d+LI4k$ilMZapMw zXo%+AY}fab>#D1H8nbNzIm?sh+&%tZMSBCxeBLSw1SDCvO$@ouJTdTx@@Pj5%`>^C>to4brtxcA7s<=c|z3w$p2@0NFJ z@oH~Z87RdID-n<9aX+TVbN8LlpGFFS@ua$25V<*PN)Wy|X3F0M;BK-DVY6*B9DZ;} zSH2c`F(KBEBsnZn@3Xy?l4`;JIL6FRf`{ni>8+_u`8B`G4hY8vys<%T{1C{}C<>-d4fNwO4T}1mVeGhHo z<{7z26$QdwBJ;m>S(zszsjP$GQ0L@+w`wEeiwZriC&qL-tKX6x->W?4;Ya8@!Jua{q3ty=bN!sm_X?@p^fJLh+}yKFCv zUTmTs+Q>HmX+CAVO95)4Ma4g$qA!bo7?cUFHd`z#|Mmrv`%EnqZ<8Nu=`l>%vcVXq z1Yw-fpQZNPVwOz$5*3TVN0xxpD={04;||)2LHdF7;i0Wp zt6ru4!4Exd{R7qmErf2J-#Vt&$H3vD&d0$1BFd*H=KSh+?0E|ZLFzH=ME{_Sd7I^+ zjBy)skmf-gC$RIdTGGK!!7(O9oI67Z_Yz3$tx8=8t-Hy7G(y3>&*<#h{%ZYI`>-OW z+$1HHAGt!-g_H6Nh$LhD3?<=K;4aWdS}D%1b8I>MK1yTaYCiW`@uP;U6qlePgH0H&ou%PN!p?6$I`xtJ1Lc_oi;Frri*1=bh6IYkFgxeuGP^bz$I(~b z5uD%F4ZC$DQuc?G-6i%j)b~HUTG}+k_@=DSbqOkCq|8(E&b_J^qSu?<#zng_*PHK+ z!xCMF6YW#l=}ksoadVi&z5=vcwg6eoLZjUtdQxPII%d5&px2j|n|;tB#6~>nS922A=)(Z%mTWu&^%gq3ivpkVmH5*4^|}dW zrNK9Y0u(Oc4X-HTyA8iZiJ3=}QSAHng(>+Mwv-=7KXXyMUV6I!BLF9~U_ZjUu3)mO zS!2A_ywYa;w9DUMocthT;s^*hXq(gJx$F`zH^!@0wLkrM_98L$D&VHAl-kiTndcJo2GQNmPnk6`Be<$eCOKmyHo%v8j^wNDBoEXIP{ z386lV`7qYDi4;LHsDd)kP6X|5z^R|2X~3biyTpz1X6@~ERo0<&HTvc$mG+nF#NnWB zsy6)${BnIc>)~-^i8hls;oE6gHdNMWI@9@foPLHldm-qsy5D_juwAk2Uwllk{HU`} zOzfTbi|8~fG;McuO4;JFto)SfGD{^xCJ9U3R6w_U@iD9G0M8-tCOVd&=?hi4OYOP- zRoRmk?JJHaS29=hh3}=)SwpDyGyww1DciXRFD`TsN}2tBoM{tXgKvwfGJM&kT$9%-vw<@%szd`*M8v#>a%n}rN5m>fFrRx zE{QYG2!-oAO{bdI48?VmLQw-=+79;01>_r82TwJ@}7yCw#d~FgAI)>{YBgu$=bCqm>1tEj^b;#Vb?0 zhwk<*zcg|+*$R|2`U|tfM4!nz2t%(J1g>?j9LVjedw>0HoXf!b=BaKpVafTF3~ogV z5P;?j;%GTRbV*XCmNjhg&U6@aB{*Nj;m$vI6A%b2Y@D}M_V$i+vz?#^apGe{TSGsPy?@?DgU=WZ?0AQY=x zfYp<2_Pv;V(zB@?{~ngL+XHWXD;uKn`6mfq(YHU@1AwlHcK91rC$e-Wc4~^eXAd=J zQrTqweys24uTTGqn;!9r)n3i25Ht@|h$~i?u28=KPHaG>~~t&!Z5lnL?!h9ZV_Y0yV;|WBy&S&SisvI|g z*qE$c+nNA5*@v4}9p44yB$Dd*)*Y*P$lQtb@Y8F{RqLfaED7~!lzfAJBH<5chM&Hy zd9d)QXBPkE92v;bx*Iho{<4a+!`?sJ5jq;1Ez86czd9H%-&O$IcfnY&7bnXWV2`R3 zmOEwDq-Qa7R>gOaH>gt&CYz`!_i;oD(paQc%c*%KqP1=4AZ#|EUuESHW&jiKl9wt4{cp@9)xDyxX+~Mf?g|l?^ z(DIJ-R9DPw0s9=QFkg`-juK+3)Fan%iQAdnWmZM_ao$Nz_?GME(PmMi0>vvDxI z!D@5*W)bt+>MS|3>4_mu}=P!Y-_l;^WC$6+!{rw zB)#cp69eV?S?Lb&!zyE>C?#2(GBeXP#@{^*pbWADc8r&n?oXY1dk55+ zrM{`HS07PnbRh1mQtptO!&-wglY+bA!`<(#1<#uV?vD?-_e0_)>mcG&^&e2IGEUAL zv-gy6kS`hr_{Dekx!MHNeG|0D$jH0b_P-wC9o6HgxL2ufXh}4DSfpi1JMrf8h%cWc z8n-W2PA1w(2w`mi?u`#L)ETT;I7OzU*KACMRM?r0i8dK94=UVjp5AQ;txFRx)8?6Z zIdRJVwyo^A@We3xqv)9Et~aUSOUimYjx48E`1r0AWsv9WC%f(LszWuKv_F9rF#Vv~ zpchX38R>g8vlI{Q2nqtY?)ozml9_6B^RJA}Oh#uf1d{w>01A*s@02p1%qW6y;;}V< zukmO`ZtjC^A!RZC!%NQx|W6--In@X=!iL(;N%ov^c3)1&^$MGKS+$)GIyt{eoTFXk|UfF(q z9WwCTLUHMxkP5KMeM=lWwffCalpk3!0-bwfUnsIr5z^>+e|-2-rb0w~>?Ck@)1;v} z+mL`q48}btc2HeUJ)t60BZg<}|M6g+#NLP>vECtraCS2!M1;Vbxgj%%hp_nVFTQro zs=FSQ3v)bZanp-4L0u@U%!#gU9K6yYJsoIzvF3J?0SpF}QVm&&3Lqga&6W=7IA;^V z{OgZ=>`y|mYQ?I&{JxDWnWo=0eYjflA%np3F7;!{*?K(@F(GnWa!bM_$;P)k=RYgm zNRoOg0&#ciKlnX3K7a4E&(ypL`{^uj z7bef|NlF8?k{g=?gq<&>JA9mv1TtQd-D@8jJvZGEzS3y16iwtZ`kKh~U?(3Fc*^{I z{uQpy^8)=C8UZ|hz4xz^{sq4BIg41PDbgR6|1;>SRlrrRiJca2w{~~j%Wv`3Y}PqB zk41|E4N29yq{;rkez2_*v0$ro&;Bc1k!M4C`%ea6!}6RO>%mJ`t|87V9Y0)J5-NTY z|E;cf0%ldBKQNOwsXSO|^PP1%M|b$fR!#6!>=OF*_w!ynNU2o%RauR&x(UbS#YaJh#;#EIm z)l#Fp{64*!G)=cNEjVu{I*fT!5j;*OHy7?yhz69$@q&i#@G~TSz-tVK<%iHgC8vMx z4~Uryukx7-Gn#TI-)m#fd_rlL`fK-S#HpYo&^zd$MqvJT-pHG@S2&+)zpQ%s4G9eL z^f~gt-65({+;qmpW^%eC0)Ly`qBU8M_|iz8SH(%)YiDnN^lnTrdT!HfN$lZ$#9jVg zn|ckPGpYQ&dzSZ_Ern_QFX{Wu?7b;FyA@X^8$hL?ke`-!rJ1vP$Ix+LY-(uqy4}LY zpE*(KhI7;POOtxC-xf}?P3ajToID=JkFCwP9733A_XZl*`1S^}<+F4fWs(x>trk_H zJDyRjw~!B+FReEb&^k2F?;hRR5SN~2GEGGiAyhdeEMm^5_KeFPUh};`622CcpkS_o&ayS zSR$@#_6J6f5BCQeuiaWK31$@QK@ZRd$Jb_xSB3}z( zT)sKwT!hxs)z{4pTx=S=5Z+pHoVVs{cD(Oo;Y6%f33sY?lD&@I4c;{b@BiGZO@!;d zNw-ynH%N=2+J3f?AIF{GUJ6bW?%cl4%48T&N5+QveOOWH^_d_L$?$f3d!4)@+sg`P z=b$f>B44MN;wzKYbD7BP+{16~-C^@-`Av(3Ofp6cATAJ$6AdCZuWhmycji}M(>vG= z!I6J8ibO(}o{N(lXZ)4^BMYeA5(QSP#h|o{Y_p4`MvD?j{aQwZC+2WkbvN$A+3A^b z0^YJrq%xemeWUV6>az>0(Uaq>Un|(EZ(Ga%F8;b4s-VYqL7yW-o)z^o~Ym`*sS2i3dvi2KXIA>%UXR7FP-SnSW39)*kPmA zZZff(DL+~r!%^t0$Ulw0$vJX`mnxz71O~gZ151YL`6_e7$?T#zOuc04BX`IkgvT9y zE)1jXj>sB@fyJ*EOKt$xASqw-z%WNc*}MY06gGJ%zow~1%XTY$9%rrlxu5iz)`zPI zVs=F755nVd(gxkPSK1c>5i(e*#_CKtCGWbkXs86}?D@9x)uUy^?7Xy{jT$xAxQd{q zSFUTSLlta)v+o$071Hs^KT8Jp?-r>UQ5t&Y*O z(Erlw@Uv1t+TmHL{yop(lrIhiIeRAVKEtury5;zF4=0*PkG~s4+H*=?zbzlGt4}em z)15NWy|kNX1{?sb%PbTrI*grK_nGIyk7uss>c|Mgr;j9hoTB{2_BbbQyU4-_gqoL2 zdsw3vDe}%+ z?DuO=GCr2M-nf`l7b?#*p+y^BGaXM)^`7h9)?H*y0ij|<5lmW&Z*GHYgKwS$sYxVM zG2KNQ{>l#4eA1p`96m`*$zmQDexHbi@fS_FA@M0wVAusZFDs7_&R#69(U6x>#KO#} z@F`H9mN6jxC><3~m1ZQ`$hfuFpZiTV*Cg%Ahi@kVR&v+n&ZUr?^GLO%@D>Db>Xi?8 zd-%i4=fE3h1xJPP@9RZ{1ssZ!^LZ^Xht>mgMeXvCRJV>DdvX*{g_-dLQV5p&hX!7% zZj_tw1$=5@aiif~;c!Kd*_T0~o-4{|XVJm~(TUqvtP^=*s7XuHxNzk?zUGvA$Js(V zV8TWdC+}BVF$hPTFxm0Ngs!G{ws`D?_Z9>Wl%iOM1MN@XsL6R$i#cY%S~oK3nX-Xt zt7CObwt;)P|ejp;!D%j;bU!DivNUSZoG&OLX4 zC0AIlytQuOdb*$IR^-KjilIpBr;9ih3C~X^>HFJPZ_&rrx_-8P{YQL7apNKdof(_N z<7D}r1jf$We7QJNnv|$DIbW4xEDD>^ z)Wl~s51^Ub18?vYpHBxb^iqjGAG~*6=gM#MNav@jg}$xxuIO+ef&2QHYyz#^+zxNe z5bq~a?5splg{Nc0dn?DhG-?s*ZQOH;g!S-bw3)qT3RI70O z)RMrSoa06lDVSpUIuh~dyoZ-auMYOS^R&HO?ucP5@X5FXKMcyB$$8|B%i z;vu+2-QQ#+>$lycsRecMvysRPw_K>;pR|HQqnjQ%6dgwsCmeB9OsP&lz= z)1JaODB@`hl~R)sA2r|SmJ|Q{OL>M z6YDa6z4q0pR{3?H44F!QX`SMJ!cW6?7U12ibX zY)u&y16*%&ux4j?{!ns#OxO^`+PqPn>-bI6QGA8PJsJ>k8CZYmP7y)#Zh))D946sW zQS()HltC8N11Hb5X{(8u&XJ9&i9R)t%K1E?ARb90Kk%+Ck~ifUyW%;g-&QoGaH0l>Qbt%f;>Zx?_OMlCRoyyNF@X+t_qQBmv7z_Kj zV=AnoUk>_wA-5v4=r=K?v596IKf|T8qD0cSk2Iu+6>zKyefl2IlDLDlf$J}o{BoK{ zL-5eJY;kcV*5t8ap(B}E)ITN*X1XREFYEF70}9DJS?|Yz@@opK$`4NO3X5uVO=T`6 z(|0nT!Bq5jztJm8h-B(|HCxV&>X+}pw8eJ3ZeQ6o0=6+Jc7pqI$Z+=z2IA?0*cMsP(HiPIGJ77z5h@C4rx+r9-+T>GRKR!ezO9{XFrCI)Nrt^ zMdDHS1XF38FW`d<173-iA`5{YO>A?I=L7uQR?VmDwwJsPO-wbqB2#~Wj& zDwxIW`APwV3o7v^Sq2Y&fFo3Z&lV82|C4#*ch&Ej)y1Co*= zXnF>E&TT9#Xs(KDJlgbAB4|bj5a$jy4Wj3|C&_$1ZO_vpXyyl|&RrZ>??ZBi()ZU3 zazYzwPUEW^c=;hEWq~qfDl*X?Rv300_lBsoM<<{8Zb zC&`*5Y(HzX{kUiwq0zLg)RJJ0Hu8*Ci`6aD9AKFaR`qBf8OVpZ?Vi0g=`g5*ez&Qh zK&p)*$=4Dc2Dv*{2_j`g9E59@zI#`&?t^rywNwYB5ZwhT&bhv91d$C4?+~mNIv`ZF zjxciP=-H!a)@`GK3+>?I9o4lWhcR`nqd58VjoD*$Tf~!i%$F;^lSDU^z&0P-MRER> zhtp;MuHR(rwJKyM#fzNudR3#X=_G*Eye04t)!Z+Zh0+i*Hx8Yng;txlIyH^5`oIvInB4%V|BKV_h6;1eSla#+owN@t^J{)f2t>`W^)B@P-?TdPW0u?=!IXz} zPev1xJm3Hmn01FeGVO)qh80;#w{jq>dL8N{q0oLS7a+`pLr=5mH?=}7$(MGnt zD%R7eK7uNSwTW7Z#aw-D&`{6MVIzoWQz-V)IQUN1eJvJCo>4%rD5FC|8c?Ufn{Uom zsZdmFZdITwn%1Cwx8NQJL1f$e;vX8W@rm7H3tK&NrH?hACiKccTeTdEX9l*HnXXHQ zMSzkwnjaGgWT1Uou;R0U=;h?Eo;tAw^qiRyZ7Id%n!E|nZbiRmz0E}*H18%x%Rpze z(u!XXB9}8NcF_x3UBzL8X!`csdFk%dhz54GBleOAAyOCJoFA>K3yPo9v76boj`CHy z(;^z#wda?RHyNkuqlG#9*v|qcHC+qA=YJKRAx~Q`iyvz}_4ypxc2PW|S?!%4Iepsu zsOXK>M<3FNHo}rK%>!?N2sDmbkQQT!T#JGX6hyy-yv3k<8%HZh`%TG*mIxUrxi(eF z>z0&meq*WBdh}KA&0CDocst`(&%X^S5+3M1^`^j z%B<(3F6m3VWQ(%YCF4|23QjJpG`o`{;8#&_(@i8x{YtJ@ZL#fOuWfElr6b#vR@s_& zS#lJR3;yDl#!AO-g5e9ZUC&tK<9|PU>@;2n4!$M*#P*=_{bP;M z#5be>+fBv0E8m-i;eIwEZAO#Na(OwGA#4w|aZSp}kFHu1S=pXzzcR_U1<4U?_}Ww^ z!>^AnM0m!v)Jn2iA|&Nypf9vJO7w=f{U5Q7yLay6lE$;KVfq&n0eW31leTDy56FT> z7*zsf$Ihf_Y_I>}u^B>nw3SMd{$Z6kB9GkaBUDJ!&n@={V=F6D*toQf))QN~W!t7R zS<`YqX<^xkqb}WgN66GjQ`rPDgNunGA?T&J^wJzHnSWUAQ4IS|NtNbW-M0VqzUD)B zwWEL%@?mcO+v)2069o>f>=G$~%$;S?Mc2vxBoZN2Wwh^4C<@4&4;$1blcbvnvEK|lkSnl^B1 zBFsoTK?AR*y2Zo-C9lhENrw}y_m|CNC~#;HUw`Te>U`JNmsvLzPzQvp#Acj|3ac}A z7U z#d?>OfmkP-3A<3P&-w`+G8h?n_Dt#RLINk->-)!U^*??a7D)gWifQ=Pp6_b%5h_KG z;8uvqqD=GuL)lwEwGnmUqCkTc4PKm3++7O=LZP_37K%%7ClHEzv0}w36lw85vCtyL ziff>uKyhiIIPFXR_uu>0duzSB?p>Ljz31$2e@FJ2$z*aeXRLTw+fEwK+~1Jwo!09dl${>qll!V_g!g6jSJ~LCPxYvp>4&7scwp5?rn-omh*g~?tel( zbOWxsZp1GF1I9aU1TVbC@!F++23^>A$gi4i^52%47`FFaL>yMY^)7snMuDuy-hslA z;w?kz&Ls=^U+M#BnxQHIB0F6SOU{1+McsSSmojhI#w`?K5jIc-xdOcmCQY-6UiJEs zUaj!Vh@9+^G_E6iuGexOKV+qgy8rgM(2h}e^3+R?SVfV}%`RQ?=pPPe`y4t}JUBEm z9Ex;xv+t8w8;#Uu8r6B%==83z=ks5WzqE2|<&1K(zu7LF$DTkBKP;p!>id~?CI>Zv-<7D&Wg7kt*nXtj$EMAKmWTJ>s_OQ;v=K< zvq|j`y&Y%>B$R|1Sw$ulU_BD^$!mjc&E3cF(nh{@n2mWxETJ$=VN@locQ__2azdad z38HyYja+di?l^~ryji^=^g3F6wR(H#3V)JUDk(<3A37r z><}@cA8qD5w@3Bp3Gik4 zxzOW#(ZiLidr{RfL}ZCrQV*=`XBAOSuw-FxtRN|P`A=h%Q#9X;=U7q)QZuRTQXU ztzQ5SYP!Bchm1gtnw!R0;xxhnB;i#kucls7uSal=g`Iu@eA8(soDLkTmj^;96IgPy zHdc@#+{F?Ksp~gx^2EQyg1fbI^*Rx^zo5XFbju3&XAqJPZV3tIU?GaE0_;CMxlOpM{59Al=?_o;R{_tk4d51`R}=?Enmttk+Uha5v$P~A}=p-zyA z;^!#Q{x)9|oDN(o%^iM-C8A134YrEc*mh|qt>C=i*-{bkQ3O!qiI5<4I~2e8qtH_7zEh6Q-DVTE6)@l z5k#gqm)LOZ*kaU0%zU@2AeHENPIsDp9M408TE`RAWDw-xV^lgp9x#)y$d58`VEoFuW`eK8>FT` zb3zASO(wy+#D$9tuEg9@Aa`HX^+(`(c{Jst(qcZfESaKoq8MyFgy0_#seJ3yNGTL2 zjW^)f5<;0IgmuXT|2jAveanojq!{eK$MVu3Bt2Gx1m(D3A9F!wHRf=FD3Eg$X0?a! z`X{(Ia4yB*dmPaCm|I-r8i!oWEj4odwR`_Po>$=4u>N~eFOyo9{(EdMo!WFnFyx$_AEb^w>)!C$BW#1k9fBAFT+ z(bFk7(Re{`~F-E4ni%c4My=jJkGEAfl7)FlXVk?|3JM>rU&Rmg-Px| zhj8>iVF_S?zeY(K9pf}o!D;!V0H*Xvq8vqRQ#_<*PEd3*prc7c74j0%V^zfp_eDt> z`$Y4R3092CLpq{X8P}Msa3Pizg;8|`UQkVAt1!l#e$VuwwqYQym!)x$W!fmprXk61 zOdl7?H%}ImjMvd}!+?4ZTLs4aS=T2)9`Bo-Fqt(iH`2|>;2{r0D*gE3i!at6m_9%j ziWDN|0hiA_lpt;WM~N~-jgs(=7ftPQKl*zpliSvSWXDHl&BjcSFo&-u0f-(3sAl_n z{NsCcUIgT1@bx4iN+E%xm=bYR7v1(X6L9>Q-mH-EE9zpAAG;A7p6*`IUlny*z91ZPJ-SH+$57}C&y zvWSwiI1qsdAR=sTT_Fwq5m5aw8TbM!ZC-&ukOXPk76fyDa6ATYMyL1p=Kb3pvNV z3|ljU-1py`n?@aw9s95Kpzxw@>yF1zcrZD`^dJ7@@PG3a(mmA3?|&k(rRb2Ip(W7_ zIL99M`=~hBC%ry8xIJoeQO)KD9qIA+n-NXMj_BKWe<{Z|=ZKMZy|`#q)}HQ6~Bv77xIBY1hdF@056C6B4jhXP-0OzX0KQ)Ai_ z`nd~(p(oLVp)n2&$JppheCq<#bS@b2CxNu2!5}`5crO$0K7~k2y7)+eDA*N+KwHuhd zA?1*m%)hSIU!6aCkpQ|@$!bwAF^Yz$A0Eu z_*^HLFnkLF1<`1})%FBThj+OC;>R>8BKa2MdSvkyfX)r_=)`pVdYN$;hG56U2ji*J zkca7vPp!``{tln) z{zrJ9bTebH)n_;u?C!ZJrhQF&N*EzGyC<*wcX;^SY{)CLqvkt5`fHYwH#$4wXpgcn zevHSKpbDnwtgb^$cj@l^ro&XPu~7}v(X(C0mOpgzpF zP-u~BU_j>{9=Ce2{@ZK`eTIq3&Uq_lES>C8Vz^^kTJtH7%MZ_b&F0J3(}TO9c3=Go@4 z=GEV_qmx>b77G?SJCN+J#+L(wAsZAukklT?x8Mz%^MRM>^v^)gaqR8nO@!oS1kGig zvz#U0x4?F2nhl)U=7#iiVB%sx;P*ho`M{II*svL*4g^uhGEv88qRU)buM*nhDB9zM z@mOldDgilip?M%t;^~>#sXgA^nXJdZx`v#FiiUX4D9?7!OwXz#`_-&=lJ<#qruGhx zTMv8>wE+#WpSy$RQ((UUKVm5s_8P_;38|9OiemuOnjjR&FPXmavkJhsTS7)5uk|q& zWei&+xFGLVo619}z;p@H$cb6uId5taK4?G5*~sE)&N)~Xs7H}hMw{wNMXf*PXvLK-Oo;C5exutif+}9@hDGN5Wzaf zlIAHh;udgApuoDMd&-7T1F%psvP!F;5+dFK;t3SkrL`chP=2rqz%W5C>(y%%A8ZrA zMbOK66^F8foe=bLT}7kRU?l`P6h)w`d=xFrmN4?c8`%sM=sW0NB?zTM6ATG zL;@=Sv=O*begS@=oMWre)Jrc_P{L6HDN!hiDm{Qv!Qud^1oV{RY%UrZ8dE9gLWy9x zfCd5!%1U4>n~UaDTImxdd{`Qwm_U}YlG2}TS7R!*R89#>273$WAqb^BXWP}BN-tGJ zV8NmRG3Ygi>9mAj*#QuGFnhpfLNE6JXq?>xG;tw;C_y{0TjOIgN*mTuYpE!^qWLi$eK0-%lu$5o zN^6{QAP8aJwW|&O=MNCiVYUR3Tvu5rZ+>FWcE1LSm0Mjep_D+0 z5HNhof=|N5l*ucMHs<85gv2A(fydvrCnCR0pKHKW-8l{x+$>pxt8zBJx65;j1<{TJpUswAFYs_wQ}lr~Cf^teV#FNZZQ>W5Im z|Jc-Lg;LY#DbNG{+0^S&0qj9r^8ajlEI3Ju>(Oa=Kw`F1F%AsATsq-}C|Yrm#ST$S zK#oZ0Z{@Q#N1*XjzEIdLA@k$XE28rMZeoLl`@*?TlhvMB7<1|iLXiHj!d{68gtp?F z$gOHa|MQeRbEg%zl_4!%gKG51JDLk-WSXd|P^}&u7oQL>&JQdI6rp_SRsFe|9qFF} z=vM1grKPXoQ<9=@Na87o%|Qr2AOsHo@bxtD2_Bi#8a|VMi-l$F3c%9Sd;p-rA|N2Z zDhTJw>+r*ugi_^W1xxc+Tm<$6dcQ&ffQ7z6*=a4|>H&!gL zMTqH5KJ_-SBe%YJdF&B)bi68P9vdJjTRHx{(A8M|F$&5^Oi@7Z-n^mvlrg3|>Hf#* zP~*@A3Y7iO9i6v-RCBXhY?4ZYVYz85Zz zOq;a%U|ZV4(l{$LyL|9xUiKC!8xe!f^qyZ%pWBlpx+Shr<*#sc!3vyhJJ!9<Fn`YuC>$n=(X$JBA+t5eY|9}#ryC%<}mg!*KeC{8kX;v|vN!6K|YO z!*{rJkMGPwTeX1En)lNPq@!Si>vD~q4Wm@#pMF+Ly%sl*ou9t=tMX}NoDR2;GHK`6 zF`nq@qH~V)kkJ7`_j{)8yQ!CykDA(~`|8VHZr8L+B)7b(+^7{8B)o5b92^I<ES% za!okN4#9g4Xg{VkN^{D@_@wQ=QH0mq&x}63FBmMoS4MQV+X>5{pUL3oQLj#dORMcE z@aH9=#9@lgq|6Z0d#4|!Mvk7Yze#Oq*JT`a#@+S5p9Q6l$itb*t!y-5q&HSa@d4OMD;0*L)_o1yYrcB#y~2!ZbouZx>{CynNoz z0$)4eov)lP%@#l=H(6K54yz8INFOx?(2@;R9C_*cavQ|AgUsC&d2D^#1k zFXHL-{@w#=FFMOHEhn+z;4D8UXIZ4?&v?o>KW4Qy^t1t!acQ@;6u+uIub)4S=S9V_!V4l^=|0yONxV)W z75_j`PxQ`FqLrBUOZfaf0%|U^F%zpjp_}pQq)6Bc zQGm0Xe)MNMCVKHFLW9vW`$k0d$9H|%Qa`^1y(MTum!kOS0a<*|Wc*deiqenGdcCVx zFiO*%`mA7~5|ci>MdwV5lI)pqpBC=svqF+HB;0=lo*zl;n6T41FsuNNjxLu76a}y@1=x zZ?GN}`KUpKs4B4kUd%MLum}{s?h$qN{LZb<=wCe|mTCSh!8-qIqyIA#ya_M+lVF2x zlRs%4{stLxaC_&YqM!DLi?P?LUj@*G=b2P`^DyL&lQM|;Cri(LU*=9_k!fHG@91J- z8HTS73k%M(K=+e}eF9(Y#}8AVIz0JGdjAXSC~sR}X`fqr_EPMNvDN2F;MYSJ(MAvb z%{M2E()qu3)AN=E>bMr`YlEt*eSZ3oo@oib{^4QMp+_3vx%zul3RybGus4?4QajpxIDqH=5@x6Qbax7kBy+keweQz8u% zKFo0V_k#~M2ffglpH6`}D^U$feOYC>Zdcnb|bR1mLnVy(ptoACxe z4BeUTcE~{)W!QKkHS&`Du%sqLs>P>dxyilEh<|-ObqjBJ@dTk?hk|Q7lI@^IuQ)cS zI^^lhE5`T1uUhCy20XV_t-!~U?lS=|GqYk#%+S#n1J2&&7q=dXED9wJ_7udc5G#VR zbE9ZV#{ArEWG!(rF7RNH%-7r1TU@7#f|`Lw^5qm-l`yACnn8Dm}zn^1G(oQPa}U!PCLn z$KB7;!7y0K(%HdXQ?1LV1&SUZy~Q>n%-zpb)7jYF+`$?CWbSckG_i)Ldw>x;W1hr9KqKvx z9Ucc=05QEE#Kn;N6VKdC#@bSIZGejOCwXLNZ=07_O;sZgGSg;ZrK_w?`{`#a#rE2$ zXHek;t_xy~+!D&F4)6D#D<69sncNhTl)M5cEtJG+>pqahAn5Q2f5%~CE1K-@V#N>> zDy_eYTYS>5p-a}*+FrRs;DXZ{p;^<}R8xV zy^QLlo^1Yyvw?>LUu*lm>0B%yQD~5ZS^(70TFp5?uJwIa7$JOM67X{8W@ukevqNWk zPJ`-eKwI0yeBps|G26WQ2eFkl!^0Jl!28F=m9QZ_ZEd%~E~vG;skWinOzi5aDTlvrz z#-^5r(zFE%%zmy%uZt|)*hXas+UDbaGAv;~@oXesR;jCQ#eaCV_c1yeXz9A7?h!!N zP`fob)wQ1-3;lu8?KsXiCe=*UL~f*{i-9_8iW9^xTBgP| zWufNoVN5Y^3~D}v9!hBHzSB5$t)@v1Cbj~s8vS$ zk=W5?{>82K?fpz7?K&qhMN)2d?!9V)r*s7uKsx8&d-yKQCXt z*8ESsHih>Ky7NB`+Cq#x6^t2#q4Q<(W-{NAZ_S*&bnC@BxEAtPDG$bv5(9o-e3A7F zd%<+7`Nr7F%Ep?i{*A4*k%ci~jF`TMuQ-+rr#utlNP^AHBWOb917?%J zdiADN!tz8(ctk{bl#PyBlOR(A*TLs&(F`v2!>UnK{os54K{@Q#dM`__%%R(e{c?_$ z9ESy!cD_2zjVS6I62@O};t)zwd%7ZLe7?FUBjc|>1~q9+l$KjGX!EE(1A(>{jQnpc z4UV!pIliuTNor2johrHMp(@19KV+;bkr|oy@DmgnP#ZtjliK3gYpVp~>bjYBHs7Di z&UD|D|FK48226Qc;N}QwW9;HU)$%vKF}B7#`tT@&)Z~qk32t3!h59_Tko@ma3ElbH)}-Ns)?mv$s?ug*GkIGB zItSZ1DtH{vN_E`6;Cxy-+#sSROTZw(D8w&2ub{l>X=Xd?q}!LgeGs(QC^=Yn?~ubn zo{zy-p0ChAo-5DfseIv(Ps{%|ma_6`2LUV6%(W9`ZV^TeCGRJy+|FuN!rx2Uy^j%1 zD@2FP2<nDxkfm&W%a&^WY1(07&2O+ zaDB-w^t%fFyx&s#8DEkxD24YKdL=Z6fR~xRJ2Vrg$Cq#2911%q0;VY)y z<#a3pzk(~c2|Rqj{Q)Vh+RuFW2lT9ZJP<$3bDB$hUDN}&Trv*d(-`57eUvyavbS`N zeKp8o91P%jEA**T#IsZ2hhx5YtlByhB%p6>VS_^(!{LYZ0idCS@D|8mSoeR8ee;EQ zEuh8!yJA4MPDS_bQ}nc;cH5z=5u&5V=M2a{V;`RRxA{v-#<%dvt$uQo`-JN4m7be3 zBJ8-rxuMPY;OcpFxa&cNV7lPBX?8$ONQfJmwLpd=Jlg#Ylm`Dr?}u!>bQYafmW=TpWvZiksvbXXQ+io zgb%b^D)~s~8_7PGiN3$2i;DCxNvlURkydOGv=h@)P1x8CO{dw=Jf(rIoak6feoF?jD*J-;3{9RRlD;6>GLkidpQ`E`*4?ODjEAH+J)=!dWKZ_#<&??W z1f6n$bx#L*OYOBqEOhl7ph_C4j9D9B7(bB7F9k}}ec~o1cTXI0_Rw3y<;-Rz;fPOy z#XSB&$cSZ}9~DlYk^XQ?Y6g+sWi|egGRaoum!ZBd`!0ED8)vB%33uK@fC$Y8XcQh@ z8)u3<343}Hw*ErN#R$pAg~E@&CF;X@O`d*>pQ~Md1)LJbF-pNfFAf5m_Zr}x`uMQM zjQrJuQv^jczFncVouRm}+u@B?)4}YEMYU9G+qADrM20L9S`iBQUSC?&OT*xVT13Vy z5=Ie`Sur#@3T{R>z7-NCEy*(LfNIt1bo%^Wd9)!;!Hw?bcf~xDhT;UEdax{=KE5{! zO(n}Bu^W!di7(I$4WAqNtt}$sGQC;xEFIdsrx)vH{o>21JNEWFyzK8(dXWO9cXLs{ zZ?%TmsY2TbRg&2iCDQI9@7w6GkajpzKSp&^`Jjz|jYzRRX=7RNU)MHmeVZ+wK>Q2L z>Z7rUbaS~r(O}9X+@!aEsuq35-hM)vL}S!BS2{ZJuJ|+dt^;KTjYsF4-IxTfW#fOfRO3zyyKMvL z1HMIZlWjMVY|Yd%c|+oDSCMQrw1)0Im5v3|H5=>IR>a`l3)oaSZ#unkNOjHV?0>a0 z%eOR7L*}qX$0TH2qF<|#WXsRW@arZg%DZW8<>M8|H=LZ+M3gx1-~KN&sQ3PDI2u?R zQ9`yRQ&XGfn`mrpd-CG85Y;L@@@_~;!9Vcz2899akq2zLoV|pe>6#qpg|%M-adqKm z0l~mmgv!Zz;cneqoAHtKuf~T5(a-m46&`&Tr?2ouLs`vP+w@7TVNKQQV4764OIeOC zNkh1y%eMP}w7aNYCg`v2?zyyTgn}&*Jlw5+yAVh4znX5MN86uN!3C=LYYWDohMU56 zNuQ%=;<4Qr@k-t9=N|HP1RGSvaB>UaJ(!~-z8cqaW1N&!a`mAr9kRztH>f-lNt?So z)26dv#jA;?8yOU0pexLt`!*;jZtseqE5&nQO;3w`01Znd)J*=0CBgZi`VFy*$fMFB z$9{1nZtE_QUWHK932v=Ja3`1SYuYEqf6}6}< zT#98VV5qeyrMaerNT;eR`Oqm)lhL z@$olapfK?;V!33=iTf?>G zH9RHecQPA0CtbemYpNIF7dTc+vHgJ@ku%@tmWE0Z2KuQ2ZQ9lW8;4W5L;EX#%5rm| z5X#7pWA+SBnLWYP7RiS%54Y+5Jq+&yQ2n9Sm?)j+ns&j%C7FL%K96#{ptl-m3tlLz z?Cz2_!{bs8NK%O)^Q?LvVE29OwI*J>O=?48%*gte^_1FmoOl&&u5(lLWp6}{Lqz@4 zJe5Sx&*|6aItw^9q_xZ!fmWwB(#8iO(Dw(Hh5M*>PUSqo<{)6+r|lP2h1SZFh>s-I zm%!QEZ8wQ}Q~nmM`TeTb@)vHeMj%+PtQv9f<|mR()@*qHao^HaUrI+7^zd{OdbO-7 zAEg$r2}dn>Mb%_ZCc@36rZs)tDtYG4AL0Dr2fwlJqx$yMiCVh*X~9p`y(h~Bfl!w= z;kgv=h7Wl|uIcXqtEPlk?o%m8g)3|W1EQZZA5{Sz;sl$+r~Ji2Me>~(aZ)V}0PY{8 z;jL1PMexF^OB@M>Day@&_(%^Y&@J<=LgPHX0g-sXhycpvWF+&wkbCJ(Nya|(A@)LV zt;}2#+Z@iKKye$nTCB+Ch*^F@4b=Aq{|H1%Wp+~lX_+{rTxv47@Pp6E2XsqvE6_L; zbkmWs-D3R0(_J&dLB$mPaDrN|rSVb{i@~Hq3wJ3ORM)ImePePP^)v-3TtBaX86?Ef zT}3%r%yiT?f3%T04;R;yjAvkwTnucNGVW-Td~Q!XKb5@&*aRhU?hZXRgr=5K%keZU z?F3LRLz|!|r+<`v_jdd=ed0GtX3++`RAVdFIc8#j8UhUojI zRnnqEc>^3yMnrb3`;BE9s>9sFE-8hA#lo^u9f5Q0lAn#;irTT2M4)K^)wl%SX-26R zO!*)SSndlRq9Ok6fM9svD41#g`racajSK+B(~f}@8YEf<~8DeaWnR1E7ghK>{C3dAWJT-3ZHmN zw_*9Q3S^-o+h7ECPZYNW&x3hsr)5C`0%k~{qS2?!qzBJwRXzi@DUrLzBv>TQ@s~@2+LBz@ zGbNL1iq)Aw`>Y0e39^Z;=3r~^KFu^I$dhu~33Nn0O#@;Am3x7hILjNrvdZAqguL)H zd?V;T^65lymj;*%xJM5x)~Y-JweDPk~DN!SeqMR1lgB%H@4cs-sm1$8B=e^1zT0!46@TPB>xBm`3KaRJvf zDs93344IMC)6AfI!E$uMR=%2e?hQQRnE4LsQh8mJl5qU^wF)>0m`FJdT?12q{mC+s zpsqZ1A5d4i`Y%vdiFzU^G)ny|C^SR878IJGey@5ioQapT3j~Fzo^xl$CG3iTNGPW_ z!Srn9TnX8ncUI1_n*T;4pNN7@Q&oSAxNP!{BH^0b@G?dkwlrRAsj-DMcJF z=yL>EpE*=KaxKXKeiqRuZqr>zSlguwyfVo*OHFuDWv;jE{=LUsILTaf)71Bi@ROvn zn_oq^VhuQy)et*VukVG4q0C96*0=srH~HUARlU#8axTie&&Rm#FZ)F3m2Uap z&}o;`sa{)1he{B$0M$;y&pHODZ|9&_Uz;T4wr8RP`JaWCdL&k!ulb&rW&M`%JNY7;bCjVUh%rH3XAzt9t5qT?+jr3)mIFvA3_ z2Pv;we}SfW_UTzYiIP_Qtk&1p!0C8#PmaW0m4e71Z(v3O#$q9{}w6(@HH|Zu^{$W+>rry{p-iaH9BdN zDo?}D=8!E9>h;-9+jV{spp?G*q|tJRJyg?W3<}^Q=m^2;(6+WAYKlG#Dt$f*R+J6l(k0SZE%55`9n}nvI}-5O@a$sCQYIX zFq2oc^^LmbsXM;K8IN~PP*b7&$DmGV(-CdFyXEQuWYzD%uVZ84AMYgE#RDgWds&-} zwiY1nPh8|pCrXWu)?(iOIcg~U73PXV`@3}7strGNkdts`pz@Ekz@EUy#8n&VT~g~Y z$3;Tkc&GpG#<15RPfVjN$+E}B-MZfs27N4a-;zw&eWFIs`JH&9P+wMIV4>TMA8NEX z))v~|k*cJXDS{kXJ+P8n+)#>v>-ZU;#v4_?=a1cx@nuSz$<=OXW9ydmGOp=iLz*{N zN%9Ni@IfqDVQ|EoUnWsf%y>8*#Y%!7^JwR^CHUX63k?q(ZIS+h$iY=q&vmn zTgSa$5g;?C3LO#jc-rV-y>v8&RwgwYrH?R8Fm2k4!W~{Zl{J!LF}m1Qrb7 zms^F^i1ik>2CZee(Zlk7>xa$-Ho1;8@G<*R!Gl2!w@fI!lMl{Umh98!CihG3) zCI1Ssac9A&p$BG*qApQ47VmrposUCzZ@U)ULINsOyS|COFtxahe2*>iBMf-_?3j}* zh&QNZB!x?)$C5?BjvdmW1Z~A4l*X=Fl<;~zsp4MIQxjC`@(0op27iLI!RwrLw=A@< ztb9T1Iym_-AnuTs2s?x;C@+3>aE)X~R#Xhh$K@f{jog1yp-J|J~wB^1tA;g z?<7nHT?!MMAytsyJ#z4gY2L$QI=sZP}N!$%TuLBRyIdJ+SY`@jA7HZ46zXuatj9@24%o7kz@d*=XtA zyeK<65{^AH^OeMTomltMe3YCqu2j@}3ikkxI|%c7B5d zMXu+GXf&plV)uSgRf)hgWvp!eo-l4@uzYeVw>ZI;c^iGckPav0I4DtdQfRv7vN8nr zeMh}dRR2ej|FHQ|cg;SbLJjp7cEJ5LNeyJklZX8VpAo7>l1YKGNI2B~4S{~f?ibHs zs)e|M1JC0%ML{I5k{_cl9jX(T5UTdL(V(Qn`GS$81BM52m>$n zU$;aQ-uCymuKp$^D~s>h!4-X`Od5RiPZu;VA^gelhLSwvoc1){-UBhm!Xcx$4TdLA zckQgKtD2hnZ?cDmU`@Y6Kz^e3efo|%90vG-`k?@#dfh!BKOOdO)t~%7+Nl|Mi(_#s z?4LSLa>T{ksZ_Bv*@^R$=MyDtWPdcpGcQ4B zSecFF`D%&&9LQqe_d3;|JmsHgj@J^(ptA>=%nQY9Qc{79c?z}BBT%D% z#;NTpN%zBRcMf-ypfj=PD9VuTjbz4XDCgG=+Ut3wQ}@4X@LMP%n%o!lDjMf2b>K^Q z53rG?U84gcUo@(w${%1(bKkd8WWu+v1abS|9_y&-4HYu~Wi`;5++MRTxHr{*()sD1Gb-|R+I+>YlOMpn zg@<=|fsutU#^9arjyq(aK4{kA9bw?#B3RMKte0OWZB_pgG@k`6U4NkZvQf;qeC?g7 zn0a_7mr1Ml@P|*TS)ZttJvxe?scFzE1x95DVpOCZT6K7cQLXHvCye8W_&;`zsz>2U znm!`@*#DE)>i;K!{y!ET4Ca582tQ_Dc=?weaiXNdMk~}eZ|?SXt5zZDOwmji1r9Gf?m-*V2zO_Jzp6T+V z0qEyc@>g=pBUzw9Dzc}_O_tmgr@jN8)=UT6&JpFpg$Fy>_l!Vo0AZ6dPw#YG!WhL* z6|o#aVe&kkqMv6qdV)rF-*5%9CrY2+US#N#(|qnBdh_a$(bLYXbjENO{5m}j{zOXN z*eb~ECt{0ss+(A)82=7^Gdaz_Zyp}z5%EFgttvjgR(inxR^fRc)}c=A1!)snm$lrCw$_)Zs4{l$FL$uM*opmj6rBB%jPxV32=m z>zA4N!zvw;24QBDo|h?yVBe5<+ayRqBg!En%iRF)8`TZb<`9|naq3uT#suH5P*sXC z-#hF;LYo~`^2VX>Gpc-N7U_^wNO6LbrtKA>6nx5xmN%d*L=gS;{3ST7jwt7oUMvwp zze^8%Ju{dJiAVp0p!FPJHrwhtQTyy zHDLARB7$ntFrCAP>8e?^-*(UN+xL}D+V~Me9(D4!G@aTrwK~BxtZy}y1jFASOeUNr z7%_eL=%=>Kqa!=(Ku7{2P^Z1|7J7L~PQ`a#t2*G9DkgnUX%l z6R09-zLlnZE_d*Wv$I*mc<;SlXTcYo!gp5MFQO+58#$Z$fAX1Tnc1D?)II0_cs)A* zm}V(_+l_+Aqt{m=5m(aoKkyI#ft$k7=coUfkmNCz;?iKqn4?Ec@0y7q?{ zJ~LlKdd`lw5LL!fq!n*RA(w0B?x38nA3AZlbA5a8tux%b5KJz%X z*0Kn6tvEj;M&#x$=|4z$RH(=*E&lT+X%3Hnz&F%2FMc?v{Mjb!-n%lDNpq z$8tNou*f^_jLEmxaFQ(ZOlA8{SVqxmGCkvrefy4EM$uw2J@d?A`%YR$(FQ#~?~HQ$ z?y-!b`DD=7YaZXo_eJQ2z8kxSKJtyME-K;JaUQ#t@r`UOD&gAM8N0^yjVvp&eY`_E zcFo}%SzBbwxsx|`P3`-aOs3CZ^26)1y6ro9nLd-r4_Rji+jn>}efpE$ug?m%?`UMc z3+!}^UVHlXs!fJ%-37{g=i3Pyxi0pN%qv2hE=R6=d?QPWz6J!}Y9=R^`{hM3VC$Muea{bBo?_2a8lpU6l>v-S4nMF|kosyC3cfS7@TVEX&)%U$E zAYIa35+f}Q1JaFvz#vG964G4~7o~Hql*$`LaNkPh z>&HB}i>|hJrSit3k_tLR8@*Cly;8%b*iU-`qCN33Hq9(!QszPhT~}M7Qkl#Ur29h( zK24)M^j2jkT*1bHp%;=D2tlaYm~d&I-qzci7Y z<85XcwCOOk%Qz6V`fyuMWUD%=0iuo--S&Y3e#mBr0bM@-gAMzb>` z4mc2WmC6VEYkvje!1Ao_pSZNc-hte^kR<^-Mt8XCZjnAb`tMo_xLtaeGI6r9Qkl*w zV-ov9C8H<~%ubGozpcO35QNU<$AMYN5jth@;6+J<07ToW=ehe^1_nP6m2yT|92i87 zaJ}_{foG+6B@!pEE0v8$d-brJ@ZdZU6=Twf$?j@%(Jgg7@dlM7aXvpfW$)$bypro=`7}qF8j=(I9|J)~u2-DS$>3V)%}K4-R;+hXYQ^Q^33DIfy}omuSg;$tNdtn#PQ&nIx4RiA|`SD7PJ+$d5hsD-xPK& z_TVOq4BBCo$}I(PpI?z9M381}0cAQH#`9X$-3)#BQjNy${XLU=ec&V}2ZHcp>`fmS zp?MKDNra)DRScJmP}n_{{MjcyF1?GJIGJ3j>^IdrqoYKl>{q59cf&@GaJ;>;Jkv)* z97430d!L`nF)UxwSuvjHsqPl)!~JVDcC#)V=K)=nd-90ML%-JpUSW5Fn8IZ9DD0o7;7>c911zq-|1FZM?a( zId8mOkgxwK1ey?%};+eE3_*mG%5O&fBUXF;M=i-pM%;63>6LA=cyl``Z7 zP#IGp!TS=by`1&oV~bSx#rds{qV^C6B1s=^a4T9637>;#(|nAzIb2KOkK28t;;snl z{*(WPh>E9m5r`)8em1-D-zEU9DONc{oZ_)FOdc5CtmK7J|k4hyUsqlg})3d0}!$ z5he)7?D1V@M<$0{l5}!y5vC0ADEgk&sNY1v_G+*6f;Ci^CR5sEWQkF3x6{2Y#EF#w z_lF2-U)jEWHDyyLN5!if%6aDF#&`nn82mn;9Vp@N0AHxzi`+qw^lwSCnn8x1l(@66 zl6DNCJb*mMO8*O139Fjm!b#%H>Et8QgrjOm$LX1+cfU=QM80EEAxj^SoCoz^he&l!^mRPze*Bk6H4>X4vqPVT~{obH|$4S}e?jTYS-i)Us2od}!@Z zP#&MzCwwE+plT{>W(u?~&u9lq{gCQM_jr!-z?2Yxdj@WSB`*&?q?_y;eL#?>w>|uN zU_H=$512hBeN%t`7#@)bHt~rFmn7Fl&={Y5xREGUA{sFc{f=@(^R!E3jwyF)c@6Ey z9d0TAM?-pDZ9t=-PK<4TqMwvI2eK>ND{q^aw%(VN5n-{#;xz1yr-+!R^VXj%|4jEa zJOVWZj=Cw1O4`Q$)QOt>lE|s?BL?~rBdUI6x8GCP#*5fI&6yY6q~WoRa~} z=-E(P?_S#8g}%MASJC0qIW@S(sNvIZd^|JrmlSLf!+^y!tOPMkHVvE6%AhcCB|shx zUCVR8dhcAz^TE6|n?_3RCJsYluiZ_okqM}Go9EJ8(Wbo1gtpEhTkg{^8pN>BG>otS zJoC~?yT}9|TZTo$Qpf>PcYl}#(oV}DTL6-Z+Py;#>MJC8=lVAX z{AfLN?NNMcIt{xVhh_Aoozg+9rqfO{AXdoXY$_UVMjjEvJhsD^Z!%s@!w&Po5y)d6 z7^Ls|Giw)SK6H&z?D|b;3llMXUn(Xg?@s@I=-RCKl+kuL=1m5sp{swwuEb;-aQYFl zwK5H3CcyvAw!?sIL(%I3kN&+Fj>w?2LvsQ5ONOqkJgoQYyCKq8o+;Wx&5 zj$0o`^1BL5PN1|B#hZ)n$1ujavs>S18ffnX)69H;L?D6pcl~4J;G|<9vCXv|yi70}GZjALEx4trA#*u6}hdLB*HaeF={JyGf zXc*FEI)QgRexK_$R0#=^3B0TE`%br^y-1Kn0C+d!_u+0sL307kPy+8C_GzF zWOwx@m@2-bc;nNZsM0`Z#+fQ=QM~EsP7II$$siktMt7n}0~Hx(a;!xGyh-R>>hSy0 zcc68%0nH%9@cRsRpq|K9MG<%-`B!dzKK9ZVqfCcL1LAZiGBnVCcfT?h3!Bcksz4hx*WexX$N|U1d#;SIrx3OJ5UBB_(E`v!0!X?K(mnG z8^LuxeqZ4Z)D#Iq39ifV`>b}L%SaGSaGi(Wx3vS6LV`eoYfTy`?>G}sg>p?ycVa5c zXj&lmV2yS{244RMfP7hSEPmh2HWb;^nH?x{Rvkx~yphLoCSzg7KEmbb z&CyQuz~;#QEN?@r?4%zw!eI7jC#G(F4Z@6%*>ZEBEMp8-}v@ zq3MHqa_|pK7+~kX*gb1IBo;>V+L_*FXjapm9(f~5-jx_nbEW@p#qlk6Z4}yiGUZ(= zw1ryiid-v@OFweKK`z$FMM4KL9A7G?nFrRa-IU1K-LKk|2-=0&4tcXpi6Pf|8ORo@ z?XXO-YmU&?^WsxM+u?4=7S%M&N-Kl#$EJ}i;Lh`7Qv&I3FwK>H${V?YdqcJwreRw; z8C&mMc?!YF$XjGKSWn;8MB3ft(~vjQls9rQSAcAB*bYY^hSj)5LFltQ4)20p3F|uI z1YlWu+AuxCoQ%~YvAZ9xlw$d6;jyWl1N1C|*C5NKke-UZ<_yES-dKT^oRVi!D?rYz z1Ikkn_1Dnn7AW^#>sKWBq|e0F{@CP_iD`5Hcxe->qa2&(99!cGlVOz#Q$svmY!1KV~=61~Gm!WoOdncoRm18v)0oCwj*Kogz@ zq}LBUed!K9$qvWDmO`BqUYN2V`CJclUbrZ|c3SA9o9d+VBy&l&I(GssdP>#p`TvDD zh{#*aH}@ocZ~G-Ng5O*S=@e6HVv57B(CH+-qzEswoF9nPU|lrymx ziIL2JHvC?ct#O4eO_yJvUHlLQd}*c{0!xlpe)VU=t<;NGQ~%Y|N1u8nR-JZ$Q@J3< z2R#EZxHq0I=_hq*M|k;V{m(@sYglr#Lq<^VUbvf=u3k+n^U4oLxIrtx*X>YK+qdj&AC?EY;6ZsWXVbZ2ZGCH=VHY{EJ4CMDwZI0A^uDLlNZ*nIclaQqf}hEsx?2#-`+*fE6@5l zX#*2~rC5gVTkcthA1^Luoaz72Wk){+IG@qPVNfx7DSn~cru)j|73P@@5PX1ozG0Eq z8GldmR5IKTzVD#1Yc~5(uS;D1%hyYSF&Vsb zf~eq6Lh(;8&6dpKkUL~khW+Y2>o*A$SUI2BtDp&DNh*AyT%luv+^)ch_ z8}=F;U-_Cpy$wBakU@je;LFO@J5-aFTTIJ6u->5Ece@MRr&j**>a!9UOi6@FwKw-S zce+;v&0_Df^u<_>Sn;kl(yh^=MKOPk2p5e}DqSm4Dq0ZcktWMf1dtfhU0eQ21>?MK%?b)u%1wJf$ z@y{ye^DbZRO`$3>C0i#iMb&DWzQ;Iu!*zx=b|Fqiidsz;t_9$ozpu1AtKQ5FrcCH_LSs%aw!NK8vY&FrsA46M zyi!RHh2^pF_(bm)=;NQyBs)fQrp0ga6s_pNzu$!ji;gI&4~uA3oqA4osLPctFcn4l zJhNXHn;b68BlwBpelnBG^MGBgB8q3Jw;(YL zxBTo=my}b9QT&aUTi4k%9T~Wd{G<3=(NB!UwnLpAgUV6em>ZO2>YlhUUr}WnVl`^{ zVr-KK@g#2qxAW_Hdi9!#@i+MF5n>&L65+UDL zt?3n0^ohr2yLtTm(Y7vsdj0N@TYw~~GN6Kbo(iopg;{3J<5q#{QriIzjHX`;IQ7Oq zk-I!Z0T|TfaJBW7_Ky71Wz<#;F^RsQUJ^KF%vD$zrtBvXm7Ig%QHLa1AF!ZB1nFGr zv$-GBiRdyQ!A*7$>&Lvy)%0-ZfiuhJVjqSH5`-yUE~ZZBv_4p1Zx-ux!Z zNAb9?BM31Lm0QuTnT^&V3|X6!TM5<=>j>vdKQUKR_a68uxWTTKc9QV;RwwhrrvaU* z0zg9Deqds%K!O>mLI`dId|sCk``&~eU0CHl-ZD&+nWNql`^}Bf z=}c}3t!2wk%RDhD%92^-t(6GsGHr|zP`=x^6%MNAX8&Z5VQpBNMq>%R0`!sflB(xc z@rZ%Md@8uTiv7RZsaBU)wv!LBfEDy=k86n0K87sFloIdbYazd~cd1qs4vbxW?K!^~ zk3|mV@y4U!{nM6Ek1lfr(w$?aU{E!)_0O7%St8rw9owOSNl7n^@K%}G76I$`rBo~r z(@R!Rg7B0FzG23L9d`_NDmt4?oi-iiJE7f&&NCg}1Tpx$PllYTVi*X(4;Kq}Q>utp z?%&25SP0{fa7)gA2RC6qLxtvq;;Kyb+AICPpggw0h7Q*o1xjRfZ^6~tM3OqU^+BwncY16mnSi))%VBT}(U*7BsV8U%R2_D3#YIrY|+QN{O zbxQH)G_Y>B6z-$IA+RnbT@?1{mAVT5W$34xQKt?2DF|kd*EiJxRgxASMrjO0PR2}9 zv3KueDHevCxXe8al}I^io@nrk-dnp3Tv)1U0Nz#Z8u2ah=NhPLFbA2S8{dm>YZz^a zpXr<%Z(VE|rJ}`Snx36F*0*|v?rl;W3;9x>gk2?H&(u5Q0MakW1{fX5J#fNg{8ODc zVfRfTGr=Pv74;6u+ti!X*xsWxziZ?hx=x!T*&ZKfOCP1u&mXO-a+9wBXJOkZ01&p_ zeH^xX`{&89Wf{1w>Rrjrd*PDG7|W`(8*^b?&(pvj3-kxRaF^-Fb`}1_o5v{?eq*wm z&!KpFdAx(8fUbo$19@JBhG}wM$@sb?kE&_eqx?IUDY#NJ=hzeFy4*`5|5(4KJgK>r zUvlCZy4%mTX1CvL8E(JaV%T&68Tf;IXSL0InF z@+(GeaYtO>*$wF?BlLAXwo{>jcAcUxa;dUpumRFypp9Lp2({vM4$c?;5RUo;c1U{} z63|=V=zi5Hc!REmEVr7-4q=i(3dH{e6{HaNPf$P#+DIWM3t96_ui#Ax3ew=qH%K)G z(&!hxe}bA$k;y22Y{474Y#I*roN|=Km)1D$1lyrVg5rxqFd!K68E;#0l^v3aVw@%z zaznPQsG?J3HX4;w@P;CQ$2d*a58v%0a4e5F;1lef>X}nYmRg5v@v5T(Vm3zC!H?OPHD4Kas@mk~s{X2@c(G%S-psgb?GBlH zgWKU6!=y-^Y}fqJ*rz^+{LU)%zBBF73HO23^6@h;1i+WFyi~KkV(s|^^3%)t=kGUD&1!95rXtyN zGmlR%-;sZAV}FIF|N^hx?%R>!J741LnIU zQ=1E^x2L@01slO@WtyRE+?v!>$8EZqDkK{45%^f(+cyF0-oh*9Hxe}G`7!Xso3LSi zsKg^1Og0P1Lo4G)Ss|A!QxaV>3J$XXc1TjCjs$}@XPIH{WG9QPuqxS!?j#3_;a&mP zm|Uu*dis^;pJ1{?YRMni>DBb!v!kf#nXwb)`6xIxi?pX>Ep6!Kz)PTOJS%BrOZD?1 zdxwK_%nQmI-|DbMP|b^-;-?3z+1Bi+bV{b)zw-7nFtm5 zEGf!}*Khkc7Dr--IF<&LxJniw6`UkEn@V@wB+EVWd{N+~({kU|^m>LxrCx|Vdp|R{_K+vhnr8fio?tW*W^JU+ic0fyVn;*LvaD!;y%Gm6|%<$WI7=} zg2^0dgMP6*m$p1=p13jQOYDF|&@(tMBV682pP{m2@cXH|y_KhSoWoXA%8bJb^SvPK z2-}aEfFMTaT!6W-Hw8)|IWsbVZK{}+sDqP?%!5?16K@+EQgK-3ikUGa{^2*X$vM`;+bw|U`mD&x%yyPH zd&uB~Wyhgb;n3@l%Q@Z?SjrLR{b`wT!AM;&Fd?q5XJI-sR|2J9S`OnXgt-0XV z9frtQ>Uv7Dwb%FZ<;NdiCI>z6r9`$PD6Ol2c(Cj{nL9HxmDa}AGJ&T|6 zEr{!4@(qbcX@~4A?Zh1@AG&26;2q-WkXe4VQuWAd7I62#^d^g67HKF6L7}V1(eO^I?zQlWX4Ryp0VG&dFZkUSjLK;S3R3&Wp!rYXYkv z>7N`w*?*FqM65YRx00R2tOC(tF2uwnifoag63~|-W|HeQ*csUAOs)JY7s2980N+Yp zuqM-^-Up)(s52R&!hBHuqF=u+aP#6?8|3m4dT`12%p<@SVO)V;?8;dBk6w%4AD8yR zf0xuAd^=0afGs{7N&={d)3lz?fSS8u#zpDw;SQ5)K5G0-5f6JU&XE1(j7)U2z9-0T5=C)F;1GBJYY<-xA^PZoCxOyC5K*>J@v?ui@v*DM@V-Ek&hQ{K_7C54;koTrVu9{`dGr~D1AD6%G64fSm`M9z|G-_C&Sar z0$I^V_r^8&6L23*GbW3<@97&sE@sy1N^Gzn4BQWsLw17ihiSADNiM`&71Tt3kEqM4 ziTxfC%H3E{|Ac-?W6+N|#_`})og{^;d&-bkBTH{&L?KHb+>GP$ox*_e@XMWkpQnsJ zlL5#mNVcQmQ-&>%OTz#Y=2AIEpCO|Z-pNNMDTb3l#^oRzqYCKHr^f@Zu>NSWbc!Nl$XJ^654_QLo-mD^1P7J46#D_D{w8pXw3qB(I?_O#XpTklx|W z_l3!y_mEUf?Jv-;H+k2L0Ba-cy&i$~4^EVQ4rx_qeAFg%#{5Rbz(rZ;O+%e5l^1I= z%3tscw2Jld<$J;HBUPTDg;&{~oDFzxk2v7wxWV_AnE#;HtNj18=T|aedM;F}fs`&Q ziAJG5ZvzP`*7>;zX7G`x@u0m>BuS))`LAYCJ2eoy|< zzv~O7|CwTL{AaQM>n*k$vXJxY#y>yi-$4HvHo3$5r{y|E|5uf*OY;exNxy}*FYq7i zsr&NJyO=-vzlP5q{|8qiTA%%^1M^zI@B&zq7yg}DDS(bo|LUBOtp4XtErqagDw|We z4{ecp6rET&$bowsheS5J1Y|Uk4zpoWPcfRaC`?=r0+_}z)h{_r*wfof3e{jIz$n@Drm?&sBj_>=9)R0Mw-)j zWIQ>ndKHcjqH!LNjwgV*t-^6Z=}teWK!#4^QSoJ>v!U?^qO*bV8KPoOL1C-wFJZLN z@yt#xEE>@r{bQnH7@*$Obx{CD8xv3Bq}{(1FdD88#s-1b%qcW9+WQfrV)&rKwRJog zEIhu-$wgRWxV^tYRE!X`wYH85Gx{1I;p8Hw!PC>vD%wB_N?uvVf!(2j^j6n7U`A2# zwoWeG8s(k+=b{ZLAd%H|HrPUByrI(%8c^Npx-e|NU4>A?p|{@zfGq^Zi#xkeX#DNz ze>1P)8CI!W;tUJRb0^>o>C!`vgJ^ccr-sGT>m341e zb3ir$amAzFU#tXX(+ODO+rO@A)vtiGCIFtT%^~daMD&M$M?C2T5O;ck1*%fbwPBg@8HXdvHovlZol*7yqfxtNj;Z0Xy8aAC z`z^lH$wgEnx~)G}R162Cw6;zOqm77Pb8?Z;&}i!q7Zv;e&g}IgpxWpO<;i>bWNk}4 z48RH`YN(fgCXfH_**kpIXEK75@8x8O&@!qfc2E)nGKMG$m^it&fF`OC}^#!`nk zv|QO9jD zUt6naFEzJX6=hj6-~r{Pn5V8Kup5~{tr#-mtn;wu?w|9onYIB;r=Az%>y+eq$a4G4 ztyX7RACAlBtE+HAJ!rVO=M<|gKLMj3vZJI*d_B&%mS>Brc&rGAzoZWdaawx}amCIO z+?w8kiEM+2o~aqHSY45wfMkXViUJqE6B4vBiK6yqBSSdRO?Ik?qFLpjQ0HK$<)Cw9 zlg;IjG-lJ>WHSI5@1KRfr;F^Md@W7HW`hViqL7SchO61IGV`LNlAh5jNi02iL0!yRe;7^sTTvP1@q#_Bw#&%s)ECGhxRYT@W@&|X`sQACq$7b z|2M*Ln8z??p~o(gYj!7tDWBvOW*?D}Y7)aGX<}NhJmfKT}nX zp@qf~v%D&09Q3%%H@>+zIxu{}`1Yy%zfY{1w7hhntTz0ct~@E}Ge_i0Tm^#TuDqM9 z)Vlsb^V+6s-?&G>(tr6eJ@s<)*Mt(i4{e*OaEw_&#-PZA`I5}}SJs^|Vh~9llxkPf7U=_SF^m;4PX^ozE2R*h#^|@*3 zX?4r-hMVJl9`U5X5GTCOyF6DWc}g4nmz`&*sZrgRJsyVV^EcxTepvB{Py;P2T|Ak0 ze|M9Vu5h3=@!}@vJ*M`a>Id@9G&?2uUC9%w+3M)-pvUr@t>m*$cSD+;7iqvx-Kr}izh z4uU#Zf&1z)%Z)|&V)H9Y5D8@AQ{P`o3bv-1sK$c~c$vEXaZw-Gv?y0&|I4Q@IgQYC zD(ZoE<-Z2l))1dKlIF$d=8BE4jV3EUd^|`#?5T9|o+s<4HvKW$PZUd`#zITv#ua-4 z3jU=E>M_p?Pmq$~FJHR*6ouTKBhH{MR_W#T3HZuX`k9+YwIp8bvl#XuHT92G2Z!p8 zM@#C=mYRdpzUr8Q z&LR^snPf@%x6iRY7=iQi6*$f3=C%wpz?Qh(mEn-mm-nNuUm5_W9!)bbxlGtuStr+| z;=t>818~{^3)km!{HVG5&6H>=Gsqve&;T`s$;Eqx%{Rm&kW~ROOLj-jUb_wZt(3gx zx^cDhSIPtq(G8*+q?z4(n%<6EDHY8xdQmsJMaemMhbxu6$(1rrJY2cnn#ARqcnelc zj(47|^i@9XjW`j+KgF2%o%h$!hU+DvYBWGH%7_Z4Ro@-s>DAuI7^!^ieJh&8dH6h< zY`_PkRCTh{?VNjpjK-bYeCbrpap)2)ywQ^UG5=(#*E#NFX{1uso8gasSu>$asn$}M z=rqS+C+%LsB~i4;hF?NXeq?Pl10^k8aW})(HpE%7z0$(iM#i8I>xti3txa$wled5%KS@VlU^0XE83XVek|0hG)Jq6U3l;smN4jt5ykYldQ!YS^gp*aed<^XP@f$Qi`OGQ7^ zEpIMzh3I%mn0`{^82DywwmTEzt%RMuI-~J?^@B%>;3JN|EZGz*(_MlGA&D}8eJ>H2 zwL*axL32Y5deL+EMOg~J^&9kI2G0(&#cP@7b-~pB!fUmlwYeem)w(O=r!`*TZ!<%Q zSFv4Jaj)cp)6SF(g6@#!!l$3wtKzQch7MqyFPX;t5xSe5A!+g8Pp=`KBms^u<(UjG zx|TbxI3F}lH@dt7>Va<-J#T>LV?f9;+k-l8Uoe6%_)*bGelp+WmOe6`rzTYx*DJmf zOE*+95J95AM1FLDOzKm92cKoBJQ#OT*!az7M*d+13pdvFbJcF~;dG~qSXLvvceQhrcuI!UK zWys~J$>yy1D?Fd;hUJs@hTl&0MqiGD){o*an|Puo8rJ+Js$c6F^;7AjD8(4vv2b4d ziM5+?IV$R}{9$inaHww>#dWz>*44>Ms;a9b#OJGACDhcuSD#9VFIVA8G>uk8NEmL- zc4wAda&ec9kAG9{Ej9p7I7gLt*eZMxR>|HT4OV(ywX;>A&$ZoEWtsM;`>G?E>$AF< z#s{-PnZ|pw?wR(Nc3~C%Af3jELDR!o{LIDeS@q0=6T3PqABp+BcLu5OgNeD;cWPM$ z`M0dgIMmj^WmzX)Ouc4T6#jjNg+WiMEu8V75tkaD>g8;N?Sug=$tFoUGfNb8>O>xK zF*mD+l|&xdF*nPFl|&uUGB@jl*+w22H4i<>D(kFK^vWcXR0=DkTDexdGNQ|L2&SN1 zF$PO?V(|)gVAEw<1XED0Fwte&2k%85(bHvq45px2Id!`dWj1mQwx`Rq3QlIU2oL}_ zw_#-ka3S183p;@bwvHt%0CPi#sw8VBXp+kI`y`fWc4tJ?5kIqU4goJ;$6(Y^H`uqC z$iys|cWecNdBHCDa?dG`NOBCzw77FH(kX)I=j*U!nw7WAz99q^FFWiNuT<$A_&PYF zjbHo=5)gq;04>@rpTj3ux~NZU*V3+ZKo_EBz3uaWXX)A4rf;UnSF62Z37ra zS5TON1*^N)4C5;TF^x73!WiEAg#2EUjD=J|czZIn^g_z5mCS^@GPN|ft6IJ$Q;dRH zE}Y6nGj319l#Zr?yuLL%(zn$q9T_JIePN*Oi3#Oo_epllIxU#VV@19KgXd9`s!_ zoqf<72F#-@IZ;`vM;bG-%miI}%XYJMRi(jOgOP|&JTLOXc(~+TohMMp*$d2iRfkwD zK3yc|G*sgaSy+53e<9~;L6ufAFluBRx|zm*b3IonN@CJPvDr8tx2LA&@PfOcQpGpR z4mcSOvcvJq>a6dd=|fk@PVW_Le;#M3CUtW$9w)A<_LqaZp{aU3b3D#RP3^BExT_ce zvJE|!o~U=0iVQo83_Fip8Lw(@4^z}jvf43XoKC=En;`m(WB;V&JN}e%9)?~n`K1|k zW~W(2O8 z>V-4NVbXIlz)@OcC#SYSQ2o{e2k#Bfg7y;oby=@jdg!u);9ydVfS_hfm*rp%T%yfdh!i zm3>*lVQ=pJ4Ka9c_02tgrE=GXzv_in*pm*?q%_|0s}e-NnT?B((7QWZrMt=Rvu7d! z&&sM@*FV#lyY|zYtqLltRV<+QM-?te4@6}zjP^&RF1+s#DwnVtjBk`k?7NSVi0`jT zlwj@`$MdFOiXlA7W+#k1`2%u1T<5lgHLJ(heK>(L9;&Zr#4P+Abd6|EfYLZO?P6ADx+W;L5Re>e-=D;7DmB~V z6lZa3r&7z>(5Eh`0=l-_VNnB#yv7cE_8BDo35EDGZ%%OV8`*%T#Kf}1+RBU}&#(fY zorFDk{PlD8bKb;rX61G7(~B074aRovhuY1ZXpvl-tC!!U@| z&=cnUUt~~{7G$kI`&L-jYn!WuS z#97@9v;soz_Ir_aRQE*cokXGN&fBZ*a$0u1G==LAL!=#^8&p>o;MR^l-kEDY=2q=3 zP}E&F#_jJmLdtysf1!3>I>I|Th~#pcY0fEUH9(~p2ys7o@{k$}H8%iUU_sr}|)X_Akc5H>;c-#Hqow!y>)I_VsxSGdmWkHTB z;d*2rKGb(aBtgpO%6wf{7O|Jvb4 zw)pa2r`XZ_nSr+V%P+Mz_y2W+kOn}G6$m+CR}H!3j`^&if&4Q$rzKIob;FKAC%A4% z^UEKip{t)xUYM3-ar1OA}8%cHQdg)D@>FjQ=~Zh#&WYewaJFz=Lau zh`Kmr{EEvt?PH@{akyeWdeGRo7ec=pj*QhFx;=p4(Q|(tCtsHbc=|$MV!vyf?L5WC zc%2|Vr*-HPwtf>m5kg}rg1nAd-#h0UW-ILkYD{0&RePDbRx{PHh(W%)TOZC{=XZAx zjS1yDabhd4R=*`rh(sG!F#3`jI8aKa)h)h>t-`_bPO7$OYz7keA2N2LzDir zq7v_efr0)g@jD@4(RjzHQxW)VPZEv07vwjjzC%_swmm)WtA`#9W<`v&4_xoQldBx~ z8=}K(JkM63S7yk&F339)`}I*ob>-%hwY5C$;YHHhUtd`aK;_)S&#E1-aE;2pbr`9@4pSskt2?2Bjtik)&h-HD3j-lvAON^7iNpMd1uaJbkCYdNH|p*bUeyV>^-L^6*Ut! zqegf$xxXsW@=sjc;@5zN2-w?EvxX?^)F{J)1ymt)o>zX;E3AXpVb;|XmBc7K5!9AaCoL5(jDd*92#wF zpk+YfSyZnP$5?)8?*Qhnd*h-!;X<>Sm@tjgpk_Q4y`y$ZLJ|{M%-C$2$ucwZ{%c)MAE38_Eq11-XQz z$s%&xTpjmTm;S0NH5RLO_eedGK_NQdUn&27R;>MlZ;s#oLgoLh^{&^G_2 zi8%e6T8oBW`J_a7?&~&hSU0<6K<%nuM1w8GGRY+}R}x zA`o$Hc(T#x8zRmeWUC3b)PB%#yo`>w0p+vtI;%Tv#GGn`JeZQZ=f@BBI__lbJ8FVa z7ZLp{{zYL*6}=dKM%#<4d&}g-rc-s@^CF@?atKd#H%*6OTD@vPQ`n!3+K?lXN+BiS z?Iwv-ncn^63Wx69`U}IU?;oANO}3hsn>NzP*0~Gn4SBKD-)PFg(TH2i;}GNB!%&))nV#G=Q9CBgL!5KA21$UE;Wq-)K<=x;tL#jeyj#bV*9ToGlCD&|?2X=I=oX>%2-ACsc zf-&P?bB-Gw2TR83TOQ@A_Q#jj=O<^ccNdB0;Ewj1}B+Z{nm#;<8UBr(P|F>vNf%!|$tXY~*1!!^x^9A89de;n`vg zLyJ~Cjt-x-jn2^~eYBZ@W2>cTXP2c&)(?{K{PEz`#A}iy0##P1qN`yZx?wUN-4+4{ zYxQ&f_UmKG=R)2^viV>Y@esBAkkGqz)(_!zTt>=0D=pC)O;2yZX(qEB+cN+;ZwUEUe=-^s5z__FbU>Yv$ip@zYMn7I0n- zPd)HAq(!dzfE4ujAMK0_hA5ngL5W?OxG9e`9!X=z{(5^S%+c=RcPxKf$bINAQ(kS+ zwyQg9KOU<7Vp{{#J^CrJb-SuadCXL>osRzrZLq+!ezZ2$SREe#ZPfAfy3zjDozYd? zjAiZLEMSgSm{)!TSdTxhOx&=h)3FMf`~Ic0dw%@tRXqst2m5dMCb{8N^-}vQZ8YoF z{_58FYag0aE327_&&jG&)XSBhcD28K%qmL6^uYZfOGM?E_#oSpuYxX)%@YRIl8oh? zTql)+y2J?0FTYHVBoU)Jr%-FLcvfNlpuM3Qp4Hdv@VAh_02#Nj@S9|+6kECeqSBfS zB1`x$e$Q6i71M$ArZJCHTFF&K(+JuDtO(&rEYVew@B4Fm2{h^fy0^`Qs;z~V>Zqya z@8m-qMxuorWPSsZssJybmm_CmsOGG&8J{lJwN`59C)>Vy#XG zhL7WMKlyfu+R;9Kg5i%l>%YT9h${Pz`un|>o0V~q%I@myU>Ef7zf;?yvS`U0W^ikf zb5cGf$yZ<7Xg*Mzplc}0_txPEB^IJfzx8+~r3)DWxLMX-BP#f{rx^bf3yE>gs8=wa z3b5^Y_9n>>@oj{Vblf)0)VCavB-<&+?L~O-9LIZn*sEyiiuq}N*kwH+k>HeYa-C~P zw=BZV@ouic%+?~MhwoS^VFYyCw;7%}t?aYcWgQ!0nCSk;+>A9@b1rBqt8~rAFLCAP zTd8n8U^iKUr}ZV9XcNdo+xLT++(vN%F>g3CAEdDGr;X`~3)yE~Tf0t&0v6S+knHS4SlyjDDjW0=M>YuepP z-_LDMy3HLLMCeT1XOzfJWVcSe>$Uz+p-$O5jka^uWxW{Uw%CBo`R(lHP@*+MW&U7? zfB4Z^sb>d&DP*Ep1r~oC@rx#YyBWo~Har^i%cQv0It`f}V)B|PSnoXXX`=JGo=;nc{*Qw5b2b+E+)l{X>sp!x`>2oI!CNT6{x` zZ8#KnhRcA`;ZSV27w>@KGJL~jc-ep>`?xc zm=_8d?5?Z}jw?;wDP-YIB`umcqbRo!+7oy zS8_al5*Bx+4el%3)|Jlu>z2=+<=W)LZ0z#_z#A+u?|=aH0*k+%xZGAAB#O0T0E3YWs8APvSb zEaJ)n=W8@h5mdQmk&`CqG*_}jb~&G)G6In&wKuV3ZGTT?-5MjNrLr@-v%@-TZ$c$S zLi@Ot>aK?QQVj$TQ)1mCnWmF@+!dL~3lEpn&%R%T@fg$>tE2bk@1oysYMp8wUdXz9 z$mqk)Cr?F&d@9)-i@Au$Yx-9| z$S-eZ{(4^RaTj)%shAk}fO52TZ~9X7;V6;(v7BLll(XYE|8iCAlpTH&SIFDi753w0 z=41V=rHjkUtY;Zoz}OreeMuW&*JNPo#;BQq-UTluWh~8HNZoj~IzdJrC!9r_$Ti0l z?g4MiJ?OYt;#*9FhNMyJ(StIwJrLe;+dmy$!aAv^sX)+tKDa}O_B0CWSo$*`Sygze@=StMV9&JQR0z;ZwA zXFwa^$gicyl}~?DOZs}`2@;a&2*<0OP|Oi}m92GxDtL56;}hb%BmsP%emtQ(5uh{6 zY0Gi@rebccu#_usE@ub?W`cb_-9pt}%Ig4fqI1DdXg`fjOqzn zCcU}W?>j`Y^;vXtFGuC^Zzu0@EUZeK-(z#vF{KcTg*6LqsK{_6OeifZ7uZZw1k!Ew z(0uW_dn5Ov!adgwmXRF#`t%dfl2bzgyK816p z%Ez>lPq~86Kix_~)4wC%SB5GUN25As%0dh*8=q=5Y^82Qx_5d7zq0}0Sw=r3KHh%z zcnqDZT*3mpbu-+I;kiLXgJe`={TI1!r$=>qT|#xiepI2lG99mt_tY zS4ki3H(%mZ4I~zdb4`Pnh;^c5IW=nGNHAP^r4$5n^2_~OPV^MQsIV=ngdSsUiA;~q5DG?K&XHrvbwkvHMoPmek?g4Kl6AeyYH0D4-PKp}u z$%j)iRKREz5}O!^1&J%$cao*~Z)#Vn8Tm~DE8pd_Qai7>Ywh3941ZR4@waDp`(XWt zJ9mY)m6Rp`@dH}S%is%Yl_c zS2s{}EVWXiweWUwq;3>W6UN5hplJSfWWb%<6VBQLz>;CgbRzW$Q z{>Mpgk$5;uN$C)7_>Ew>(0oT+cU;Gv?C&icISgU$=z@GBe6IPr9ah z@yukp(T(>OsDVj)Y*c+M7N(x8i?Gi+NTJjJNU8k@&-=? zMi|c9o+uu-T3wkp+zEYqm<-JSiLIhCJ!7}b#cVmlgv7)%Y2ETWMqPD0 zjf)4}y)8G9s)rxNyPNxCC8MZ3=2us{e>#saCqGjViQnHjxo5G78Y1xv;dm^fJ2*aG z=K=eQ{3i6%ug|I>ZR*m$3tL!bd@t_fT2s|yviYCwFCj)HOQl&ZmwbEX4=+Oh4+z<# z41Xl(?!mn3UY_Fd-Q8Yj+EM5+X{<0_cY9TXm%rzuBfL+BzeFE1AnHDtGKPKvu>e(d zCq78JN0A+HfgUfrt`PzYOqulp>+BDiOrKBB)9NvO7?x)+sux$g5o9X&l45>U8}XIw z=4S^3s>y76E}_P$34j{JDQFX4T~k#Cwj-saysS1=Cv7mFsnqc(aw@-M{$OqW?Wehp^yJ{kSNQ8ss`AgQyg(N)J z)&@26%CI(TMi*;`h`NRatM4DnKa^bC(nsOH%#iF*?em&&quwtR?CRqfRDG= zdnA4}c7rVVRrjJ}-&S|i$-PB3IJpZG7v6BD&Ho^lnS%0J*pmf1ikWpLE!T1Jyg3+? zI>~D!rLvCzcY)XI6<%9gfPWx1ccK)`zi1OoC49X;OZu`GlmXN(9_im<)UL|xORW8Q z@d>0A=K5sAnE4pxre961-%T3aesx(zu!wsai4C!~Q%~RPs`7=Brzv47X4wy+obh0< z@za#{hY_Hj7QH^mR8}^}K{2p5dUy9`yf2dR{l)WkuF1n#GPW3uYW$h?gb5#=MU0%g zS4C8{1=6j-jekj1+({oSk$4>!C@B5)TlTzGh`Hm7rymAi<~C_8YcdrpNyfebnJ=rX z_Cb`DD30|isd3w?qqQBprd%BXZA3l%^|FbPQyMjMDg_An4E%VagX=ThO_zc%R5E7I z39Y@$j1PFvZ8@c6CDRjv0=V%BGLJ4Ao|-82y1%S@0ZuCn0gEK+Y-3}8n1uZ_wD?VH zgp}X)X1EgQT@4xgjYssb!Zn8FHfGZf(SuBU%}f(98h>W99nwz!<0^6yztb+`CdW7@r1omvB&4|;P6PV8igxve%-R7 z4{W9Wu|niN8^!Rhp``5ddZK>QrD^L2xU=ARs|Ihu=}FO?MW_FCkZM6O#jO4^fEDt! za!3J{U@lkwLMvopX*Js9_N11CO?zT+&zWnffKoMOie-e}^21z>b<1n1d@!t8XL#2} zFWO|w*1E;ZMfB=0gca%g4bQd*vYEESA?%=-_pgx8z^=KVgSS()*Qp8 zgssP~dhn?dJ09CwYR%f1f84a^skej>`F~Ic5K~%n44NXU(?l+Xf5!R^IEWd4DBK!N z@)3)$T%t5+zx)%(>$r9298t=~rQ{8}28eAhIt-7cP_h%n;5d0|SFawlUUb-)hkUhH zPh)16@ea@Vc#87f*%?hq!;4Q2tAqFy&|MoR_p@m#c{AJqz`Kh><6~r8r92Q=co@UY zNANC*hY$Pmr%F=af#$sVo$;|0Ok`?!7|YE^-`%>e8|wTY%Cm0cMiSUGl)Pzf=nqAN zP-?*=ICAu4(7EI6kGsV7Yng zuFufrbLZ7Rxm+fE?ZD-lm;z^GR`K@4>vPZF=&*6xpv|?^J(#E3)zq1*DP+K+Zl|LT z>&5FjrD`M9S5Z`YyJmGwXtB+O7}H8;W>?V($Gf~H^xNTb^^E|fr9F{RdYf}ih(4v< z9o3qnV*j5EnDm-Sa5gd(Z^;F?~%8PxwBVxQo_c2O{b-N>Ad|@HC zyq*XM8A|@3V<;X{WG7Aa)l&J3*F#g)h6c zQuaUWG1cllxb~6Aa+|k)OfQdFW-Gy$jh819vThGds}Pk*9n6s>KJ=yeIchj8Zm)ik zm-yO&*FG^nflY$a`-?h2jC$UIcQ7SC!D%?*pp0@WPIH)wiBeILb8RlcOcR#CMnvh& ztq$PQRQI_d?A+tZ$w@m9V&Ccr{~v5Qsjn^N?A=fa8w-@)ICK{Q|3+<7z!y%{j8z36s@t8{fWVCe$RD zh1T2GMa2a9#k+k3Ce4bx3g!~#;WVpF|GCc*udck#V8ruCvSO{5vU9MTZ|wsSb>VqH zq4`pi36D^96(j>5G)(a3)@U6okj$5J_XFWKyQloIh{tYoMNoBRY?)RCX)Cc`=ft-- z61l|G{(JcTn5=EOaydEbzjxM;a3^Mdt6R!E(AbBEdU|O5Bw#a=)BiDbx_;z^QhOj; z!0V=pF!$h>F=o{ftdz4@)_xl#7$LNl`HOyzrV8O$3#o8HNEp&8=XoA3vl>)UDP9QK z31@TUq={Mn)OK+DU}A72Gk3>~GjCSryVrY5Q!w&eW%1%|h}7~Esd=gblpq>2Z(eon zi%M#{+FI4z_(1n{zb894TX!z!z*UH$sZGPZ3Y1vk`=+4nRd0`1aQN%s4xmdJ_n+YO zbV-2~5=aQ0k=KH-g=pfKeML$~gVL>aI$!jwxGH_nizfT69fn7q(5D9K!O^boOzYls z3YfdS|4Nu001~?0OI6ox@-ua)?Ebt}E3dkDR~bp{!yYl!rQBS)rub)!;2lcMk>Y51 zO6j><#YlHl2 zk>brzWQ3KfuE{n*qSVdaauk`eO)*H2X6$h_SSr087eA+Poq0-Gf1H$gsptFb7nd*> z+X5ABTtg`-J;LRV+opf|5!IE+*-twov8`nQJLTZg~I5mJe zEu$HbE^$V~Y&EY8ynYV9(qteoGOziad(fvA}7yYC0MTbv~EZ4+v9 zybyAaZ7hAt&S&B$`-PmzU4}61d&Ye+R1eMR70vMN_=@IOORPENn7HPj_EHTckLQ4R zfx?rlr}AsROjeRebqrn@EL!}Hst>JyDNk*ET2>|J}VgM2CejG6rtB&G`sP(EE;GjFj`J=I~m6 zPu;_aD}2g*47V_M3Z<<3UsFgaXX(!sSK{690v;^Fmh^TbD;Q!M>*_U^d>TZ%iPm*f z`l}h7JSz+V50qc}^yS#Y<21f~re!R31_zLIXBXTix6l~oIji}+EgK+ml{T$}ctITD zI(+!6lbCCG+Ov7a7ivDPt&s>y6S-R6n0-iie~DsjLLRSUQTFYu#>{)ox&9kI2!d~n z9Xy6BeLB#GD-9nA!~c?3^gwS3E8axiGE}^Q-qKXu(FkaLI*5dG!`QF{=qm`Ie)bn$ za4QByJQFrea@_2+c`hKW{RKa01i}zOfbeBd#7E-6`bm)7uzms)BF!{hDcXu-Tq)KH zzNmu4`AlH8{RItZ%C&$ zlGKEvfHMtFZZFsQbu)6ubj`K>!c3yU>EK ziiWWwWHo)TmZ0;cQQCGFF7R%#TN2O<*k59#4QvCimcC*Ddntb25_mLpa111~zmNv0 zG4$agv0;C)ksL6{#v?Qy>@N{Ak)e+OIl<6Jj?5Lkr3cxL9^k_D#|}1u#nAb9U~%F+ zBub9C;vX*B3ig)-dBYHahm2)-AV(yN-7+9_#BT8rjo^!?pjUR-*q4C-LZEfZBT9rv z^Aqe#GQciYATFIB6muXd3vfN=5g(}2P6Zz=4fq(<4Z$VxhW{Q^WdmK=E$q;sl>ocA zmsoVfQMb}`#LzGX5)GhYQmj3kZRjll;us8O0d0;AhQLdP2l3z~ql4=3lFx(O@RE^1 zM|jDYDiKI|bdUyaGdySlk4%bn13r+FEJDK=NhG4e9+5~uZ)xeAu`dPaw4t{`bk4Y! zZvYS$TqJuF2p@T9mWPWhZ4#wN4A_C$KtA?mEQrn~5H|9=Sspo3xv2>Y0kJQmLR>XB zQ6R{hng|i(&7eofe_*f}h#mZy1UY7Qf_?dv?w&YX(2fHuD<<{{sLPBHdv92kfuEj^ z6SxO1V?u-j0NAw@Bsx%m(Lr&{Eu32rGdQmu_!%g(=`{<8$4r(D@!l)}M~an13OGHi zDg>(X+%W1VoU0)mx*8YNaip!aB~bN`r(RGN$N&6nh!D*#6}={AzI#wC_I#Q}Q#au4;ODKUlMbPac=vEPQ zrwCJ%2veH~Q;P@_{`?JBLJPULa&psEE8(3|a?`(7LIS1a*C(xn@tDW5O(sA4yH)yj z#(_zfnm-y|qm5@7wGoDer*)9<1$SFXh5L_AO5<3&&SZi$i6=h+Q_Tcigy_0H5=u4nYAE2#@JWh~Vuby*v=lo;8*HF&Eq8Kj zKT1j00Tke~BvlUZd)&*gQXlqsG{Nk0M=Z|v>6!j?lgsiCJeyA_7f;yo!6=)pSa043 zC7)*qhif~Tl1;Jl*>(p-O@Nu=k;C<02U$GFVZZiP6-~*SG+uKBSJ@Yi!{zO`nwl`1 zoh~k}4k;Ebarlry+q-GyuNC@n?7a>@8M8Kdb3#4MI9{#;44wRm zlYOh3!1IUAGJvKG=dR`PR;w=xQ@#X5N5EWwkSpfnXcE_l>s-K4?xhLCUAZ}3DWl8y zGW~SR^%q&+(SFyQ5tq>$$v+ycYYM*ltv7%{bMF#am(6gxEr1NfpeWN1(?mIid^25g zr5dtR)5fDu75;0ZV?r4NMy;FW|C)_!O~I*%z|mGR*;YcI9A}stHJpd+GdPJ9u=+^euQ@)ubPc(3W{H#Ry}FQi7iaq-FIAuE zuX$-$xls^AZB<2QMU1g#Xp4BUrW@lKxG)7N{9xIZ4Bsi74PuJq{hAui)IUPTr$Tm2 z^bm#E63d@W!Fg5+?rfdjSrD^G%9-z^NFy3IF6pYFVNK3ioJt$gH#-0QIDkd2#3r1o zf*)kyV1kR3U|7OjFsdmS6~7P5{(N_Apj#FZ5^Fy^WVf_!qt1vNS#@sOpW?)YdChIXt=UQK3b z7)ga5urFoa8U7bKPY3^-XebCec4(tTkc+P3BjXvo2+;pTSFw>=3`clq2iR)VEe)i? zns|>wGzpgnjC^b&tjVgq&Y};KgZhE9ums2}gyCmmX#5maf9gT}*KN!^C=GJWH$YDX z%{S131VeIArWpE>JF(_dcr_SFfFy<8LJMN&mEg_}l2|!YqD*-1!kTU$EmG$xPJTMH zv4>L*_E`E;NQ z{|XME12K!rF(aH|GuYp}h7YXZ(hdP^R$e0q;h4b-qZF1G?WRCT!-R1l0;%&Oz(BhI zA`lTw_)#r$#Z;8G{e=|#kA1*1P#=Rewh6oDE1Y)nibhL5O+*ht&#W`+`-tBz-30z@_W;-B!ar=h$G@yv>-~PvmqwAa<=iBeJ=#V^J zayiycdyXfHI(qBE@I-WbSwKroZB66%SB202q|Rd^s;u!+ zNupdpg~aI|)&3G4(Ao`9(8*D~LC+1+F1HZy&3WW`Qjsly(=_z+ zX?fxDZDLuOkaF;D$P1vKi!HOfWy{31o5~*x=8mXBUFTovf%j{tE#G|$cjzm%^672$ z$3$MBxEEGL04Wj}^OKj`-%?+#r`kS?jE<#MWhLv_%n%z{_0}Kr7zV$N;VrfnXAUZy z{`s$BC$RH18XY^6?wqT{q`Mj?<-gV)5%00f)B&bj#t$8fr!s>asXS9JuLq)n z9(VR!Ay~--S;sb6eR^1Zo^5bUy_BO!N)1Mp{GM%AF@L5U+^aPex+&X{E~r07a6!Us zv@g3pJMC6+Wzgzy=&f~ zw)oE&3N#r=jN>=2B>BAX@?40jJKfmtXF6wbmtz6f;uqB!aLJH9TfoeU49)RrswCtl z%Qz7AY^vIKK)OWOUry{{FOgJO-QwM&U>MB7X(Ol45E+8c{rk{M8)i0(i1jok;BE%nR?gA%1j_ zxwO5hUNcz)sqD=A-LKddVYg;~?4_+D4~kxV52HibpOAY6vU_N3K1Y<%K1>cUCKrg( z>Bk66zfy{?;<0zBYH{}y<_=B=O$qpU?C@7-L2}ntvG!c7dlZ$TidCYXdm9+RDLE9X zWaM%|!@H`=RQnsh+y{XJ(IJt8KOXDc%@Ll+#LRAq&k$9gizJ-;=JKaFqV*Fo73<+d)aXm|s#hrIz-gnP%I z2YG;(ga;?Dqd&B;uu^#%7D3%3!Z(u~0cb=6Fv54&bi%y=x;=4R9&Gn_!hS z`SqkrkO2KUuD7&-ePe9!8@!(n+=h>Y7V69{r=zim) z-D{~$0^dr~8~K-!l7L~|pGaivv#`*X41qX-5}K^Q^@6e&_gp-vhom5nuUQA)kjeq= zgMbaUUMb@h?I#;Yej9t-I)C}wsLaokYj}gvjF)9DOm!_7rNa8;7_?HD zoOys8DZ<|#irfnyzF`Hd5j6Z0?y|)woDTw&Qj0s~8>;9_`2%E20h1A`s)Wx_$~cNV z#`&HF)VLEN24_uv(ZBA?qMThIEC)91$6m)U8SaJCh7bbAX7Xpnv-2XmZx--NqWZ-( z_h-|ZeoxB=ZrpI~r>)QI7mK884-ne^we)c-Lkq?mO#*t%+zLv=(tm_cNIq>fQ2VgZ zYbqml;xFTtp6m7{ekqUK(z1XJUsuamgq!i<9UJD_r9x5>DT>O0sq`nUkXyC6UC5`I zP2gR>e(h~sJcY>oHkbP;;|`tTZf?%hJD9%S>@Ls9otS%6!&NGWXtu`Uq$7D(Pf))H zsqVvDs=VW_qJIHQxnCu3i)YAxM5^D!zWjBcz7{0^@93V~x2SDckLGV7G?vsaO;tmA zbY?(JLs=+bd_0;P;o5F74?&YUh1nQ65BUr(lvXNJtF=&9v}@Bk`3aVMS7Bbg*Ck$M zn+g@LHo7qjvBKucjJ5atNShVI!FS1@4+J&ldzhV`?c&g8 zY3?`SP6B8-fA{V0h1KIrlRywzSKSD~XQJu9-`g{t`Q6CM2xOZ6VRS0bRZn`W>IYn0DW>XBs<%SVG*`zlGq%tA-G4oCT$UCu_eGjc)DpS_C1;RQ z#5{3QpDAKE5=r@plGjr}%g%s%hDo=oJ;WiCHfi1TB$TI71mdv1$M@9$|Iinvk6nvP zq73z;`uf?AS}|bZX=dTI)!zLJ0N;!H@gAp^vk1n3@kyDQ;s#M7=c?M2(v zm*n+^vyuApPGO=NE&j;8>a6gaOJ+;?IqJhxvyo#6u<6O5aiU_p$ zbZ)cmd9-o3D8y;rxxmS{bT9tZdwLy-(Ty(z6OQF&GSY7}r4LNm638Ty)9zDU%WvW} z3*NEzWV4Ir&Q{MRU`BN4o)zX^?{KyV(n9Say?d-25%_F8dyWXVkY-@m2xLp0x2j7`7w2Z>l5hsd+%)Tj8+oR zz@54OZ2~9lj%B6Nh}+i7Woy@TblgLcU#mYAx&HDEMW?NSyJzDmoY6aALS}rkk|Zgl z)|r20vFjaa!B8*nFa65#w;j;{fahUXrmX&rG*w~W1${PpNey5)I5kqc-te7Y_;`}S1{mrdwKG=v2yn16~Cr`RlIV5m?)eqKYbg; zS)}B0=o^`FPYqBOx}3AO7UY<^kwFPnQyWd;n@%$o#b}Xdd>q$2Q@wqTvW&f{w=lSTxnv>-))ta za0qM8KdhNMW^mu0iZHrlLAS7)eW-dbm4B#O!z^=7>gYAo=qikVsD6-mxU>t0qtki+ zQ`os0Y$lF&{GZeFM?ltUPspfswQ|CIm)+hX%!32;_UD<)8t3g0Lvc;k;pE5x0A9ps zLdMqH=NgLzX)b;B_O})a2&%|aJqLy-501-P(Vb8H9+u=TIhe2(C=2lfe5`?Ge1DaERtsRkbJtR0Aj zHYA&S#iq(L%D+36hYQ5GUS`M($5}2bvnms4*s&Vh@5<>ZkMS$YiDzlBSWJ9dbgoES z)vdtY^O6_=*m>+S!qE+Bzzv#}s@b`i$oG&k$DHIw>Z^_-9%>)mOX~Vc%-;xceJ%4n zD&Cr`YtxrHl1o#ENMo(N#KcTEumS?FORUd#IMn@=E!7i9bnNcyRasEzs9w}^(0X+5 z-#uQe^O*7lOcvU-@7mkE-ag%~INQF|j!V)g&`HoB4d}%;VIgV6)%b1ym)Hb{#0PgN zCH4xlg4t5TtYEeVfS2}L#_-!FjBZH@&>h7oBYEb$kSa#?$T3M~?K|i-c60lOT_esdE#l>L-rhUf?jzw*Cqz-VTbGxPik` zGYw5NrNnEq=ZP7Y7)vsZ9&v_NpIS-B)?|ftOa`CYqI??ZEoZk_Y`uMc#X@JWiWF1 zVOz!e9!vp=Nl-?sJTmG1EWoxfGC;7MMtyqx2E;+@lQl1A(^x*+{UjXnLv_b$c23B^ zQAl*=vL5zz$`uzS({@?thy+y7F?wZDGWJVPxhBIboZ3t^zdN>BYsx#e8EblpukuuU zgsnOuIV$uSc^r`%s*u48?n>|hJXi|l-k8b!Hf)CW(M+jTgNzk~m#R16$t0wzeapre z`440Cg9=Mt<7G04^zYuN9$3bP+ z@dzondpYS%PsjJxku5`EPG&A~{pN`|Tsy4Kq=hh<=Lw1uWQ zLe@IP5#1+{Ar4v7na3Wn-VW+Rm2kq}k!~>r@R46hmJrx98slvLUwS)GL z2t)FVOYjtX((a?zBlou6%SVl9rxQ)MiqO?PF&5(TtAp^hXP)?pWEwWY4!}c48zU`u zA&eO>z7hDfn~~y}#*gp-KX6W!{(7T$sikdm=wFgi%HQSiTdFOuJ@#>M-j&)`(zvvz!d_`{k$B zY=qDGlQhU1)}Wb3$?`;X)6t`b$#>)3S&}N7K1f_J_!R3>1T+06WXlLITuI>}PC*cy5{Ug!Z@2?<_HU^5DexJZs z+8_^KZk3`BkMSSgB%JiI1&}U5s4v)`)DtKoU==)4Z2&A50-!i z?2Y5*Wh^9nma)@~NPyOw>~3T+Ky2N|&R3D)PJ*rwdMijLgMBH}T>+~fjcZyaE`kJ$ zqN8uNtQn8Swu9^j(nh4=O6l|1urQUM%HnbfBS9a}D08OD%GooMBDYm&+scXd>`S8d z;C^BT0s*^wA#>qZv!sQN<9&F2?1KJ3pQRK!nn+ud?sZv(`jyC^ql!+gtLchE%@SvN z!;LJU1VV7@r)jVzRLC8O+`NmBAEN&oCSFno>6g(89SLQV!B%`c6{tNsSqS{0djZ$J zplF;9>IM;yLTq6ASS9QgYM)3Z4+!bNB6c=-$u+p`cokevAWfvwScfD7 z5wbpARNbLt;8Oran*FI2d|c=#(X5wHT(Ft&`_$RXsRM3+4N-o3z5(mytJW|bX`qfY z)wd7l@L0#9cZHt|^)P4Us3ac%{LfyG>Keb?a2D-|b?pcP(MomT!o2wES=rk1nB+&~ ze&3MsZrha?j|YdO=N8D)LUaQtUv?7Q9DIDXP$)4Z?vhgMl-l{em?*oLn{B<23?=#NqrU^*{hoB}_PtjPH=Fz)jx$H^(!eg}`7*oe92 zd)T&jldt%)Z0z#&JAzn5iUgjC*fw?0`XI_~OK^CQktJoNsLWaKopG5-Pv6j4R(Kt&H+`p*td~{ZDyPG(#cJmip%L}x;UJM zjoZeL_}~O8()r+{H31v=$j+t^K9J1R0SjOQH~)K6h%zYH?({owJ$6JZs+%A=4Lh(D zHZ#KMDKhznBG~{3a!El4!9sGGh0`I9?M}6T5wA4&!KWJI;q1vG*q7XNU>B8*MYEVA ztk79I%98uN4Eg(W3Ay6_f~h-7*MRO8jYyRPvQa4$u;e&2qyBJ25aMj3Fx+fqL}oEH?IG`A!lV2R@L^-oZU%%KgEbyTyDg08 zPNzwarQsd1MJd87@nZ})ojuQErkei#(>pvQ4x@Dv4}l{cn27D~_K0{lsSbm9B!Cu2 z!+D?)qg=027rkWyIsWM(ML0276C?d#!r16*2Glu9$&tXI z#gR&##10R9jM;|d_EP=h=V*Hq2-`s;Up*OHTU=YpF7~w$W6s6+P~oQq=kkt`=&wdP zrgm=3V6Q89n7bQ}nR}}RF*+k2yglUj-_HY{GiaBn8=j{do+5cRgLnKZV}4J(TNR@D zYVzRFtbp{-o!G6M75k?HZTJF4>y3~vhj8ipJwj2_Ak%AH4pH~I~*sV5F z_q3p^iF=zk?IiLuK}BlsgMNL)LFoVbNQDsobu9<#Y2Fcl|FIie0$$pUNlnHR+&ukN zgkz!%33`bo6;&(<)ppo-hW~MJkp~ep`x7FDmP7FnT+276aQ(p<5~$oG`9?gn$**2) z#A4Gq2fY7naU=Gn>92cskPA4J2()h(Dg@dGhf;&+?Lz56^xzxa8-TX;+dYD)Zqg~` zn7Ukt8!~vq@R>Z&I(F|WsvCdmA3jkWY-G}h~!zQ=L{g)SI1(}}s zZBkVKjtXb;y=ml`knPIM0>!aUnE}H=YMi6Mye#s234coW@QiEESGv?6Xev)OCY1zNxd2b6XjG11K?S6jl%%U30RV~GBX7Cq$YFE7r#1_VIW=%Z zy?{Z)r%DGGFgsuEVG;Se2CcgWGP?t+XD()EwoXAajwo}0Vqw*Oli#cpDij7$(h;#k z#RB>gs_0uUsB&y37pBZ0w^BJaGYf)dVLUnmGYfTQVNyDcU{pJx?{S1$-Rvbd1h+e5 zPU@m&H|v5op!MCxTW`}{ALE8M2jqoMQLKQz%&Oq#3-6qbp@lc*VeC4`E+||;M0(Yx zGfE8*kyn-0bYYki8DEvwe9;4VVAElDL0y^O;^~w+qKp9%1yvAbJCp?AfkUU%3FQxn zD5+AmN2QtH;^^2qq72M#jdS{k7fPEi_5l(3RReY?LBIo#4rFA(w)Mg^=WkrqfD`K2 z?DkDg|LB5p(?uE}qPWVZ>B0c;AffYbaABb3LNDiUN|jIZ#W5hFu7wz zszo!o;wlLX>B%K6 z>MwKCsDD$o48j}7=#@Anxl9J^{zea0$4#=s*Cu<8X**`w`F>fQz~}zLo9P&r%NKow~a zeX8$q&nG-ICmC{N1!yfk+BZvzHX=hhtpFpYl@NlOxM+K7grF42b`~Eka0hQ-Btz)v z!W))=*12RznbBqA+ERHbOe+e#jmAf(tpNMH*^#B1 zxB{*?mo8*T+ZO0;T?7b%X$(j@5z>+gF@PbYBLeh~feg_^gzRBLKrl8)C72gXWQYVJ zBmw3HCdC$ma^QPu=xrK4`X2^GBPJe$f`=Ex?g3Ytz(*T@hpU4n;WPk0W@_gEs@q84hALxybrqZqj6 z3S4)`3GBreC?GRQi2(iA7p18Ya%9L!Px#A})9Hg^UQnGBsM!<#6?W=%XUz-pkOEz< z0Ht83tY_=IpcE!V;0o{qgndVT_l+)G+7({V0(EEG`FyTKji4h#j(WnSF?E5VLfQk~ z;fT8=HwtGHg|5~@S20ESBes!y0w+Q~CPU76!dFK_io~N=tDs3PxJyc-jVf%?Q1l!y z&>1(I!2y((2MlzBceg@U6-N!?*(IRb?(jd3xb0UYkCrMRQ1mpufD|Ur7x=9t=wStT zbf>B)1&2;{EW$9hMAb5nH>IFV3&0~x*ZV|IjE3W*LDHc3qi8w53%EWKSe!+MoUldI zv?+$#cPNH#yDVqQ)W+W# z&W7Pu46e?xk2hc7YzsieA`H9R@*}jQK%1D3-z-9t)$zvg3&yn#yzvd-x@Fgc_cubr zIGGWAW7qyT5{Mc}kO78;qQ$(GBoHnbR{nyIUJ;>zApMyT`>oL1c}(4oF(K$%pkcl+ zt&4AZ@W^uLt(!DRB*hnAsN!~~FyeWqpmBGnp!F@>8MLZoAog9!VE(Vt>@03p$E@)e zjB6X1JwL~~WF|xUU@#U(fErWqk>7d$ufztvCO*wy;B65l_8k!=6l!!|2b{PCD!}4kkgr1P22W~*p21EdFaG5NQx<_Kv-s|V498mkmhs%n@!gH2L78KJ z{BbzO@M_g@r7&a8dxyKN*dNl+f@)}ik^(`6ka|OfLoZ8!B^6R;NSL>mQl-tiZP_jy2%%7C61iaOYwa~^&P72J0 zJpVwAFSHf%w7`6@Exyt;NCz>mjmUm^$b(F$a?hr+UtsbkN-4D@I;^}@80%d2(s6(3 zuaLLK?`6-MveiAU`Ed~2ZNb^zv8OGX-r*?7_egSdS^v>1f=$P7hIzk!7Owfz@Lp*v zGV1k5#T-Sg^VVo#t7g`~z~6NW6sodBA+owe1V=gPU$q&;bJBTvNT2esZ1?OXSa(*Y zc)t+jHDJNN$o=(p<2_Vqg0MigSk#rMM%$LxK}HCy$aw3Ic)IY7PKmpCTEt#y+*M84$FLq!STSrD$h7_Co{miVUnE_3IGf+wH;UTTDy8%)`&eS_RO32`}-rguk*S0ee&dflIuC=2tuop+ls7&p;c*b4F7+W*Udlv z;{(c|bmuM%uD`^Vs)}#20mVDO^9+Umbx}XUHniuZ2c0bB%;C*rc z-G?03X1~gNJ+HqJQ%G{*M95Z@;j8U0Ce;ihcoEeU^Af7Epk2yDr|r)dMZa+m#z7Vy z`;Z2oY;EqkN#2{*H`w@+N->**BCA$tbR~h?c}8h@B?D)*81!08l-*@_>S3GwAJ=< z#yL}Ggz~G_f^e&QwP8Ed#t!vEr!kfnyUie~6>51Y$bDi`{ozB49R-~WF~D<^bdwU8?|kRkxb)LNm{aPTU> zaDB`oL6AQvut*{OY@W?MM?FTnao^Xh$4|GO>9By5O$D-~s}A zOsa4(_*7xYf>FXgFz~F=EfF~Ihddhm6XmwchfRiCd4ev$R_K?(sP=XK>V}eQesE)7 z4(=d1lps#O-vqaL!G*sS`u_(*CX2413yCU>UoZ;z%YRS@lw7MSGFbeyZpB0y_5cnci9u0WIK)52afG=o+QMe+4ew17bS7BUEQx*U613-5U zro9nmiv!ug7XmolW&T{;Y+!$)HgJxF!50Lq@@%o7|?!JCcAeoPtq$RhY`t zRL3gJ-f60}6&jG;<7;k2;qn|@4Jc;kaF*n?3~R%qM|(R;z# z9YBp=;Mdl{D3&VB>S=1P7cBRCiChl+I#nJ`YK3N@JM@;}z{e=K7k*j_6@m-hC5#QT55>pzl+{-1}9{|Hm> ze{P@W{7?OVyz&3(xdQ)r*kI{g>OEfEJQG2u9qQih@ahaMXI-BeeEHfhb&*@}OghOE z)2?oZ`09xPa3sPLlY#?t-9$;4qxy(KQdSJURIFtUNRy?IUp!$}xAUf1Dh#200zZGU zfE$P`aVe0c*tGRU6g=Bn%@U9yOS#2*9?1MHD6I!>7u-c<=U%C@z1_M;P&llj@;dK{ zlFC6VS=_OZ33Rdf*a=Tr244mDHQR6WmyhE-oP;+vfBK$c;J@p^42b{1z+y(Wu`iZuIM11qiC+^BAz2zE+P&eMI3@EC+2;O;e$W^=H69O;Sw$i1DW^Z zIWp!V67f+zA*di?-mHIoDU|w>Xd}sIO2Et~&249FEajvim9=A)kv#!ADVrpoEBdWifos4BvLUN_KG? zV!4QPe3VcKYLS??8N-(=p)`{Knwi3L^jC0HBute*PbH+#KV37T5>=Xs2SCzYcxbT@ zlpQf|@DZQ-qi?&NB}|Ut7;^$oA3qjow^OhX) ziBan7Z5XlO*pZ+>T%tM(Xc5BH+Vj+2O8vogqnu}el5`9xa1;-1rGVxmOg%bJb*9iC z+%Rf$2=~U%#mEz;l3%1AJpN|YRU+pW?k$pwX~9QvhoGn_^@Y$zHqVqUL~}9u_$bE^ zlr}MM`VpU^gi>P!D6kt3t)+mLAWVgyr%qAoSFIZ@iYqlngDx8JQ9nXZbHuz;hkOT* zz5!N4CD*v*i51XOxKx&n7R8h@21~97@z5_7iau`$OC9nh$N`NRAeXg^e(*)=%bfQ4 zjuLUqHQMw)zU`_JW8kg#<8;w33MUW&+fq>kKQCxN~WR zJ5VGrvf#Q{0X@skBaJFK=9@zE=Z=(ECBd%~70{Pf=(A%!9^7~1RLR#469qV^Q5o5| zJ8TEQ6vuB|H=##t+UR7fyPvocw7o^1CHGh*Yrp?2zMLGw_(;=8s1No_Bh#z-6n8eE z$Dm1D6{wL2e1Y?oW`!O);cHmoUz#kL&xD7l=XyV`!hkPR=e%LLf?;vd$%4$;E>nZw z!Xl6P&er%zc8vDBP3VsK6g&I#BOaO2?fv1dA$mC)qrGzX)0(-EX4Nl^oK>0eqM^Ld zDE&n5FAC_e`OKp&qx~V1!D>(-9ynv$hy`gPR0s;Z2X2g2K>xNv+h3-}0B>R1n?@`s zlgYD2DbY`lxjy4SA6P#Q`z;}>m5b4M^GD<|Ro%-?s04ID^ybgQW4@&INh{4<%%duA z$550*qZ1EKxYtB)9M=ln{3JqA4}PK~j&Zj%60$g{7jORXvl1HfgI4&bCQ7c8^^<(o zG)6+#?)`&H8Z~kO{I-n7oEbgbnq#2RyAZLTT)G6D8GXK`C}&C-Rd%<@n>XDL`I2`YX zP7%hn3UfCU<@OU*fMcQg;UN)5Wi5$tIn!KBP!$5zAnk~%YFf|lxWkIpvC9{7Oy<&A z;RQ`P_^}+7tOJfu&)9#DI{sT3Vb1zGa7k;uzgfUl<#4QQcZq+5{?br?kA1yT>J%VQ zebxsedvMmf^tJ0ccC7*W4HEy%s1mi3!|z#c8psJpnN@gC;vXfHn4;6mO&0sg5d@~v zSK$|fV`ZaiQPLgryQ_f&#<=x_L=H)KjY_%B02$_sXBfVvbt0>z&b&?)mlJ{v{_hcdhs|+V7L8@P*)80I=2geH|Ti zaTS+;H6E{UXIousoBXm21gHCPPi>?@yz=`UzRI7KOL3qROE1k%GPRNB?TQYTyFKEg zpWo4p){f~j+&_N0r7{4G)uCG!#n-^XJ_Hac(=Au2{ruf^0^zGVw5+m@eN|)j!v0BF z;rDJWS34n!ZFYBL(;=zlksKhUP^sKOoc{DFMo_Z$$^oVOQBCWcc;`wSab%<|{l1@g zZ=Hf&Uy2LqP~ExJ`^_kxaqkxSVko2epUYiU!Vr(6Sg;-nHt@ z%cU{TmZT`61avSlLGKxMv1iLv(jEl22W{qG7id!kfrLA}Y{n|mLsm(A173inX zyw|K0E}_;K19@v?-^=cz(DkYK(ctSKJVLU>+Ngie-T0`jtv>ty6G+$g2{&6=D~jLT zT{W*#$utN5$(gB=n?1$ta*#gxoupIR#zw}b!;hU4`ov^Ylh;D&MWZPt{YK?EfW~#(bk|lmmS|G&5rcCsm(=t|r^s;^?Mwqqw zuXXuYt4YMpB#T+=z6-LeN^>rnf2e{A|sU(jHX;CEUT@7Gzmt$?PRX8WIw3H^j#_y2xm|jC1;263(Uf@af7}bRvsXT*Rl1 zP&V=(q67MC2D}%}07mDf@vDTQ*!;gc9?@khV-O zS&jy#6VXU>@|5qT%Dl>f-6#irM;_+=wZ1fHd)nfAjNL@X15?4G?^+!BMGuiGe|fDs zMj0W$r_=ZKBL!jlZbxf*k{~))5Ba>wM9Lra_gwc1GiQO|LB`zo`l?57RBins+44)U zZ~HrXI<>&;EBpogn#MLe5w0t5aDW9u-UtBX7c ze6Wy0EqzliPI)9dY;b`;C5TH+!9ZsuhnGJeXyYj>Ryy{Fa-KpX-yeBr7JlAK-*alTck^B4 zL26F|QyR!=ZL*axncCg^;NS$V{=)E&{CvM0KTlDV>}9SlVGQeeLLP!QnU=Kc65)m) zN}EH<;xm=7gP64 zQw=p(bUGj(UBt{?gU^8-KfP?lh~CmKFcmas3ITQQ&0Y zs4(Zka61F9%=vw4P)_7{?K9bvmcN?v!a!+Jj@qT+5DL!_6l-PRxoy5Bb;28aQk(+| zG8(%7V?1REolLV0l5fj|`%=A!y)R#A!ECw&?9pJ_LP2bsRbjcdKWi#g%>ViKOyO{~fgfnFvc+j`JjzsW-2pd(>X2zR)c zRWh^FOxdQ&y;t-sm^)K8vFe{T&nfzMbPzzN)QsighxSbsbpckIWS2%>2D^yi0T3DL zz>apjCB{ygqkXew#D}_ojUpFRxa{zL*@th3h4|yy9Y~9Xl1&&U_|ap>vu6q?^PT7P z!PKipD+Kt!m2%E+s)$ZxV3^MI?e=-Vgeo4_*ZjqIf=wx5UkMi()R zMLoFmpBcuIsbH&&$EI?IbJLkGnN1M4PE&ZMlXXGb?mx zi`ovbPkAT*OaG3-ND=0XTJfWvd(nZiCIbgK!OoUC69S9nb1Rii0)Dw_u~Fl!>h^yY z`K5w|-;F*JO&OpW)vYP!GGYb^Q2YuW^%1wnUmv0tD2#ZQ#IU~TsU=n7zm*m6^kGpr zLKD9>3IeUnnH%?ED<#ubmSBu1ze@}&qoU~s@}DnDs-@hwui2d&zme-K&b9dVkX{=? zoyFe8ujWbk*5MA9w3(VW@xJ8NagW$rhCuPv7r6&TZyatv7b>Tj?*m-;4!@wHa;gH% zwG z24}B0%gcNFaMpw*5%pEQoQi2pK{F${-kY2m=NNRp!JjNc1$dy*KX#uFu6e7GiNcU}-98h+4~_GMgmjJ>P@6_&d9ndiNt;o}FDxgkO!Y1!oQ9`inSHG;rn ztOwieoR-9o;FWX54dGi^-WBZE+jB*Fv+LY3R_q4BC#=uq>VHs)?5*rp?0>`ggL~Kw z$l=n=1FZFNFEGWEg!Mt3AFO}G?z><6N1h)DO^kOwz_NBwsNE?@RM|XD?*0{+fc^Qa z_o8btZiR8^dOkgUJP5bS`eTH1Xo1o$IgnuwNH2+n<)g&n*Ag#9z^C*|jAn>HM^{;r6hddjfwV~;WMlJ|C)_EW{grvWM!3`B{z~_dqM~f~ zS0(|WTe!Lyc?IljRg$d4g=wH>dCqB&W!_O1sB~6(*>iGI@4sya{J;AOC2Dgd-+q& zA8Tu`8aVI00;@U2l7BPwDgJ3D6C2~Q^;TV4=&hm zdy>Ky8NOV|a(r^w5z{Rdi6lL&REg;}`p(|YdFjQQkr$|K{ARS*rU4qnlCu4=7cozO z2-Ip|;(S903O2N=-aYEWV2=8dB(O(CPnNz2U{mRbARDcil{xoCS;AIKz447~j@W^7 zZ7_G@+*5!R8HApvIlRvv)BQAgr%osK=$uoJjH*5!LAc7_5MSlyKhpiHX)|Ao-rDFO z-z`U|X}LOHh;KrXXRfp;uR;cgt zKo*1z9Xeg|9|o4RC$Qi4(2{3k&cxT&{>OgtF4Hs~%p3$#|dDAa`ZX8B?+41hE(Eog9 zT+Wx&8)XY=e#`n(xOyPewvo{-r@^fAVYh_P@BhDmujoEki|5E6F(28AC`@l0o+?Oq zZUEk%0QZp}eo_P9&K|*E5B>>b=+0h;=-I-_#$JBhN>FPkxS`>Icx|uweIU?0of3Ri zH89sLzbN!OsQGz7^9N%iP3D@L_+0X=xar&O3GI>w;P6Q3UVUMYBF|;ORr;?M=EJqc zTebB)Ll#eU#G(+*bN3ftpwAVp!?i95o^4wDVVs^?G0HjsE%`0w-Iu zC`7)PnCu#N8gTXQls1UwCm?LP-llG0#DDB}p<=$#i7CT!R$>`Isp;bZgHs!i&SPDc zIg*6w%PtTdDOZ@!1L+Ut+bX&)5gg};WMd{WvUn}wcXu0Y;Q%l^b5pFM85tEVJ3~A9L z43keXCf7++64fKmEMBs5lQI`jTUa_<%*B|?GS&NuMG6bE-VJ=CJ{*%3y~FUFH* z88}FMU(=YN?@5;#aWE=nQy2(kYR8u{#_&r05u~BaZ)D)0_jS1_m5Jd^jn;ah`kGfY zHD-N;qx?5JS%KaCk!OrO_s~UwKVn^*I6`AFBO&)k?q(*jla(w37B4i(IGCjM`Nw2r zI%TLNUjZto4!V;c+qQkD)sYn`ofSri-kAkL_7Vbacz+N{UvW_1;2kYfkjsp?@Qm#H zNUzoX7B$K7)n4NBC9ZT2f@xU+W?t(ZaL|nUN zW%N^k`4`_n$tyTOqN316VlhqLGQH-gUa>RX-#khAraZdJieloW#MtT8m&sU93(g{! ztd?TyUspCvV>YWp%Ax4 z2#w07KSGe(AXo{wcgnf0rnUVP^$~Bh5b-t$5}YtNIdp~ls$Hosh*$P+a{8{_H;6n8 zlHLkQ!pRqLU5#s>E-Cfp7$o2Ht3HALD%J#AVaDJVW92sEZi&)M-e635I{))$<<2nU zsTN~`v(j$yQC*CjEO5qBo8u3Ivew@2Njs6|+w6yh?1yRX@7TP_ve~~4*}v7=e{Az6 z#%3QsWFKE^9|u~^%-cfD+M^vB(Y`yaiR%q`K7VzgAA>h zCbFyQaVH0UN;`hR57-c5n9OVT;?a(}@18}CIQCY#cxsvPD}F(hcnw(e2!~FQnv21b zRws_-*7~H=$OYfCXlAO=Jqpm9j)Tm`#ms00#C(0aQ%;j<^ocHI;N!RMM?P_5D~tYa z&kkt46HxCh^R-{)tBy`%0FlO;Mg!U zYJlL4c&S0xJ$$z(->{DMiiQ{@Utr}Zv2PzeW8WG!K%edMlv-P@EK>tuxZ-hzdJ3;ndeJK$9*SE)Sp+MrVY+!~D$!D5f5P15Jc#%yB(c%~hMh z;-#xLhhS%2k$_--Af4r@XS@FUo-Dmzp(d^S{RcG$uWm$5b3Yo0Yl+s#B-rbGDjo^b zW!mZOVzhZsCYzk8vHBF?a|;o%T9p{h`9kLeN2B@I*2_yfBz{waJ%qtD(qL=WD*BtZ z6Gz?;Q|1{|i~76jA&i|r#nMEpD>aMH zSVdmkQxf7iuk7L;9=Ar9$nhj7*t@X@AMaOo)=xf(NcuZ7>#PVw&^zt?Jy9Yx$*FRc zlb4rkZIPqdw<+tE^vHxKU;f*B;1oQW^^;@aj;~q7R@mK~qx-49u?6cAkZ=pt=%2Zo zb#niVpO3*T)3ihdmE{IMyMA?e{`H9Vt1Am&knj%CyShkTtwMWdNHsjLW&`_`p44Cc zbgj-;_XNgvHh$c*=Q|Ir{!YMy zjbm!-RGHMt*=A4?^*C^BkIfI_w$Ri{Js8u{$^TT~H895uBu)!y2p7CQFfG@4s;x!u z;`ov;r>8$v;g4am`2E)rg6YV9{hQ=Lud1FYG5nJtAq z^x-U`-EkN5cv^)Y4c)57UKC51xR~rs?)t&VzDqP)Ysy&GS~|>fU=RK*RGB6CtzM<9 z70J3mfWW?Jph-|;Wc6a9ia;T!`XyX5r(F{+l2fe*5B6+bzDaghL{+vDwFe{5kn3>s zH3{5#D%a!|k?hr)ZiuzC^y`!Z6KL?DfAZ^O z+F&67gh*>|{${tsjuHnh>)O`-T-7P8{()pxJg?En<1=g|5s%`V3YYwwYX))sq^X_o zY;I_AvGT71>kaq7>9Csv_nC47y9e*Yb*v=B_05aNH6+&8_nCqV^@_?$A=LS0p9~pw zQ}{?{)Lr>ncKyCS4Ifv7EcofHE;OCCsP7Vm16_KZyF{(^;M2tMN94ZwP5jQ@oVqB5Gs?)0wbUG9LJh0OZ2-MGR^hUVE}$+p`!+H+cJ zK>u*P&WK-KHY>n-c5rZ7*ZP84ZBA^Q`87#fd(zdJzxu3!{ii%;h914T6r9Y|-oa|v zHS^Aizx})7)|TBrFMhX3J(zhKlsnSdTG5~$=q9&ZUi8M2G_a5Cwz}I+R@r)1D$nQ5n^QLXu3NKz>zwX%b6C7Zv2*qnhA}`Uy388^b)`~G>#&I z138~t*%=L)Mixun-1oh}vnCu>%FUu(3$LYa z!)1y_9$++w`4Y~S|GMq&YVL1T7FxP_o16d+->2-1BZGZXe<-|isphOM`MF*L+~6A- zhGkR9Pi6b1%Yl>poaLq>PhSnqu${~KQ_qxx+;qNv@XWApn<6Pf1&`(!qWkK2i~@yc z_H+iX{9P7n-o|pT5nXpWC)*7VyQkDKY+^T=tZ^qcagvM2 zBe|#Pa>rzQLjkYxn16-20LrHc89Nr~a)>q_87Nh%hScbLiY+!7jY#7gic5Dc}Jjn!x1_Ha-Sb&ek^s})r0Tp{_bB-&kGp?#130Fa$4e} zp5b0k)~&-T_hs^npf7De7gVn}MMC4Gr$-lCBNCG)v)PIv8`W?IVCQquu5vx}GCdbE1`KH)B8y)&9(xRbvF{94-QKQbc zDt%WJZNo$yeANd#UlON>;JT~AZ;KBbXsL}HEy$0a3&Xu^&~Fz{mnk;97L8Y1{w!a2 z#MJU89aS*`8x2f@vRZmqXL5riu3xF8zuI0{Q3rP|zx!hRoSB_zu=y(-e9po{!>J_6 zaQpJe4Kf=1m2EqHnETNQ6}ffCiyOlx;}iJc((ASkGaFImokZ>WiDk0W_c9e>8EpdQ z9!0`prosjN9Hhrk5x*STeBdIiJ!_dxa6fK(u;uPrSY1O^F~^cP9WZh9C0 zdAdn+Q@&(PzxS0VRUk9H37LaTvo~i+AjF~7~h4@ zFSc#7A3U95$5>j2{>ZFKV`SC>izV2oPL%>u$CeABCzWk&hF|2(VrJa7Ec$kzHKmCJ zGh3GccJ-6J1F3%Sb~oci@KTe7%c+Ttr|n7d8Em&Te`#wQe)6t$eDiHnj>|D|lk$yf z{LVTi%<* z>g#`z)YQ~c8eu(T;3>8sU~V1##;GC<)>i)J$8Eu4Qd3fp;-&O9?Bw*k7L$|Atgyec zT_*T0eti9PQD@%Z6MR!mI1Y_6!T24)5s{_zyF0$0X&xJDUJqUTWFZ=jb%0?57S? zz3>-xI>>JpADGP51V*zp0ZfYKYD?$@Xc3I)>?Op_K-NBP20;E|35%*{rK}1poBME* z|Cwl;)jrd50_O!Y)QY{12BK#!ueRUsw*~zzss3VlMELiMso+j2I8uI_+mZRi)tUKZ z+dDBx@o8dE#$>?ag#+P_qh9gBi&bG1No!?|+~B(F*GlQH)UdC^23MO3Wd*aNp*re& zC07~g6K}Wo3Qaww^jedwqC)l?Sig}J1_cij>a=Ev8#UYfn9HVG+ye%CCTF8Ff;KN5fw z2U<#e@_3kKsOyAd0~=by|K|8vDO)Q=uRJGSdBR_66kM>$^Cqn49kYpLQje$(yiOC{ zc`I3)IDo1UhB!`&v}H`t?&kAzo_}@^A-$C|(-mPO0;rfa3cmT@A-}zmTHI+jaL>fb7)&)m6~Qak||Z zTKV)UE9A~9oSoSxQVJ@!iF($1-I>^}3?Uckq)zr1WA2|l;I`Hjb{3eMHLyp&5;IC6=Ic#ouvJ?vF6-xO5+G zk9);=T9qVJVq*@EzKE!5_x(sF6XE6?S+j%;%O2cz+{y!TD+oW=_YHP!H@3SWAN z7!C*&(z}^gfNK;~f(}o+ujmeh3)9Uk?ZF>gRf77OxmjV?CK^e1<9Qz%5KkU)OscgI z?4;1J0%}&YquLTe6Gg`UW=Z@K1>^2!*xK4b^Zckt z3(!s`-Tz7|#4c}V)iM8p=(|GhTh3)4_Es$&Sf+_<=Viu3mv-?zn7LZLQ+olgjcTUQsS{8ZPTf^R88l2=UB{TP_ zCC7JOR<4ov<=)-mi!of(4YbwpeD?EqAwc{$Cc5=;qWg0T4Nv1z19#5voF~THy=8yX z>oQ$*$Jm6jW-=eTni^|(UWE0-1*@Ce=OvGPypO@7iFeHZ5xSb^g0(-lwWhs@sC9f3 zsH$lbbN7?gMONv#?nQILQ8VI`YSAZzl~95=3L&KE2tyxj@>Y-c!Hv|*=vl&ZbbzUu zOxnBp={Fx1Q#NM}dT0CWZ|3c9Mmd=3pIiRU?Nhn$MKUKAP8&jCGg-wmG38*n%(LdS zC)SbI+mlaxZZ4aEIdAZl;d}F)YI3pUx8qr#{Ub zbSZABL8O?N>r|?IVBuSZgS&{~tyD#f@1mzQ2-=NdYu0=Std>tZ-LUv(6cuY$lJn`U zgvBt<(B0acD3h>!mU))eh1D}b{JECjITn|QGrnMk`$|c~cL(%x~;0m0#xNm+=c$%g&(nq*w7FLGD@{C%1SnYxFJ) zrdRz^iyGESX_K!>L_YaWvi3dkn}5hjd8?FG!*W$HQ}o=LS&Q-JHw7g7Oua549;QcJM}Qy8P{1 zewP!TXPLg3+-{qw0(z(s8Jppr#SV@VrMy_!Yb^CfnSXrxnXlX&<~@JzmUqmcm$otOfF%Y8FnwZuGLh zP$_Ld&18-I8Q485_!p5i_swm@ABX0H>RE+#e5nWAgtz&XkYG&p{7cDfN%qLw4nfD@ zAn8?ZLEPF2me(d)|X-w)5utC1)q8ThHygl8OS=@rOByI=9Lx85Q2=lL38 z&B$^l9)*z=stzWs{LD%gMf(#s?~seGcv%*okn^>8khfL(s*Y;BEU(Y?YxiaDeoAQt ziI$(MV!i1-^to#pIjiF(h@X+-y}2OgL(Ssi00due0|4^y>UB#5BBp+2VIb)0CWlMdoujhnXAgw&T8|@b>i3d!!*wc6rW)SIhd4LN+u6L<@XeIbh zAmI#An*Rbhjq? zEl0A3Jtypyb(ZlHBMrI0bgnNLD1Y%F*GSz*V~J4Jav~GCm;m)UQIb3qR1Z*=ta^+!H06G}7#Z zO4)@*i{DrI$V$5S43p6DwXL&Xr#z=;CTlv4bwmKtHKy=ls*{ z{epH?gWQXtVgs7CBK!R|ybdAr@wK3yg=O%spyw_Q3wS%Bg_S2V6#&7=1o51AO5Y1hfTgNoNkuxm@|#prhYohwMt`{Wk_`kw~`?on&|i#Ghi1I$3& zM`Tt67bc>)>+BqYdUT`v5E^Usv|%{J{C5Y$@%JD^_qPco^mjkRA@$`Gx$5`&PWI}F5 zF8e-9F0p)B8qVm?ku>+jXpH)R<-150nzL6|>fRN`Of=d>&?(NbkBS%?Slc6=0i|ZExthx$9xx~Ou)tY@^Y&(X$ zuLzU->+-30Bf+s-)a;s86dYIQDpLwh`|i;-3$=eh_eS5z^Ud6!E^9BZLpXZcRol3XJ6xG~lXXF#mk+5L+)8!wK@crL|B#g|=|8_1i~wih}K>S3;5(qk$6p(F`x zPLH#>6-%O1Yz?nI$CUN<71Z&sdCdxO6z_?B?%|A`4v6j>zcV{o2^|B}0gL(rZB{%W`4j`_0;yB@p^No*fjtnu(_Bv@*0*a;#Vuj2p(K#jJ&-g*3vX0)n zlOQpTPT}r6NV1>N5$^OzOf$=ScPS)U)0;?lYUJxpedr#M`SS1}TR)z8o<1@?F_i5o zV-O4EO4*c)x_%E28MpDf-Z7%WET=))?;eEvXqRY)9e~2_?%YLmvORrh$_i0eqN9nv z*yKfO#dr|Th(OiWqnOqMm?4fwi3BrVP*@)rAL1DXXP|A+8uck#I{<^;t?C%bbtZ@ zL{vD9cq*N;BVB2CA}{D6KjI`vW{9BN4-cpjNy?@r0hUnfJLY@$gOyK7k=rmcN`y8W z+!6zZS`*{8(H2%dN(wp3 zp%=a@gEZ7u&hehdGfy!rV{0Zw^c1lz$tn<<*BWvvok}A!)0&77mTYbY+f2wekrjau z4rCjiIUISPt(g#!_8HpGY#ULbyJiOsB|=PordIZ&hwO1ElFUr8xmh*|BHQo*^O(^e z%6`<4J?+lJ-a|p849w;Z;y`Iqq?`}gMq-{``T}}`hZr>2++bCn6ePO|VTD*1EI-;h zCxyu8FA>fVLVc6o%Wa-BKw9k?BX+S$lVa=Q$S{2KgD(qg!FLhP_9@DztWf#KmMoAY zrAZz?CL~K_g{M0!^oAZXWCCoGB5ai>t5qBfJ2aI}L%R8(!a8h^^}X1T5lWNo988{H zouHKE0rw%l*&dsf$s<*iBnu6#p`>h&tw`6&^~jOYCKkk^u_U76R6T8DWC$AAt6fTz`M@>Ur-D_<0%kT<*q)i#g5x%no)T4Zg5Hg`$U!$;g-OBBl&+*OoPOPowXSxjfXv zGhD=+719hazS4OT>F(S)!lsQslM~&lG>Hdkew+t-93fCZb6H1&JT120Esk&p_L67N@HPANm(9Jw{bgQPg6q3U9fbj= ze0GGQf76~vx+jMqJz76&0dFRjjKH5L6u4Rz)kVd;HJ4Nq-Xh&xd?I=bd}j{ho8r zz4tjdA2jV;kgrc?#hzwaNprV;W}MyL^B=N`(^tkekDpl9|l3re%J~pefBQse4y_-Jz(G^b^HzQ(~K+@9lT$``IUjR^3f|n(2~e6)W!K z?(=mq=RORJZJzmKzr?Zq?waJiHJ;dcGjntEOvA^8FT@f7;t18y7+Fj*k@I+nZ#eOoY%1iG_ag~?hli|@wI@Zy8WMkHfN15Mc zv8xV{PyC}NuS?6CFvewst={!Dud>Z-^zF`+*}4AJb8N(wgW_rb>Well{qrv#_wWDw!REkUTq~bO zGDF(BNhavHmGnp@>G-_ge=m8aMSJo-81eDeLX z(p<~OW3QU!6RM&7hc8bTR(gk8d}{r<_2=t9H*H-IJU4hj=EAQR&U-TJiQ-8j9ZxIh zMcZPRpX-0R|IM0TYMN_WYZ_`=YA)7XsJZmbCAa z{ppEkE2IwLEls!k+@9C^xCPyk>OZw_@oqVIEAduh%c<(Shd zwr-djk+hIX*k8YYV(n3ps{j2-0~2i3`#o!qvTBqi(M@yrJ?M8M**3F%&8ZoyJue`a z<;v>QF7K^t&Tz-V{{nNC=X}Ua9z!UE)lUsXef8!9e`oWp?bb8rLM53Y_3f{dUk|E% zKeKJ^v6^#VXH8$|(>3GtboZ`-NtSbuIxGB&yIA8ECVY@`KD}UVxlnN2F!^=gF45`s z;;P(hgEsl;FNt`N5ZC6p=J=J`+ZH2kO8!td?q4ol}5_F#;TN2jQ-dcqkrS=^xs14&3)mgeofw$v<-P9>IhWYb5{xy890$P=m;5OG3P-tt+%;1G$A@`E?4Y!`@Q^%G-;$SyMFWfQ8PAS9v!c;F7@(4Lp6^^b>pKIXo2m}uJe^#)PKqEG8eC!g}m43|4_M@ok}Yz}i- z6D5+erPfj-si?w6o~~q*Lds2gMmiyEefaRuAF~SAM+qyevzvIQ+0FWGnniEK!B6e7Vwsw50}07l-|xby-+J%aX9tUNNN^lmE|K)SgDhg6e**^q;;!&tQBVJXk{|_ zfO3}9t@oi6Jaq)QiP|lR99Fu{$5vsaj#S2whpA3U^RUuvAA5zKI#UjKbQ3 z6=HRYQb@W}lcf8?qSsW36t?PRN^|m{?4ea(r)}fbFE9_i?5DiM z?UJ+&tId89tj=5k#cSsf6n1nn(QMF=2bD_MkjJQ(l7~ZFRz;E5`%B{ogEPK@qYeSP z;Z&HcJk)>V3k$U|`Irg`t5v@6Rz4x;pID%;-@oB+b2W#YUu$AM;A74WW7&7R1|Q?9 zUu2)F73POhY}w{emyO)D+}tQVsfASU`rLbyGSi?qmqA9Za<@7h37x<3vPFe#&&9L* zy~uXJ|L?)S=U%o~aMe+OviEnb4sF)CKGfjqbZd3IGMxcVwoe{i@O4D8yRE`kJxTeD zJkEXP0F7dOl0iq>giX;^tgJh7f2JF}hb9m*wEh68W`2Ao`%doDX_C2g@v*s|ROd3T zeemA&cFWtEb03@%o_+P)p>t`fvyGq-##3|ea}INF zNcM$B=T`9`w{FUR#*up|XNO3+)tbUQAG-=aIjw9Z)2MOWcQUh3t9;{K3UWyD64I{h zeAxy5bGA@};Ph`Da-UqdXm|-o|q(Nq4eJqwT*i50%DuYmN3^8*zWGJK< zWhPeHjxZZ+fCu35ff1&{Cz$Pw#niJSW>eNc zPaI#3kC_KJ?=-w=)topDr9R5Z(oo^YIN2Hs{N9^~?HzIC=GtLzV1-sqAHr=Rae4wG zxgSliNWdiSPsW&fG@bicn?I7ktJBy6U9l=}G0#);7|Vjk>*>7K9=aD+@~&yX zhH%UKVEn+!EsY59Y*qdTd3;>nn&{^p831lqQYXlwO@co2Z005Za1*!3vF)j|~EaCP+n-z*qwW zPM-3D;IJlAY+(Eq!6)q~1AFof!M8o;;oc^ArI`nwR`5N+49zIURP{)3S2M~yqM?2e z-|0UDNg5G=(@r(vxxiN=!c0N+!%IOE9#uiO6Ep>aYxmA4L8fNX5S6Q@CSidoDU2GP zn@6DVeUC`PLxoN~B3(aBIIaicuZ9a>aO=keu%`~Q%1gPlk!ZbbH z`KSIP607!oE1Y0}A48oig(|C^LP;QQ>w$NKHkzhC<&jWrk9qcnqQ7b|#jg_$3&0du zDb#EUU2jA(tZ`Ie>FR_B!DikhOkG-T`G(6-2o!8ooq3i9LOk%S!csm34}iWnJK}?- zD&m8c8Fq-kp|xr;Ef)A-+1v=R+i5Hd17g(`3+un5zC3o!v}XHaxvpaC7zrK#w^G%@ z!`6T|=wyu(rVf&7y~3LcyYaF)`DCrcpJE7JPYnsPiN;=s7mL z$CEc!DKhA3>3)xK8VL-0>W2OMG~JN)!41FAkRFVS;WtBiI410k=uk||GNMOeqS{D1 z^wEeOggJwZwK7@%5@-J>e z{_(TNvk2m#F+te&6eX^{WT7UOft_bmgr2^D(45B@^9J`$7Svl1#FSwK0UmmMl3uY; zkr{1+k55%*G_AkL5M4B*9VCq2pZA}+l!5ds`09rBrx}Ver=?hmRcv@57J|v534+(d zHbI;*M@8ne1WWk_e~iyCB#2R#1OZU=_{lloZ2|M;T}O`uQ4UedzdANRdNF&J~ho7CCX@_l#!kArfT`= z27>T^X-J54WHV%j=wz#*CA#NFo8dIJf+N+MqsE(qYv0nS7cs6B15Pkq7 z{Pg$~&LPnTTN4{(&(p@{#e>-XOdwGtkM_XYFC<0tPO}L@U)H-S62Bo)8IN|uV&1D= z&o2Zq%AO!3JzNmPiq#~l4=|BpCs;PkZOw4=NP<{1Uyp#K_4xG9BT+v-?P$WFg0BH+ zCZ86v*54x05t4i-kEg!~n~*G}n}vvoy^czM8<9 zk#ZPeH5QtppDiKrz!{e}c2Dg#BZ8O@C8^srWF@93d6bzQ;u=9WVq`-yesqkBC5T_7 z`n~Ghq}&umSm)w@GgFacFrK|p3}&Csu)jh(nn zSaC54THYY2M%{o`*P0CsChKNBi=!6-XCZ-+m-m@Aw`h*yLE2cG@TRp?@vq9}* zS|)+rY24>+tgFe;A-{okBhW$gtUZ!g(+;kTR6+HXhepu0n9ioVnwQGViP^K}dBYb& zij7{pzu{#%81(=Ub(eL68`@<}+dDHxHzhBx%RU5a>vL~R(!DfBQGp*cBSto+u>E5< zB)tkO>h{gRd*(<&)Akss-Q{DD{Wga_s*me%6Qf`5Zq3RoVoA$nE(+`r7x zPMXH&8FY>YJmnwEQQ;8_T4%*EXj3)-mfWkY*o_+x>=O5s_c`tugzkv$hEQvnIy zIpu>!62yU9M6U|qSXmJ93ubx4*DEewk($~|6?HI%H_Wm?pKWNFD`U)@$D z-F#Th{+}_N4-Cujw6^_Ckh+H8-u06)&w`nq5Z$%snCR?{Y#=0KIPHW_eGM;%A>AE+F2 zjO@pPVfU%Mj}70%b5N25jtz|c0>+3zE(h(G(2lsJWkt4stbwiUSExn!>G65LiGxN& za&0wR8KZ3{1?~6SIVea4I@gWYP9cVWvCIvPIb!YVs6GUJRivW{7K9f`&V)h~h`Yfa^ap05x zTy=ASGeP(s?cF&xea%H3@cIA^bc8O+bbL3HpI??kY3x4Id%=YianVBuSa8ga!InWh zb}BA%k7U@`s&~F+9}?%mmM%P`Z?0$Hi3bV7O2=@?JPNU#X?%S{?#`fSnoFS(&f4v7dtgc0d`N5^V6M9is|qOe zDV%48_Bm@S=s2T2y#{8Oz_UiR*4kY$CGd8C7EJ0j6t=E!zd8y@`p`}|#9zk`K7Iri zyd#L|W?^Lmh359r0-VzaoGfmn(2sqzv%SP{;?7g(P!>;w&be#d<{y#m-3<1c{)46d zOZfW(E^xMD(2H>a?eu)F`W zA_U8M|4aLVxVS~=F9%wLqa5f4Rtze5DB4YHcLl>)wF~S{=UWf#wQr1buc#`!4q4a> zaOh?sX8#u-P+Cb}DvRw778f7pAs2ToT4Nc`?OGm6bk|l^(vak>FQJ!uzyi`u8&S_g zN8D+t8#6_##?)yfxQ~IIR=4GTb>@qER3sOO&~|^?3@-;6)i-45PxC~W+|LdbhP*?T*jc#((Jd1%qv>j5)yxynQ5{^fKroU^ZZ$jp;= zk}#(BU5vYI3H~D?aG_4hA4t(yPq?=*QjWvja(Eyy7pCT*BNgn#N4f2M8J-cg$hO{m zpdNy||DWJ?xS@w&5$Bfe1(AI3%||aD^F=7cOS`^C0bBo}FZ$Yx?t`tF#=Z$i0nZB{ zr@HZZ4nT*zwDF~`D?4=7i6EN)Q@Hno_{irUK8?8W;thMY_Xf|;XYo<6w{}0jkZn2H z4KRrL4+hQa_-NI?V%0nUo^K8!(*OjxBK7zLA(o!6giR;gTQE-`f4qx5n5qX)96n(V~as#7y_X;0*=JcFVhT)%E z4#SLYK%wgH+X*-Ls4ib%i)W7^mh=M?3tRapq@Om8yg-p~AQXiZib8h@?O~y{{j{^# z!{|Aa0;bgnQF}j{k85D*yjHdl0Ez?Pr9EILh*Ktr>q~p%>3Q2f@7n|cieQ!MR*H-x zK*>!2`TrVc@eZp28^B^4Sk#5WlP^HaF9^h6CUe0TO7eph`rTI>rTJID5ZNKOue7xs z#V~%8p+&&J0q>o$RyF|48-jV=P&(nd15!BPYlFVpd;|i%35e?Mgt49ibl{P|8d)$e z9H2&!tsl6U+7}J<(*k;L@aa($C=UZSx-Q=IN2~p`E^-c~A1Vh49;_bSh8{UkfVRFA z(3*D|jEm>sg5?t`Kr7z}Y`!e#iq!oB#zW?UAalAVvcu5C{U|y`oh(52`fKlV<^#aU^(+B$hhOD}Av&#i?X3^-=fcv^o#oSP z0a`pjn~^d`vF}C!${Z#Xp^F2wptUjV9fbn)Y`D-0uQwd4)F0RnjtWp;__K#Ed-$zI z=c3+2Dn@X79|KZb1gN11OfX#=o7l&gID8KZwG2!!S;FB4W;?O}{}rZwC_vl*I0i76 zyD=%($2kF{?l3Fe>+Qr&0h$y5=MqM2Nz8Kiy}fV??ji_?smJH+p8`}70582j3^fEm zX?%1-cLFqb-Yo;+*~Syu4%E6cS)XH~2%|B9fE^R> zj6lHdMz{!N1j2cT;e5w%^cRWH$w2L#?l7F9(;{>i-Yw&o4_MG62-tbQiO|R(+RcT* zD0Ss_1NIbxn0ZvM*DdqlA0lG*oH+RRwy41i8VMtvadGZ%_wDqEpo>0NX-aaLNJaa$;O55xo?7z{Cu+GK@dg5m8Q!&$~~mQ50)(qO1h zhI4}9Qbjkrb_`onc#B(p;Q4default(compile)\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Full\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":42,"end":43}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chisel-iotesters\",\"revision\":\"1.4.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chiseltest\",\"revision\":\"0.2.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"}} \ No newline at end of file +{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.10\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":2531},"type":"LinePosition"},"{\"organization\":\"org.scalamacros\",\"name\":\"paradise\",\"revision\":\"2.1.0\",\"configurations\":\"plugin->default(compile)\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Full\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":40,"end":41}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chisel-iotesters\",\"revision\":\"1.4.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":48,"end":50}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chiseltest\",\"revision\":\"0.2.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/design/build.sbt","range":{"$fields":["start","end"],"start":48,"end":50}},"type":"RangePosition"}} \ No newline at end of file diff --git a/design/target/streams/compile/_global/_global/compileSourceFileInputs/previous b/design/target/streams/compile/_global/_global/compileSourceFileInputs/previous index 2249a3fe..a265aefb 100644 --- a/design/target/streams/compile/_global/_global/compileSourceFileInputs/previous +++ b/design/target/streams/compile/_global/_global/compileSourceFileInputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/mem.scala","e9418660ac1519eea2058bbd87d585d5d47343f0"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/quasar.scala","a6e350adebfb964e096f83b6f340cd22ecc2218d"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dma_ctrl.scala","2594f33928c43ca21ecae10a21afad6532b8b538"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/quasar_wrapper.scala","d622bea24629292ee875d0cdff1791fc918192c2"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/pic_ctrl.scala","9f1ef5812078ca9830ad6ffdc6161475ee1f808d"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_ifc_ctl.scala","58feaf508d092ed1910a9d0dfcf34bdd11f3049b"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_aln_ctl.scala","c37fe97894075254205a512d25d660b5f15788c0"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_bp_ctl.scala","474eec82140152f20417b6c1e4404d409f7f4a9b"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_mem_ctl.scala","3412fde2bbdab1f08a2e0a3a9850fe83387aa02f"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_compress_ctl.scala","3e2056149b7e8a89ba0809ff0082c71e3601820a"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu.scala","bd1d2820b1ce1701dffc6deea3a4718a54cdccd7"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_bus_intf.scala","7774491efcdfee647906ae17875a8ca9a4e637f1"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_trigger.scala","e7f2ac077dddb18205983382b69216c94df83e9f"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu.scala","e58a2fe2fee2677843009cccb6161c940037f996"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_ecc.scala","b711e9f06be192b7ec6b1b2288692a94cdd80466"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_stbuf.scala","06b7b5e9d73d155d45733fecf2a25e1491c42ef8"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_dccm_ctl.scala","5168463f9c0e776c36750656998fc360fd269ab2"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_lsc_ctl.scala","191bf9cc863939ecf0cab24cdceca1b9b64223d4"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_bus_buffer.scala","39c80c21490c75b51eb65d08abc5a5d65729021f"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_addrcheck.scala","3c84af93ccb882d99b74852439d20c3b189dd518"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_clkdomain.scala","d7ca34e7d329d7eef0e2506c7a07d6911f2226bf"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu.scala","e1fc1e1167146d3e1fe7798fb3598384848ea521"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu_mul_ctl.scala","fd528764c26575f995645a109d8b55799591874c"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu_div_ctl.scala","dc91c0c780fed1ff374122dea516b6252fca923f"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu_alu_ctl.scala","369f3ac01e07eb8a2ec97049e878647a148eefef"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dbg/dbg.scala","000ac44e7f30f2beb5febc19c7916edd24afffc5"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/param.scala","b9357c4b290ddf5f9ad4f6948e2ae098feaf53a7"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/axi4_to_ahb.scala","a0f8764410367a2ea344a3e90ed394351e14ab00"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/lib.scala","cba1371cade8c2d7e697a800be2387a7e5519d54"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/ahb_to_axi4.scala","c19d86c71a650a13cd42769f6ada9cd370ffdb7e"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dmi/dmi_wrapper.scala","619171c0b49373f3aaf18f92aa659d8c05acbdfa"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/include/bundle.scala","3e759c46cae7c883f50d10a62c53eb3c5864cfbb"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_trigger.scala","cb83a19d8b65a41e5dc8a61e2b4198c362c2d912"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_decode_ctl.scala","cb54784303a8451eea6df9648ea012ad0f2b317e"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec.scala","b84f82ee6dd9d53f53025e38f2f447da16e91caa"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_ib_ctl.scala","76e2bf13097343ace8beed76c0d1bf730db133ed"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_dec_ctl.scala","e1f606df5c404d0d829d3a680836da2d31e9197e"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_tlu_ctl.scala","339c13fe7403c4900a13674ef96642d373f0a2c7"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_gpr_ctl.scala","ef85566d41d8ca0d3781ab005c86097221e11c28"]],"lastModifiedTimes":[]}}] \ No newline at end of file +["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/mem.scala","e9418660ac1519eea2058bbd87d585d5d47343f0"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/quasar.scala","a6e350adebfb964e096f83b6f340cd22ecc2218d"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dma_ctrl.scala","2594f33928c43ca21ecae10a21afad6532b8b538"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/quasar_wrapper.scala","d622bea24629292ee875d0cdff1791fc918192c2"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/pic_ctrl.scala","9f1ef5812078ca9830ad6ffdc6161475ee1f808d"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_ifc_ctl.scala","58feaf508d092ed1910a9d0dfcf34bdd11f3049b"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_aln_ctl.scala","c37fe97894075254205a512d25d660b5f15788c0"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_bp_ctl.scala","474eec82140152f20417b6c1e4404d409f7f4a9b"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_mem_ctl.scala","3412fde2bbdab1f08a2e0a3a9850fe83387aa02f"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu_compress_ctl.scala","3e2056149b7e8a89ba0809ff0082c71e3601820a"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/ifu/ifu.scala","bd1d2820b1ce1701dffc6deea3a4718a54cdccd7"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_bus_intf.scala","7774491efcdfee647906ae17875a8ca9a4e637f1"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_trigger.scala","e7f2ac077dddb18205983382b69216c94df83e9f"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu.scala","e58a2fe2fee2677843009cccb6161c940037f996"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_ecc.scala","613058cf66cfd339127f5dd0296d4b61690699b1"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_stbuf.scala","788edd51a7b659114471993b7cdd4035f5592555"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_dccm_ctl.scala","d0542894d9de892c076c8def607632d860d05373"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_lsc_ctl.scala","b4eaefd7c06c02f13441cc0465ab8c8c723080df"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_bus_buffer.scala","39c80c21490c75b51eb65d08abc5a5d65729021f"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_addrcheck.scala","3c84af93ccb882d99b74852439d20c3b189dd518"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lsu/lsu_clkdomain.scala","d7ca34e7d329d7eef0e2506c7a07d6911f2226bf"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu.scala","e1fc1e1167146d3e1fe7798fb3598384848ea521"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu_mul_ctl.scala","fd528764c26575f995645a109d8b55799591874c"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu_div_ctl.scala","dc91c0c780fed1ff374122dea516b6252fca923f"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/exu/exu_alu_ctl.scala","369f3ac01e07eb8a2ec97049e878647a148eefef"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dbg/dbg.scala","000ac44e7f30f2beb5febc19c7916edd24afffc5"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/param.scala","b9357c4b290ddf5f9ad4f6948e2ae098feaf53a7"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/axi4_to_ahb.scala","a0f8764410367a2ea344a3e90ed394351e14ab00"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/lib.scala","cba1371cade8c2d7e697a800be2387a7e5519d54"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/lib/ahb_to_axi4.scala","c19d86c71a650a13cd42769f6ada9cd370ffdb7e"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dmi/dmi_wrapper.scala","619171c0b49373f3aaf18f92aa659d8c05acbdfa"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/include/bundle.scala","3e759c46cae7c883f50d10a62c53eb3c5864cfbb"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_trigger.scala","cb83a19d8b65a41e5dc8a61e2b4198c362c2d912"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_decode_ctl.scala","cb54784303a8451eea6df9648ea012ad0f2b317e"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec.scala","b84f82ee6dd9d53f53025e38f2f447da16e91caa"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_ib_ctl.scala","76e2bf13097343ace8beed76c0d1bf730db133ed"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_dec_ctl.scala","e1f606df5c404d0d829d3a680836da2d31e9197e"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_tlu_ctl.scala","339c13fe7403c4900a13674ef96642d373f0a2c7"],["/home/waleedbinehsan/Desktop/Quasar/design/src/main/scala/dec/dec_gpr_ctl.scala","ef85566d41d8ca0d3781ab005c86097221e11c28"]],"lastModifiedTimes":[]}}] \ No newline at end of file diff --git a/design/target/streams/compile/compile/_global/streams/out b/design/target/streams/compile/compile/_global/streams/out index a385905e..af85519f 100644 --- a/design/target/streams/compile/compile/_global/streams/out +++ b/design/target/streams/compile/compile/_global/streams/out @@ -2,5 +2,5 @@ [warn] It would fail on the following inputs: (0, _), (1, _), (??, _), (_, 0), (_, 1), (_, ??), (_, _) [warn]  (ICACHE_WAYPACK, ICACHE_ECC) match{ [warn]  ^ -[warn] there were 3720 feature warnings; re-run with -feature for details +[warn] there were 3719 feature warnings; re-run with -feature for details [warn] two warnings found diff --git a/design/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip b/design/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip index de7851cbab279786342066bb917945c2fae7bd28..5736bb16fe703e3d9b0194c41f1cdcfc6445091d 100644 GIT binary patch delta 258879 zcmV)BK*PVws1wkt6Ae&H0|XQR2nYxOCvs4c4I_V$WZ6|1NOcP&J^f3cky@i)s}XGD z>CVjh(~=z3)is*3`bSmGjPSNezkKgyRnFvZGPAn6S*R=UWofI^&ko0N|MInL{NiLVy!;JE z@i-n`PP1|6pnrK1j}EfQ<#7^sW#}uHy)3(@#RiG=^mxo<<_V<=^izQFHV}1 zOX|bLS1!K%72p0#-}W2-_oZkMwMtnnyLW$t|21P+Dw%XIT!{OF{)M_&@Hc->EqD<9 z*;0>}_Tpxzors10@N;URPIRZ#g?dNb91y>|Tp)HkE&T7o=x&xI&8(jc(yY0SljL zC=IdhFMmd@`(pG>rQ)yCA5Sy_FNnpyRb%kaM|-6KbZc*SELQljpH(XyM*nF!G%`NI z|C(u%^bluarCR1&;QKa+MgeSbVRu+?))W@nK66Ni{6iX@lhG#bTk~*OcFmzOh`&Zyhu{tvoB2 zy$;$OtzllEm$pv(=yESplD63yh;=^ok1IJ(CuzcRl9|dCf!4%G+wJT^UZ{VUEdoc` zWLzWyv*;sbN~G3NKka5>nIHI4sj64fcIv8LqVBR*0us2~>c+{7t-(Ey9)AA|rCLX< zJ;2}$h`T@fwNi;30C#!ZYK|xIBy;HDM}MSLlid>e_S{%I;rKKJ$iIc+&1a9l- zAa}gKTCN22PyMP|Y8-@aRFH3(9i;(yvJ}AU9|B;MvGFgID!_>(@=?jM!v+|IDKH~S zra2CP<^!K)i-fq_M>9e!^shfxx^=#_x9cjUPgfIBwMhy2_+Qz?pu)syJdwV@PgWBj zD~^W$=%-7)Q9yRp!H^4XnBot=P-@IJoV=3Pv}Jq0>1=<0pbC}i@>fMc7)&~W62pb zu4^pT`PF|UU#@>fgMh#dnxkbC#Bh|Qoutt1tL8pm zo~z_!HD8hB6-ZeSWYQZ?T1V{w2Y>PlrRRQHiIJBSWdcxKt4gKy?bRe@PCx}$opvPk z*5GIo&Z#ObqNXWBMmEZic&fZl_Y^-;8Oyq&=s%RpvNpUh>4p(gy7dBT$%%OW-seh9 zi)4S%&^j8!f9-ZK`1G6qq?(ANrts>04-962K+5|bWzhxSh6G^u+0Rs$p3u3Q*G^?g zhC**6j`mBF-otnlJ4xErh;3~Rc_G}-mP~6}=>;g@uYR_&#Laxd-0zo51>~)X1^)Oa zs=09idagK7>jl~K!S%9TicUM1R$FrVgj9b=Ai+_9OR@dAQX{D$w=)ok`^}OI1;P=) zeB`gp6hvEWQDJJ9Rq+okM_FAsIzA22NZG`#8KT&I9;il5uOF2e>tqZTQvxXp2T^UiMBE4(Zqn>RK?nkYi<>6a?>xV*dJPnYYP5Ym4n>^@s+Y{V}a4VUG8s*(g>BcSrqsS2aejhcP2 zTutIh3|Hj-(a)4_T41KKYvod1Z&}H&oH(2hj#QFVhvelbor~lT1hT!z7BtxTJV&8 zSIemk%eC;TY_c;h=u07vIhLmjs%AfVNr>S|Bo$y0S_FQ5CPe~5ee{)Ol}ss&Us7-bw+l}_}@>&|#SF??J636H6MbDgKVJ_YhD>qg)mfJvZjrC-CDTy2P zEQvFq{6;-)$LW9S%2J~RXJ1-B_iYO&x&SQTJE!7PY-1?{fwNi%_FBu<}|}IZ2ZwT|3>voaiAI&Q{jr zMmvN5&l;<1YwOEPwc19KBq(L-3CRB%sDh1+)zi_MsfiUUZ?x-Kdu6@esI9Fh@y7a6 z4JZtxdV(u2udQTRy}b_d_jE^Q16dxq-cCS()mPW*%d6=U{F$w-ZLGIjODnL8^=0_K zEM95U&wYO^y7}M>d-=Lju&p+LwBp9{YGVWbFU!`~R?=DmD6a#VwG)sk^^Hc-IQQ{| z==SM_tH~mlTd09f2kj2>C0mEJYHO>>dYZLY;U7y4(7ZMH#?so-DHV)Y-6nCc)^5bG z>{^;KMQU|$S-`H4cttDx?Tw7Xc zudHk|R#sNdjiapxUmmNvB+xgO>+4{@#Yugoz5&7oz`ovUrR!_y%KA#Wk}j_;Eibie zB@us~aT(>g*VdMoH-Pbh+(E%DuYn~48fFbxAVw(=FK4SDa87C2__a$?wSW=11e90L zYHL{wWM7tStbrj1Ot=o)iR-}pSvzZ&WZBqTJGqBs9rVb?5=hzg^+pPo+}iT`N@ICx zBW=`@CE$k*q>c4*xR3p5scn|CIBSD}1?GQLt9oOak|`I-2k*V;;goz&Hkl$tsKvSb8{s2LC~~QIBF}6TlIDgX|R6= zdVXVl1!NIQ!L>LBtej5$c!e;M1y^Bhz4?gVIx_uB@Hm~KnAb0z@Y$2NC6hD1UyZ!G}iz>je7gs-RSAl>R`vQ zS)_lh#j9ZGEUl(-BVDS4ZNCAI?dc3Xs*!hC4&3N9a2r7519Poqs~cq%`TPFiU@E=lqQ^bb&eeF-d1(DDgTKL$5e*OoJY z!s;@hA2tQo-fw+l^nGW_53qf1p~|AAhjAAkef(_s zVZR?g^DuG+tL#$rFsq$QqD(jNSvqApxvvl7iY@w%Gv$V3KeJF(;a`8%Ey$xkI2DD2 zgTsIAOr=#@6?fXKa_&U*gJ-IUg#XGywJjhYPNRfVN~>^;9;DSn=yY6Vchu;Q7RtLh z__33j?Q>RpRMfLI`VR{wUS0g7g-ZI_RazDneez62Hz;3Ncxc@~D{lZA{lZt4cf<3) zy{w8BqE!~0RM}6vvIc*~W##bsT@ouCqf+9QoigX>|2dU_ckc;*s$}cjBZmsoaZh=C z_qMXM;|KeER#8cRN+svrnSzq5vJY(~&5ut>0PfxGPnBihI60G54!w&$@v_oykiT@c z!hTX!RE<}XZT%32F+P;}i_s69so;wL^JR&O@VL~6(lYCmE@OW<^`Nu>SFmsI!x)NI zk|*sZM3e@WU_sNvn2i;E*O{`@G=5>B`o2rm6}d*g`?7KpM}F{S6;>veWOwIU7MA#4 zr=59)eU~epeOXbb-jcMJmnQ_;>tWP+R9YWXl9+b$mr4(K((5Y?cslK)OA3x&%Q-UR&gjO0WP3_rVWy_-IlM7|0#ywX}Kd^?)edBPnDgr&$_R%lhLx3=f222_g3@3FJf!ihWu>j<*Qo?XmH2N4{^R@o zWX$c?PHD~*CeDL7^Kd#DRorhS`rT7y4TqEZzw2z&DJe@QG^5N@03|72?<8g3XiXcn z_AmygM*n}u%gZmE_~DmV*|o0nrh;Wr~&oz4|eE zRS$Ql0REkJHF8=GE39+^Kn2r&+za^XrleLeCfW{PDw$YNGg^#RJ4Lf>T1-TqR;4}j zOD2EDPJc}Dr5Yp9=}2DrbnS|Vjg{reGHeFGO-bCV&=~QjT$Xg}V${dO(BUW+thG}v zd@T7_vUO)HV%0OxsVaIeG;i7S5%0y-nQs~_3= zbk3~aYKM%L{r1k?ifP>jjY|$*whpS&tg0x!T2s3+GS}jz8mAb$!Bpp<<;GK%9&7b1 z;F5i`EFmj(RE?RcZjX#9Q!>?niEX1-9XkJ7N7(_tyxOY$+69xW_|+f6>kQrTGg#MyGY zUp~grqs2$zrkszlR5q6W-q|X8c|OL|qs2|(wxW-*R5q4==xljiP9I~ZZ0!7vvn6(7 zeT<&6(ewAuR@5f;F{&OhIp_4MeT;vdva$0IPK6z}73;q`Qwnq*t=ZC#60%OmN^axW z$E@^dnG9ZE+sEj6w3x?jy89SAWn<@Wm){fkpPl`(Bk7}+tl#d8Mw6~yzOI%RPoAp( zNhOiLd$xk6z>hik(TYBWI}|_0(xZi?aKq%sSSlM!KYX_A{>_hZR5p%&;B0?6Eu|l0 z=Fv15ygtm2(e!AlBDX2@V=O(Im%o0G>c@C`w74nUF8eW-9xW_|yLUgvQrTGgo>H5M zUn>JVi~s0l<+l$1S6}`}+2f0&Dhc`ToGrb>`eSKRHj;kiY$bi)A0w)4ME&5|(i+e| zMo#ILRRs;~e|_Ok8kA0%N#K9pUQf9Kh8HX7e~=Jp*t#~nP! z9p@Z(VE1?OQNUM4uT&2&?er(-e&kH_m*`w^D?RES#yvP({NgC?eOZ6#@B0^`27P{M z``)nINjj6QL2uaUX19iu&Y(ZO;QqO#njXBHe>04e7vqEMLVGmm!TI(F*E^GJ6nCRH z@Hckj;~Q#(NA%9ZA3fhmCx_9i`16}by>ovZ{J1*^r#mkGPYC=y0sQDS`kz+^gKif0 z`On*%qF3oZH%EV?_+bo;{H-sKnK zyYW-&PYs4y|Aq9$r{Z3^x_tSCQKr5yny8H|Mz6=OUFydDgG;wsFJ#H&+|MjTAJb<{ zvu^i}#{V70|DC(_I|~1IJpA9$_`d`Azr*;ylZ@}=@O($%IsboL;D2c*R`Q5E_k8sA znuNc3a2Uf8PZkw_Tl9njcmVj|cyl}+BI^2?7{tq%^J$k2KE2PLQlBXhy*GLf zBi4N9((NpJ@%G@wY+wC-0j14fD8%!_NdaDbOY|oF!KKZ9e=vy=hkMye=YHS}O9@{R zmLo3$qQYm6?_029LUG44@%SpvXumqRx6|*!hN9Q;=huHmox1?iXwmt>)17YjLi9F% zHlBc_xwNJJ+QWYbxi5MLKh)pczLzCO2$tP!eAJzs`}u|Fd#hEZ6_VUHas|o}niZFU z?Rs&lI4C)cPbgeo;|P~?KfDlqOCByIk@Nf__3cyeeeQ?OL?5r%5Sn9)|ILCmWqiU0 zB7+Si0n&e-LdI`!-nJD_E<6xr3k+)Vh>zpW_)^?z#p6c>gFmql{g(@+n=c+$-BDZ> z{_Lb22j2 zYekHGBB}Q0q;XSZGd{Q#{|gJz_bo`bZgV)i7EgcTJJ$#Br8`>;Uk&a@j+()9`?8iS z71}F5jcisiTuLWn{s#x*q__iBEG zU!KVu#tPQIz7Ty)4oTWx?)2M(OMB{{*8wya&V6wq`W}}*DkHU%h=Y{}iee(me3_%` zi>uQ-5c82T*>?f-WdqHlW$2K#AaB!A5)i_(K!r*+f*#zOQL zD|gf82r?90y!=hvUWEugE%-jU5PfImM*m49+#5s2irD3&VRQv|`6CO_cRdX6`_vn? z*F@#x{Imr59N$z1wswlkg!5_nBM5)q5WNmN(TNXEw@SE$KCFWNoA{udv$!qb#aZZs z^LNT^VGj7fR&ez2{JrwqZ+~^Ps32uOXztu1=-FrI536V?4SdF@GasD}PvNG>fG6w; zCO$a-=gVvA`{wB7_y_zKMf48<;rZuEY)l(Puiz)=`xSLm1=+&HEI&Q}vGRY~f-Xg` zRe!XaU@c9uCfLaMx0QYCj4dR_DyK8b&NeExeE%4v%8;V8mCG|r>f+J9eye@3szzgu{<-WuG) znr8it8(FWZQ;efm;on&7j9gR-sd(*R1gY>R2mJ0id;tT>s$K2l~yzsyiOKPkU1|2@_Yqz|Ik;sg4l*%^PFKPtVj`@PW{ z7-;%A+HJ3OADw@pl2YY2fz2qGNq=@eF0I=3iRi0HlDvkrh!zv&(4Jc}Drk>BIRDQU z$}773mIZy2g#R6YWs^3$@gzBn-oy__cfqi4W__#yjGhQRZLThZ@G>8a@As4OSUv&U z`7i~kYoFk46<8(u@6&$~L1C355P<+3eRlq%)}yx?fcT#*@+X}>&oZ@dM!Ix1gG+%&VYiGf zC24j0s!=v!pqqd5n?bMk(I`9cH!%Swq-V|&tP;%^^<-BZ@*X{DP7oL{@16z0W^e}T z4gY?<{W-t}4NI2}0Q7I>2jJ1Bog@b)r7YWtzdN+5?3a+}5teV18=`sX(Gw1G?POCy z2AVePUYx<){?g!i4=te0^l`EHC{8kZ_$GeXQo_Z5W14^E2?I}zz!SIxDW%ZyTDjv+ z-@YC3$S#(^f*NsavCta%TNSg}Es3jODIlkHbP(Db(x`brqqL*9i!~?lflDWLo5;G1 z7x#P$q2`P6y$!85ni(eZE69!|47ti~OLTN4M$;CIBa2>`%=${ua-AuPU4hXnLr7vx8IRQ2R)`T@cA4E5RpiRj0gzIBWr^|9$7!R z_`bZ6fPn@786G-kI^dym4<+eX+ftH_eJamQ)`vVdJ(8>?OcKdj;<0=u*(&m#^vEY# zZ)Jaa1ZR-}+{L%M@!VI7KQ1WZacy@+JnkceW6s4zIOZPuJDhZLJA_AwV>dE|I2L3E z|HLpItz*C#Dk-Ystk# zYECppKf3t!)4ZF-C#QX?B#wI(7r33fcqxDE5#y}{i!u2e!02C5ExuR##NyF~+?Osc za%f@k75pzrJY+OW;-N=AiGh{klNdab>?h1?$$sK7vVY$0M)uD?y|`Q$9l)yE5Rl*_ zDT<60FGZ1gc=1WcOU0W<@u$=qe5QPCP_AYi1i6}dc(GCB)GxlZ=o1Mo>uX48*{6RO z-(qi1opf14hM}L14P>;jsc<{ z;a=VAMBJ!wLNR5)+%G?%<^$XC!mFPMkYYBM28 zX|jZfO1Wl4|Zw=#rhd$d z#9j>KuL{`nvN!(hdD$lp;fpw)L-^u(j*XR4{hCnNZ!!1i!o}@yFmUlHt1aa73*oOz znxpH(z>OjrFize@E)fH{MWjF=w}?Mc4;Oe?sD}$aasVnKn+`z5b1AjhE`CGqk^B}V zJqGe1rA{>QQ>hcpL!W>8!Nc~cA0Fkt2H2e_Z7`kIa zIEJ3*_6qXd-z&)ZMp4igJ)rO>&YN{W*m<*lq$ICRt5cHK{+Y8SU6Y$0sc3;#n<`qM z|0(T3FWfBcLGL5w*--YP%w%+;wJJvKL~GR(C*($PYbWGJ&l-Q9KNAiq|ARBptD>*c zHA#yLUlF|`I=j=K;J;oSy_}M~@vpCn7M{odg)d_@RWhM3V;~s*^?Kk(5SF7(-0gg` zdeJMRmmzZSpKpv_>-I^*hi{GE7-*h`pG47Df}zyCPybdGmh{GFX>V_f-b8!5qPKpf z)9*~K(4Ig$;=h019=#>pwT(6`!JyAe)lal%x5g75HvYvMLXq~*0eOJEJ7^yDuAb{{`Y^a6Vm*fhOWXW|pw#*@J)qoZOQD|#0`c|(8nYITH0bA;!hN7u81IJs}% z0U$tCfQn2h{T?lEgmN>fCSCiw({J-Z*}fY1 zF9c|O-~;%Nw?uE)&0ad{jIvF1(s#3QHlgSE!h3Jz;=3X)S)NU5Q_5K#JQuaaG$UZG#Yw2k9yG%Op8;x@pKZZK!1)ZLj zJ6ebZLzx0>TFz<-o>d1eUb{AxPX57`900Npb`3+R-LdYeuQB! zy{L**)tblZf@MUu48Nf_0Yo>CTXoV<#--2{?k+TpkH)kLLQi`r3ywt#&>P)tcEE%j z_nVy|2{&!z0yYN}7DDN$qAf>b0IA#gf1J1A29V_YO*cKUim`MihjL{>zRO?)+u`Vnr18g zBKRK4y=z%JKI*b}HPPNx&TfWP`#l8fL|_Iiu@*}CrgDmpHPh4boPn}XY)%7cM|j@4 z1W~OyOqd=BE_lTsCzH-ykKca*pZGBXT_0-{AM2xm%3;_Yk1X909Pn`$fY#MtCfTdb z03^}vvbYz7u5K$myJs$2pC}8L)b9oeHZ|ptwcPHE^j(e6bRFPvp;xVe|0WfYB_ahy z7k9nm!fBC$Box&C!52*WlZHSZ(kW&mj3`Q#k~r~rdvFX|@VF%?57U2pTE(y`?T@Y#dn{+|y?odI` z+aW;@2(pvJ?Pj~4Bdt-YNGlbzs9%N>Mqyi^4BpcMK*skqDTH~^j_OpKgsm(h0H}t)qF+l_-d7# zrQ%ZyuKOgm(_tCIZs(wx438Ab_=tcab5Fsis?#fry{>mgZ!-{9Hv%5MJm(5^31@`a z9Wpjivo?)+L9!Pts}U_NvKfSppn-Em6zgkHgg8)q)a9kzNB9 z_AtZz#SeyM3~RK!Iihv5xlav%DIN5E;Q`Rd?jII_mRj z0wIFwkpSbOqVwbI=G7`Ku1Q9TA2A>}m|GORePu#5h**EG#^cOdMi>UwnWPJjr4FYU zm{SnwDc+`0-Ao3fk&$Q?C9O$(K%|1E)DD>gAZ}$cfv{wN&b8KH1Aaa%Ulp%c#^%Ko2`s+eukws>y)HzD~;82~wcqr11=pjliZKRTjM;`};bte^PbF4qo z)F9%oS`ODnFuUwmDJYw#t|eMyG@h{Kj%NVPtNmjQ@2Q~=7N1}{Wa_E4gsq#&6y|29 ziS4$S1BeWnp*aMhw&NS*Hp1Xhjc3^DQwV>?MLS0mF+op7Dp8akC5NPD#)I~R<)4Q8 z6}wX_yg=dVItzCPz0NrA=m6b5r-4i?NP7hUu|{~mq9gLcJg=WcKT#$8(6v%?t|*Xq zHu05d+CqktwhXC)2MuMyseq7)bl7k^9_bhbtlP2rz!G&zi3|(jP-s4Umg8M|mlc0z zD&gPSts_G*upAeSEZ8`zmZEhg`PM;bi=;ninHQUK|dARcJD~?ENjwqX- zZ|5mOo6k!CD%+&*eBcATK};xD7C!*C*=GVk(-s46P0ly(4W-o3;n-q+0SLxyp2C;leH_;V52bmp*=OHg*fhL88lE+SAoR{mh?l+%Z$_-L@^^x(+8+NV+7hnD zA+ z%hx@kBG?~lLAiY=NDI^xw_!tkFGF4`DsYSFp*|SkFD6L@2*+hr6jgr_9t)9q(oslY zX|4%}ZvmgQLHO66R?e9Fq4#8jhn7nBght^C#-Ppv=c?2K(abVc!Jt<3bfme87+kum`wem%w#t4~QxD|m10&(IBHOmLZ*^gue_e2~K zDCFw?)#m24YrD;7b_iskVy6=GjNBnu=IS&1%?~}Z+uYmvv)g|@i#LpfI#HO_mFxZN zcxXhk5X(%`uHC0^8%zv>Bm+x@6mQysB1PVH)81S6hnT==Z+)aqDPx=YFEb>Hx6MTAmcl+Ayo!i?CK+xb%eUQk(4QRUAP*zk)aW8CLW#h56i}s)R8qlpR zmCAv;i+jQf71lTr0*P?qWOB^y58Mc-(tMPR zt1Qzx37UfZRt~P^a=Xxm)W9*f{A9ouL^xq%#9nl>k&9_c70$qM_=|uEfVVIcLI;6H zrvdgO`QxWUk{o1Ra8Ce|D1K<`%l>OK)^ zesO48rk4R*eG`e%A_wi=rd;NVW1iY?pk}zo*B%;ElNeL2csW&-%{-(&!ar=xBS8E} zjNk_hEgawHisMH~TCzQOXJl>&N`0T5k|8S~^N@7{#WL_$hLTM&P-j zYqK$PkSTwjk}1wHJ`vKxD8`}TXwI%90*LJ;hb0NVe!!py9vC~MG)+PYeTl8VLgkeD z=wqLPn`A7QP?XN_u>lhx?~i_za9Zrm=h?*AT5ePkfbtaUB5=CLTKT}!ugmec(#IyG zXiZ~2Hx=V8kvd*FABldKx9if~;q4iH0Hbi}5*(4A; z%*6$z4a??NFi>bQE)pbU?B|>*bh|<)vHN1N5Re#dAQ5Q9wv||L0*mN;d+QKi*mblN zW+{KENt3-Yo68ADbnRkz*!ZpIf?bz><+;L4!dyTLuaoh<|40Xz=Seq&tqeyUbxqUm zb`B2Zb*r0osC4>)6XbWJX8_3dV3ft0xiA?{t10-`$b>^R28l+jS?Q%N!n z2k>9NwRw$5!QC<41i=1Onpie*;t9d@N8o>)tt}%lgw?Wxh#ga^9P|GYonm~{Vrc~w zoz<}g3-6BOeMkV5^np0QwnWoq6TfD!)_li)$>$DQ%d=mpWg3ygvcO&d7`TnYDDIVk%v$9Y0AMNDA^(gKsQZq)vO@pr)b6tI z?I{6Gmsx>usz!;rhhf$H0%<#ab>@Fq<)~A!85l8&mm&LhQwVy6v&-C!fn0EwioM)R zGYm}j2u)7t7G*Cg5)-*5r$Ezz6If8&x7mB6_}EcT9R3B^>%S%mh<+ZY-0$Y(W3 z(c7Rk72VUnJ2etN;d=o%u}6Q*T!o>TrX#>Y@y!J6 zJ>%w*3jWOIby_X!jx%<;PLw9DU-%UL6Vq&rw5|jEKwpeJlDhzi8Y!&QcnWauzX5encxk^F$9V>q&iGRI2db_(9 zEdhD}f^N)QrhH=&Rhxc+0;^&7fDT`}f-OmZ5Q~lQ!d?q=^pD;zFz4r@6QN3)EJ#nc zb@RoE>RQJr%<%bbU@y&7jWQ}BLmdq|g1s!!L~l|;&~VN;3YxnwK}f)tO--tO-6 zLSdVHFOVWG7Lph^p96mt2u*@lVOG2fwK*m?n>l2x3B8lQmH{%;D7&lkDd?o~s)p<| z`JSQ-`#ElPq_|QSM&`V9&!d((HdX6QPOQk)H7h(Viycazr7&whe{~ch1ao22(-$w+ zij}{p`@evVU|*`;tZj^Y?4S^H9kz4%gdwl%&l0r^dmPhO6e52LoHQb7F-}cD>Kiu* zS+d|jTLdJG(2c2)?Bn(k&Xt$ab0fA6Ol*o$DV6gx7W#PV4Vl&gq|x0hM|qFI9wnd} zs6x{!DJsRCtb#FW*#Zs26|tji$l4OAq!*80)ISC>t%ag>N*%KmJp)B;#!5h^DtYTq)bE6_8OhnE!fCk{lN~k1Tgk)Ui+J{o3)9puv;Z! zc^~swQH4I{EoN=h->eS^5@nfTk_Ldd?mFOhR_e4*IQ=_f8w#(O? z<+a;5N~N`1a65|t!~-)WRo153rdRW(bk4z2YV;DVV=9N#tS6FHm&HMU34Qvzq0#X(XUCnMksRXakMEo z813R8I$#Y(UtZgxrj7gZZclznncngd65xoho>+ei3Pq=y$T3P~OoL?hT({@?)yJ)- z<3f3t=>YHTty}zXfeqc;JCxM2B>?t5>8OtzwgM*Ap&JBuuXi+I zn?rx33gC4xV0ML$<{0Y?+g#=Vs%;b~6h#Iy>5Fh-I%F8W!?b|EVlVWa!1b-x3#9D< zVA^hABi&GMOx&+SQDzhBYQYp})|y8hIAf!h1Ag1dd+QG-Qs9^b1{Fv#;c9ri&smiD z;;o!KWkV4Uj{#6+E#bc$2eGZNl(5dXc@clk73j2DFvq@PLdGXacVtpg_#%X5po;og z&hiC>4rOZ6uQysk*M>^VT=S~Ia+z%)6PWNaz{5pp`j$WC+evU@pWoft=WBVQ>JHmP z058&)MB5pMB{}B!(h*1dw4`kiC^-f>qtZ>2m`tewXqr%nryQsV%(-O@+(}q#d&7S+ z2%6goUo0E{f$?QR0HK5weXu(H^XX2PFRz@86nO0pYU(Vdcmal50Po6C$}#3Rm(t-W zLHKE|x_RERJyg7L|LRevn?4N`Z4WJsAyOagoVbZI`wh!nuK1Vv2Rgk** z`m=kSYIV%HaNk5YW$iSp%_4l{T8Yi)cdqR}Lk9qZdW%eWSlkuDWNE!OdS{xic=r;h zV22~-zMIc#ML)G{5sq!*7=kmFg~aI8d~1eM)I!CL@M)`Wb8T%|3+4~KkNJOq?J_G8 zNtcgUZOyEJlu1q652jKj0#~3y(#d^7!Po26S$t|wz-X^2^^Q}Mrhtt&bY~%|HpWRF znx;QyW$#Hdtek#fB2danF~V4(B{4F&t=$r!kJf#Lx^RGP@KE3apS;HmnYiM%j&*2m zGGNsRTurKp1eHh23=p~A9JO()`_NJj9(#iZ|!-X6YL!}|*pr2-J( z#TUVrQq&Y}+t6o+aMY=dSftKTrW%ZEusR|~!%b|{30l&tDXw=V8U8{n;>Wp|rL;(l zPvK|8%~R&;=EU_VFix>$jpT{RPvDd}6!UH?V$FsCrtOCIn@beyc0pg2jCreg}N$!z%I&`r$`IZ@l8wX3^?se8n8I&Ury55mIKJU|s&=AJcs`?q$t zh42t*fHK4BW3>KLw5)#uwQASOB8shrI!Q4W95%S=`0-ySbckP>e6N|390zJ@k{L;< zYBtt6t2mjiq=Z10DTkWZa!#a>Gr}mGnV+aj`kZ?YLk*a^b+?6@hHk$A_SM zR%p{+UL!|!>eHh-CRArVP;II%8R6{oZ?=Wg$~aypZj<*WTEu^dfK|A}8n5Tl+4+(R zuY6rt95IxXKQeSc?G1wWnQVAu+-lf$q3_zpnPzz2!mN65v?Cktk>)Q9kI1<{^A%@o zl-8PwxK~q9%O;jnLHIn1Nq$27Hm7!}y zi=Z@c*ioO7@ic$`NnbygeyX8!+!7vNFaZ_Nu~{bybRA%BwfCW{zdwraW}~sV))88h zlW=6pstv1)i??9Pkx7YX3(+x^I2xXsh=7yCiumpprL)36_YY$Z1ntKC19r7Xx(6lv z<|9ICm@Bn+nvo$_1ZST#7j{||zSHwGm^CYtNcfJ@A;^E@fijpHzaBV=ng|v}#|bii)W^PH&6( z3;6|(1)QAL!p=;eg)dK~|6h4oaK7ySbylY40y05+&W+ARw9PP(rs3r%>`6=xkdb z&U3jaL%NEy08=POkTcB!MEx2g5GVSdm#H;hcK>)6Z<4F zy?sVe7PFOqx}3^8S4Rs`is*Ema<*ex(`I3Y@*k%)zO@;8Hsfw)#{o_u1Ns*hoH5(! zZ9Nll5e*Wm+oA?0gbGRir38L}jpPzr;?II8kHKDH#q$b>dd$ z`FH8&*1AyjVW&>qYJ;BhUFwv3QZ7c}8s$*svudY*hnZt>Kkc!c@h4Y^Yn$9P&Fj^q zSJ{3%aD8&p*%QuP#1!cq91G#bM+1?^qHvyw-g!|p%-n)QsvuX7-s(rTriy#`zThxc zw>s||{|I%ZbHXJy_)vnkD}B!S8lM?=5}h_<^XFa2(5#38hmGAd$GZ|9&|msWJ2HZb zwg1R}v4x6TlI5xIbqYXxjJy1sIx}V5DF_+guE#3#lYer*TW!Rv0q-1 zeZKTNU*|oQgE*2ptEM^>c+7_g=WyN}OX{~@ZD&gIsDm%P+AAUba#~H+QnJ;A`7%K< zgu<5B1*|Bpnafwi=cX{eJ#NODtw=V)8?Q2|4enQ$1)toW2^uf+^=l2;GNO$un>8RMw4=JqT?)hq28$bWoW_qn zX=i`pE>sTJ;`cmx!r7lHVlHi<~0b{19P?c2klhG4L{hsQ7?Z7`3 z@X1$$vMLXrfGdGbKm-`Ud1-H~`)PCHV_{|JG)nb8lN&j6^AdEKH4IptGc7 zM-=p!^f&+)St@wGOr(H2+TH%p&aImSx4A~1I^}?9>PP|u+rAa!g2-FC5ks|bFVp&V>g|svS_-E;H zf*G|=g-hU-oNgbURvY93{t4T(plp$V~G9EvI>oYIGo89lRWqMw_N5eOzxM!jQJt90_jmFw798{U0=;5D==H#cD&w<4``km_5rp*Gm z4VG`F3L>(Xnyq$z`FfK(IoZ6pa6}z59pQByVR7mgVgYem%|x2w=!d&aouD<;%-jY{ zDR+*`Il9t+Pq);9<@^k$nbRI@Ya${*vLwmTzN4Z?GcA!9f`{cQ8< zvwKa-=$kcIfws`ptl~Mh4mBvv*B0l&k7)Nof_C`(IHQhrtLp-LjZKIa#40V5 zGcyXOOgt!Zb~S^hYAjzmIBMa9zR~Pi1bBYE;UQOlJ)6mdBjexu94!U?6ps~&7~VtE zxR4t0)in5CiBp$Dxy(o%$E6C@=!V1m!RrxHm9a`N*6yHkvt1Q{vVz%_+ZzVPqzV@` z*A+qNLPaJFEl!J3=LGpeq>N=M=A7Wn#o*3i{G5nGIGKux0UfPo0$4igWn9|KTuY_R ztU&yK#LbiT@F!NJWK<>MNHk8UJ9t}c3(nMQHM8Dua^L;BN_|(Yl-i6urWHcBtuk4rtK9r}YhN;wXzGbu9~%v4hk ze5CUn@Xg_9Nmq(2Vp}zP!7b{V=IdD<# zF~1XVe3L5Z63AkTv}4KT0P*|>L>cm&0ldN%lpu@8woM0b91Bsp>?=xteVN;vG5-}| zigD>)uP%UrlhsG2YH`a-Dn6c=nYVtxqp*qwb~7-$iA{Fpv)0@Y;{}B!L{c0jJ2yNK zAXL|>9tgwjA}C~P z(tQ{CnSSL<3Nke5vGaAu^ z8qi3^Zp=bYe*_!O2vvu&R$qhC=|P2z2W^vvB3{+&jPuIf<`aj1d#)689+B+_gb;C{ z>00R>%ddpc`GO}o;-X&{jD#Zr=e90rx#E3F)MZ+m3fhTo_F{L^`0X?H8klpRzjPYc zEKp}r+BNTtDumfxBOX%U2Pcob@v~>6H=4lLVGHXOlwkW?XYoge!_k0mtmbO?aCppN z`Z--={7vgLKT)rgK!4x4(;UK@y0U&Lzk9~*hJ)Pn&rbt=XMlX6 zXbO#)e<)a*0(p13ZHW+X{2~ApG|VO4L~^qD4^;{m>Kj{saW@sh*l?+r+IvduqJ_ip zV$&rdc-6_-x8nRxYD+;f>C{{&ns(l^wFx|l&B^OSd10aua40_de88jgk?+{}7heTT z(d%4-|Ii*+rJSGtD&4W-z?P746b;QZ+gCQ%*hSS39iU&#f>LEC*jE>;iTT>Vgt9QO zcF;*iIYEDa7=#9zwd57d-7W_9JxO_XVR4foIJ(bAXk7z94r74hNbERp?V%RoGE-)_ zcSmoB-(L|x4fG0`pe^kWt4B939oT_5?ltra*e)16Z`v8kXv4W6{eA&zN{ zYE;t~z?V6nr8v>bex6=7xTHpOaWk9KNdF&yx$R=%9JZi_rhxGuALn_tW#=gVK0cHD(SwjGEGbUYI9JFv#3^q9Cz%L~rH2 z=rE+anbNOH9kKTluZ&)6^Sm$NMcxm+5?O0!Yjf+FZPgn8`ORdj@9j<9yv>SD z^)c)T_o<&&RL191`s7qoZcYe<%mSqt2@pT^fF^^HD_#9rWx65w^882{avR`a1Pq78&ZAKHZ zD}JnO(W~n3z^UyK^&|MAW~p!7-!{^JrtwdoQ1_*mxbHFMhW*SF`ThlH6d(1SOA+<; zE{&SVwIkF`<~u1CJ5gWwsWl3^o3r{p5l~rud2A;h^cFh@eY*K~Ivk+&!`tnHMQyHE z=Id`TwWm+MoFU)k$LWzsF=CpR9jI@BGrrVrgMT7=c&R)UTF&cwaLVci_<0+DID5<% zU%m;@FxK}+bB!%8HqB@ECI~sez$7?zR+WY{lYyRcF)abX%xs1wc=F6CS_8ZyFgTeS zWidzTT_S;v2O~jWGusl)>Jgw}&&^q=Uy;+L2;QK*B_47AQWGhs&6(`Q$p-!eSrsfe z>T`2@ufSohD*cDh1IZf*YRln&QQ6?Rn`$2UY)uWajqlrxWMJyTdoC0t1V%W;O9T~I zZ)m!ovZjw+kQWsz09}6Y19UK^9^HJM78zUX$f>s1tis8c<6hkD_K$jIV5%bX_SDja zd|kb$KQNXDFp2YWdMU`x@!?=Jc}at|X@GLg)+5Nmci6rnLWZXQ`+Gcpf^3d{nONCL zP39k{yd7`GeMuTKu9Q&jSza}VZ*z9}=9rYmN=`Z9;J_7nksPI@^;_|^>I_$ z2!-9W;Deq$WnhSrZxNnhlQHhVZD3zjW4QuP!j4>Si5sVTNQE?|qjnknuJ{QS>sUY7^}m~Vq}gx|7{ zjOO>=?(XyS{mtvol0XtW)bHKCy1TupVs%eOPv~x3;$4nS!6VjZUEM}vPPW@;$V~F} zhULD(j3a%eoxBMm{p!yCjm?`ox1S}Dh*^xUl7rnMF^6q`Hu4((?rT+u7vs^3|MS=8 zLlUUgGOF0@4w@sI(C<8^_q%<*=n%PxDw-73R=~^aa#DH=+Z)O~9d^u~Fc^Y&Tq1MR z1`5IxeK{_L76gmX{3@eaXq{lz%_~1yqQC`gV2btdjo+5`j(uvBggL? zn-Q8`guw@YFn1XZW_2=n?Q6yUa{>-QIsvF*#@pR)$}q?sopDVC9bKBV=8oi=H8Miy zFJI9)%&@4F<7~wN8X5QL$KkLL7W;PgiQ3YB4kBwoYwSL$Ihmc~Td=MW@8Z0wz;hw@4uAP<%PY zQ64Iz1-PhP2~fy70=;(oICWmE>j4x{awE0!Gs3VC05RAfs1GIs7LnXkk_edAI&w-9 zO(24QHmANs@O5KK1TEwk*smd`dFooGcbfE~QB*2#hX^aY4SKx}fh{}}O~$Ica+n$A zEyc4@tVr<#03rpuJpdr7JYlu~oG_WHDzzecX7>)4)ELV1U3TI9X_^<>%rZqnT^7y} z)pL^#L!YhtlPv3-{hYM?COoz*umH1w7hem17vgYoUcxTye2pvxUs-MoSKrJoxCxA2 zBYq?CE+h-Bo%BH5H#xiz2;{*^_+u1pVuA^2b7T(AQDcK}-rAj9|G%Cmm)K~veK=*) zNUnEb;RJwp41UFq)IP?gF0W@C)3nP?J) zT(=W-y%47eUJ}NM9~o!D;+~PP;I;P5$$RD|wlE0Wc55vC>W<~-w{8hVPXiTHa;i@1 z0m-D07sOftc6OjnyKjtDfq{XG0UbE|;0HYdW5s!W&uP$4e*Vs=CvM0tN)H>&K4s!<`IcBXCvw9A<3XpneKx zq-P;;@meCToUcVQk>*@|x-Lu3(GQE?!uWDv@+UBhV`QoYvQ!j0+K4pk_AK$}hmG~3 zu!BcP0(GkWvFbErMQV=0B{`6w=#je3xBZ+|`WEQ?YLg^9AJr+!%=-t@Y76#%i_KZ6 zj50%-Yz9lRX7TTs#eukc-X-Y{Xnj%>p6kYoNg-@ncTqSPJho8==#3M9;BUpyu^(ZPJ>3f0+1iT1aQvXl84d5ZE!(v@<2$B= zrlDyEr9~BgcG@nucwwd1R9hoz#EVXgLl&xKH_SCltM6MAPG|(oJr;yFt%Q*;C_ct!l_bu?JBv|mIc$yN4JZbw^=8Fn?h;ijB9s)&uWIE{=TA3 z@2@rO%rGkCUi@#HbM|Wi6k&;N?=FbT!N|Ya~}+v z8#|n_7i<6n3*FeqG`D*5+KpS+I98jrz}SD$SOq;bd$Qi-Afvkl8}s0Xi({2r4Cco? zO=j#}DXNF%Y^5n%HL4?j>syQoO;gw7Uhpmszl_gqA9I9SI^=>_+rLJ1`Dl%5o-4qG z#!W;Ap)u63_S)9oZgX#c`?i2-pbZXQkE`T-B2DBiy`=|gog=o6+}Z)vMHBnf9i`v& z0jujV=8t^@LAMV2se!b0ZE$!}%pRr5I3u;HYU4SUMMt;w*?c5_3OVC%xGq`OPxX1; zz>TfjTP%QUtSMeP0^(z5(aT)JFUn>SL_bBngTg`Q)<`oKYor`xRSQx!=S3ifm^|t6 zwU!r{eoypdu7X=pOmleD9dojUfj!&Hxt0Q^ovk9@d`!sU5dr~5Hu9vPMHGCO$ul-GG~74JnX8Fy zmrW2t^+Khy8sg)&1FGqVEltuCeFfj`Xvi5|so-tAPi$*{%6OYA?hl{zxf#LDECcSUqPcQ@~%-}p=P&tUV}g$cUwbw(c>lzCMDlnnxL^Aze2!99X);V}uMd?c1 z0ekTRK!Af|LkT2MDP&jNP|eA8K4Et?n-Kl(=r(>CueZ0bgGfO;daz$4i&Iv9E6-83aa`MOU}#HQRMr#QoVS|2&S z7sT;@?}C{OM>7Xm3@f?PZg|Ibo6w6%LE`5oIRyg)Ak+G~kI+%iZ}${z7wBEAq6(-vViH3{40Yp^ zN#~NkoZZWkqY3w_3*f@^>^25%jqM7jVIc~`)-?k({!`HsZ+D8$;w!ty8Pu(C6!a!=D&5q5|llT_lxjK5z~C;@3V?vT)dEph;X zD+I53n{aQW&qwbl65mFdvE`_HFtTf*aJi>_Z$vFEyT`>M?nQS&mq;;&*S6PlLfrv_ ziu*&(E-Wc%LP^midsl03E&P-`*8^66a6zA$Ue0q3LYOQVu?`=VNB8I^1>ynPGzyYB3Iw=X= z8C^T4_QuZZc2CrZqyZrHV5q?D&~6gH}qi*<21 z8C6s$QLlF*<3sHN3;Rl2BB=k;&PX0GkcUCBLyD~FjvB@Tp3yIr=t${TGNjB*9wVi; zuah9iU1rk0@b(pGU^K9qp6cFz1n8JG3z_6>jw1sYg-Pgv$E?LFZsQuxG%>KJ2&lGw zyxBH+^+YhRIVT_!T1Z7r<6&`lh^=NRDmd{(Ni2lonDfgE@=!#Ym{A29{kdLSl&gYP zD)Nfcz|~1j0f4A|iE%S1*(jolp-YZ?0GxciZf73_?OH;OhE{fO*uhzUz)RGy<~S$V z=qdJ1Q0Sv9>5MZo0txYGDL5XB#Fs!2$|z2}Qq~q(t48FU>jUhi-C$kNg#3Q;Xgz<9 z@auCv9ffmta1JxcXtWW3zd5s)B6-PYW9NJ@s^26hoMvdyxS~#OG!!OditDC@nHfR3 zJEfX1L`5%T6*KXh12_44a)0N>_HOg~_J=mFg9%8$l=nFL%E+Y@8+5jjTbA$SLumpd zj#KQLapsidDie9Y5`n#4^0P^NszhHK=XG&`58o3#8KN~6n;}wv6A>d^_oV@PM-$GU z&*eG^slWY^?J3&2F7_!iqtv}*&IB+jMZGG?L>iYGOqMe^&`26_r`v)=Dhw%4zZq$% zvExEs0P_G}ATgyT=ryAoHmq_qOi@~+o7dvZSq!fxXeZ~Cv)4BpzDOL<5wm!N_#`HG zWHZ&*ww+l-KZyt!jC%%GdS1l5`&XUABGdLh;Y?L zjgy3_y8sYOYzRBW=dwEJhTG{t$|xW6rL&HbghR@5ZS)_Q`70yC3I}_msBw zl={Nga2h;dVR0D5Gi#he2##wrd5P!){Kx4)qJmsdJ>K3PjfUK_g>wW^9A<80PkHm= zf=kRME9%KFEK1Wo7hU(+}hu{bjm1Jxi|hy32AWFJ%f`B^y86Gi|R!C=0pMj*f6u; zNo8)bYX;5cI&Qo#dJiMSW~eG5`xF}xmPdSRhn)7NOZn;O3vEL|Aat@$X^_-d{^ z7ULJCr8ts=>Zay6*9Np~-s2%g!|QRmwcOkg>@q|oU*ZT6@{>$G|n>#mu z8Q$!g7^1(8*AJ`&!f{Rdt;rN5sfKdza5U%-&}+8Y!A{>4rD(R5qS^M29vpe%nT)KD z0k5Hy5E6mm)B^@}_{PA-=AO%PA?mslqGmAdYcQcY@kJ>~BAN~Y+G;TMU2Bx^KE zO`w$S7ATNxP^e8AU74H-Q5p!vT_!d2}RiIvprO9AxDOL**&1IA@$wjgsToHSOWx;3t|+KJLzcJa3ePz zA4$vFCOWs%L~7itbAj*NWTbz8epdN_mkN@4e)8;meRK+v7=vAPrlt^8)dgF`_T`n( zJa;AG8-nn7lexR7S2j@Qm);4se5a*mFo6P(?{$_J|KU5st!laG+1PWk&|+#IS_}zc z@&2}Ojq*hgG=}LAsBYMNi9nUBKER*Aw{%4uJiW)&8>$#dm+6|4J}P2=b-L2d*MAoC z=i!C@dlMOZ#g!Gk(h{)2ZR*WOpX#wodi(Ig@iC}?LxP}cV6ffSRT~f5)Haao4^SBL z@4Zxg>^OI5ZcQELgkNbDfEvA*;%Se^lTnKv;W#Nw+2u#*ryuc^@a>%~({MV}`}W>C z{X_2=$6O&+Nwfr0>8-4PU|VpRM1=u5)p!yo7qpLJN)=h z$?VFWLKZ>aX-ssra}X3{*vlgx^RDd_GA0IPSsP-o8QuVy=CZ*(rzm>~$)OG|nDl#h zgq`e?!^}+E)y=Dp`s!JhI$L)dzNokbOCK*m7>VaFlZ|?TsSweBS2y>zRl|4Mx$e0L zXxFC`LsD*Ngg;V5s-->v#3;nP20-##B~*zlPy{(oX?h=lV@Ebl zw+(>t@(=;F4=&~{F2f$Kk#v{jNSQ7wC6^1(NQPO3z1>yyy7Xp(47Z(Sp{L&X67BDp z*4$qvXxP@n7#tpd?|>PHtr&03Za55bSyP7zZW>nC2GJE#Jo%gF;G@1O-*u6{j_BM4(THM+Phsp>A~-^jMrH4I9s9p_c_k0 zE2%LOK{qCUOa^B9n~}E+j6lDUfI-OCJ7+xc)seVkchB6%4zk#A^$La2C$&)1-TXw`v6kAa>i zN2hhoK_zzz!+ve7K)GupG}`(M&D+C6vL_`9-rn6`G^Q#DC&?68Ws%P zeq!7?Ae#0R7SP!NdVl8nWwX!qM1FS(dp9En2L@U_t9$Vaagt=?@dfU7+Tj@%Z1&bU zxHqdUG{6{{q|VA%IwvGb$tV?<6%y7q3&tIBXhx!*xOp@R`$Jxmr_&;NnbUH^pJTQ< z1rmpU8?=Kdy`izCy|6gm7riH}dp!+I20oeQrbz?RD-#7<-ULY!7(_-&HX<2eF9hqq zXyiR;U<^|hr8m|z^Gw{f1M>x+z!LCX0GEbAN8=ap-RzuMWhedKLt~tH@9gB>$x$B+ zk2tcK;38T?|L?Di-h@6h{x`a-f~9>7RxJL1)+-f3EYnZg19*#Z26s@@jH!NC)iNfG z#gN+@n!s|u$zx8OdUkRv1CAmb>IDJ|-psM#J!OsweX~Y;F~d2$aV7LMX3sgMZU!fj z&}&xc)ie87PIG4v@(m(;&qK%!0O4>!YGjscMB}@-T#Qq%@7XqNuHlMbW|P)6P{H4S zM4ra~B%SyyJ=aK%V!M=QWK185am)tmjL{W@684;Uj78ACayuU5&saK!W=Qlj^}XlsIrQ>klMSE=HwzH64g#uBs3)OxFdRE*GAZB! zs|0R2*I+IU!&i;=a_K>(?|f1f*5mGfLqSdI2nBdKG*7hx#vV5 zov`Mt=;aAQl@v^+#-C;e&z70Nv5#Ip3 zK=2!yCe7FcgLOM0$cu8CP^NN{6!6Fuvr$WBh^mn0V8|6~<;E?GW0Gi%twuEf1Eg5i*k?0ilPGyn1|0KsT2V04 zFSXYT>AT9|h*@Kj>ES7u{(|(To3S$G+W}-{L?UTCJl@}a1H;XaOlo;Z63j#&)e?$^ znSc~3#@gi(cCQUc+6iWEBociroJr5uF>c6FS?X$i8;W@F7^K1u_iZD8MOYk^RF#|fxbd}pMUh4j91QO z^O027$nNyzj@i>P)78WC+Dupzz$G)68K58a93OOt7eE z2%8fKHB8PTnc@Tu5&igoIwSpxBBodzF}l|`8F8cfXNbhTI$xB3Oo^8Qd9&8?mJ38u z)k{|i5MHpZOAEnL#KSsYkM9lJ9q3AM_qV^3~5DDK|uo?51 zHA*&Z&sIsihFHl8WSTdK)8#$pc7;RH<`+h@Pl-hPfoJP~5Lidik3<`8ZW_g^)GbjV zS~~h1^onq3T2aCh{oWgRXk*gK>k_6M;m)6X_~7B{T*@`P>M3R&H;&E02(kmMjew-S zM(>>-;KrA(2t74214Z}O=&=Y~!{G&{?OF|&f{kFCJFNYnK@?b1Z^)kAm;iimIq?u1 zNFl{5jo7h&;nW!cZvdHHAQ-2ylGd;V#{y)sQ*cb86Y{n%GTbs_P$G{IZsM+$O zd@~|C$oZIa41S$I@jNu7jLKWQmlSubEpAI&5SgEUGMo&33ukze>eQGxO`-89slciq zSW!+XMJK2vLA!dXwd1CQ{N28(s*QOE??$BQfoaMfeCCReq~RG~g@e3`x`|@As>LhM z=oR|F!@#&^#n4Tlji(bxp+iP$uzEoiVgwMFKMyHgf+r9?N>!N>HblG8d!;{7<{ zT|?b}Z3qc;reHrAiF^rcUe@yIx!X}7FwO8ew5-icA4G;jQglcp- z59aVXx9_vAiQXrmkY>3lV)?W&;yzagpy8>jtBp^JnMhANV^@OR_F&tN02D&OU1Dl9 z24yV#f+2OnUUlveu<*n$uM1p3&$kC2{zZ#_SvsV*djvCM{1;yL>EBQRj_CX#n@Z2s z)r`fgBQA_?} zhg#2L5vtQ`nN`>%tFY-+xHA>XBQ*$9j|Q00i%N|t(VEL>J~Th*nHhXg*cs|n4WLAS zrW~%}rE)kkJva~qZ)Oo@n)Ky^L-S4)^Jj-m2@s*p$LawE=Z#G%iUMtwRKL)pE6$jR zU=)e;v?iupHzW~S!rCN5h>WdJbE2YIVNN^p_QB!E6loe>YZ{A)*vnc`ZcT=0_d{)~ zeA$I|i5k4&Jr^`*;v#I}9&#LLo+)8}D4jCdJ6u*jvm(}DYetJ2CRdA0 zrxAio^Ya_c6wKj5#fWA+g1yIc<+9`)P)-|10>POtA_zRdL62$)M4Hl*T5? zYH_VZSRdI*cf|u@gdGZElmoctn6>46v%?^ z1&B*6YUG#QmI3Z4t*KEY-4+^uczZlb_)s>o6soaz>Ongg^365kbqn0R%Jf;4-srA# z+A3wqczKgld#NJ5){a-3(j;tBB=d12cYKwU4zkjxH7f7z%|w@1`Whxt*h?ih#ma5vM6S{gR*n zX1LFsMMKhHymY^edAYz*}NA<*yvuAsQtV9D7&E0U8kq zpMqE3ZI6QFv#N&OS$z+GjLsiFi(N1@I+0j-g7f#C<(cSWrt>4f&PAXPjB%ccjnJgt zDHu}zr~dl*oBiL5?3k$|EB&#OC&OLiOJ_i>5V}U$WK7!oXU?b?T2gB!c1THSs459X z06(#1I(uT;!3rFmrkEaHI5L*%4A3^dBZ{5~W&bxxu1gK7|9pXUI`7UpI& z!2=H_M)PNXXNwwUi*ZQTuQ5rCi3B*IvuKVn`0W}ph>hmc+c&qi9mJ`}o7ZA6mlREN%0b1EQ1*vgw{G0nVTVOOzq;Gp-rc>mOFT>kLP%;`x1PPZzjO1MovZpM zc62iGqq*9|P&wg4A#BA`UKP`l@ekPe)(XoO(|dn^p6SVIfIZDk!=7x4y^?r1IT~fy zk#Gq1aKmtE;h<$)YX7*+aK9# z?r(lrk8waY2JjNnL3nLF1K-#(d(Z-_nUDcrG^iQrLo|a%=0&<(A?d8xTA%r7crc37 zOpGRfQQ+*{rATPNxYxx`y$LqUEhv=Ajb&!KS+#V71FOVwDC6rw^e)+u7$~rrjuYPP z$Tnpb1b^dM7H_yOkb-tZkD}E>AzgCr1j;h~aw9hA)f)IWcJ}sISy|bJf(u2S8Vf;` zZ7_Ouck|}fGtC>f>3t^~=?utH5@CmT2S;dswrsC%rP6B85Q&jmKNr1OudOG8?A+Y9 zG?gFK%sx`VbmpRLs0SOCO!9gh&nR9KP8wrwRbozEEU9fBa}P*vxL{|Q$jmEDymi`E z=gQdd&Yyl|TKdxP2+2MtAJMF5*|?){+UcPQTCgZF!A~i^_jXZf=gEBYI!|2Eyw~S{ zCKiqh`)722$_JU>Jl}}sY4ez8j9g|=OekX^H-g!nwVa=(jD#U&2Dc?WSrQvt_9_|J zj0rJ!s}B1=qt#+z-Wy`0ohGcFaDxge*~CieSreO84seqX<{n+iFUM38M&C)|5O4yu z$N*D``-dGf6DBu;*&n(*Yre8+)duH(FdcO*X^UpqB~DL%&{@f@>9x0iYj>M<{nOW; zVKY)0AFIzuOjuU|wf)xSvwPcgj%&sUa0)<4SdG%AtOrEt{rt|>o+Gp|aboXk^ZBc= zAv>E3OABdo%Pv=%uXXf1IoKs!h)(S;34uJ%L zA|)|KGBHS&!o?6GkRpO*qQnWo5h%f!5D_2*q9h2J|Q9GL{=TP0oYL+iV7XK_4vvt$L z;rgPKBJc$uuBzx6minq`#p38mkuaKMMnQZFJ=)c?;e6X|MDVz+|q2HItE z3^3H8%R`TotRUGm+mTIUIvl6$?-PH;?(k}TyqwOJ#V_hsA3WUOe_HH)OCpE<5)>0T zsI}o_w47StVG;*_ZjeSoln&alY^7sS+j6LJqPfNwtI}DOyv>hbj1L3*aLnRNA)~ME z$+hAU2<(PFbB%LNY|bGc)Sr)@@%Wl4oRPyQ3W(8F8^x7xI-$%mJ`<1XZFvG{ zzHeNVtqmn~L*0EG^nA1{k?YIhhW3|JS1u=wd-n=anPf}wAo(xe z{r%nd+}$2%e)KkJriu0jgsissk}UC=zJVn2?i9#)XoJ(ttmu!OcjZty?ZsNEbprto zF#KGKciG6oNSB;iM9L}VbZyCoG_XNqVR{s>u4ROlC??K#In-pyUnbN2- zngj=X&%{E1fT5bdtakyC+4%~$+lIxUF!4Ev)w&D z_ZQ@U&Ti(&PUpq)8SA@_+%@5FsGW?{8;$#?b{SE#A|KcmwA!#>?~jKfN63;k-q3C2 z*s6F1s!D><;=m=CSGE*Urg%8k3cZAx8~s&sxc!?>l_778F7k*DGBfgU;t%zPn^my2iH z4a2@Wf?Kfd_J*ep7YF2)kx1rRt`d7qSnf5}obrgfK+es?;&WQLp=}HFjV7!sSjMsB zdDuz#i&Nfl$?=3uHJwAZ*;pUM){X1(k?ag=IsQ!u&>oAI2gi*zQf4SVf-{Gj$<6hD z!sTq<<0pqtWus_JHD}HZ19Kt&e{A8H05j_qUuv1xm`sCm+zTq;Q=Nik> z3$h+MN#Ym^aaqDrif-^uq=LKAnps`L1D@=8c+oQeO?VW1 zCN66cRI;d>Z5Q(h7xi-wtZ+9d@u)R_P$Y}#AXeKtV2 z-Mhyt714~o;i=a}-E+wg7NEg@yrS%DTq!J)BU!vy&oxvQy2SS)bHyQnBa?5leVKkkCGZ3TwSV{ktfMQxy1a5 z#sHWb9LXM{Zqc}-mcxotvmx544dr_cyL)g~r2bOW!np|F;w~4o*I*%2j<*aaHG2lEA!v>bkXFhQ~Ts*Fh?VOG)x@4zA@i*y}H3YSX#yc=7Fw$JAPhKn~TNV)ko$R2gUI+hH^aIa4bP?B=uG`W ztG=e1C($xm4R#*Vbp&Dq`vtk_&48)nT=%DBTaKrB85NZ5N%B;ZU6O3I{s#)5M?SL2 zc8=4yeWw%3hpQyP6-ynre-Q7)JJ=$7w*t^;R3#|J$g458oA%v51VdHld|pO(k2T6g zaS~?64C33oYjK+w2GryH2e%m2_J76sZVmiL1$RO3pYB&+TLP4 z9gml8Ey(gI#2Wl2pYnR@cdXOG!Xk?YeNRdTkN2UJ!&=QWeMQ{9vk;w%%w4Q+b4R@e zPrPIhw)dq)ae+AgKsjoD$acrfm@SEDxc*1U}fO|cUUl8@}*t7Ys z{wVNHjuxkb@=l8OWU64jfSym+@cCGcya>nhyCYg|S0GY%rOI*5qIo8j6};|Nyd~ry z1A}6a>V`!9$m0;<)fGhhtHxd{XR2#=W*wz=F3X;idjD*X;|U8X=1HObASs$qMUI&% zu6}vTza$s6tr4i;=Lk`s)wfc@DvmyOEU{ zp^o_LIEBtkjVdWEZNk%JyKd$>?_Gp33zG?pe>ixVZmM{D&ZGkOpb!#-SbfRMQ$UoG z+LGC(B;h&iD}M|{*F>TAJlskSH7j6zFm{L+6^S8_&K4^r}a1_f}S#XqU;_1-sX3!FpQ`#V`?h-h`0Lk$+t%DuiI%{pk^}?Qpu7j}Lim z+d<noUDg3s@)E;0=~`T2@{?brvm8Wl`?iAc{|iYaC z?-|V|HxL{4EFgd2Er_PjZ+#cF)hW0t-DVbiH5XT%6d8$%+-M!)7Fbe}nUT z8iYnZDNg#Zi^`}|gORowHR9Z3VKQbw#ZGr@iZD{^PIML}?Ar%K#41U+2$49?j9w2F zwVBb(e{AbVl4WN>PJ;rOTi+^k3;D zC(5+-Sgkt5{ z>z4t7kLWcV6nt?kaCxgSrglWy8Nte+l*e<9tRR?q$axfJ;ATve_OV@9Jq3sMcvDCs zdnRozx?v#14;~+e#^mDOF8F=B_wQ5XLm*n2@m?NDt>&6II{9V7yDLDE9LFat(rsR( zs)&9WX^PB((dlrk&kzKye_8*9F|DPyJf;<4&2X{cpwQeV^XL4E5BTLtq#mAb1&7?i z|E&1xH;TQ7`@2%oUSm681LtCXG&v5;Ib)t{m`7D09dm0GZHSd#=-7zHFIiROb~)V? z@;AXrov=j2!Y1$wtw4%?bnEk?Nl^6fM%1g3v3zfGoYl@H4WJ;Oe}soMl8$RLpn}i+ z)A*m@D4hiz5mpf-bI`{)xa6b_&K7GidWD<{ETpZ#&B@tktd9tQuWQ7ZU`qvRb!G64 zljGyb@!4?dGZL*(YEaHj#y%wgq5xCF*W>6h7pjcpXY*OtgL87Won>~p6)-sUmQI60|L~;kSg%HfBh+$m~O~0X*XmLI{AGh zJuRU7BaD+;cLYxGN~DaHKv~YBp()&cIC^$b+t~x1hIdrhE&rS}h>fzae`_a!k%+QPsBR9Q9PU1(HeHSX>P}bb>S{w64-Y)*tIwVa3ZbLbi#FTe zdKh#ow4kTT?}UF&7stK5v6lNRr$+D|rMb{cSvvdDp$?$OkQl56N!!;FPF$KERg3bw z)Q(5UM=X)5KMe4+#|tet0x8&>fUkTKO*_-)4g&Y%fB1jDu=QDez8VVg8@!k8!e6}J zk-a^Llw`U0on!>9iM7@bM>;&VOoIxVNfa*#wo9&QJ9lLQENV)|$UeU9MaApNNw36s zV%-yq)|o`a-DfH*RV~2S8U$;6lb`6U9aSALIi;u${Pg^u==HoOsFa^Qc=GJQ;kRPN z3@_R&e+&!rys5OZ^(eX}x^yv(7_aO^j~kzcXx;2WsmQL0ij_7g&8uQPIj^l6SS;aM z$IiE&%a);0Mz!MOQLvbtkfxb5tcD{a4QU`Z7Nw4x{xYq2W%Gf5^h^yC9 zsFdvo5$Plw6lQWtwjI0bN^w9sX}SoGOWef-|HA2x*AhM5or}Sc1^}gB2&~3ET3>M6 zf0Z>};te8IRVl&D2|tsYBywG!JpRgquku5i*z&Mn%zJNqk2~XNiB(Z1;*wQ_g@O4# z8w*gB*zskxs|TdqBa`0yJoB`tr4<20j(@L0iApa{oJfSXe2H~R?5oL%7Tr6E2q$2? z-Z$5Ek9#Vj7KS)k$bP?^c1#V=8HpBle?oT_O%K~z>k0^B5&7SJsnsk5(qjmg2`Kks z7K}XXu8iGIi4%oO@9OWmT1$C_wd-1y%lf{3+!>Z95>o^_C9{iSQYEvpzP$U~Y#nPk zdaypB;Tx=~vj(e*tiW|$jf!ZSAdv~nd=gY9drasBbKEQE^ioBZX6f2^BI zh0;+~nQ$F6OC zR#sM&Vra?}PM)i%E1o^#(LZ~%f6uqs;r_v4@$B&^Lu0h~wKscq|-?#!^{&;wU`tg7LN?dJT5!P;( zv(M(E9Xppp#WGK>!t;rYpcbO`3t(-IU!2~+YUMt*&4AYYL*G}D~2uG z{narc9<4E1IMJS3v-6O(`BaXW5*0vD_CQWo@(2e6)k7o;&BYaz~ce+V?hYw=~U>oS9E zB@RbpU6TYJiKI!QR+?Q7hL z5K0=WU+@z@P$m~#OKniV{0u^@VPQYErkbliu+V4}bc+LBA-?7Mqf2litt-!cbV@=} z7`Wj*XtF*&zx5fBq)1W6%zt`@txrA~e(j@>Cs^CCsurAmw zawU0h>pD3cf7Mi+Eph8brQ)q=SCy5`{k>~jpEhn}&b$2eYpzD6rgXK&_B~%Is!{aT zUYHe?rmx^5rpdZ|qgX|5D(~^PG2!8Ke9(X1zYF)t@!jz4sO-h;`*S!qC5efHF~9i< z%vN!MLaJRHX6_blUmx|s|)$?3BR!l1}2Mg(!v{$UhaVZyrzpZ*kAo#xI4gU$G3r5@E?7z z_dj8$ebnL$yOun2mE>^<&LZLk>V)AM7xU>$ zH|*@~!~19WktS|u{go<+Rv<*5q%rOg6G*9HtY{479U9>y5xa_!ZfU{gN}rdH3L=CVM%8 za?Z@|&%TD`UXp*r8l~~<&HwdU(h!UPI#azEZ3F!246*Tkrgl?W<`u)k}03l9!-4u>WYf47JfR`q3qv3u@$!X8U5NCY*>f0UD3v)P2@aHQc- z{%tF-?R*;_`%ZuQs>KB4*7)OB35s4${ctly3mryx6d!N*hn#Sf14C7tf6i^idp~#$ z^6J)Co_CS#5$%p#@3%g+^-p|s+F$oq@I#vDU-}g3UARB{FQjvmE;G26e^Q(~V!Ij6 zcxn|sDfOHV%RXrAa#CT)gbI1&WZLn=^y;AKpA5>|(BvTchT%HT?)?7HzoC9WQ zJG`~-6@+}h`_)QO!37qNQP13iue|4fnDxBgOt+7p2iq4v4?(=fvw|%emyIL5k}wCf zAARDMYeN3QIk|&6eLY?{iy~ie5NTN55iG$ zB`^rh(BP(OVb2hq*X^EfxBF3naX`Z>; zkPdqg0nCQE4NvX_b1+2s!^~h%krbw3e;5=}n!AO8JvqVZ%9olKe~Y}2DmR1Z^Vo0Y zEscsHBOgSB`-L=j<~M-~WLK7Z#k<>Dly!CD7+rIU%lVvV`5ZMrC=0kx@VKkm^d z-VpZ?o=JNETPANgMNh5qa z3|bFAb*8uOD+ACn{9Jgf8*Iq{DoseXsuZ1fXm9YnRJ%5If5~H5Io&&0JdSEbZS%Sj zvcLvYKq=@Qz0m2PP+b}Sw6r<=d{@3zAw-f2smKd3k)m7Bu$ZU_?DX*4644j=w0J+( z^6&7xxgWk=<9l6)dqZM4X<<*-*`4$AwUQ&2=rA;|hqKcP^cGh@{8;Jei4bpNwInd7 zi_OV+bv9W`f4K0z_hPZBV~2NJ0B@t}G51W_mfEZVOpRWETyn)X9^4Ov%C)V|^@)IL zK&NWVT4IVbVPX+0)v4iS2-}FgEAXxPu)H-g<*a>--Jqi!YlW)*Y6M)XZ!4WZ8}Nld zs04;EIPGakfmj=7$=cMQR3&8xjR3Q*;k6bzwl3~2f7=3L9Ja;j3>!3djPZv85$Frk zWUhM3OtDFwntOtP{L+Ajf<+_Hhq*>rbQ@6A17u7pclN$~XDsT}_^PpqX@MLbPbrg? z>mDJ8aloTffhKOOl}iC%Q6;8gDH@{|4AyID`9ZwQ(e9)zui^F?&*k7Z5QgfKw~NIs4>3PtsMch+ z)XK@&6e35}4V>lMd@43h&Nk@lgVH9>nu@=(6E)PW=%|FvnzO&6Cdv5D5~;4u$Yd3{ zNKlvOnL9H)&)i?5nI`CDOPN$_?j0g2?Tu`blcvZ-Qq|K zL$u{_e)o`c1|KYW*PlJPdV=#rq8Z2Ir ztNt6WRbX&te3Dm&)Ql`q&>rY+huF;B$n#E&RQOr=7B6yQK(;MfYzL3Y!+JEHNxY~< ze;qz9VN>mW-r}gk#YERxhY>0GNL5?32KFr4+$NoH>ClqB|LmHkC~w4FG=GLFT+jMq zok-zfMW!*=g;Uv7Q{N`jjKa%Bf{hIut!>qTs}eguKyO;KJ_tn)joTUTB9=Vb53>r( zRV%~aZSH3+^3x1z_st_c6PFs1`@|42oy;rIs#Wb#_9I(ALh#Y z5(rliy~gLS4Y%d_iavhx4a(5nV3{qz-2Mx1P`GEv{ZM*SxIBje4Ws26Kq>ko*_LeC z0If8Tk6du`djS8wUSCx!3UdLVDpc_2|sXo_0u_e@RHKd~(WB z=td1h#gj!uHzL5WNi9MWx^;^lpU{ac6cT8P7hVhR$jcIbMS>retFa=Xiajjs>L@sI z?B1@Vn!CD$TB}G`8VyeFQNc1y$_t3sHx)2ZMah^-kq0r;PVAYYY?$BL zu4k-K5MkOd1~=xn#zWrnf0c;7C^Fg|nWGc~&|JU|=8XrF$>wr8C)*^TkqIQBLsqY; z8;Gj~=tzKeSkjBg3JTd1|a--#x0d0)zl$lF5ze> z6o0T~yk7&wJS|lNT%ao1$^qc$hIoZ;rfdyE{Y07nCXcf*@9t~if1HR!MuSt=4R!%- zkqkJZ(K(k6r+FWpn#MrA{(oN8tj>+J%>QpE##tq;=0(dl9qr*InH%|Lg-fWNO}U$# z>03>4)MOF=$pdQgx*;VHXmX5KZ~nmpeEf^IZr|p|&ld%;T!Cb)j*Y24E_R@w-0ARO zmEenwFv)M*Ycgr>e?vhC#^B)^E|8Jj-7&Natnz}sqJ|2~!;5Z5hjQ~BUWK;Y2=uG& zJN(AD;sRt!Q>Pl))aGCKS*NFuxOI!Cli^W71&qHRyp)zv{MTx|gl+nIV!T{{Sb>a! z5rUf?$L0^3gdFk*rTly>f#NEn1uRCykwzqu#E~ue?q3>$60^0s2Rb~8@}?z z#+NR?cxz{ymsz26;N$)IsnUauU^&46#M=fR5UK~lwshsUT8#p$N;x9eIg+UgHqHi6 zT4BRBW4OmA;;EK+8^Zf~a%4o-edl5n+!o-$07K!z3)Q?27+^x#gP#*|kBlWp1`%=_ z(jmIC#k`}#f4<4zYf(x=KYi!6oh=Epf55X?ZnOA(WA${i>S{g|l*k>PR8n}u>$St{ zrS$H_M>l8+jss7T?R^VUJy+>&pVb(M+_o z!3Ld^R73WhwvG`YK$mA>pF6T$%ED2LDg_Ju4!`1P0XvM#wcM;adiK}2q6S2QAf~d- zHXlI@f8-sqTaJ)XU6xaq&g4|iv@!DFCk9*+&h2fURz&ta!Ry@B9N)L z%U}`|=7yD~7Ed9v3tl8F2lO?#M~CBLwCbzk;rgnIWZZ4OswZWo6^=9AlVA{$)<2y+ zhe4gKP+G0l%Wp~7uNUR?>{(r}%wWdK5?N9Ae@|ml&o7uRJ3N;xv?(*Vk7+&NvHYJf@R{}+4&p|Z3^Qt`-TWtuD-95YuZn50B z(mlQuZ^~JW%J_sB1#9*E>$%Z2z7<>@Wm^7c#47x{cjOYc3;xb6o|v3nf6E4$(9MvG zB}=yg@)D^~w*X&!o5h0mYtIJM73)F(f5w|j4{2CtSCjSg0Q{x?e8@zwvTE>t5rXRK z#2}t`^grK`@HR^@YRJS1JVI<1SaEnx2XYcUH9oq~UfyKioU!|RG z3}K3{VV%l$ObG=s7$rMAWpjmX15=R1*B)XD8f$#`MBidrY9_m3lO&wYiSUJZ{u>aq zATMQwY*zmEj{av?s;{|lRWq2Pe?H8z!MGX81=Iup0g(mTecIMu8?x$@jWaqbmwKqjlrFLF{48mNAE?+7>9@7VcDtXe*;p30~I&_ zP%tquj)^Ys9)B)TboLt5v*&@DitULPtx4)vIVZre!U#E0_QsB3qclGd z@0qG>&I|A|Zu5SlS}g2Ze~?;iG$lkyPt+^sh4U&Y@<32{g9Q=JwS@MfvhHv_IsLY>oMNQwoQund&0yE!4q-THxEQ(=}|WTYB~B{8|VT}fzO+;;!{ zj_$1)yAo3i7^!VD$RME7dFSfY(a;kSmedRqK1PCNtIa#mCJPPMe@%swWR1hhkD^eq z97a&C!KS!rC(bXA`}n3`YkW`F?h9W|&+`dk2>uHAA<@-sCoEjj7UQ`i8o`&|SFlaF z<~@#Fn+^>NrdRuma4T^Hjh#(iNQowg-R~HbtNQto(sMkL5@DiHl&zFqB{w_#Q|WXI zHf1K8)}oL=A`fW6f7-exA(|NrUFZuyuG*QT6y_M`m3v<%EfKW%O;g!b@$u~pIf3!991kKa(el*@AI?7w4yQ!MqP_|?e;sgE-px#UsO8XzfJG*8 zxc4~S6cX$`nJD`yp%R`j%p5(BIwozQOzpX zbI%s|{ju1!LUR@_6v=A*w!QO?t=P9&Jd(N~ z9|^P58+Wl_a9R#t+|DANp$qw-R!W@ax~7*fQx}~Ve=gGsL(Y|0&7?for4db9qF)P7 z?}?Jj6hH+-P*MVm)Qj=mH~!WV{qD4>d{mR0g+Il{f3|fy#B^^+HiFuc&td+C&Zur_ zKp}6__jGa)>+v*=91pL z!w+%ilvlALL_t6J{MKjkNg|A@B>h|D6Y2HlLho|-grJJbMIN%9O@49l(Tsdm zw5oV7Q4X{%+m%Ik=7L~8+~$#fNoVa}j-9jJiq?DR1aq2harp=3m#wH{* z=yXsxSmFvq0hNlHX*v1yXy+D(lPY&&@`NwuktkHSf0^$K zg0;1|#^E3hG=#EhDVR%4uUG1U1=-u55AVDX#d^}8lF{3y2ww6Kq0WkDtc;zA6JTzM zLsG$Lt5B`9=&Duz&eVG&9cvwK{xa`V!M?%kK@=m&mj!IUYuLfnm_smzM@L`Sf zuM|q0kDbqd%O&HYF5m0M0t$-}e|C9R1a{qc{iJ|p%aMhO_s`XLSvV-65S62?$Ca0C zVksJ(q+~h#iM}K0@rv$Z{MK0@HSYb2Ya2uaEzA}D!ZjTFiChJ(I&$DkCkh4EvM?5Z zqe1vfXvd*3$azo7;7?q)+DU6t(i%q%G{ecPzuvgS#mQ#X*LH#0MnqZZf08oDo~o!n z<+s0Y>r;%8cgBU8|LylBNSk*{V333XOK`A~C`}b8XAE|$MWNT*V0EUv zgwg>6Lhu1vo*R6jV!{TDHrW;GFq!D=u4D@Zje+Mpd=wdQ&}QPn2sHG1RrCD0@k23K zJ3xLI3GqZVR~6`@?GUC)e|V$cTauadbhhvCuY)U%y8l}smcpZHQFtrk8Wl=2f#-Di za>-Gm?+CzY69)wd&|N2=mB3JKfKp540u^wmAh*0T^=(QSgjCiHeB42*H={+pN+lo+ zDQ&JwC0`z-YS0HG3GRHH2&;|!Rbebz9-V-&(&7pHp24x!!9wJae^>QAGf|b1HmpWn zy^buKt8ub2?EzSGG>36VRYj@;zCNE+1JI7;OoB8G(5;!!*agsBdEfc{{&K27q|Jc6+SNTWaesCA zS6mD|DOn&`_}pI$f1lg>!T5H|bo_i-NI1_86WiWDGiA0A!7Aa)z8d)isv7BEkiyE3 zAA$CFt{PBG%m(szuSP8|mkBoFiZCy!WeycB3aUf_9K|drFF$PRoP(K+UyO&R8>z&s zmh3l($(!BG9F0l~!ylFkk96-huco*m6t?))s}48hGS~hxe*i*CwOi+c2DxbWqKoLX zXiNOhe=LyW?HxQrHmqO!F`OIr5u?Tlx$v&LqZGMjgI(xn1L=et58(3qPy@5GO(G3Pi$ua1be*snjV`>uAuWrJr@FcPL7A1Wi zOnv4DBCbl#Xor3bqZb)jR8!gEj}F|EUKUkups20^;`DL&_^3uabtvR$z<;8+E0$$nD^ow}& zh>Vaq+$@?Njs!G59XIT6;v2;+H<+GFQ>!Rcf3R%$2WIX(;zv+%61^~d^R2DV$;3-~ zu*9)4nNGoMoswj+W`djGbc}I$i{VMUxgrw5#IUx+R_Q~4Hs$h}tTDj|9VF;OT6BG< zzovBg7nj2?3M%u zf1wU42qha2n<$Vf#|wmrl$o72w`wOL3<=&(9*$0amB-w}3vRs<1EGOJlh+Lo`DnZW zqgG@R#GypCY=h;Q!v4ZNBNk!^$?9|&nL3r=f8%W`v|&|e8+OWxAvzd~sDFND%-eCjfpxoN z`F3Q52>vA*vORPJlS`~dTt~gqK)17beWg|Lv144v=Y6zT7t7Tq1vujqI4PY#c-?F@ z-jwLT2Z&JWx2J)|6vX0mIqFM4?8Gq|3{fGPoWpf?bQT%bEviV<$W~1SQ_?B$>DM)nAV)- z>e6`C#p0OJUl!e>=zaUb^=q zk6o27EJ@zJNG#$kKW{}b5y()+Y!qKtenC7C4G31*@owY zwyZ`mMizwhhM-Cpalt}df22Q|O(bN~A}*cO*n~*&Xguw|EJX@(A!y749W6;&ONMxc z7*ZRlPK?6QE+hdLX{;WrLe>ArHMFm|oMkHQga0D|EU!-`$~b+oD2Jua6*Fb)c`~aY zNZiOEW=CXTH zup+9ZrEv>fPPH0_9hVpD=)l~O7ij`$pt$HwF>?Gey}0*ZUvr?sE{XbU*ElbZ4$OL9 zOzN=gTkJik4SE=wX$ZhM5rnnx7)5q%mKcr(&sA*pFhD%7_<6YX!)G=74x^^8kHbwf z5x5KbkOJW0quTA3f3aAEWYRJ=9~V9S!>bBGc>}J4jf(nTzv`F@u#VLK@s)PDWmbeN zz0pY3@ppoA;2bdb7ormQo!8;!pA2r`|5nezEI1jh-u(NoV+Lp_%?AAG6;~M6L`l-N zWb3?4CBE{h0l7HY(b}NmD>0M6k9FnFBN)vjBruMzvk<^1e|PbJ@}{WREG|hwkjSqk zS|ozs&((&YADg}+$*iY-Y@YSxqNx37G4cS85rw3fVxBhYw8)e~7*kUdyzRk0%Y}P- z`3$u$0Dwo1r2;0lreH6pU6y`tM8PliAD7hKUwno|HUakld8NZ$c9jM;e}uklp{YT(eYXv$tYICDkVrK= zYxcp`(F(qk^3okNISS(Lb;jhpf2plg+eSSk;FVQitbuc%Q>BF-kmS#(_5y zVrGTWH5gk#m^CojjG>UlaD~?mq>V7P7eJ1q85d7?-#geRl%nS1?uxGF9<6u{d#5n+koI$nXSg9esFkCf3z5HV6;tLw@uM#q=nuP6C>Qia=o?( zAhcEDc055445NUx6Xk6ndMsfmIhqn`ZG`2J;gUqFn;l2B;Y7f{x{OeverRmP>ADG! zN(_}&lCgJpA07r7E5W2?FF$@h56M8wE@T-hj#rAF~+R56z>qm3}%$?{KD~r%^9l+Q$K%FK36Un(By}s;*NG!YEW0@UKp^ z?;P?A$##$aU4^^BCkRIi(#%h($ktqscwU2oa0^vW+?p+#ptCN8va#;Jl0p+WpaH*o z9Zg*6!gsV(E$U2XB`f+#I8dd1Jfu|af2LY6GWz8CrKLJotiIHH%mTohP}YSmBri?G z`jbv!TF5$gS!Ig-6wY?n{p<0eqJv}d z(Ld~H>P8sn58;&mjbbg6&U0SP=D-SqJ9kEt=an}e_W!I`XVQy>aRvCN_Vv6Pf3aCj z9q^{ChHI7iOQ2lEOt0Nluf9{%a4D!;p zBbE!9qtnRoR$7-q{HJJ>aJ>fNUSuoIF3S((Y4xY4@lECF@xtGul5C!tYEk_rCZ?kC z(00kknJ2@7whBx%?XqmumBc&ifBov~Tc5Jf8reku*6U_u8!tv=OVh{VaDFT?wDZNy z%AI-WV=LH$8GGNc02_kC3_fVD4-$hawWGYj1Pgdzsg%TaLIm_vp;9_WJT4!!XpG2J z*gcuJM$6z^CW7X!{Pw}Lq$3BG=b)_cG(3hz(R48%A9B?|ZMZDHn|{$HfB200=MP^i zQ30K^Gvc~YD|`o=B+k_n5lM#=3I~OE(Ln1Z_Eh}+t6QJ)fC8Wu{wt!_;(w$Yg8lgN z`2Y9$i7OIr`M@H!{40LX$C$54B7Y~+3)}lQ-l8&EBAM+^=j0dsOK+7Kvk;Y}izy!o zrD45Ck5674KB7eO>8MkzPbNp3qIWMI3)TV3Sfb06^MyV{MY%(Q?Nh2uEIaNl zu`K;`Eu#~7Rf&yqSPNqiJ*$T+N4c!~?^zg- zbZg+Ab;n1t-pxTX>_9u}1p2!$!sp8Uf}NE-9vgoI)-1$|Yw#dt5$)7s4SyK02nyZ+ zxOLBU@k&4pyukRcs=yq-8LNJl#el(pn9dJAIXXUB74o_X@4E;}XBknbyBTzggjyAb zA4KK|{v?p3Yus)Ae{cNH9Xa`IK2^5|p%7B}&1L~l!ImRR(?UXMjYKkD%ITF94#O^;74v#=bt!HNsys;wA4hCvT4CEdxg8vYGmx%cj2 z@zr;q6>?YzDTuVPt>IA@6a&lkIeaF4lx&9!M%(>He+P;WQn-QQ{Y;8u(9OdIHY{CK zEdB?wM0#&14`0_Dk{1bYPaFwOwSWKh+s= z2?Bnze-1WE5RmA5O}kRS7oRA=vu@%dQ2fr^#t=D-@Fr^j5^=1CrTardxv0B$cG;Y;d+<4QVoGTP1hTltYuI$ ze{@ANpxAYmL%*`YlVaHk`)!QpWyE7D(qGD))4Y=8lIUtNL(;{W2=)o|mkGFqozPQp z-W?9sndu!qI)Z=et&sH)}BpLqVX1x)>pGKWhf!lRtB z(hXlws!sHcJKi@A7POpDk}q+8E}Hhj$i8`WCFL)SpmAnk9tBQ1g34aS7VxVx!>wIMj{P^q}Bf#uB5))PPkzk>akv;;F(!W+!uaDVjve}&%% zZsg$j2K?{M-}$j}bSRCSVyby?Od#!gjlfIR11@;)AX*YnCC~@(!r`w*e8C8h`FGR1 zv6oLy(IAi`*fUI1q+pbwxYvW>x>yge`6!q#Bh?9(K`7PBFK^s16!e>+?4gzp0R)fLFZa?q_9TgWSk>u?Jhj_^!j@uKLA zQbm`dFlcoT3PE*_xXD}MV#4QPwYvNcQn5IWgcuy=1YgoA8{ISxjqb5R945W1pErE#J>81CFswD zLKoyA^EzH@WzF5H<;RVKDzv4bEu^t@ZE1nG`S0qm?#@TLONjjd6DsJ(-JL?2{>oUR zMnn7E5&0|@F3@r0_e7|bf3ozr8T2Jxa@IAYnW;S7aynf6BSOuD8*j5(Om&5XvDTjG zR8`9`4g!+stb2lqCg>k2JuLPr3z|dN>OOKZX)xKxabU>(!5SNsHp8{ka-tp_n=y^P zui;fCg_Tecfiv)H;l_I24;mkY_-!$p^@`$ngl;Ryvl~Wqc}V%he?T?9%}wwSe2=ixs$>$p_ z88it}Rxd-uvyaRG5{g(LNLE!?^y3IHH}2nv)$cn+pn_!Ea+S7tL_sEA>3E;1(ndiC>ih+jrWOZ4o`=12PyA%iaRV#1C}o~u`A+SCKQnx-g3-_ zcxeuf@AAQkv?&Nq0OZo>oMngc`+A(QED3*)s(q%CuebrxO3Fo76Z`_bm)j~KZPv$y z@=w!G-)6z0e<|DbCvTQ8VVOHGHqLbu5!Nv*P3ro^+Beh16bIab&h&JI>N<6uKW0I+ z9A93`z?wKmXRDf}lysm;R!>eFn!T|x9i%1P`?R{Y@kA&Xf153`m6!(6B*~i{l1X8i zy~@}e5(e4e{Xz0dT~&=-&3N>!KWf)-fAGzs4(M(ze}tnmKK%Kho=Uu#q^!rWEY$(q zqhto7-0(hAGeV+F*?8R>o>D9~P-n)9mm%|V!9B+iW^`KKuw&pN+vDJ%nAwE(Ev0i< zf!6lanLAd;W|h``!rAi8VmV>G$!9ettE%|2#LAr&A_Ajml7gMWW0h^E?LY;3I+S>{ zGvZ*>e;Sh*$acr3Zglaw6K>jHRFPO+be;5rG81o$&y0pC;CRGZSd6mL-PqMfCb-)8 zO*=06s(1?{2x%>T+CFGlu^&4%f9voeUn5cuEIs*bCUECRMvo@{xpLL0fWg=17x?UD-ut#SN6ecg&L znGxVWd>t3^!QSrPyZb~d`;Fai9k71oPw(wM{@MY4&aZb6N%*fb&smL`ge6xepuI&} ze@ss};*Rx?%ScO9Ct>6d{41@()8E$@wc~{}64*8QxV{4HJ+WmD4em3>!atWMwVe+K!kad-|*ZL@Q-DG z#eb=lV#%AKK1ammcrXU_MI9M9JCU){e|5k@`rz^X{cjeb@aX(1Sw&UFP?yzhYIK%< z^>c)Oc0))LKst`z0B%5$zx>mm!*erV6r+@Gwv<*WmDUDAUSV}zAub{FJO*0Fci6z# z+vBmANrsSwMuu#^k`#z*otOOkL>MGt+~2UCdpyu%WYe?861uNlSPN4)WmeNxsT9zH zGJnoh;2UPjVP9(NzC_rXO0}H%b(pE=m_W7c2FSDEl#JmPI674Ua%4F$;=wp?Q%=^9 z0X#Y#j`h(uc?5(Q+ACHL-MP6Qmv*^V2J9&5x#q5QM*7ELFcfD0tdP=UVe;|RlR`-t zmN>MmMoZkP&b7>RO@!OLwzw`P-=Z%}?SBTL`DtCut}aT~uPZ!#ChM9@zeHL)tZ7f~ zmHO`aRO+Tud>$MQVqLZ+Lomjf4z}mcfaXD9D-3o77G8?wXVyk}(f1Z17Stxn-%v~Hbh2PEWC`1x zJP^4FT^y&T)vTJykNN!OS`2tG-?F9s(%YSgS*rl z)Q6(oVlU#w_R(l36$0>?P~I^ta!^=37vG7*P1UX~k=|`V2&Jp{#ar*BGA7*EZsIHZ zp@i;I7;%3Xg>V|4`T#4p#v{IyVj?|^-z9|g89N~yo%oRHog!gxM5YYPFJhc&m>V-6 zCbVQeo7nn|6g#ytjvd9Q;eYi1G@pqof2K^sO|J+r?RUL>p9a|J4$|40rCK(GXwBIW zaD~*I-?Pc^gij`s!TVF0i4TKRf^U+#CTW1TJgcd=uqo&V zBXPTlQjT6`njlvBU8EU!Qd|>@D1ot;NS-s?L)}I3QG8JbM=X4EvVV4H-fPcV9!$C> z7xjyFo^G>bSuJMxd^`UtvkdR>?tWQzAlY5s$&Zf~{<<;2Z#8ndpOeSEOw^vGWY0xE zufGysdM!bEO;Gf@QJeqSPZ1^`;bu$*6vO*dpE4>mGA)jmdFMS>16gwDt6E(1<%e%1 z@Th5(VID9IbqR-pvwvNsHaSKl%e0bayi+N19|#M8w3{Sdoh9Ei+o0K|%CD7!nRZG_ zga)%s%rn9OJN%b$E3Uih{5A&mA~X|WM$-P7V&wKM#Y4Mj?;nE*g&`k}=fklMSF)MD zGq2=}v1{Yss}IOSW;A;zS#Lyxajtx`(E&-j%v@A>qLn#So_|LuhP)d|adbVTZDZD* z;mgrO?;ZDD6s0^teKCv<*jGE(w^g-miDFdH__V~34)hawl#uDc-A1E9 zoSZUNh^{QcS$B0+46$D2dDPf#qPuvFl{YeKpK+FyO`rJ*S@yE2>wxo9SbKysX_`7h zRFHH`?g9zIw14cc`V!wVdm-w_Kw%hk_{?i5XSvlP-(F#pB_&?G5`0*@E1$3J-p^eF zEoV^cK`Z_CRgc?X2nrX^7+POHQN>}qb4FxT>;%f$S#@#^z?(C{=gYO#0+xAqpmiCmaE06 zc;SvsoVsj_c`pjmAy~1bm|5fEySSf8e7DiML9C|5Ss1HWH0{gK#f0&J;L9>+KEL%D zM1OOFnt%HD7sciGTC)_yYzY{q_C#f1kgLe1n?j5noW=`qhGgxlLwvE3fx>Hu1t6GG zCw@_cu~rSf7iq|aoyEZhCM^CPNu_B5fjCWrA2hXYm|BftfYZ0fw>1n%dC9gC6Sir; zD~TbHM?bsl3)}nLh)g6$SM<9kvj4rS#*2d>Tz?#tee>t*V7aiY@HBIoHfc!muL+{^zgWQY&w;t%=-u%VP9hcA6Uut4L zz4DUFEy_`6ZaVnh2Rwg2nZ_H>t}@9eR{}|%lf&F{zvsQoA_$>!y_p1DIR%VM#nU$E&9PN!T)T)KE%M(|?!y=wW+GY+@Bb}F#~U7N&4!NY3OJpySa^t7iq|&nyNnmvBsr zQ8DA(4E*H&-rl3)D}?&z3A8lEs^M<(+yM1Mop zuXBtjGBni09FnebR(!Zvt+do8Tb~6#xR2!-lVKR?!G;^pqKgFy0Vjk)r446Vapji_ zL-j>kb)_X#S_Df;+Tl6y7p}(G%r)lNR^yMJPqkR8v{W)AOt88Qj*~WW zJHv{HMXT~e;dUW;B}pyrJvcnbU+P#XE_*8*Rw%|~5K$|?a3%mO3cUbphxPf@9xbXU zdl>D3oQIGI@}&h#CGOT%6Qm*`nvC`*tXy)2x)Ohzg*Xg5%g0@l)ZN^LT^uZo%%fWuJd;k8k+$J~b zyl~tCNi6NzNU8p`H)pw6J{xaNR~~)%I{XubjyZd z-MVUwm*y3yJ6Kj-1s>+SD}SEA5|J&?lgiZC8ay%s*N&=7Dj$wV{; z#AML|hv>+n72J_w5A3#xZFkTjensJz+r6?Nxe}QRnD-T;;;u+epMT*I6gf!J{?#r5 zHu%^HU#`X~gSlfX3*lXe%xgse6YnC{_EI(y)&J%DaeU#pYnO@q{&*i)f#CM85+la@ zIF#2{hgbq_T#1F~B6jIye4$Khkc8mUl0P{j!Y&pU`DJb{xeMnkx7nT}OC;C-1foE91}|uJP|*(& zEk&~mEHFk2#;E5?3Q)v8XUK|8mR1usd!^1+R9hjg3`FmLq%E`cIZ^DC)q{6r)$^s2 z8WGyc!aR(-a~gQY;gLMySlE`%t)@H*=8Zf2aFLXwBsQEgiCn&$gx6W8 zT6ao<;H6s3qY&3PS2bg*A7@T|B~DCfFkM>jmq=aK{9|k5OYWWvKlPWIW2#Hyc`!LNEuP|r zzF@)QKwh1n6o#1(OoXj3`CelBiYa{}_&j`wdQ@{DDC94uh*x%bTmxPWis*BKz zKYwZCbbpfkDiyAYj7YT`Sx!f#iulD6!%+xJHBf6pevH81ZgfPV+@13_l8-~sK5eh`yYo^wg<`@R3^ z(_7ysv^^0p_iubUn5o7sSYJ^C*UMDWikFp#tjIjpm)JCAAuCeLxeovJWHx&z!47Rq zK~^Lf5&UF&3J>hwW@w>M{;(8bfLrY&N!gded|0A3l5bACMd){n#G4-x!RSnwR` zv461e+|c+9Uq79m!B}rq9FbOCqn7?O29Q!r2tGJnpRJehvfJt#C7Dr}=Be&PLtYfd z?H*Pt)Av?2F z!Iq>efr+N-rHYW*K^fw!aFm5`N=&zlZGZRQL7c*Wcjfbj3~%5YSxNDCC4F<`@`qwN z_sc32MAto` zG^ikdCW#G?tKdCAjk#@$vQ$mmn6$p0A$O+jSSbMd1+v$y@NNne!BptMnQS1=XMfYm z7D5u>vfCIM?iSKfy&kOYh|82IlEU5ADoc>2b)>%1Y&f2gwL2p*vKI!5cza(Z4NmB= zt8i5B*n#P~1Jh$Y8C6=DVNCa?>UH?`w(JUR^IE>%AIL4ew#7u zsu~mG|J-Xac`zFE|M;3W3tp*yn|}*Qg_#`jtjCjOMPV40v`&yOz+W;KqX%Zcq!~G< z5hbUp^10@~+R+qd^Dyt>>1rv@8V}6%0yRRGUc9jL-aC;+E+$=S0#r;@9 zQmrM6jqy7pG)>`z}92Uj6b#VUzLJVWMwva#JjsQnTcvGD92kbUKE% z*lopb=*4Q>4;6#8HB{L2e!|RaJ)X<6{lntmaCh%(%D#3EPTIkAF@G!sm>}abQy3JP z86sXYRP+NWL6^((2eoYwLLUJzKvU7yR)Ps%|MB?H=w4e{ZG` zY_OvHSLW;9@++X zlq!n6cv&RDdBp3GE&Qsv#d9>e4LrGUm7pll*^j{w`H&d>mVYDhHyGepCJ=p zXmw|EPxy6&@l{ymF&tmaEjfig7kpYGIx8f&CsRIRxt=NX{+xyrd!&WQU@Hb3W+GwwX)Raw)TRdIrzUHrcX}B z24DFJx9T`2c_T}()0AO#L)WNW9;i4^mm@Us+)ao%aDV#p*$Te^<$gmuQ47fCw`1 z#kWOS;(+aecq<3>X$;-?l}JNHHEP_@jK>IX<8XYCMiq29De{LBP|uPCsLo-vyc9_i4QE-uawZGAtSgw0|DYKO<_Nkvgm zmb~a;#eZu{-e4r&o)GJyD)0)2T=0AMcX<_q@d1}n_O9s`7I(iS@H*BUn`2Y1ZrPmH z;rl{6+!OK|6a^4PHwkpppM2#j_@AHM`lm9gWNEh*7ukWtfxBFGXM^|m06<(4Z7Y*b*_PogXzi_oru8I{-hW+4?aC+b9q!8kN#f2F$sfCmIIY>k zkO_Q$K6+-i;um?NXu+R7+x=E?fB&iGUHN7ikv}3e!qIWSW|Y+=lt`$SKU>k(;-YX! z1rJAl;bhyM?(OiLd}DrwX>~38DW$hAnMgZjU(K&yqPNIVr0*ElJyF@U2PIyMhM@cs0$)w1Orq&1k#Z~dPW+| zt%52uoF%o=DaW&bRosaucZ3I6Ld&Q4X(|nUmRStATsE09<2yzYW@nSU5pt7wFxBQ{8 z0V_J1tkjI)_Y#r>6>`aX;gLG(6YHyN9w}V7_6k7T)+LVR=(rfJ z7Hcd`QZ-`%S%Nayti=GaC^{-5)qm+#+J~q2!&^U)_+lbRK|`Yph>9gxCskHZr?AHM zJmC+>VDN@l%A(4<1a=Pp(k0&<3vdXZrRh?OHq=o2!1jouhr38li?RD4GqgdgUsPKz6EVK^L)jWDq7JJ3`*(RKjZHuYb(6@z$jl z?bOKK&gkc)-c}v&ttV+n`{_9UQ`}~AM)P#t1+*_{~+}o~}Qu zF5r5lA)xEypmOQ@1DT7*M*QWlL+Ium9PU0lbTZx%8JB9rNFKr^D2AS2IByua`yc=G zytJSa{ea!UNRGU+niAdAv42Jxyszp4BbEwA7UAcE72O7+Nuwf4?_vaF8qFlxq;biR zBS41Dn!zzF)0`fvPWezdEOJ~C^LVT1*wGrtt0}g|{ec_D2kxRNYPhlG3NmF9qgDAV zb`a?h2FPZ#U07it`xPQ9istt9LO9vh9 zN9eG)ER?Rv_B529#(E_g@69lHD4R-WiojJ-$C-r#`zAcr>0BgAjrK_1Ao3 zIQ-{?{}W`@0K_d^9fwuFCnc+HPLFx7(lr5ILc*845UjKx%LLffs&sp*nw{dFAh%jU z!%9d%-WJs~rBK>f`+uMEtHw4Ul@l6SeNArKICP|;EPZmV{>OaMkl$i+h-VP%1b!wrg`}*ai`~{t@3`2WUs_aouT&- zGU(EjZ~zf@TVGVsg+v#VYevISE3u`aM55FCcRytyZ-4kd^uzwuPqk>fi0fBiG1sR9 zmY0@I7HMmRV(n1X{&QZ>a%%-D!xtJRzi5|5f@TWC49MM;@THml3xhF@&kRh45YG9( z7e`zthD4|9l>&>ypT^=AUKAY;Uks0&%mmNCr@$R@7w>HvmBC`*>8ZX`>RiBI+(iz_ zCrbefDSz`oX0p3j-#RPofn0IyFgs|)#5FB}bc~V7RpNBofkCNU5jg^mm*(~oKsXwYB{p@2`N#2%~Z!E4~pFRxnyBZ6AHhTCf1XiFG(r}L>wIEFF7jp)y1Bi1WP zw1~c|Z)u3G;fEF z8RB3r@L#%S{C(`2>hb0+7we7e`t=;S4I|fy7QNy+pWDFj;eKmQ1#8+?>XKTeDa*xh zy5dp!NFN@Sxu`1=SSfL>z8>h5cKKoc%#%&CL0!U+UY}sEfGCZTNYNl&tWEUUKf)lQR^w0h{^?u*b=F~TTDFd%}4&u}J zCRLCCY+w$66Jq67SBPFW?o{o@4Uw79q70%5Z^n1-@Jlf|hjcdKyKJQJ`h|+nW|Y2< zpO7`e^HWrZ;i5ksuZM;NL|y69DIG$U?SG0$E2&y(L3iXb&#|^vle2y@!^B#qTy0ZL znwmW@q&xatp$n}6yRGJ4&BFP$f$X~w3SW58dO05AlajE}PSEr5 z&_lAo7Tk`GDW9t@zX8nc4A_)HR77STsRWo;w9YHt;FKCRz@!>Y*=3Q!VtEXm8-F>Z zA$x;>GBOi}7SL%_lsl%2(lbg{BagL$Mn?DG4*zb%3Ala7o&OTo>?b|3EWd2qbX_A8 zQ8daO!*Mtl1+8iw6g1U3d8E5GbhTbddoJhrj7iiyzt=X|IZB zH^`#)(eq_lDB4pZ=og~d&8Q52)^c@f;xiXQQVZky5oz%gB9E*3f>3{B#X^mlLYmBTP2Oh! z`>Fu<^z+1|tE3K2ms-T?!j!_-jX$`i@Xp&nVW`0zLK73^&h!Xxo{wR$l!Mo^~?n@kq z)qHBud-Vf@r{Cal@9}ff(l^im$K#D&Wi`wZu#z27YUNtCvX?NGh`f(xg*5A3JyL1t zx)%K|tfX|9rgdwr;eYNbYI7u~`lWQ~Bxe7`XbUBh!^&L_A^XQ=)uw(-0*QCMf}^=q z<%Pz*#zX9vLX-EthGdzzIc%9IN;NL+Hr(m(=?`LCc33MJpD&6bq8H8e2qmu!h_@B9 zJ(G2Hc<;ThSOr;ogghTOn+ntKxrm_+J+HBJs}?rTRb@5PM1QL_AbnZijD)}y_Qz_J z-}&(X?a|jqqK10^&1>M6k7qZeWa_WJRz)Y`0*K9Q7_C4MN|XsLYim+?`h3M#355L> z64n=la47*lUpJiR61MSmgDXA{;0qUS)v8OF+>!#>&F{WKS#0ZwlIUG2yHV_C&IDd3@5|>s3Xl}^zlW z&5lMVzLrvvd?_)8(;S%@&Tj^lGu%uiJ;R|-dESP*k$+|7N9%Ea=zo0DUu`CG?eCrR zSJ5Arv*FDXH=Zo3nml_vIniL84Xo=w8NM7&#|fGtH^`UwGOt+TNTZjio4okaEd6CC zfU}8U8gjr;#F)6C#~VKp+{)uc^1(>J{o}}=Y~^~m5&|=sD+1-5N@dF-uUlA!)%uz4Sn+&tR z0$o4yTQo8Od_|l?c?WFza_EJJj^lRn!SI&{FAo*S4ZRi zseeA`0zOKl`8s@Tt7{d!&tTWc39GoWr_ca(2#@=Mq&>bFEfB1><}~SpvD@z1WR3cu z_m^LH#qMJ&p)ZD;)%49@dEIx1Atv~wf9yI{^e-Lp6oxao>@OKL+cjH-M#u4LWnERt zjmm9QFuygUy9pedTwJalDfCT)S4r=AYk%u=lBp^UH41Ox3zoA}>;fn@s~7Xi>xtlL z!gsMfVX{7l2f$cF{M!@FRjU!~=MjqGO0D_WuHZNIN|g)2UCt9h(5H5rL}!P_P7(!L zmsMI0Ump|niw6G_QY!zk_9E!30ucx{Xb8yvs=0Fi2MABRG|=)HZ}ctdJ4IsG<9}}* z(`FNHTv+hwn}7M3wsFX1HL$8`?39?35AVoE$hfgjK~s!iZ#bDAi?WN##WB^I+o6fL zF^9KUDj6yTdn&%M`>g}f8)===gU1Jl#qQqT{=os07~N(8d(jo#U01OLgU4+|Y{UT= zas^#AtS|5nI5_d{bgx~-688M#9DgNF0a7EFF1wGvc7Q|Wkv>BEbYC7JW%Ae|$eaw6 zT!*!J$&)9<9bA)8T`-OvyQrAS&cf;pe!cO?loF4?GNqBPb*CU6{zhLHJ_+lfH*(}3 zqOaS3S(s@J^R*A6VOIE&@Rt{Km;CV7571#jW)#@LvGo5Hvs3Bz^l}+g1AmMbmdnsC zs%o#W4?QevD9eHW{}e34ld-nH!9vL*VHs?QOlIY$!^>^OT$G##Ez2jn`qGJfU@T@2 z!w(2*^XW^9Vb8~Be2BGF3$|^4ekw&b>$3^FHb_^}$CzD^mEi>DJ}R-fh8Km-&gWPr zcQQHJ6syVciPkL5`o)^C(tr4H>La-1%k}Jt>rNG-D2{r*K^5XMlr+{SJtJ&Th$z-P z8}t7S{|I}6A3VOl|4r`e#Z!TYZ$5M0tqw;zrOb_*T_HQir@ zlXW`(i07K!YN^|s%m(~50thdZJ%}sUsM?m+B2?sJV0<_qg)4lIWq-A*whgQGw^^yd z`aLh;W%TQC4(kjR7>zIBAl*(*kHjOYi{`LZ8WsYCEl5wS?O^R>D5?#DbS#!ZL@L*8 zhvyXfcBtu6Kvsfi@N!`Jmk2+0MBT$7c zRt!@`GC8C{!50wMe(@n{f#+&+cxb?ZHq-MRwI0ut@E2h_or(gMIOUPFF$+g9s`=wN z8U#I&Q^EM4T+B{RAdduKB5MZ2uvX7a1o^98KpyQLd`G-Cu}u3f^*Bu8nPAZ+t?l5bIEt>-AKm)A*nxOo z-aR0d%ZW3y2`PFZc1~aF@fAYLPvuB(1e_It_&-O*N4)O$Cdb)`KQ%h6#RCxywqo=? zL--j6W0S>baDSvfNW_cx%G(n75%J=nB7mRG7$HyW z*9%Q5G=Yq^FRR6?zci_3wIl>KJaqES~Bime2iEf_h>c_Tzr2Z~ID}|M}XlYzG5B~GmX)yiK@1o_AiI9YRH|0sXlSl^7-_bt|mF3k{~NIY}yE-$wA8>oP~ zw=k2x_6^AqdTTH<6*K|$Hin3M=5Y&k{AdYCtrE5aDBFj(MTG4JlA>S?}QLGIA1gj z4rRb94NqrSz=5D>HjT-c308irMUCYtVMp*2B8yl^Zn8OQE z`J6gGfdJoRTUpNrTi=?1k z-~`Ey@>U2!7&~}GJ2SU=!KjWfwPV%}n18=q_s-!*`Q{>IPC`V=JX*1JQe#tItS^A6 zgsRRk-AZ%QZPm8$M;uD=@dIB+5zHo%QjJ1XhGzpW1eo`r%!|keVe=^V14g=55q9xF znjXYBKT`a$C^boO45@Ryd;?URt>x4vseGpRA z254c=jczltc|{+du5%FsthvTe6n}o299#~zh^aEJ2Z4 z*k%9}L4veXIW^!mFPCG*`1a(pj~Ci|PufG`K$Zi*xTcnJ3dVJ163mxQz|L&W7NIDW z>NT7k^f6eKT6}Q^jm;`?#($;NCR|?O(m^5OBNuoFBb0Dl{g1FRR4*Sn$30;lD8+q! z%#fa9+S0|)o6)n8Sv`ap?7Sgj_h~>?_1Z{d!Y5qt0Se2>iNsuFS(3yeCZF=Y9gi>s zC;oJwIH`269}fjuo-vlXx-uJv%Hs=>%-3=zo3XtcmLptey_d z-wI?xdDEKb;YEd4Dr$Fv@$k7pzh57RK0;~fe5eHbKSBtHr{a)@T>4>;H_e_z7V_ND zqBwAKgdmS3%-?Ufd7Aii&N@VKk6d#WI^Qbu-ZUIY(RS?J@;S*QVe}r6)-G%_CcQUg z^{YlRd7G%5!Q|Ux1%DD%DaD@t;&da*T{flk1vS6|3t89*4h&T$%HQ7lLd9Ji%q)pQ z@)gq+zx=r|Y9P|33wne_u$*R?_|0NlyeLxrJAQd+iRRBGlR~jCIT_*SGb!xL9#_3G zIaLmhYXVX%f~2vhIgqRYisA2^o-eOUG9!p3V&9J)plsFnmY zjC1uI&cU1wv183qP2#&6tG4k9doii7q9m>|aH3m_pzq4Xt(Oq5Csu3_RcT`)5J>(9q?D|jgcQqU>_J$rMAJ*grh^$_hKYhmxFFcLfN#rW~T@3}g ztm1jw#|L|l?-vhu4-Vb(&=e4Ps)g1 zX{_iZ!%S~yO|PT8K3pUKAysL{Fp+_5vQ&t2mPOO#czi{TrOvAybmdggbV*Nt94gc) zMVc#h;^?px`|!5t`m(L9_IE#t$u)2?ge$;ua2O0)=}kw)M46QtNRpydf5BIJW+`r~ zVjLFiB!7e}fak_l0v7r7$)F>-9LpCEn%h!lU=_C6xTUnbExW?H7+rtn@`Ch42}^l7 zZP1w`!1C>|ZEE96%J(D96Nd9Ea_`3_9dACEyB?Tbomp(@NcX{AjUi-B&0xw*5`96e zOQ16jSQ<*XS8%D=5a(MLqy4$i>0)y-R&q4hUVqxwU@1uq2{HfRn6IQG&m!Cv*Gktd zdy=n@vwxY4#3YK^OL%BFv}J`24?kLkU+uX&AT45K8(gepmdh8S7JQP2E^kvQZ;NJ1 z^XRI@IF=#G7zx}s?@%~{vcH}#<`VOl$+As+B$~jLh9mDp9L~@yGm4^q#0l@JGEE6{ zt$#-TW~bg{@;Ju}3uN!kbJ;N5$zCF_MCmN@%5oQkrR1yPM5tAfd@9j|T6`C1#f}Ax zj-BP`dSIcHs0Z6?6(=hywJR&@Bc~Zf7SVyhR!yM_H{f$F)=d3c^K7E?#<%2V`c~MR zw@izb%KqdCNvu$c4dsem{|t+Nn*3Jd;(wpsdaKGIO>G(tkgobmtICP8ujwOfC zrgc0*WX|`IizBte{{Rin>VuQVURSYLxD1&Ya3HMGTq%v|bWd#aKB(<0YnyaE1RaC- zS$W65wDtR{J^Nv4F%5gVWFAM^#OlIm4EK09i-kLF%aDTH`LKMb_$c~0nP5v;a(_XE zeBxtAp4Ab>y7-3F?t0i+(J~~uD>J(o8+V>^yDZb z7d!hzFdO0?Sk*q=uWk?BR|w*Y0SsQgf(c z?L=~mo}6`Z)==4+yJisQA;g90#awNWUNlxYT4*=;Md@^67cWv-Q99p=n18h+gsSC` zPz_mXXSuO#J7Haom#*GhJFa|rOLQ_ytymlfN0YGJvtVY79_lJKA1_LJjJ3}TzVP@- zK}ikzzmgBe7@J)FYW0zIj8UZ{1blVLfO2D4$gUtO6!|VJjdlk{7Z0Bvve*hOx8|Xu zvWjAJLM>QcM+?(vWpY%UX@9|7$z|q&ETTRUr7+d5wuZ(V>2*tkv=-ud$Of?%+H2vt zCR<$LQVeLp%!~Ws-x9sl05+B6t#I=cw5aN!TQe#Whm*Uz_ zv?D|tKvD?(T=xS=^c<0+!cmuk3^5bMLEh7COE74wI}G4EXGb$PQ-6Amn`x$iUkmrk zh4v{;)6t-qj*q0Yz63fOD%2b6&@1Y}t@t~t-mZi@z*8Z(>ge?y4Nd=@yOKC65e`1# zPxArER10gZuhsUJi()^&^%GN$pVXgLg+LdLrEsAB;$t9s6 z@gVt2DrKz@H3EvYvVYPXUA-jXwbX={A0drtO1uddEtk})NCyN<+FXeD0_X4wxAoL@ zW`!a~^l;EB5apoNbrEuFrl792My|H7Eh#yx;BV)aFi~b#B4h_&=cUzK2)-!kTdh2* zDz7RrGQ{Okuumr8%l^iRd2O9kwg9SJaa3L8lP!u#%3QEuB!8T3-odB}g?QB^9(_IH z5SKd(;U`=;prQ-|3%w<96!O^p`O9)~1okN%&4PI65kX_cF3@9@MG7v%uUU3YnX7Q` z-j|G!!;XOcr`9*gcuXfqH@oT!tF6y3pD#O%S=d-Z@GGhyC#c?f9js|~ZD4dm*z42T zSnzk|+NZ0O6@M7&;Y1SVCisih**L5)DeaPK`&>Q^&ri_YLI|2mmF4qVSO%J&rpmNY znqr9)6~~LsLd%0SQQ;F3nS4QeM(6tcKt3{$8bo59YV@6lk{JdKn@rHwM}_iMFnMy! zV5~7%7i(p&9BD+|`dV}{kD(ZLeV?BtE9wmaIQEet5Pwce7$(m?@tZ%u< z!ZHseGLbR_N6|18z=8FsM!X>imN*7K!owoG;47t;a5qn+tML@#Jz$qtA}1O#sOGkf zVhN&IHCDo~qvpq6)HCG)U<5k|px(zN)gjx{f?=crDj*zw><>g1`7@#A`8GdT?@&2& zEIy*C@_#UUy>afL-V>8ri}_>*AZ*xF!|9r|9s{7Em*aHN)?=QK(?Uk-~GrBxC_EJ`*@`3egQ z27e$?Q^Jou;Z}vvvynaN6v)U27MHepbP9RQfPfTubo_1jJq`~>C%rP?NFUeL$3@%d zBzAT>O(ix%M~+n{t()Lbk0S!X7wEHAu8$y)1Q{eG^%9>3>#!r|LVKX)NAzz zoG&k4Rga+}d}$dl(pVF4$O0cRUZWlOB7e~jaC~*htHX1xuWV=V4Q?kG7`TCdzdzyk4<@jL|l+ltWyLZ$ggC#ypjxl30FEs z!qo_=J(Xf=iB$y?MX9)lQ8?L5FDW4==SSR4M|@5gB#aV@=(7~NtqbW1IXDK0^nY)^ zZU&3d>HUe<9YtuK>+`zNdPZ8Lil{T8=WN5p+04J0Bh@sH%<{26594J-a2a!1ejLn{ zRdAFswdD02C8Uu$UXQpOnZdCQ+2Sh_EM|cBYbX+9R>W7ML2ScxnB_OT9X5$sl@NARipR`LkEaa)w12aiqG&1ox&p|DqyOkws&ccb;G3Fi!z547Sk^D5~b& z@L?-cy>2&qf9a~u>;`$U!~Tpl=)ZXtl>(QtwF1I#={sKDp_7vZO8jilunc;2Ak$Sm z(EoWcK| z0&nuKD^V)?)z=LTzYCqX1TB@vR}4-E1C{$TnQEfq%6gNk5)r>S+q^m9 z&ytr(Yejg3H+eZLz|?$I2xjf08X7ReX5-26nfX_yXBt4zkbe^o%pm++!Np;cIbP5RDk}oO(t?8B`AAn+Yeo#Z3O@Rx@Xw7VQop7f-{puCa{9)gd^rPI+!2kmcZa zoaXl(yAcyyi6Qp_tRm&OD@+lUC8AgG+!>PQTp`!6AR{eEUTUeGEJI^yN}1%=F^4#m zlUR3$m8?QH!R42JeqYz*O?biEz}N<}@L;wU^oi#2Gk=9Cta9XLc+U=TGjIi#gSy(i zT$ffe{VXo2Kw-i8Np%b+_c262mgr@qzI^oxHUWs}fgrHxMH&C9LKXazB=C7mnX(ke zpu(#rL8!&i5idigQ=PU^)5Wemok-vMD?=~WA{P;Z9nl;0X`Qg6yw^pJuYl)db4Ko9ai>;rVM9Cb0YE3}1kMD}L+rgQLg#L^Kl3{HV!MNd zBQStEiGYVT20eG@!xI26?y#Be7<0{%$MozBiR=(vi_+|3MI=`KWEk2yT>~fth_qmw z>4ysrac6Ao8!_;#w&ebhbraXF8Bt2qMSoc=N(!PeA`q_!v&RL$p*n$H%CN42S+-1m zhiky3#4%iNl74{j1hOry!@U^rmizkM*|)U!;C?+YVD6HeRvImM;RYi%UuCC~B-0p7 z#5Kbv_A#AxSI`ix-&ve%-WAe**G*)X0KIs^?yW* zTqu#pm&9vbA-ufm-nF9M-T#Ad<+u zInjP?w>|YTf-jN_c=4#c_aW9AE^0$F*};udlG9K-iX`n8)ncQjhBU(Osw}3U)N<`l5iAkhGEVKskVb(*MH@v99cZe zpkT5yaSlt#cD%o}!|e!xzv>Rxt+IFxbH4^EKa>P@f>>IE}mpL=olCOa#`Fy3WS%A9Pw z1*4IqP9Vc%DyYDNRuNmMNnF!DN=4+FJhKKu*^m6Z(0N}y%)cTB7=IUB`dfP6dn@wt z;U$Z3Oh^lB$m(Udc%(^n3fm)%sVD)|n0>cgtTQ34CqakO?A1ly6HQ#t@K6n$ejIgj z-dlth+mMdJ&utI2?SK}`3Xt*vU{)waKfr1qn^}k^fUTTtqxT;1H~6exIi1)Y80AdX z2EGGZgMtbEaRP{`9)FP21@6rD4=;EE3Bx56ak$AHN4p9CQ#R&O`2&*qH&V0J;Y-Kr zhlo5Xzrg}yEr>DPvhp)&_pdRomZ))Zl_)`?zs#c9sgEp4?+`fr`55w!H0vNfp+Lrt z#Qg6$LK_|<1!#n1`xun*_A}Currxdf9c2Bd)gUAF# z%g*HROuk!NW-uA!ofmJZ93~k~m>9-SjUPK{9h+1PvBO=f%RH5Iw?Fo&@pfGZ7bz zpH~tu!t+mrZCNmC>qAH0WpBcMnY4$lM}O=qnU)oxF_2@E5$AoIB%iyf5D8;g~tJ(|3?b* zIZRVcWPf{Uy3!1j%^4HuVv+=RLI>+g?ORp=TtK70vQ)r!USE4N#0WdtMjaw!f*3PYqrx8g;Ef!|`O+t6#C3KnsmohWEL&_DJ!-5U5vTZ{XQoxz- zsEGpithhf(td49`$i|fA!U(QQVd(>ML%|v=RiX3>JKTSGMHovbhaV7hYDvo5?5Y-Y zbA~N+Vx3XyVmO~6S>n@T?)fn$D{DjIJvld>4>8lpCNZ_%_@C@`zLqeIG`kj$mui$t2NS=1Qh3Hf^~xP>NRx9kO(s-I8T^%;`tQ z9x9lV3|>xQs>V{*!J6DP<$!aC2c$H6bes>V0?Eb7x6(|UhRCsl#<9pmr7?k4CI=!` z7t}k*>Psq)F#k{qVp}107?w$6D9cgE2v1@y%MO1RgEod@?VQ-83t1{a3D1!l;B*P> zf(MNI9dMX)Dq(Z}Nf@9_O!OvkP2g=Gwo*tMB`Q>)K!n1vbikm2cwVd*wl@sa1Hd?W zo6iNvU3Dhib5x13^N3W_2sK%8LPO1lp<$-th*Rqkp-&x`c%_Ace`F5hG!UCBgpE^< zayEYek&+~;4W^e^?*j%7`VB@Sfo}KY6zE?OB4$gLw*yu<69W%bdgrv`&$2Ut1ju|T z>uwRbQ(dp_%_}-Qk<`%#ThEvc)y69 z)1Kq+F!~tZjis|FV+#-}kz_mw3~xWZb|A^=VsygK{KUg#9J~yPE67aV_by|Y3+<`+ z8*{-}7G2}BuFqLlP7>KYfOgn}O&Qq9X?KCn0HA+M zrZyt%!W@yc8z2n$G9p9tt7u605u5-3W)Bo2+uy+Ut(}%41Cx$hlg51s_Dx>_bj6JY z`BA}Gxc85ig^jCN2p}t=IX*9gf`WEnw(3!B1CCZ{$K z1&y($z_=V>`C&4LB?TfyQv{gf0U$86>P~fN$zTIUwz9)ym{+H14eaj(WmG34C`tfa z{sY)wap>L@wp!JcE1gDDQF$sqn{I9m|gvPyfb>}*^gIr_(0jdV>|9v)?4yL^A z?eBIKR3H1au>iSApzQK)FAxMzF0D!DoC)sQ3rUKzii(Gqmw@MB8g;*2%G^#p4H*uC z+OjCjL!ul!WmyXW=iGxokQ0eqG0@(~D>yS>3m2$GiBG4~Df+K<+7^EzmMv#1nhIwAaN2u&RXoJ&e zyn=kg3@f6T&g?*lI~#vYF7C%F(~>E(Tj+P1G4?o4??8T?&VX-$O&1^lNR6OLCOhdu zT`Umnaqsa0*SUvNEi(r4Vo`>m;9;tC`cg4%XNE;GIgAT(1yxTqO%F#8jPd9%#+o0NI%sl{=%;v-S zjHQPU$})1Q0#^Ey8z}tmQ15bjrW1LRyryjydG~o*|89;iK@aNxsrP;PGUGegq*-Wt z1?c8Ul$#QxG1qR2*gkp4R3gCzIulkz6u*V=BoekZ96W9idItl|VLZ8R;{|I<$rfIu z0by5nInJ3PSZ9CIrEiHa5zIR|k#JJIm79L3X}JdQK5R0W9Ht&Um?zo1?DU`%pnfQw zz(RXB$|Vt_GGrQ}r7PJykT?7h?dKn4(v{spl?&b!?;z3ZkpDK{b;o#}AU%Ivb`u|YSh3=LKi4jT2o@z` zLl6?ew6=;P-I?%uQD(Z#$rO*#!O|qaa6-=e(H^NQh{|5+8|EeK@{m__h_`V@w)f}U zX2*>ZB(r$6(Fx**V!`mRP;vyCiS{|D7{w2nFFfvX?!&!Rt=R25=cgq*aXOYWz@lMy z1XiTd+t7b1droRI%j}*!tQ`3sByY1OmpD5P<{#5%6}~sL*TedBI1v|#N5Miw$yc3Q zTw*jy7KmqpHV`@LvOl~oVj;DJ=mly-p?iiJCt(TJ=iY%(f<5d}q9EI({;re7HN4*y z{dj)csX>#Hf~|`yGj|olCOZ>9me3*l{0WcXFY|vs)S_sY;NQ;2gN%C&C*zgq3@I~^hu#l8x!gJVGO@CKO5VIGD4`s_>T8`G?Ipy7yf@{c{p?svcOhEnEwX>$A`bp2dYQcL)+@AL zoI`(-d$aO(H~mD;NGy9#^*Ei|&~C}Gqjj+}^L6^TPP)@m^Gl}!@cvBD8zRe4HFT4l zf?XKLDFmIy%TMH#&weE!1h~9iWuYqigWDZmL|~e^$`^ZWWzm|Saunh6iam@UxOl#D zxxIcOH>KDYuPzWm9Nx2@W=%VpWEPiECaZr%qRQnj!laTzHRmm|o3Sc?Bi1y%P0H=W zwc_sJ$8jJEeWSE?hB6#7}os0ea?xCNp-eQhwxiC$+3S2 zKGN%qcQa3aBt)_KpE^U=ybUTwrkUrhj6HX@L(lSY;*hC)4wx`0^MxW=M3I}^u42HC z>wre;I!lH54Pu1o`<#ixuSb**NwgQAGM;?q=~dM4%`{%adljz&Qo!mrEhPftq+<}i z$2iS$c?X=kjIN@OOTNs+F~w5{JM6?}4DKHZ_BQ{}Vf4z4P|l>yu@bGYW4nrWEYCB%(aL}G3~PqE zjNHYF>?#_O@~6bKlG8n79r1b)f8;6QdyJW5fBlYcb*b)Z+-uw&s7S`mKvghinvqa6 zVOFGri9la7JuH)~4BUg*Fc2|e3duk^6%Ih{8$dUU17M&5hTQ$uedBMn4HOJ5z{q+v zpk$r|qMprjwen0@@2OvW0^F+W1xLK>=OE z|0&p=#_eqY!!%FP1{;IgPC=}|Q}oiIa`?X*Fg#2(V3>5&EC(@|)C>)i9|ilZaaZfX zcv}Nww(oK|2@4D|2DF|3h$2-aDljTm-fDRo8}t>E49;zO? zdA~7G4UJkmC5n58aa&u3p4tV+rZfdz(5_AD2dp?WRxPxK0%LDMCr_6LQAWk0cyMG< zBAF=)nMLM^ieNUKi4K1^{YJk`QB^V{!n^TQ8)Z|G5)((FZ`kOhHG|t3QIaI|GO^N6@mY*=L^N$ zRAg7CxuFOR^AAOZH+~y_wM5lC^i&1(@1EN|13ZI0zw=ah+D3n&%<5xznj)%-M*~cB zUa@R)2R#y?Gwbu(+J7l#lkDZeQ^qN!CJ;q&yqqiR4v&Qee)#x(>_ArF+ zVF>PF$h}qO!25lD&`sDOnP95i%nU(5z7l_N$q;mD%uEBk{bNok#hWy3>|T|4PtLDZ ziZ|s?+uv7-H*tU4DW!N%J-)v|CEln%9aD&ozcQ#f%>b=_vacYtwM zo_MinS=5a9;=y#rOyz`LG-sSH=;^!1>w+Hl*J-mgK_8!3uM7HgU4t&@V=rH**985^ zvQhIjL63hPb77q(=(%$rS+51Uf8ohPV`{ehy?u>aEa-?Ciw)@)F@pnANth2eEuiLv zTRClsO1ML>ysZ+h=FoPPaF0znt`ctk%!el^ggd%#f;zb07OvX3VlIFiXbkAnbI5&Z zGnF24`v9KwiZF7~ulfyY3HY6b4==nhZl~Yd$LN1;d2Jp@Rs^G=K;L9I3lo#315UJn zg%+^U0t9VX;lXoHj|XTW<98NX7LGO$3`MfBieMaYjz2go6^!%nso`Y!E$eK{%Ck>i zvd(5N9re^D>#XkB=u6kx8!JCwe91aH`^By$m#njOtH!-_$vS)b!aGYZQD^rTZa;LY zewTmW+n<~cVY-p@l0WW|$@3TMz+E$b^rGDwaG#p~ffn3pHHWp}ZhYgYo_VlrV zsRMWKsYP0Fckf=J1$Xs{)mm^rKebT{?$q^fYQa6ea<>-T(^K|q!L6=2s0DZ5^GAQR z;C_5;L7fiVr)I34qXYNr1zT(OX}}$~VY?RGS#O=ug1e}$Zh{Wn^$T}R)PcL{n-8_% z);;~17ToD4&uYP)y1YpX?mL?0&v-vQtp)d^h9)hz>#J9cYSe(c?C>jE za6kNV!+9OJwQKil!QH)85ANpOpJ~Beb6yYbs;y%#>cD;U$dg)dr!Cx2zh8ex-1Pm4 z&*{M3zF?jf+;L~0Tc`tf=b9~AaKAbJffn3}XWx852ktZDY8UCi{nNfRFKQX@x>vQ} zo|t)bu@2miPoL0&yMO9wEx0qjs#~H1_oJ@kaPAHrxZ9rG zyGsY|ORF2T;GSHyVvi2oEgydz*Mj@%zO!0zUwUuBULCk^K6{}_2kzL>jbG@%ef`44 zFLmI)_2kLX2Xw@bFN}Fq2X5`wr>k|~?pgK8JRP`;zZze!1NVt%zkWdnZq2cpMLKY2 z|7DgI+%Fcc(t>;Bz^httm#vz#SO@Nb88fxuzV+PmT5!*eS*ith-BW+BYQa7D@&+xq zN9Me#1$WicTeaZ6Fz2im+_|rAoq15lxs?g;KCc6J&%^^-aL+$GX`v3>N4{9RSqJWn z4`=Mqf&1Pg>(8jiy}j_^LmxLD@q2q2zqD|3=QhcO^xCFH)yEVd-BI|?>Mxc6q~1m^ z;a%}ngZrZqGnvi@>#=`X7nZ8R8r86DpDL`cR=?b!3hUF)RAK#B;n!owF8;{x9YnGc zS(iP)uj0W7N@^Oe2nPDYyf%=)%vd0l3MRr8FauHZ@cj$tO`fvkBXof*2mC$v^}e_F zK$1u&7u@P2b?V^mDQs$3{Stt?-7UFSvMK@Vf2SR(e%v90g@=DW*u3_*-#gg2znQg3 zm{p~f*>pvzl^v`FU2J^*eZ5`WU3lXBW1oR8ZZrDk!`pcP9++067V!1S^=Fj=o_To1 z=L!MuDLl9El@liz;=*LxNIif`M0k$?jVBP zf#y$#GJ#Ms$^O(|+zqAGvs%EXR4_HtKQx%?v}fvt!{0Gxc#KINIT|X_5(p==sdN-@ z+VJ8)MJ7|34y4S=WU)UU9BwB3$y9j&eJTl_=foDPJM=JQ3985449 zx)i-hvA>7ESpLk1o}sbT{dv;f?-&z2#-lpN20OP#)y-c*M@3tGs1=Q8{cE3}>S$DZ zjE6K1r@J+l?*8s{ELM{bHKBogvi-T*_Fz_w2ek~OESgGXVq~S@IG7e+F&)PIN7ud8 z-l+2!ll6bBkJYO$ngGO6nKHqj;+TY|%=qTb`TQUCEmsC6^^cikNRV{cCSNh7n@{Fl z+*xSEjEItP49zB@8T=>Ul~Pb+0Fi&nFb$f zK>e@Sv~7h47RjyADOkG$>nxl~rX>Q6eZt7K&0mgM(-9U<9IJO+;bh8`jf%E2V_Gxs zXhDA)bZyEki<#jJf|~u>X`r{2iEa2Xn8?IZ)}K9mscZrki~&*sQXb#v>p`h+_In4X z6e?7!hzXL(@|YQ@OeHhPP_~SI@C^+P4}w|#XMZ=o&4=31cn-c>-_*{i^#D<=WIPFA zH4P01A25fTkz^pA%*Ha&MES^IJVqF!hrfSBZ125m%w=dmk5&J9LZR`n#~7(>JQcxsI0b)I z9r=L70yH}K7G!9^F)aV)nXMgkDBN%+8n!u~{skHlkJ;8w zH%B9~e=G(+FlFhkZ>yDiR$oy1Lj7hLfn~ukT&8Z0CNL0d7zKG{s=Cd`%5`PI? zNoTvyYDYs`RKMX+N2AI}Dw{(nfdlD8aF~fc0lK-_{wE|O%V>Fj>0c&aZjAE)Zr3D~ zS+jr<$*fgC1oEtR7S{+2Ha2J&5wNK!B|Cx=OZq#S zeI-QXce&hWjju~PU~GYZS?)jduWK&HU+?DmUr0|XQR z2nYxOCvs4i$QA*O0qvJo7XcpyJbSy|v$uK|0dU-Z_CJG)Z{Sh#M(v-OLXhd7-s<3s za`~1|yw-`m)`?k5F8>*OnOJhk>}Jg{s6esxVHJ55zXtg2z;eKo+&)iTT_C&C!{fOJ zc~C#}AeO@qqClu%D9D1A6aE}kip7N_bmNs`y(6W^HXNl`+*DPakfRivEe;#Ps>16> zfyBjsa$;Q1?)8e0bXMuT)ZuJpz`)qR+M+XF0anzZiG>s;IE)XQAJ`*A z2`D7lh@y1-QJzo127q<6XX6;vh;!#(JWu^d4Z3wswTos~XuYkOXe9Mdi znu9ixs0-(_#9pe~fH5nan74_R+Us-J@?na-F8aqmXGyz8uC62OaITSei5$hsBUITH zIpUXPnks8nb|r=TEn4*bvqSPw0k$}>d^VTNv!?6{=(-rO!ax6j$ZuWpcjDrU?Udtx z6DO>)Yrm_h$Cj<^N{*TwbHFIOlFNK6APyiG7%{NaKFM|!NT2a#Y3n2cpBy-Or!T_1`O8WdE2c14}bej6Pz5ISw(OfaD6ndw?8GwU{M`8l0V9AVc*>eLMn5q2f+O=kh)AFi-V8W;L+(8ABCDkD(@jGjyZ z!tHIBuic(Lb@|4_&CB%x86%FCA{IH%h2qy6CT=5HdanMyttwc|BlC4HmuJs^AGzOm zarb)eL9|RYwqxfNBsTeU2u&Tt@PegsTa0?^GI_m8K)+6x$8H`}Y$p~bv-1Jn2Gwu{ z>X-f!JSNj;;e4~x>ZW3-^#K3+;H4*!rGf!WY3e|O*qY$0duKxjYZl-(V5jUGFqDmq zpG4W^ZVuKy`wpS&oL6vrnuhUz*+t0NhL6P69bWGvqIqwAnUB+gm9)iG^SH{dz}d4o zd#>_JH`$u!z)^nn@5ULjzMx~^1dnUwy^{J_a~bP!#&X2+3wg$z~&K$v^~TQ?$qY_TU=!pVAu zs`4Twi0~^WeNrzmS;vE=@ci*(@@mKz5jSC26IhdkjqmqeSP8y&3B^r9FJ!KR3nrTL zMnbI!#DW@Dw*XQ-zT=`T4KdGMOb51s?5%cYf5QicR%R< zu_a+wMY{iDZRSJHd~UsegK4-72odfc_a6eXTSffwggsU(R@7Rx#KWq?;)@dPn653? zmCI_8S@(6dKHTVt*>6wWi1}4*iNu;DOm?(f#$!vu)^Fu`@EfLAO|e=%?teqR?U5#J zr6cYwy-VZ|O(kIGYkWXtw#9dfFoLnd$k}L)VC;HsL~m6v){`@Tm5-f%a+jD4-af<* zk*FD(pM(TIi1WzXc>E2yZ=RDex+{Dun4={N+Aj#Sv7#lbiKy{`NhOGcBrJbS)Rx6X zQVmh^(Z6te2sfTFyf=$)GV>z;k%-S3)!Sn=XiJ-`3s`sdcZpE{{!<>aj&9hK`8#4Y zXuWyU*#eyAY^b__B9_OTBd-ir8d!z*?eNPDF-vxK-jGg#Y;);ddT|=_%7GMJ24fLU zXg1z5#@(jWLD*4wGbCXN&6W=%=Nz$PCr7I7EQT$d(FdZ&Z>5 zD$-hROIrj?McVF(e~L+w=DoHyVsCY%#zMzfl#nG!TTU#`uruq=hK>7EB2&B}Ln6_i zvmgV=ge6Ejh)j9YQUk1Czii^DcBtceW=?(pOSdqT5^B}?q%E$;wH~J36Iqp>Z4Ctv zIDnsjnv5LX-8{)t?dQ)(1m#Ys(+W=n7$usasH4FQB`r#{R{w}%dn-z`>E)qgCtT9| z4x!4OeV5CWir%U{=9#wFL-!YCSa&B5lwpN7ICWa=R?WCGOPw~?(oTLDRi`DCc7=fU z2}7N>xvT${D^4p93fr>9i__%C8T%pzhfCjo)6wLFnY|G^bv%0QZcm&# zO_iBvyCs8^mdr)eO<6V=xtg(TG(^IXtHp;Z6+%-cLl<`3 ziMq5oBc~EX>A#X=$2uUqV~N<7_OGAfHEgpDHT^b>UgpYJaVbNtw(e8W$79OX;uR@> z+dQsZZ9_XYU`4Jb??}*LHI45Rvwas+e7qj*I5c$K^=P|Q?Rv543AsIb z>I%=1%K5ny2#rng6ofPI1I^8Dm;hT|gHO0|QCh(Evx)%-J6%~phJEsDTN z0bQ<7)JGf(n7!HArZt5#du~U?>{b$g9Jj+&s!jVRKjXA=2Z94eZD^sIqOVgfUxRl@w6IXev1w+{c`U=!&ZZbD>c2jOG7YPs45YO)`M9Ig)uqipO7J+`52ZLwKB#6x9kU4u(C zB1ED#Tbed*kI|f+4oy-Jfu%XSs2fhPW7;<7%xw>wCXoy*bl2H%1!p@4ktIT`;B2v{ z@<7ZMoK@*GyTC#U2`^87+{ia_Ti0hE0%uX8t#~&rz{(tK>(Fn~lqv-Ko>tgl$Cu>G zlo=wnP_27pv&HK8lAS8GMntzkuSdIA9YG|5i-s_UwXHg`Ln3+2?nL~Bp^B4fP&2E7-Xmn~1!oRi_1eK=ZZz6_tvBT4IIZsV=T=^URNDy~c_yM7PRyA_UA?29?<6n(N@AD3!I+e$ zwsq+&eNR|{%rb7CJ5i$yyj;A$3&3AxXeRa&^{|!?E-8Iy8L!P z@5E_ApR3jlpkjiypr_R3PeFyt{e@|`Gr)J?1atuloCqs_@*cvPnd*Cbvz@^=-N13> z;rimfn+IhE>f-VE*^7t7(w3@CKpo)Zj{5Sedq4Z^9+9{{Un{1DNrS)jz~k!|UR1G_ z2<)sqfH~dgUx5I5hx`iR$3)(COOGwZi=jKDb@j#Xn3_0l zZ&MdV9w5tqs^JCLV=(=hk3aniK#R)3jCK1Td|&iCR-ObDEcZ9+Is}%#5ArN`(4_bU z5!tqPA+pks&kxUCu_xc{Z<%t8CvILYk3b>A#lz*%9U}Ka`VPKbeK@|oqGb@`7E3azz&>PLERBUv`D)%~lHwx-k5_1lN0 z>NmXQgq7G0lP`Z~7(qk>~X1 z$#aI#o>vsYvW@xH%zvDGFvjz=ELib(cO@6pj9>DmEy@95zfNw0A+6S_s36mHVD{8wtZQh zEsiX5!;B$#81nU8-z9%7$i)<8x*01@wp%{F84{H5ur$=y30-2q!*n4tN%C@usAkoi zkWGTvI+>N(nMn|hBd4u4(B9mx&)7l$XAXUz%y`o?D`Dc0r~u<%PWD0#~bjj zObeY{6&_whd1S};z(cewA!5pJM`{bjlxT<{@8o(a;@cnmFQT_NmrLI5Gaw;&Obqt~ z##ou<`T@~yoY{hHnaAIle=jl7blnbr>q6w=W9e{j^(d1;p`675IhyJG3yJ|`3C`5| z2G?h()-MaN43UhRIyGI4Hy?(?&E9OBqp4I(t!!#nM+FW|I?gax_I4jdGYe8rz=s^s8|Q}ZZ=ncrDHMm zZ`tgWl+t$8lJq9xA@0hw{<%MUsTsW_>_DieHkKRMHU=Y|ml8td|WIz_WrI ztBG{~pGTvg=};tT1y@ z$|l38-^ATikBOF*m{VH@Z|9~x`hd&Z@oC>(g)KV}82}NQ=t@|d6Cg|;c~r^~Bwjrt zkII#?l>{f6%l8puQ_|F??YW*MXRMubzn^TGrT41sT~R`x{Ler8nak&&-uvulM66HG zGIk*IdqiE!M6&?p`54-Nx4Plu2aACbo5DY0pk?m2OJN4MvDdW_@r`dns=fWtfTF{Ca*yK-cw z!Ey+3o)+E#@dX2ap}GDN29c1N!b8!k=}I+0XTz}W)Tr-UMN{sLTo8JjSbeKh#DP<#chfeM@8 z&o72{3`56%6Z7dg2tstHsmv$09fni#?SS*)5rueCnp=w<*xW01b};!wz*@C(S`)o% z%|@=}_F`eaFMOdieULnO-ATm#(A|qTPKsTJylJol%|Nz$qprh-!__*Cy3oKLxe0E8 z?!e!63KLXPNBBggQeS2)-20U3(Sz72yNTz4FXQ7FkrkzmhSD|W zx*~66pnq>rjPtF2o9GEY`43<-;4cR}m;H#|S*KTjOzHF>YR4z{VbjWtL_A6K^9-aQ zr5S}o7@L%i!(=6Pc=BChGY$}{$b8F`W#9XEWNbg_|1o$GrZ;T9v+0Pb4s1{4eIk1| z-XOqH*OD)0ZXCf4Svd_J6GPrvZ4Oq*ZSCWQdo~!qbK}u9HPHp2)rT-XfAfF{SJbT7 zTjRWcB~B)U!_3d!3jmWlE?z{#WXhhsX_=!m`oYB|1&r40JPf>~jeyiqM=VP&X!pFp z3CEHv(d^{hxKBOUis&C?|h)&~~(40DddI!QcgYCfbv{qVqOvHwtv_qY&yN4^F zllU#4DM5IDi`+nNo*=N9GxYnOE-ySl;#I%7_s47^-{nZ67QPHBdnWM`F_qf5ZpZBK zQZ{*yh{o`#Eo>wDT_SlgLy@r6@ot)jcX(4Bam}E#(A% zPRAv0gh|`6+=jGc^~{kPae%%%t)dIN_?aNvbD zb)WZ$lri5aY?tsZ(VnS^7UNii()#OA2R2vGw%^V@8byb zJ4-}-_~gFZGZ)Zvas^T5P^JvC!x9F6t2C2xkTE(@MFVsH zZ*f(c;yLp z&QL*Xi3CTBG|tcUzy$zyp}BMgZ>zaK?p%lxBpb8`1I{dhWN77NG)j=X@n2qsxt^6p zNo0j5s0Acu|6OZap91ZjvLNxRc$QK1QjG)Um1zpg9z?M&T;YfzUoLCZ9a#3odqj3w z;)<9>z6=~YQsK#$vWAEtxDMEV1wx`Rb-c@&LCegXX&Zq2au9Hi7mIyuVIjvvf~Frh zxk{ctd-^Nz#K%T8!xB@2(_9<@kZyV*n_v?>I~O+gBp8Bc*~ZKX;JKJrfct%*)aq*f z*BsX8_~jyGrQ*ddLHJIuy^gsP^lol3V% zbrHDuiI~=o0hobu%VI8%-XV?)s*2!&;R?1OYh@5yhUqK;j(R%L7TPExcP?(d!GoxJ zW9e_r+C8`$V)Mp0wFL?^-PS9Olw*eF^?DGA)jq*OYkXC${5ICf#Hq8;A7M^FBIwzq zSnPO-J4CN8Khu^?SIr84QLNZ-=&F%($ttR6|CoH7pmRZN8292N@Bnos|B1O2!BdCD zs3mz)H=Aujo*M0ySCkza`Fp4%k%TiBN9`95c;;)jHn|86oBjM#GQT@hMW4Y67nP~H ze)|GkJNegiJ$3b1!1e)gyW*4W#Nfh?1QBEc|^_b{fk@wnRD77u~t6Bjd7NhVl-NPGkd+!MQ5<>RWQBfP zywf~yN9m{Oo#07?Rp%RRxU}1?%gc4jmyLc`5N#9f;jJZhCPfKd%_5I7mq)}&M*0A( zpngs#f8;hL(!w==6*g@0ZtwWXl9>cxA@443Og&#TT3ypKa5PJtt+h;*j>o-}Eoj&!Bbb&GfQqN_cVDOl&56NhPHBoeB zJSKdaqYv1e;mClg9(eZ9DUJt`64Hx|9-vvoSNgOL5Rf>3G~)Eazz zb7yo+#9t>cd=Z9o`fly1L2b;uo=C|Z_r^LpzfbJ;_^-o?H*89Q?||2uS9cKwDdTQW zfh`S4ZwK^^d)P_FSC?^TwpLlx5#FI^(00R}yo>FBJk^v9hBU1nUexkn`ormklTYHJ zv?iI4FP6|zWb$%+7b-rf=SbcGl?X_8q)pJC3`8sPpdm(Ax-V_H?Ch!LCU3}zboU|; zOfnV}C4m@*BWvH3>V69j;Gs*;{37B_ZF_1rIOObIq1 z7WCXDT2|Knqqzr7U&nY~*c;X+n%oB6bO>30r1AEf-a!eAG@fwOnF+PEAcT252YS1} zfv{vJNTvWu$7f{L@ix&Lls^S&_omd0j0?o9pUy~m3o`*Oct^vFU9A#k67`J-U9pBg zo|!mYggF+d5xIfILN0_~xzHPqh%1<12>Wy7~!TxLQLWUj&x-nqRa*!<-K zA}}myTf!|a!(t23KbxF@9Rl_~u^BXfeIrJe!yO|3EO5{kqQUQI)dX4|JKTSah$ z3WHJK7;F4JGsA`^)RRwDS=(_2VT+l_bMME5w0Jn3?t4F^Vg#AzdH^6mL@ZXn0agGC zGD)0Ts+vr2qABAGjz;@~M*ZEKdj<-!42DE0#x0c)$cL>`lrhkV7-ui|3Nq91F zDuH0llusK|5Fg&XRYN!A`Ad`^2bpQA_6PhHPD z(RzefS%0VisT1B|6m$&XV@nd2TA{Kz*_%qz7$ryIykuH0;%XQUmWFhw|54I<^tRCS z{5gIF3Vk*;Ajk5!sVfP~Eu0szL=1|`ofBJ1x=kke%~MCE5~l9JRLeDg2gu0&tSxib zomuWCx5#nUL7F2n?`Qc~kqsQ}s4k?bcS)2O20yZh9dz+>9c)-&h-5_J#99u_kkCE{ zk=-6|aKz{zjywJIA`2A{{uY|dG@iLvZDUOup+1zxh?%v~AASDQAKfEnqJlzgKv4~! zN)Y)AzOzWEihEQj5H%sV3u0dqcH>R+19 zoh&qa(AEznM3k_`{e{=Bh{TGmej#<7+=Iu-y|;;r+K@qps{}3Lh{$iq60%hsX{UoZ z@DIUOGG#XQIg#9>uXV)CCAtpRwg^o&kc<5dFoAyg0lW(l zOzi@sXqDK1=3mUCg)t-5=iMT%Fs4jCoX)pIVI)a9*j2;P8+5Kl$YN(VplubEW5G;! zrEobO%4SiL!($>LadOC>8p*}3EP!8Lav9blHl^C|uTqQn;_R>uo_q|k-?5=$&JHWy znQbhXL^#{z3)hqt7fRTI9^Zh<9UB@o(EA1wfXQ`#Q(qXqYr~cFsMLqqPFU5Bra>;{ zsCLw40YQ}b7NgoxrqusBaFPE0_lbjHtpqQ{smt2$<{?s?+Pbn;Azq48+%-3AZ<>f@ zk>Z56#{URW;zUm>FLich_@%NI59U-^4pk5l-kqa6AlFizo5zQf|N=#P2_ z&Qwl}feHze$9Xo$K!ugErF0vdyeD>Cxo^u*-mH#_|Q$>Xsvu9IXywSUd_>2-$-eI=5t$I~sD+r;R>kJPr>pZ(Y@C8MqLnS!l;tmJP=T z?Asy(7wS~E5x`mj4qS*SIsR>Q-a=ufiW)%6WStDx)SfsnITM>c1({ChfCWW=X>*Yy zN@FOGOvp#4XU!`6j`#?Pp|K*e%!$#=FRwnk#MvnY2hP^paQfql!dO2CWWqwKE=b9@ zOjuYNt*zpt6BZ6K2bCPBorw!olLR+m;c9O`Qi4ucINH!QJF_M%NY9i5&g=;bgSxR6 z2auKHHx!|Ws~WPUJ5&pPe#4G`_^Ase2a5-MOP^-qD5V5D8NF=%Rx3cKF3e6BmjR;^ za}Oh;d+U4L(*Ic4cANvF#J)TqH)@NHUN|Tnj{gUYURcept>7~%xaHcBR&;E2O+n|3 zm^*qwxf_}R2Z=LADpcx{zosjOU8<-k8)nh&AkY}%z$tre8-sm?+!B?4e|OB5BT}Lo z4c=W9aR(@j~(gMx$1JL$j&lBA#$Uy zgwL6vpt!7BbfzaLNHZb|oG}?=QiL{=IV@{kx3dNa@zex`v?Blii#?0D-x;^+IC>VQ@m-(&RyKf|aWR{Mze)QG^UsS^9euL#p{#qLTS{lxjhU1ru1)6R9 zWvF-NjV>thOeew!&z1g?RSj#z+Ygc-^T;J^UfUU_f_?OMu?c>K0Z=eeGOTM z;5s2-aC_zgYF9+jZGX8F4i%owm(ANTP@ycSOX`H6!tBIG6{s)bbkM=aVOVO-9ilEW zI2<;*X}4YCy!^Tk%w*!fa3zsNyk`10tm&D(8=Kl~P(5?t#mF?qZ9II@kPbs0xZfv~ zTj(!{oSL2($h>)f=>7vHaQh2=!k2xuaQXJUV@&cVIh{ zcWz&VT3#!>%9+ZFO9@*ZJYA8S?97q}rzQmD+gcL<*WT{8IGJzC%j5ntPx7Xsifc#t zY)x-=bwIF~rRmK(U9S}36}mT2~}J|Ej43L5wgRwq~y!*s|1_6a59w=3E~VJOz+CQ#-n=2>TIEI{N>z_nyI#UFnrzq_@Znv3oRk zIHMV9SK85kc1$SBlQFGPM0K%RtPqQ&$m(uwOl)9C0L7~5La_u?H7RZE29WR`NO+s@ z-g}?$-n)eNHsKTA?|b*X%zWlPjx_s2+Y$Vsh!#-*67OAezVn^)oz<%x`O3N%Lej zOlzx=;`_TGJSc8ffbeAcl?NTlI9!%BZmwZ6N+nes5f#T{`6!PfrxL_8*W`F$eUS|5 zggn%L4~EO=wOB}ciaXNoejkMEH)BP(B8qvIV$b^<9E#(n{I;@uIo%qWUozNlW7)ls z;>LDw;Yhb0cKKmT8#@u<4<%qnxhSJyFi)im<1WFf5&;*@R5?8)LgpJAV66Qhx`0G z5aY`?uddJJY#U+uTxuSlWg{%<>Dc4{upjVhI&H)}jfLv^OYmsf6SD1n1%1&)a>~U3 zjq}4JgGW4;fv-C#bX3k^;0qicJmZ;nDct>Fp=BaaiWqZVve{Y*1*Vjl^EF!=OA4m_ zF6XM{e@MVwZT9rlHZ--EC1)A%l5+clh2}x%BN+p65>`>ae;D8+pgHI&GE65N_NV(` zwZyBJ;}E_B7KU$1VRs7up!mJMl(c=tG4Z@&%KsQT_lSM)@-;}RZ;TPaDFw8OJHvGS zXK2@1cZJ4Rg$fUO0Q?S3_fR&nG`E}{E|b_!e^Fer4ZZyq6l5-igj*D)#;pIm` z1=s`K%G}sw%|7pGIP*lll6xSpa;6YDZTr&OZE=k^FZMd>5FuShqp2n1 z$S(Rl5a;e?R8dy|6g(EMWY0qjzVpj(z;VM$xSC2>>DnXwY>+0O)NY*@JT5I4Ill7^ z&C4?il#wUrvbu*c&U}C0)CD_()_Vk8f2ZpM6buJXn@iRne4=zDfUk`a?`>BFjTbAJ ziOUxJkSiytUURHQ7;uhD<%1kL&vWUL)f6b|K zKFX9*9TQ(~o#q9fK)ZhXh_!NwW3F^2>52p9-UD6N8FL)-(Dg^_t1Jh}P5JehkmVqW z8n`y9*j|v?^^FW0wC$t+r1_l3;pgx%WT+{Aj?4D(AR)qtk=Z{suBI6=W;|CjiBXVL z+za9oP1}65!=iP3pk2v!Q#2pOe-%PAG1Ujr)s_E{ZG%W{uW#lvnbphzpI*ug^Uj9T zOB|yz9S#1GVSi^W5Ahw1VX@2plnpV%i!eAeeJx}=5Au($rmZ$giu0gU6H+9j4=~?} zNQ{xwdz@Js?xPnQ+kql&QW0$Pkpwg@|ZwXzv`?0x|B5nJ_Q%8lXV{1&8L`|XO+LTgQ1 z^qvwes-7Mn=%S0NGjA%EdCW28xlPf1YEtwZRaSg0Ly4X{O1r)Aqm?Oo&fe4h&I}}8 zfB%*s1uB>KuaMXAe;weqrx}7pg5y`txY(CKvP0*)gKDzdv3WF@Dx)DC{9Wt)BO+|5 zJ&@6BT$qO)4Y(i$gmta#%WydghCHx86hFuvzJL3tu>Jj~w?Th$$ezS8keGR>Am@mtX&!LOPkl;r8ZQqzr-ZSnOV(k|yd6>S;VuS>?N$;kMG?ko>U>ueC}Hf(%=MI#K_y`yJ1^e#P=*l3u1qfX zsp-PlldYr^q#@!qICtxtqXBqWm}hO2H7i*P*yOT;S<2iZQrX($c|0g&DqHsgyc=W) z4eQu&j!{51;u1=H`Z)%a8zxgKluS*ke?(*v=MeHse_w3?$3Vbaz%6)ej>oAS^-TBn zwV^6U6PotQY!D5<9-sOqzB$s+D(v(Oas3mzu5-X+ihzI?UFWf`bXPF zOQU{EgU6@~upI}I<3b(C?%@7fs+X88o-E3riB%(oiGv~IRZ6A^aiz3!!iKFh?93YO zLC*)*e^C0WCWfv& z+$+BJLSp3m;7W6pBVrE$_uZKb5Yt+u`LphXv&gC<^sY$HMGow_1$<1?$1<8~uV+0m zPt0nu8m6xgRF<CXLnCXbEn!2oy}w=e;6be>Apa@eP{aR^T7A7&@CW9$E%NK{9|+_f0*>#cHgLE3KQ>nXf8SVr z?|=KjH)-vy?nG2pDG|NZx^CQIKpUc6=Qojn9B3y`t)x$^uvuAdC*}Jc>^voin$71=& zK3T7Orx77bbKCJP<|8^)2a5q6JjRsM;h>#H8$^etAy8i_p>$FDn-&Lo^d3llal-`s ztN%NwU)Kx>@&EY`;HY?L2ntQUy90`Se+GB(BM>$sBCq`aoYe?uKd;Wl$2!Bubq}N$ zul+j~pWm1htw)XM%U*BZKt+UR)gPBDSX}n$(ZQyK#${h*l(b3UC%q324@_w?wqUI$ zC}3T|K?z^Z7aMJ;r0nqQ^#HD0;W>ivrI`*PlX^Vy9*Tr%Jl{P46;XA|N-8Bde-ly^ zC^xU0TOeYgE{jhm_D+iM_-ZaL3J-)jKTDqf5th~LP%Sl%%H&pByy&|D;K*Rcz zXd#=?*$&P=Q7~zm)qt36g_WjBe>K#m3aOMwWYSiRiljVhquRYCR+iylsXN@p{K4;n zgqn;&1&Udi-waq)a*zR=8C^q4cEz8uR<9>IuD5`uGQmIe|T2z#+jY6 zhlP`t>aC!=|B$9t8!vATQn9M0^6bH430XC5`|T|V*%gw1r|YDIRw1qCcJ#=s#f?`^ z)sT#YxaUQF?NFU+|ME2`s0mHNU3O>`8XS230TqPKjJpTJ{q$R~;*skkLEzD-nBx(% ztL98s(?WpXWup8;cq_>h9+BE0td@i|<%_T1 z^L<1YpIN{3{*cHjKBIickK(f*F%p;fOvmF|F9bsR_+R=H{&9jff38GCS66LKof0Q0 zUX0^KF?MjQwCUq`%nG|WI$%d<=wFJ^6B&YDH=dV}7bs}3wU!Bl&tuhOA%o&~76X+gZ zEtex^FHJ6mpDED-e;lK0sh^xkYbNzs`lB^(iTQ^^vXhr-(3l7LuCS%6enoGGu;N=4$!8o=SvU`_uld*PX!^WgnJ4!nu1L- zgq~r+j!w{SR*)Y~Q?f0wL`ezc$NPh&H!R|MHTQ)hLV3pJ*gJ z4X?iXodfB1AV2Xaz+OxdpmUk*^s&e+}B;=;gffM^*j7$kSe+O{zE0@zhe6u zTk&8@{luZZiAiJf5sIR7ju_8FuH{dfx8D1+5_Tw z)SgCt`oO6Q(E;zM**uhU@l?^i2cvv;>62C6c_3$Wd#?Ovh>1h^--#~^?605M%#Br` zTAd3$H#b63cxF8ZvF~X2i^}B`dQ4^%pW~x4kUjC_mbp9TRg6F8*yzSx zVm4)be{|kbBBgdYAlbXwKIEe2NNg%huGzIg$^t_&r&1X~T<*Jtxf}`ScR^H8<_-@< zZ~N2@W=bjbKvPbDQHn}Yz6EqkU61LK@T8u=bE$Q+5rU7yjofe{nq-gcY|;o2)wIzk zbB{Su16v&zN9EZZF6sV`8CR912kcdP7{k)^e?%+}7Au$p-(2xf7E~m?!gEHmopa`lc2h*9Hv$)PCs+b10u^NGhYU8XPGw|N zTaViOTwq@idoE!^dLsP9qR-Lyg$^U(f6pDz5Y${GFkcMB$#Zd|jpZYyV(}UMa&}*= zv%sJeQ+bE(!?<~v_JXf6?APxPE)vs{iOq-KtYb$vS|zRX(H@$ADx=5ZMg@-ijv>EK zs%Z`2Az8ki%;8lrOK55g8_(ri+N^;$Q71f3$%yYsUbvJcf$mFN%3&L)4z|LNeYKJbjN~kxlYaUsjRql zjSI~0A3{~^{cmgPyEs!8 zsmXf#TaV>z8H-`0HHXKkiShM5JCUP$xwpV#SH>+4X>!VOQ=OC~QtEsAPLW#62Vi4L zdn#afQkKWok0c1Txv{PKlxI2Fh)M=j`);qRD70DeOl-V}&U2QhCUtQ%f4mMhd<*$B zQZXnZ#|X*4=a9IIm^LLzsOF)pz?mQw2g|p8I=?0UVV(HGY;XrOBay`tIM1m$5Yt`> zY=Aai_t%P<-S_+!pM8i--#UH&nz)my{nk2U2+jTeg-4T!!OZ6zmaPlvjC*m*n2&-X zHK^G3xe!^Tz)QKl!_byPf8}P2G7kPVT4lHIw@}ST3NEh~E_+l~I|AvDD)yRNhIcdp zb+NVE6u&&8GCNxEQ_)f_Y#r@xD2aQ>QU%z)adH5?XQRYyA@8eJ7Q4 zMP<5MeKtyVcvQ5SucA?U`LV_rA<9%!7&@N@Yjo$g7+`X=h0_-8e}P9)RiTP8;C9xf z)r-&cPx)oIhO)psvB^e52DB=caKK-$ZKAeQmNRxc;DR9!5?G_0H;v3oP#vhv5#z4h zvJ9~gT2SAUpkQ}?y_ZZE3R;iDuPiQ5!JHxA>D#lWrZsqr^3$trtORb;hJH`MVXv92 zkExO~J#EtkDS?@Q5Z7XmAZUziax zhY%F5UF3wbGws&HLR^qB2Sq*8Fk+e^46P4{tmgfYnAub_)-A9WbojxYag)1aHphoF zFIMu90)CBgIbX``WsDwYyHF*fO43jmNVh4|EkjyP934}yCf2FYeg3R>I$D0WZ7W4GS}A~bDk zF3sHZf7Z8rc+`fl{UQb13pcYoZBnu_y%);!{|IR`xv8tE zR3Z!;MMXoAVx$KqLeo!JAuOYDaSEn7zHgLA>qr(QEgYbRHxA~yWXZ{94VaPVCg8qu=} zoo~c4Bu}EGsiImH<$i{n&%4=Yj-%?o2PStr`YC{k^lvtI9~SXY?%mzo_A#Uq_XAM9 z?>iu(oY`b*^I1~7h?xMfP&{mqS$Yi?UqxL~(-=qWO-^G`qsqGL;J4t`mu%04eKEyg ze-oJAABr@%egNXS{JNB8=MWY0{VniHX?K$`y5LK@$r=@7VEd?MwV6kA-{!k_r$`Yp zzy*WJO@)y7FBFtjSww1Z<+@*Ic5((HAGQsoLQOu!yP@??{!2Iy2!D3?V>_CPU*Zv1 zmU4&U$I0|)(5a9rq@5bxu9a~))CUZWf8|n(VAy&Vlbzw|8<%*gg%~xzH0-z3*9>+c zkGQ*FO`qb9(lHg}&2{3dg6{e;wjTc-O+nXjl2yve{v>4TN5-rp0Y$hmZ}N&%qR7WP zAh{^+do(qB3luIB6L#?RfYnKcBzK*Fe(1w3l)F(Dhu`oF5lCEP^Izjnlewbse`h~Z z;Bw5Nqc>$-UULj5z74cF9o@Lt%3Q1C=yN<|>1PO6L74tLTZne1M6H}Q%bDs?W3a|x zRdq#9T%AEJnfYM+TTt&^9wlduVyN3o)5}R+#Bys(1fP^??Dc0i@KBD_I#;ht1=FNi zx$L5`PPrgjrb(&sYAayH_j7pofBYT^9^ezj|C?Xlbs((O{t{n^e`Tq2Z-eTEp$NP= zX*K1q)?fS_JxF=Xw|LL2sG+ro$|hX2kPn8XZt-m3l#D8mnmxN%je?(H!ax36M0bG1G?m)Mab$>&N^&C&9)~Oa-_ZAA_i$)x-rFv zuq`cLxhofA<(l(XPVY_LHS03S|MGsLP@XE6jWPlnf05k0Nd@9mU#W6$kkQ*b*#&`R zLPoP`F>oHoC`vq_<#%LyG*%&m{}KtIs$9z#q%59wtEqKF!I}pYmN-!=L@^Oto4s*V zEv$Q;cRU>wcU0u{+HmyJba72fNYVLiu%A>Ks6rcG?t=C8tDn(8?jQ$F+Q}QI~6mDjrHX#BV$;P*67}$V1mm7ml6*lgBT6S z3ku<|_uc}&uBJT&lU6bXcjWLHeF5*N;7QhO4dOnYAJf6){2bSG;n52QFN*e!zK(jcNqhfJT6B(^%gy!R=ovqzlr&qRkQw3z@AMFUxkm&*F@mc+M zf9S@3N@+$ga-PaPP*xWkA!Bzc_oj0Kq_n9z?L$-L$OOW>U}7M=0j2q_ncTT1r{_>*sPBt`DycNn{RLA~t4m=x!)u!fE1imbB z>Y39ly2?@A+3C8{aG9CJnI1?r;gk%Me{%1fPXE7H(wd{ygEr17H#M_odmK}4%0_Z) zcq}zh=3s2F4XWL|Whh!yuxX;{p`{)HyRvWVtBHU$E^&*HRfM;GK1R%vxyAJ4*Z&V@ z-?$mm{GcF;App3U>lUy}@&y0fNCm6;IGUuXl5n(=Ca3G-c!++O?@rMopDCj{e~ujY zQL(z(F+RFLcGUach_{BG=dRi9T;=e?-3P6S{#>e>JD_2FF&vtOiDwGBYs#XLR^(eC z%d53d$=1i{x6`u(Xz|dEw@V*#DAohu+LE~~M?wAb;F<^(hdL~;VooDPh_!lm4M`lK z^|!&0x3*f1WT_jwL-M64zPHJ=e>+WcG_2fsRSGS=rRJ@@Tp<^W+21ssDQ1=`ol}Dq z$TR33IM#0!aY%Qyvm#{ozSPGL#hH zvRhjwWm-432Q#{CIOxRFi^w$vk}-=si|j=P&fWt}o#&x)sz4%nEX-Ane`M-v*4Etw zsP@MEj%z7n_)G6_){>afm0#$dFW}J{`uoeSS@IuhfOPD8kEsyk;6m4a43ZGP541Ba zM|_61vw2%vg+F6rPcH0zSLXT$7LU2k{<@(^OS>7(2zHBAIk+ErA;XLbHf zXC>Ll^28MwAPfA9nJjFx77N)tz$<{s!s?utZCuDcD!Z)%&wOq0yQ&UlxA zHKWDF#}L63pI|HdAT;ZMht?;r6r6b?G3^K7sLHF~hC=7~3@k^85Y5t!=w(kHt(D$; zH4%%9xVQ_JtNWH^Z0n9^Xuv!xl=iZ&bWy}^y3H5PrU{v?F~6O@e>1t2?%uBS%SYwQ z#_r8l2^fP{8se+RcM0wRpcqu_HODm4sGb zG0M|4kdtt%=2V8Pf36$XqzEdt?Kn8YQcGE7Ci|P|y9y5J#EfgJ5qYxR1%*?cabmXm zc`fyD0XZ!t^H3ATW6;A#D_0xLJkM#*@Cg}R&Nt9nMNF8acUDV+vu8QRrK|;vm)cO| zCjpUB1g**thu4qn_*!d0^5>2$EfsO-R&N8p;fOhAK&LU_f3jJ`kkFcf6L$qTHg)*^ z9jL0gXhO-1S_;d9B@{VQLwx@Z^4xs@x>836`lG_kumiVMT7&5^a3f2Kc!(ikTPqORVaPb%YC6(9bm z(-C|`e{Hw@B8GDwNpiU6ueij{uI_kB1Va&(Rv$7%n@8evR;w4Xb(g8pDSk+E#a&Re zHsQ(HT+KMl9#tcKEZ&VPRjdXGA=sIX@!ArjwbDbI2VP2)V%xPj(jZ|};n?T)9l&D> z*|Lo`e=pBA{PqyoJJ^A$_+wl8aFY57(>SYMAYg=XsIpbYQWNdB#UE4Vo{}aQKku$9 zDbpm?*t%VdUpCBc>{9=*glt8G8J3dd=m!6Vp<`6cRDd-2#LvsQbi@J1f+h}{=oW}v zs?hT}o8O_=E@die$Ne~`%!rZ~R1de+if9)We-z3sw5!t@*&O^h4nGG{`C zz~#1g_sS6c$EL)p7zrti+&Jw$vSGV)Qfdv==+PsI5kWU%X7eb#ExKLKRzT%d=!W@7 zHOo?dewT!)Cr=$e^igtII+hmFqori4#k;zLq11EU_mGsW5Pz*_|k&yJ2>N=lnRvkDQ$LuL@}DG-Jiqg@Pqi zuJzu9!LLkBdSc$9jA=QzE;@`+(K@2%`#G)34PBR=jIKFt zM4J@Vm+`A^Ush83GWvkd5(%v@bF5pM6EQQo272>5q|}VA>73nQAiA&rEZ%H=gvMRZ|ccf!ZJV^E0fe@>hq zUM2Hrsa&Zmn}c$8*Wp0B8z4ocaz&gL5#zS-KMs#LBj^1J%IkJst>UA6toC3xZw%_EP`ij2y)=e{2;rrK{<=UI|Tg`4V=?KTtF8NiDX=3%zm_^c=6tt;A}@ zKRw5*XflRO_x-UNhnGP0}wiTqNr-Mzn?)j<6a$qmf_fTj} z2V2cEUh!G!V5d9Fkzz6(%>AIH2{oK$*(=RzAtb?VaZYP89l)>|9Ty?yi2?!BR(u#h47B_=X7f5(r=@JD3$PeX?E6=Ow)@#)hZRAlHC zo!Co^uX~9j7<+u~##UFMng$k)Sr=%q7~C+~Euw(M% z5(5_oqD4rQ=yO##qM)I~nvk=0+NnxDWV0G~Xs}<*29X&@)!}>;h%D~x%M&n>VsujB zk&29V^-VkMf8l&Oh5+-58!p!cAO$7}W z=1$ed@yxI=!gn$oKawf1u(~<%KQK|@(TuhozH;*Ye}MAPNRxmH6AzcGrWACT*j~~U zBB#Sd@1uiuISnSZ`X3dmX)tkMIn`A_fr$r$UV2ooF}R?qSj~coRn30s5*iqcns$v+ zS;1g#?R2B{SvuJh&vJXAb3jgqi17srTRbL23_BWJQ=uTDUtxQaf(`;l+Dos63=p_< z6+0tle}cg7?!I&x*}%$jD~Oe$QDBJass!&;ktnb=b@RWYK;Tke$g!Lb0=uWCnpJEN z*jH8`%A3ed}54BN1tg^qB-h&E}OK3_d1Y&}ZN z27j|FZh=w;`0LK|s#B2QFLPp_NT()WBs{k!e=jEXrBptsVJ~+>Y}FE#scdK^P9VQOjx(oniL{Iz`Et|W}OWQ zf9t{`N8EXq*Z3XwE5W(fVW64Dbend+lG2b~Sjp(MgogA+PdxXPETk7vJ_W;b*hsI& zH&gy0yjtZmKau~$d<9qd9s|D<#zWn~IP+lrTf9H>&??=%01EBBEerVNL zfnRf~YoUk&{C4a7X7D@Fit+~f(w$Hse=n{5a92$MdEG}xkpddXtH|={S200eMA>MN z4He|2c#R$km>^HnRlkdOwrL z%slrm>JdY{s)y1mB{XCcbOiIOPE?(24Dmp$ZH)(Z3e>y&kNb8Cd(eRlra&+5%>;rw%|UHDWn6mvew!(5fh+Qr(Q>h zQ2;H|Go=Pv9nHVk`5!^DTSc%#l3m)!Xb(3X3uEt4=oTUo_kfO+b`fBBr}6$fYw zy~@s~OhBG7ET$<@sBP7+WnM%_ZDTcVl`tC0TpUpjBeFn>=?L3Vvw@u^)2mWR0d_TR zbyspau=Dm_TN3}%fn9%}rbI>oc8N1hopfvQXk1W=l8xdv{oIJiI|_<(@eb)XyN%m+ zV&Z6{k5^8YLnJJ~mf*cHe~cJ+oL?B)OXumfE+UHsY$8sVcw z{wKJynz(PB9Ns$HzWME2+qds|THqbxtM5+>PN)$w!JX^8Z-tl&?h0r8 z+F&#GjomG5H@yWp`ob;+6YWJbdM@yolwMO%(3+G3?zK!dd5fuVZ(}NW*9!Nt7MC{_ zXt*~zaZQJN2kU`zh#qfX!+J0h?w!Z19!r^UuTxW<2QLWgf7<|q1%n0!2>~NI_dV5A z2)LTEcSwbR%dR`#aykS|Dq0GYvLWEvxG6(nhJY(Mx)}i{1l*oXzhF^zN8x4Z3Kj&c z9d1pRvmjufF0YixX~o_iwo+e#l8nq=p#>D~E*~Wz=(_Q=+H*Q63^JUX)Mii^lOEK< zqr<=S^8H0tf1E{J-r}`{3jcQY;yf5#+(eI(ECxMis4&DyY4C3-pfrkZQ1C9EjTKVh zUsu;*EeigXpRRRC*(3kPb}JM#oTr`X?onHDUi@Wy3j^nww)0$Um^d#du5<#~t1Ue8 zNm9`<-DO3$kCK7uYP98-A||Fwn)hEZ6LGFb!vShEf2Ql0i}O}lG2NlQJ3)fNbTMta zb$mLe+b=ZjD%gO{HzlYApYde|Y;mWpcYpTBa$rV&*1bUjzk08{0Tmt5HTf)L@c-$E zE+`=-gxZtc_V4ME(-2+k(*6Yk(VY*t9WxPKRh_PiPa?XIcGo-_1y{Q1*UCn8Yr8ko zO7rpdf5z?}xVbvWP_ZFi=4EHJhz9XaG_jK+HpJ^m4IpwT7!a?sSJx^)K|H_1-7_H_ z%q`m<8qrV<)#&B$&(DpDsX=asg?l;X(?SJMHrc#B~`2 znA?rYwq;^Dzk|>i=!;}vx%K^nMMs8ijeZL-)>YZC zP+LpH+L#Q9&q~hP$M_$@TTp)V2l9W73Uso4aPPedOR^W&KY9O5Anz7%|AZg&y?)Q8 zCcO8*|Bqg#6-MoOMePU?LlkD`HtMDHi3zFs-PIx#o+{U`oXIG7O4qc%MkmX5Q#Sf# ze?-JrYx(k}B@E^^m`?C&lUt?;BxPHKcidOP4(0||Hbb2I*u zj&>3U5_!_OTeJ*eAnrNoAx4tYK+uTq)v=Q13a+?5JCl;RUK^&(aSIjOdQnFGSVa>W4-2UQ}=1M&W(6F%`pN*W^4M@1!45m7^gSCj;Si2MsicX9PI9TA-*xqV3HdbRIYds#lv zeUP*}<|aj%BsY3X&&+{evlV+=s-OLt{Etv^N7z3m@q*iAMUV~C@~E3z@K90wh}k8x zhh_;O@wzMAf>RZ2b74$-#FC7Of5>J`LmND+VK95OFOilDc75v7qaeXmM_+Tg(u$y# z6W01v)?Bb*??Mw2P_>47%~*E#0}!>HmB!<6!DYrqwc4)U81DBCEepr?iTE~CI|)H)e%fr>MPl`3r|xtz|NfAy{$9>}OM zVMVTeGb++XwHlM=sUne8NmSVu4Oum$_D`~#o16ZJ9ZK^I#enr51aEd2n{_FqfvVB0 z%M_v2bL3iIUMeJk(3H)NB{Wu;*yIe~Qcr)8u$|%Shl8nYcHrrqNX)f%Dt&Ec{2{GkdnGpn;&Q z;fs8+IneM9D4QGmf8chcLZW%U=|A}pUR!e4e@U7QDU=rr zQQ!3=DfRn!y!}S}ciMRx>%N&4QybngwCNcg#=3q@eZ^FSQaT1$DMnxKE@pyu$t>C$O^O->&AM zq~c4~^-3xwRqh^fVPgScdrN-pxIGzRJJ1w8qA^nE-JMnN4!1l-;5WM&qF{rxw&ZRN zyvFUE?C##Nv;7W)f1X7aijdZXk&qphs?5WPA+g;2j!PL91$|p(34+9mFcqCC%~;N)nO)~5vHDL# zhg3`w%R6ah-G&AK#@jpn*nP~yOT(DhLRp=-&RpBD@tUT0e||_vqpaM0hIfQ!%BpF- z-AhfUtSa5A(^*i>WoBs5h6>MSx4j~4=#OVe2S3rl^vK z-!cTDrCrh5e_Ox&0$g+-?BQP)!e!GuJEMnh^VPTC0pGI}FFu|7T3xsoi#3!%u(A-ycP0%FS&&2i^DCi#S zkXwOVRp|B-vb`Cu39R7Jsj$esnk9+#$$Smc%hS(!e{9HBo10WYZDyvlq}0F%h~0a? z1KU@HT0Wf!yE)8{;QiByu!xPB3K8Wb_!?YCm2cWmz+HagR;`o<+3MS(^%6EU7QLJo zZ^NL*Jbl&=&EECSh{7^46~G;|4b%u(0PeJMO(S4~w(Ej&1Dy$rYQ!UF@%@QiR$w9J z#(?l3e=H{UnS=;ltZ$&+$Vc&FdCLI{GRgya0oGEyP8e;^?Dn=+F$oZlUB@Qj(0(&r zX*qq#>Lawz_fT%7(n=e%S5z!2ZG5pjUqn)AF=>^#d<0fJHM$j0`=UvQ8;N2|U$ox8 zpo67v>+%@Qu|f1jJqxG9%;w>&>0N^iK1YY|f8TGv~AEKsG)3XLQTV+&5(`0V}L=8)V@V;FWP?yZ+m&cw|L2B_ZN1~ zPPp;tJ>9ov^hy2C@2?BxZ9@9Lq#{n!KR0{!-~Qs?$c2x-{KAA7r;6R~SgKmPpbW824n2d7urK705#k3R)1uBm=D)-Qg9 zJI$Xyw0-u)!%x2i`Tc923i?FVy^OH{8#+JO?BSCjLJm(g#F#vB4v#)O^{_(!Ej;ze zcpxI41tfdur}?azsy;_S(}XS6?kl?&e|U}-IoZ9oqA`SrLe%q~{ugRu6w8xGpFesA zT*IcCWWZ5hz+f9ME* z_#F%c>#|&uA#Qhk@y5~aKK2RhoWRtKApz&#ROa9I@X<4TEQMF!^q=F9Vxq7F!Lrc3-`D`_9P$ zP7lRa(p>P^pTerg$rk?7yV!p-wRH@_$3^}y{F8q4_|az{J^l!+ZWr#se_=4@wSYYp zZx5Fgg7L$i(_7%#7U5-`XbTs&-$=&+B%oknVEf{$S8wsb4Pc?Du!3WBZu3BsH_t+P z4{ldSL0tXx%SR7?_2pxbHBb=Enxz|;QdnS}Y7C!Ogs<97nm#Lz3|6FF*SDOVH_BHN?t(I%}QWlbL_pqX%HWTC0;VhW6HUM#r%R*bsAu z(v2D)Xk@}(|3l#4GE~cEba+q3e>G`%b$Br!{sA9h59Xs=AA|jc1YbV8_C8zRS`#8i4|k4guyW^* z<$%89-q_i`dG!vfot;3{LL4zh2%p6G7Jp3daDEm0N@Cj0DXc^B6ZuzPeEtcDUz@p> zFb5g8_+I2F5Z#%cPvI|EbIpT+llfzr=t-yIk&q04;4KX>#a<2f7 zDCvb}O^b|z&yaz?T72*2%Y9PxP(4z_j{os9fB4}?U}MDp91`wNKYIM|SKw@*A(TB|`9j-QBBorP-Z5^HMobWL}*eeXWiqFC6Ulm-2_#I*EWNUvL91it;!WGB0 zaXwuFLwiVQfnDpwng8tJC!c@-H~l_xQr|t0P&hjee_0yhMtT7z{cDpsCOh9094_K| z@qa~qMxQ->`sA7I?FYXAhEUUA;7f|~)rX(_3M9@B=Za`I4x9&Fzkk^Wi5*9K>Prcq zN}5;G#zaq!m)0RCqTK~Okwb}c#F*%i)h3OEV@$Lm;bcoi;ha{sqx{sYG0}w^H$;q} zWlZ#vf8Uk66geikPdhowh(SEkPpv@Y_Vmf;woe~De)2ikI7%Icv%BrFjb+}_uiw~# zsg$$}B590V^)K*O4q5|Zh9OjV`TQNeI=*`6>;Nhgw}a(GjD{_CSz-$V7?O={V)R7n z%Z3(Tk@+lm@)33xR3^klim2E11MDa4CY=UCe@4p@VvLusU%dPpJ85UPLR=K|dDfZw znr5kWlaL1@vE7q`ua02y<zHq<5v{aC;19K ze@R1y>C(o`6`l>%o)O$Aar9$9uRL{aQH6-StO)DR6yqBXD-mrSUpl~{3D3WS=mQLP zr_~UD9K2&c2FBQ94ZMkQB@YoLiQ(*Z<21xASPAvq4m+vw?{%;T(}h753f64zo#V73 zKG$sTnauShmF3R5Z@#noDo?6dQxpmKf4Sx6=c8tEob^p}qY@^}xQ$)Ax(YsO68L)1 zc?QnO%E^=WGYk(G<~IIU_HgjHh`3G2;$hDU>;;7z5tG6jYf?4^L>drfCir;4$@f1z z61?hW*-we+#QPV`yFy-r0+Jut-T`|D?rBo?tnkpf>mmFuq|XZP4yzFn8Z6?Vf32R{ z9EZgQe&^YK4dPpClDKAxw*+c5QVMX!�#l;ZWGR=$0(0d9&izDYlj`Hpln~;lNyV znoh|CB)37)xF#0|djxE}2hz_gUc(#fmmfU>bG_-c#I_|+!YhZboUmWfXY9yVT1t$& zM2QiYa~LONPBzal1ZBysH}{vHe>{Htxh=L;LGjtF3sn7EZY+G8BwTHj2ra1cKDeIO z$3TJz-@V_xv~vdT<@#m`YgBsH&1fGaJ&D2$&$p?{xLFp!*|knz;TIez+v@s&#k=>% z9xO;{x{2$3d$Mo_0f1oYM;9otVpP(4}|4;?{buW8ZMp8CnJt z3>@K!Zi{0992w)3TV6wLmcqPX?7qPl%-Vo<7BS|?H=`yR_HD3t?*I=)caQQ&8G6|J zc2MB7%KDz+KY$A~JF_MVe;!%p()aP-JqBH??nB7QmJfhuNLCVj07SX|>f5jE!BJq@ z4l)_)I_-2*#vx#7KB!9&z{CUkxcDkh&40AkhVXfHHZ%85)S? zp+?isd4=vlqc&M5;s5x0W>qhuj;rraY^W4l#?@b3^;N@0%~Sj)!^fKYMJ$xV)0_b! zF$5f_F-+NT&9-l!F(%vm>_5u?!&|49-+beMopvwZ+G23T)*geEw!~i#kG5Xl%rX^` zC1?f}*eY8-&=cjge|cq-mrHU=^#l|PJ$?AaqmQv7cf}A}C}wOn0tJA5->YJH9GLee zX!P)yl$$Sect$yambAGcA#(`-$X@Y~8fVTOaAuCI5MhmvAwt_%4j}q4XI;Pm=MO)5 z^4Fg}{s?qN8!lN3pBQ1kotB>suT1m$e){kk_DQ|AQge_aeVo@%GJy~01r)J2dp&UE~g+a z>~~!)PDF^gvI3^k>MD>|{TD2Q-F+sy^;GTF^q{Ih~uz~#D zJHG&nF5`ZL!T;rK-KFidl z%|_;{+y}#B@pVu)^7P5m&#@=cQV|zo!$^`Se>?J9P$6^br!rF~V9E=f&B15LIDv|* zs3OZ2aj?ZMbI@BDe6F%~35YZH$+M>qKgCvFT+yYE#Cib#5450AAzE{@_D)SbCH$dr=LCh99#zEOxjoq!)Msutnsc-7t``F%8$$HpeqQX66w|Ske=i3Y4sr4Xdp$`OznT14;c~KL&C7_-RD$zq94xaS!HlA_f&GwdwA5vwu--rH1jfv}ADE7ojsbw2d=qVhdKj z3yj`DqwxPHI6-jby__Q9%Iw(6sV){-pEG_?;WU&jzO~kUx7WlF0b?k`%$XE;&L9aA ztg72Ck78wfT+Gh|C|DUE+2vOON_NJFM@a55B$mt-to=K@_iyX`%E93c2$~Hv$|&0j zUw{0>8BniZ3?aZ5rRM|6w;C3qrWJp6&tJWBet}aU_&zuZI3rTv?TO+)$ z5v08_B9F-OGqYu&wYRmJz~p$g;5*o4IlJ245}{*BQVtf{2x|KgR>q$7bZJ@C2>}EO z^J}MwMh$s=;6M1Hy}O-9Q3`wahTQO}2!9{eBa>cX8*nSwJJ|i4>>rW}faBANDY3aB z1b<{e&c+f{m{VDyH+EqAzYN77!2J0ZTrOwNkio9A3Wo>J88&w|F3t(Opp1o)$?%e$R*(jqUy6kblE{ ze0g41Khq4wSU|Or^oF^IP-+S`XFSfAWn^lg$dnE2~(JFY4eM8 z0_P*i{X#}sOv|eKmB`W|x(_zQ8I6`5-Y?0@CGfq#^? zec4Y+Fs5XDO^-$stK!VB89uy76jPP)Q=TX5Qc`*~8yvhXVf=CAxw1IlCAZ#=pMUZA z%m3rkN8}f`+hVRH1nv45(yos|?W7@q#~Fo_eKFHw?aMs?(`zdQP=szKT%(OK&3H$U znw8@bJoh)2sGRJ^V^=H2y?=+VmLQj*3c0z1g>8N?8S6HtWXI{uT=kFJSi9ffzJXj= znMZUm->M}mo7%0zPfRLc!TS=w0kw5Oui!@|4p^{!IXP}wAb__6>-?{L{}KW03S2Ff{4&Bn$-i<+IPecnnqoCvxSMD)yeG zid|b@8daFrD|s6^fvyn0Xg)hrsJ+m?fYQ4r+ScUqDk^QL<;ok%A3V!K((rddm)A%l zY(FuE4~HB6-dY_y;C~ObJvrN5K-SQ2;Qi2@Qhy+@9=i`e`Rh-=AU{pVST!+(kC|7r zAJMW3=RRX+;jf$;z$5H!vl>C~CduZ!HH2$x4Fcz?L~7 zJJV=2yEj9E&NSNJsfn{9Kzvt@j5VbQC~C%tc$W_7HGBF6R)1laj|CN}5t&CCFMKK> zBl9RNx8IAS7keAHrJdvo7-p96ff-NYA(K!8Y)maoNf;?ey}9R|YRlhFR?eWNb+8&9 z2e1@;g4Kezz|mTzGkFm`egv-LyxOJKJN7o%JsFLFcK{A~9KL@?0-oO0;XH-4{vsDE zxJV7wi5LV6QGc0(sq~u~zIi{JnVYuKt~xcsnX_`-aV0ZLDx85!NNA{n64&IN>AR+8 z5GvSWh1*DfmgA+wHW(tx>0s~tXO!*?e(aa$ov$e&Dz{)DsP1_Ve23Or#TKfGKxa-M zuXx>4hDd`74^D1@ngY7Q1N%8a6JwRoy@*qyATiDN+2bS1U6$<23U!Z#N$6YN!fskTzjH7@3z>m35|J{ z)p#4kG=H=N!oP&< zyVSq zc>E{+@TlUq=RoHde_~cdk4K&E5oPHUk_f)kht}J$01&=CJ?2)uRd72zx3>fOh`vqQ z;D4ylpiCE7uQB=y&TqeUasZK&tvX0@SQt_AsQd^l^t)fl%_&>&;_s1_-Fhia z{2jQN(GM#+9G8IlhTJ14;37JA_$D!ir+;chjP$XA*y;)aeGkA4h1JWcO-ry3gai4P zDM4bZA#km1x{GfGT`xeGDaF%@Lns9(@V6{f7p`mJTnW4+6Stk2q`kI9$8MM<%V*!dv4S zl#sG29g?xS4aTO%@&yd_&eLCg0!kw$H&xWF@`BhZCLfP-l1cgNM<7_&nSaI(f$Q!Z zI8_l28}h!z-e114u}4f?T94tS_4pP@51ZbCyU4=+VE=GFeXxL}c8H@4`)`pASzAgH z+J62FoW?fCix~71Q3KDdrB|w1`E&g_o6Q1d{@iHzVjJw!LV*LZ-k*Y%mb_pYlWM_U z(?~~MHji|CT*mkx3N5t2Eq~y3Z75(K+QjV==$|?-LI>ET))+e!6j3;~AAj@3lV^`1 z-4}hn=mTkNeDS}){yB*rkJ4)lQd&uauei)J&Afz}h1cPqvuZhQ?e2nVAI%}rfw8bP zpsM1aif>s_4+zb`Q;>zKR zoNJEdNI$;?W@?XhVg?D0y&m{vz*vp@oP^VT-L?_3t)#lI*9vwQ6lAmfD|m*?E-a0! z5yqOhoP;VKttN!AeTx~RSFmgQ^zrA9z+q8Sj*K4Smsfg}FQkR|rJf{*P{h3ElW2J* z-Kel^$uF@j=~CIz1Akj_&2_i&Jpv(GJ734bXaPc;V6oyA4l+^3x(Z>&;ddofEkMIPaZCH8YJm(7K=ko>v((b>x&JZnGc@TH*5=;lpMCj^!V7h*pPj0Z z`G;d2;|n%auL1VD8?wuiZJ3#eImKRne0C<{>`X?x1eu9Ax)R?ZV`d_HZ%nPoxiS%( z_U29aNiTD>oPT%z6AkZ^F8|Y^n?61|C2?7QmddlXba%vpXxx1wNHNht{Tz6vpKq$rNsEOIOD-xZ%zXvg);roPq1x8)#pQ#hVW?VQz)`3j zY3lGu#=|3o_{j?n7sLPD+Nw71n34YOWIoMDHoer+Ab+vSS>P!P*;Y+LYzQ&D1>fhu zJ9!ie5-6FDTGzerXjji|;F6QED4`#}u-SsYPY~P{x5G1++=Q&d39NWT&+;tQ(0xla zbd?cYsAk-tA3gasn2GhyWJ!bwj4`n4GQy(;Nop<{wghCYgi8*cz|!D}R{pd9MgIOv zv#jv-w}0kh$N?Xzhy9ytqc%gq8sadb(|<|tcM}&2{L^;N+nN+qh0}e!_N-dkKi{UQP?F?9R%*R(%MaQSz%ta`h|ccQ7u-JUM*hktLRh@ul~Nh4MTh z`#Y%ay-~sc2g}_*&-eGELGSm#p!X+S%f)KabARt&_2DB-dTw1o=WwJn{>{!WUw?z) za@g&K_b%ZI+sJRTdXS(>=^!&#E1|pbwr0{Bl}I;UWkdKZ1jIBq-g;N+w3ubPJKst( zAm>&1x<{@_D5kf*guxjl&GZ&KTigk~1uvbkKg8a_;rVmyeK^}X0xv_h3zucB($M08 zw0{HK25Bp&gYZjGp5Wm84yWP1b;6Z5`-TG_K9}=sFm@wYNOzj0?iURy*iN&u-o9QD z-Q9KO-o0u=J^&|N2?^i6tvx7T>2u+8*t@p;D?}E1S8e{JNyv0_ZKf9aLJsI_oO#5G z78r7aJ)6Ch#Uv%&=B2rrPLwchUcvKT{eLq2UZoA6w{?E;@;TnP@Qx`UtZWn)SV&$& zCWi?L1GS5KBg?F{)YMWVXPC3v6OYdnXmi%|b?_p?VO4%Q5(P&);_u9gA00t(!$rP? z>93mFnV;cX>{Kav2Oa2KgSO+r9vPRNYEkQJ!bf??U=q@A-(v47zF^{S;RFIaU4Ib% z7vGZNYhnmFzDLQYkCpVNTSY=H^Hh9Yh8734@NXgh4*Z8Xg4K~#*uydZLVTZsy{$~b zKtp#{m1QLis*%nrQ)p9zjOm3s-X1Z*XF$aBU|8C`P=*R7{iFz&)ar4y5n8t(t493m zH`xDh1e?YED-b3+d~atFSUQ51lYjPX8GD60g1G9YeKBJiUf_bhQj9WAwMT24Bq-z5 zKrSSn+ z(Z?i6&2Qw}=g)DIggt2J+RtTiql9~?_aK*;kAN>xI2`>eJ39wwXUlSp&wq+4;BX!- zjTw&=49*05bDF-8H5-~)Xfbx?hOxN78)@%b_qGmLi!YKlbriAJAuyr z!wYK2Zoo|B0X{LA?j{--Z3Q$lQC=`Up%7&zDr@N(5!1~?UhNT?612moFuT4)bivCHj!{3E~q_BHBLXMZM+{hufU&9G)>$TA@@tu3#BkFdqmt-JLrX)DDM%y~4% zTDH372m%`e7iAKb&E@KFwo+_fIru+@p#n*HWy>P-f5kR~BNW7@hBRkNxGXV)gHcDU z2SeOrsl{#!FjAAUL+!!Y{91{M<%n_5KJk;HtS^ae^MqA~?sEx?>3?(Kv8^pt^%;JA z>JjCLA5q7K{aK!sh$0Oy^C4~LsvmZ|w5&v=$`Fp1wz}(X5!>0atXpd)O^Fm|i|gcA zm6*f1vR~P!mm<6ooM!3JdVif?Knf2J6{Z~}RY_ZU3NH6XS9#?*2N<~nhE8KwkW$WDpfj>0 z0(SG@lY99ZkMYCSujgF$j+AY)*t!V{<)KX#{eiQ7il6;^`5)q++5X#iU(+z86KL99 z({b4-a<8_;D9c3j{7pEQWg=iGFde=vhGimZC%})-<$%~9OMlFV$kLqH48c>25Ef7ssI+()^ob!_KJ&771f7jLV>~X`g6rV~vC^n! zfW1*o2&4tg3xf30ef1r-CfYp=feN(Pe|7V`lGSP@#C(`#AHk1b4th`&qNfa@bN%m*H{OS=Pwi)^c>zs4z8&1$&-)?qxO?b&5g#E6?^x#kke-Cu; zjQFy&XGHgD`=)$G!PF@mGV_V-1e#9SyRbM=&ekb6*B$S}Wk?P7mStoEYn+u?3%lWn~JS4&FQT-pH zCA_&|S4VQT5I0?aP$gwviS{7qVse(xRNWSqE+orvGLjQq+Jn{;k8}$YY?a>LoOVRQ z-hbQkcduR%j|&523k9JPrXKgWd2U>69ie;{F_0jz?26mut|$(zT~L{eUTdLuZe*ur+=w_YjPU2wg<^Y^EV=zuJ+{0eNM`$t4&xg zhPGh(W#|e;+my?^%p!sfYKa;^ z2wYQVMn^=}sNVF>1tbst08Dicjlj9l@WuhDQ@J`dN?Tf+7v3S`)RykJ`ny_X7k}34 z{B*jtLrm-H!DB4B<)}}{q2?T|xX3}4Tz5g(`sIv-J)d!ZWjkGhQe$?8%;g9e@=Ld+ zgaHXdewnjA>P@y4&GO6oCZ7T7iX%5+-(>G453RIpYCqQTDZ0ubP1n4&7ig_AEB$uc zq)a8He`!{?oJ&br*bp!-V<;*2$A7%nl?>hFT3Td2EL;9x_TDo%u4}&&bm!dg$oB48 zjmK-dyt6Lv_$`A-X~yN6<)h#y+M>iIsrT&J4_Jz%WX>Zc8YG|ergnD#G;(UBMrh=m z(P-qHbIuuPWS|imjoiq4Lx+3rJy-K)w_F}q>4QFqK%=|wJ@=gd{}=v0>z6Qb0@Z&f zCo`K$ln`&!bEK8rr(nTd(%K+7LY}w?p0#TkELywDvPhL}Cj8Zadz6$agF8DsUF74- z4J#P2Z-gIq*cJQ}Vn+3!TnBU7!E7a6)VAJc&g7v*ZR4A3O(IHV=1QDFbH<%9B}3{K z)G+SFvr64IE;l;RZL=#dPhS=i;FW*( zGMA=v8(Iqr6F@fyYq<4+mvZ`X?+W~uBS^m%wSEHH)Wk9cB#1jd^Ye3Z2;vrE`#ePm zsoQ)^{IL_8uq|vS;X=fEGGdS6QqTxX=YJEZb?)<0x^nF*b!}L|=_)|z^lu>_L)XqaFfXu!} z^BjZ%NS9JH#icg(uYk$3xsc$sX)n{RIw4gx>W;Bg z8C^jWcsfmH{lN;FDiWi}O+i0X2EC+wr=U-d19QNO1q=wjk zNKXxMyz0l)kbgdEj(^(J5c-;NNI^`o^}f_3+jX~{ZY7i&k{E3+5u;QX``%S!)X~HH zLpy$ANQKd`7I!J5r-rmlBwPxpsUbsq>N&hyf>J{~qtB)E(2#|?f?x?tNXX?_#4eW_ z5^`*a2o>4s{EL6~@;)LK2%o7?9KXjwQv3NsDMwr=F(e^9vrNt;(hE&9YaCP<$ZT;# zCL_bvr?|0c?OFqdo>DLfJbs{ zpSO}8;IZkm62c?b*>$iosUDVFF|LTAfjK2Q&BHxpbw}*5TnF`4*CB(~PEWc|C2)L4 z;KEgrl!*puLc+_16da~@HD*Nu=X1DCEt^HZc|LzfSE%}wiZkS9E*0`2?_KBGu0g>} z^ZI^`UBcVj@Fg7il{Q)6f0tsH+zRo znOuaF$hUOLps<~@q}`&~Fk;{#L_vS*rtK&`L(X%0;(sQ9$~Xq%g&SI(G$CiIN`Jvc^bKE2}D+7Ew#8`GxruF6Dg) z)mh43(iBZ>5ZSS~B%_H9QhW8uLa3}7UX9q|Qpzg#;f5wIqO3YkI?Q2~RhfU0o+{!l z{zn|R!fu?On`bMRPJsKC@03xKY4_I>^CS>2e0WjTK#mrHDBYl)j92*U-nzbd>+%hl zNlrcC(F?M!n7t03gJo?f&+H^57Ef_xgnZr5c+VlR0pK_D@1I~Rj$90utx9shjg3p} zz05Hxt#wv$*XX{&QB1`c7ovYTsA5XDGM<Ol36gV3bep8sCC;V_yT8Sz<-iZj4fM zYZET~H$*goYC3q0oCrgoj$s-0lu?SQ)cx{2d;_tPHXaA%cZqk$u8UV{&MXJbn{>wo z#mS%&tbM_fY9l^oa`JU@JS_{Wv3%5L|C!289E~ic%b0;@ds%}rD{+5NbedNzV%A%E zm+2-MRBvrr#ww)_JU;k%IGqf1Bml0LzXW}q8)h#4Ik212RI&Qsv*MV#sZcE!@=cEC zUZSFEHw(|gZBeyHN8J?yCN!99*>4wF%OB6c8a-@3%PShtlha7BClT9pw!L$-3JCU! z=vx~iQcj-XdkC1SPr7LmF{&T)_)LxBBm9t(=Ke0eQ;;CQTkI896d=N$Qj7TM`!jrL zF(3K59}%a2K4s890pj#0Kd@*V)zgCi#+rfxISs5{q=+EMX=toZ#Ya_5ZKv`35=x=u z*Hh#ng$kv%{E>YQ4kl3{w_AGx@(O=A(Ag-64z0m7V?;1&VPx2vRMGSgrb= z{zFYfY{k8g$(vw_ncGHr_ZtpPL%4j3=G`9|%l(^0r#U{e+LYPlU`zIHQ9e>5-T3N>J_Kc=|Ys%f4e6%kDj!YKij&;p;`S+Dc-uUNtuNy9wN>R*^&ST3bo{c|p_B3MAI>)=T5 zk;$hisr*#IIx)@apS)Mou0W`(blYw|0*Xa{qRT4@8G>^g?53ZPCUAe6;l6$=;suda zhmRs54EnacZ)EBqih$1XyYU=*44a3BN+oD-eq&fq439!IW>hV1a2?)!OI66MnCi`U zKaAZHF}?Ydfz<|<5TA{Z?Nyer9z%ERg%m9u%k!!UfyvF|i=H(x-ElwK7?MlCX|$^V zdK!!~B4U0mT#r)fVb6b-_6>sWBcKbgo}xRHS%qnZTW$TwXq@!QvPBUh0;h9+SWUFw z5QOf)a1;Af$Z2agKz)x_0^iEJ=4v$_9Q(uMYI~SUcjAT#C*-T=-`jWiZ@_p}hL40Q znM=@Z&B)me{e%9NC?Z1Keq`f+h;k@(N0;^p>5l(ZU;hXe$NzuaMQS0JK>;7mUTjIM z61}CP^L;VRt)HH5h(OT5J>jN)Aw&av#BLPHXm0(;nI*jwWVWw~zv?3dpI^B?C(+$S zw}X6{L+;nQ9vr7Y*zY|9)1yUf_IvM!p&S-)<0kNTAI{-0i+b1Rz^qNlAS6dr<9*+r z;s16J0LXHnE;WC7Spiqe)^)to6XL<4y7N;kr_D;H`#%4wWK&9NQ|%?KU(9e9(m#I_ zs5`nZ1Z7X@*6jH1~`8VOMdT`H%XpBZ68^ahEDm` ziS1CkRe+5@nunfl%m|eYONzIgZr5Mi)M!z5eO2heq{J#f@J!D1C%y)mZHVKk-QkO* z@ZJWwShY^wVKJ9@e}!=NS8fhHq#OHpM-%m8He>&EowkzYq`L-uJK_@Ajr~pA#&acA z5PNJM>_&fC_{+uzPwcR)!@_TDt81qPg&e89BP5WA-&;GJkMi()o>pe?5FY;Joueqe zRWq?VSUQOC<+r4S3=>Ka2PUQ4Klc(Mt@?qb;Lyk#PltG1cu_~88U-?3?6P(OZujxR0YqhI->Qu?2d!sVYpDg8hF z(5i9hWFjMcyd)H<^z?4Wv;vY!yQ?yjk#drZsGeCKML1HZ-ffaV!jZIC?|32Ioxk6j zJdO(w>{{uR`KZ69OQloXj>GwMsdSa)XheU>B9-p1TR0I@rP51NB?o-F7@_ z4#Jz-N%Fxim3EJ8iI6bm0u9|0WVu1tMK4cp`19$G{I!Pt0l8HeeQ+@7rJy?U)fs;2 zA_}9tqz&5R!+da}v9y81R7Mxp=4aVW_)~e7Y(A?pIfZQfG}@ z*b2j(?|I?Vz@>5v>y3lGd|2z8+!#46hk1Z$ZBf4%(mLmlA4JO_8eqor`~2Wu!;a_Rm-e1zPLy;bv6z^J>A|mDHhrPBy4C z1dWTo>IE?%87nai=jLi6=)oa^u3E16n680d4-Q$D5%WoD8tCZFvd@UHIqOGx^PfOh z(e4z@@E_-0Qtd>OMMo5DObF<*=oL-bl9Lrg8!zeJ#V3a&WYMaWm4D@cybOOGm)$bz z^}weGomC}fNm0Pn`qGS>0+vcg>#{@mOyDTVqg11$ATYYDFtSj0NTuVNV36Gh1Qpz5P%8R(aHJdZ@r)kTAO21V2?i zkJ-JZhL~4jlmBpUgjvqiEXRN9LP)3@MQXCuXjpe zCG$jcP%YQmNR+0vW)rjXZC(k=ZM8NKP2F`-e23V%Kder#BuOEP*ttlRbszV|{ky*e zdxOb;im2ysi8~^_!g#NKm{IO7EvD7h^o1;#gj1M>RV3~BuYHcc?QaYXm zXk&O3VSz*F+!Ch!w+w$-b9~f!rV~TFytbbb4O{gVmkaiI)?utr{i$0Wkx|Oe{JN!6 zxcpqmxh&<<%TJBR#fX$e%$%^Ku0!fUu7kz8jSC5zWO?Ll|L@=guAIq3l@NlU2+i_g z#890R)hC#yub$@8i{HGp#8w%-_$~A57;s3AiOV`(Uw(m99Nm8cftl_zLb__XA-{Nt z1FM#6`*sRBbk(w9SU*h2C@89BP3F~avx%0Yf_xSQbkXvNX0V=16D`-o#{@Hys7bs! zz%W)IWvT7YLrbbf)Y^VCa$4`0I&n}~UnE4<_6c6g=PXjG8z47ky@>~p;xeCAvP@3> z5bW0!7U9G^tt)@fj?X+pYKWr)N1GI=cA+i4${?VNiz`(DmjZeZF*Q~;$VZ8KPjc5* zolv4)_vFnrF-p|CvYK-uv9=?lr<)yQXbe@zTQ}37?W zehmVY*tTeFPi1$$p3UwCND;!>r2W(;AwxLZ*ibzsXYB@C3j3G%Y%*W{ACOqI+5lLOZ)Q5T@lbVw-fO=Z%UV z7SE=S_(aK=BH7uU={Y$=Bpa7nrIoRWWOGwBRYbrc(W_o9YZO?1M9j(%;r3HY0(>b zp4|Wk9_dX;{rzp=tJjnw)v9A5xea`TA~n8mPA!2jfQ!W84i+27b#QjJn<7A}PgC?| zg))@-G=I<#&9#e78_UeqN;Wa+R8K-C&jvsAM(xBp!8n6z$8g1=Yecb=bdCXFlhViW zvygv2C$vWNSglWYLTE&TcN?m?bd9KPVX=_qaJdC)+K;Y~9;F+gF3P)%i_(Z@^*67G z=zz(xd4E^Pq7lt&_w1r>a}MFBm$ttd>HYZt_-9p462b~cZ3tQ-j6;y(b9%JvfQwXo zwhbB1gfL!{X{<~qW*A`o>|3xAzq%kmDL;QZy7dP#7}lxUs2vqRu#T~~U|SBsI*Iw) zoAMu0cY18Ev~dvX&YBh95M)HoEs&UA>Or*l6m90lPSl5Zt;>*RPB#_&0|izp^wej` z>H5o#UG=UAfi>;t&rgaVQDtLt!I6xCK2>}BX5ev4_L&8an`3RmjpbK&QToY@{HcFZ zN4PHW#ZT5_!;nIXYry1FTPR=?JRXKrMIZygu7fJSm31jY#HiQImC-3??7=WhMyfav zJl4_ckn8{$!X#`Z&k?}7d>Dh*pSmAv`g$RQ)>!1Oo`oGO4*6jfj568ZxaKSx&MlZton&c>~F!6 zxVpI63m!%KR^?Xh&j^qt^ zI77h{upQ6lnUSZ;haj%FMV=G_pF=Chwq$gbTF$`435S1)Nc(&AUkXqv zv%=1WJU&8Y*4JuONoaFweNA!k$Pa!4B;*$7a;)%nN^5qpj0$hN4<9Yc=wh$d^R96T zTI`iwe>_S6#1I_be$w|Xe&A9*WXVX-TP8rB9lWt7fdFz##W4yd_8#BR9KkB;iaXutQy_r(>Z8t99z9EC+V8YSKviWe zZnjT3F;!VRyQVET zI>DSq=*a4Zl%cPR+fiLOj(_U`eY>3$>VJd}vW@?1t9iq1UM&tZzJA~NHq zxk!;!%>42ewP_@-MBj3DD3?uSmD=7LZHq3s@VV$`)U$u&b2m8I+aZKVs3=XT4mnWc z7KkwJgdw6!E@Jx%VcKeQw>n!1YpMFGdNYs`t!toWAWa8Hlr)wMmCG5l*H(9~1wHaQ zIB%v!%9KeZu7(xx=rXC=#ld3^B$JxByy};JL=X(p_}`WOzKxBrNw1%O|CR{LB1Zk4 z+x1dE_l2lf{QaPEDS1qwP! z6SrKE>4ZXQx{GH0Xo{)T=$UFB4S6}O>m^M@ROIDEGd5;JMs|~jrwKXWr;or?|K%AA z<}upb-b|U`y<6pBL}C_a%Qdkw7?!AxZ>v<`%i!UCXOKM`GtXfn3#EzPb5z+>nRb6F zM+CR&$qj)Q^547@_uo7{6jJ))s_c>l0i2Cewbw0YHmPL^+7S`0=X4K9@3-lu>H{l> zIn>5d<+iP6>ZTe>jb<6MEsPxsuHZmzVV8O(oP<`f1@H3kv-{v`Wu;q&=;ne8W4MTh zO}CVhkBmzR?OE!T!~I2lXw#0;+8lp)Rh%y1an06}y;RfmzcVWKq@v7jzIFKHWzt9y zDlJ9Zy%55o)ZG>zbFpLiW9xjIne%6VCjDa@B8++*tl02jz~wR{S!Li<+d?!A5ATCg zb@yK(3htEk(khX);BH$?i?NlrXOTlgBB+K2S0uUQha|k8%(Kv zqp`VJgsd(Xhr{E<9}%akwBYxpVys>J$=yc}-@KRn`}X;fwtsuSiX)BXP<{tLqy-Aj zZ~fM95$E(L|1AtHggzX#f|%I~jUhOq`=30x@9bhV1Y?cG-#C8;0^D>LV#g0Z#tZxV zcU&Gnyz|*3a8^GZC?)Zzdv|{y+0*?J<|UMF{e2zjEj9W5Q>oAKWHRnU5db1&d+D%fzE||L*5seD?U> zXP?}|`Uara7vvBy5BUkL-Ol}cj~;=&QQb5l@38&|Z$dtHzWe#d&L4k+_+-N`(FX1o}XqU>~)8z%yQAFJt_YD|ZR42KjqG#V+aHPh9Rl z0OqW&G2*g*jJ@{bYoC9BY0ds5hgrm2=yffGrU1YEUp##9Dd-N}AxHk$ZslF;ZS40; z-Q$v2hxNvQ7|UTIF-rPA_6>kXL(PJacB!ymedv7WV{qPjbV4M6-T&D6&KE$la~j6~Pr-0cLMMO0Amd9B=*x=9ZOzxOfr<%8-Qr+JRAV7;E? z_Yd<3Z6Rbg_wQkA;}Nzh=iS>636b}G0Y;i|hlix*ai0AQ#xG zx(hlI7tB1z?R)g8v-1NN?BjvHLAMmH?PYgwf!ypFHFK=h`e}NHi1H-hZ~IrypJA5^ z=&Iw~otSmqc7ZxU}u<(ut+bpBgs5Tbu8@h1fC_woCU)0#d}Ll*=P zd;iYEyPseUe{*>69EbMJ$r}MqmY4re+5)@t>AjzQhCPd=UBM)^WqY^#cOGM}=xW)Y zA}`j*kDTw^1+^n>Rb1Mqz5mepG1#wdDiosE+|3(51#L-vbHpx!-F%>rXm1e0tM{H` z_3mx&t&x9^6u!_NW9w|VPhUrr^Y?%5{MpB!Kg7P|z{px7jU*1PJ(}V>K7o~X{l!nM zf3{?(AYEWzTQ@%eQ>Tl4BFY|Nzf~YPxTKiehVI>efW2AOQhy@{mjF_)=)K4H?|g=R zxPF!4*Nz+hSD$|I=o9Sz{lfVnFjlODbE%gb+xdU6z3Hc)Vwbx~J(?hPyv+KbeD?X{ z2Vl=T$t<%!Cy%k~717bClQ1e|zXG=mx#RQS{q%2$E&J!rz)wFsP1Iu8zWR{3jb$FG z8j*eR-UM^=O{I7(EhM)$5NB+E=J=%e4Z(LE7yPK1f&!uAYY>Mhd4krQ2AOPQ-$(M(=AD0NzeRr8{C1C0f#VQ<@X-0u-+gx1`a`X8 z)?Z5Qjn2g0=nV2Vd;8?}UFJ5}XsDmUC(c?6{OtJ(8Ex(1Tm3J$YptI%waQZ`cWe!JKK*~$ zXAiAE${d|@C9byfy`N*Jd)(_;9yXHe|TWD<$k0Qob5YOyA z?4i8|>eItw#MT?=d>32<8Zu;#^>7_D6*NamXj>(@V*ssBgRls5h#dMP*TH}JUY#Ch)%Jp#V|b2}=ToqFmZ+9dH(R{k*z=p+ zQINM4`vll7_X$`m>FrWFPRpM@ba8(8@biZtrl~DTZ0%rjiklNTF`2)1q43i*EwmM6DL;8vy~D{Mwg-J?IgkKJ2f@n|oQ(=Md*PqBXo_;0}e zzDh5oEfeRv`2C){T0S9mS$v)S;@;gyK;1VH>ezSj^Syemw2wn84eo#ODe%eLC{mCQ z`p@oy$dpYV9(l!`i7W1W4TPI@i4yB_dhi%j#6>NLX?qCy@EIq(`v^A*adeZP`aYPi z(yvObZIpLi`<0k>?Z|6hG|_+CCZyEG*sk@9hxZ;k6Wdl#S>A+%_Lk(!CWATyM2?r) zo;~8Fpr>K1m#B^IJ7as8hw-R`W4|PiuuI}r5q&A8Z1>LC?rMF4d^cl9WYoKJ_meyK zJ_B1bx;X`T?%a9!7&}eQ8dr~HBpcU{(w|!;B)>5vKnxV%X&(ZM7X2Z@Hnaa z3DnUw6>CZqY)u9=d(oZiXO!xIxL0J!73I~;h1SS*kf$q| z=D@d)ICSvB23)Bp$WecM)VAT?&%s_(eLf#99mso5ylQ1LLd*MzXr`nqA4#2_fB)_$ z*ncihUcMtdu!A4j`bPSX-XG>m^ZCqI&{7Eg>#_v?zgu;FOdim}b7Al_LY*I7jaCs2g)b`|pP!XI)Fw&Br3{8J7w>xd7Qbvw>46DtK zD&I@Mu-a6`&FvzM-HE-k`9xVr&Qt)Y>zyA_*a@Szp+p23ZA$%vM@ZzFm|Ison!;sq z*c>%x`!W>Gq^Nu)KQmW`7w&j6!&~f1e)aO*o4?B^W8UEjcYHU^zQ8jYUL# z4dmwymk_lw)pK*6y#1Fn%gus$evl#TAay*&o~6|Ux=epwXkxD?#?okg66d+_yni2G z&t50`y#KzUq87)ze~;+#W*L$TYTk=TV6l?i0GgZxJ+Wfn{QZls-dd-IgXqKV2@>7J zKae4JM$0<*-?VnLA!b4{A?B-P5z?7s+CM7c(+xP0y477FVs?K^Oyw?*Hi&jt;z}srzRWYkZb+}kTh+K5z?eQ*!Ak~os5-0rk(AJ zuuh~|0qZlzbC;EfY5d1IYh4@`GtbUafhToF!d!on-Xdd|c{*!1HH1ZmT*El)L@eR> zfJ|QjZ1PF)*is-(KAWD~ejM8Lg@}Yqq-gUN*gNrDaf01EKF97+9F&`9G54aHZ=)6W zyxN)-2sckoWNj3&QITKa9g*AnJyCJITq|;{6SyO*>d`*05KGQBjD-;Hww}GKFyZEKPTO76uVDuujbEL+&-R_ z83ooz)Hm20f=o?5sraIe$Y1R!T@=!NKoNg^4K>8RNO`7!TVmdljA0I{Z1)&s4%wJD z`4Uqe90Tz8LN`=5wqIJos@_vsoKj~LW=aS0gHN^9N_*2 zUlsG)J3)wq=)kRlP^4_=2I$+X@W9~@e$ftG&NZ4CPq1nLh~-NoY;Rd zr>k2$oe-|0+LXXF0s1;!2PYXG-y$tWYmt`)Qk2C=rCQq|vhv=%#GVtZ<6H|l(?S#P z6JrkMiEEN;;EFPn-wzz*V9?D}BJ05t+7|L?ls$EvHc#N{WE(3{{{p;sI zmyujSJ%Js5qdbF00zebo))kfSwu&YN6hP|0$Limemc(^HXQkX zj+a;nsDQ}GN#2iG`9b?p?R@*N6k$JVDOKn2ZMkIFMgk1m?NP*x6{a6)@HFe+Ndw&7sZN{ju8zhWAW z_S#}D1t`OjccLMIYZX>JqgKE{`s`H&JPLeG)9%lK%t_gSIVujTIccP_Ymi4B1@4|* z-zUap4uAQVAR)%*|8g`QPhNe0`wly8ylO8`d8`(u(b}|NCC$QgQn5@DhlEdQD!D9< zn3@gylp;pLA3JnCE$Y2^=?ZcWnd=3Swtb)TDdR9uQ>sXr@6#WJm1Z)zv= znP`@!oTTn^0czy>hah8rbKoZwW!9Ca?}^wkfqSKY)k8)#Fg5w=Qj|;s)8PK`oCs}T z%2YEe4zVze8L_=ey0@wIDsW9{9hDW3j6=!A?|(S!rncHawXF`ygfOM=45ww0EgIV8Tbnkfe>5 zcxMW&gR0k3jOzqo^b{|QhmVf?=wqu7SGIDvOaYsARPQT?SRY-kTM~V2b<1()uwA)N zJirlCnS28?{VZK-~4Y8|pI^?D2^ zNtw2#R?~Seax}<)O)xl6)y?7#ybby?dUmLVq{FsUH`0^I*pox$6!@>z_3NxjB$A>EiXy5UQztFbmX z*<);5#AZ^OYN$1_Y>U^x;$rf;gmtuY&-kcXN*(QNZf!4@A_R}+={u7g=!habO1WU7P14{Yq0AYr{OcUr80$*vx11E7f1(@u?&^ z*p}!K$e|a!gB!cO%q)JTv#XV3d;X1o!>@D@ov|T?2*cdou5ks;uapx% zhQuX-?b1^8~B3z$Gv=R#Pf6z<KplmT z+fu&VDg^3FiEffO>h_6^>Qyo6%dyrjBj(e8-?Nqz6&__huoby7XJW-B15ekj9g6VA z1KT+w8qEKj^--Jg2n=b6xTY>WO$0OC>8oXvVv2F@GPTx6hNQQD zXO0s(Wej?IyI!T0Fz9XX<(dk3l6iP;M~?_ac+c$zcT@MU<*4>JImB>l3w);)bOT;V zhTpuBh3JkeP(>kwyRHMneoeoKo#^(jkC@@J5#7P(O{qMX=r#uw)*wbrRt8rt38?PC zxMSY|C%QZEG~Kv`+i)OvU`|*1w=gGv?mOvkWR$h!A-~bq8scc1t;K0`XGbz^(&X9R zY`B0~WU1vUNw_6)mCh-en$bdC2J+H$br|CPc>K zcZ?}(pOsSmjzxn#Lp(djy^x*7GDbdEdsV?Q1mXtpZ0uO#leydPa8Ub8SC?0RFP=Ta z1AahP?pO{yhSGbiV~C{}`Ve@fWgQ`g(`!vBc`_v1-C7wp$V2%n8!EL6j5(B{K^nb+ zZn`Y@@m!;%aXR>JUB;45gd)5b*CU6ND8hRnEzn}~Z#HHXpGz4G_e$;78i(rY^qSAL z=F5^h3TP=0>y)u^;5k+53cXOtjm#d|3J9b|$oF{m7HGh#I04LZAN7<+JJ}ZT>sOA2{N^=(u&m9D^H2=9 zM^s*)-B{Zav`Nn0p)ugeewI2OgX;FG2=WrK+HeEfHfNP=tjtGUk}|XL{=4K2AI`c<)V*podJ_yI_AUPRXRbGqx^Ugbdod%)8fJ`NOn#VZ&A} zmpRVT&uB4<7~?F@RkMqKd=`RxcpNL`(unaXCyjY-sTcB0# zy~Z*z=LS%f#@7-9!Qi8gkZHJr>M(r_a>md#5K&$-PIM837dm_^;6E`-{@IP46~?nj z5}L-1BlMWK+aP#;)=!Dzpif7)dnKs6^{j;|8$m-luD8cmBUv_opz9$2R9CG;QqT1U zuPr%>de(%y?)?tMb6>2Ov3+N?pO9}*#12* z-dL}o&$}!Sj0uyYxoDrp1yAG#`XQ)Q<@?~U9-WIe7hm3?Q_)KrpI{cr)-9lJJ19WT zFS-G`s;3WxC@Q*tzu?%cpi|KvX<1b=7Ao2pvzRPF($DE5omEKE@dJ=hKk3b*GR;FB zxjtef(|kGYLq>@@Eb`jMg9{0Ye9q|d%2L4HX?%6>k^=J1N1gY$i6L$|rzN0R@zv>=BD6~vYGM{&`#@p{|d z01^oQ5Cm&~inauf@dhzROS>`@Yn)!YE~hgbB$R&AnEL;|22A?!$4DDJI789k9kBFOPC`p1D8s(a#5Mj8!LwuVkRw|+g=*XLjA^8?AA({)NjMwLZ<>n{f>tv zl!<76)UU}rM)G15>i1+geT+84a&KC_kDz{QYD#DLXzJI^Ykh|(104Iub63}I@h&^n zeWO=GVTIS-io*B^R(QNU!yu%y!s=%K4h1|nBcwvpAZ8MG-e@{H@--1ijpvS;{(6xO_srzCeO(sr26YNFje?>bKr0Jt0ymCJj1@Fs&=YY z1o6g0i*aiLI&ZwAR+%KsaU&zM+nZbzg&gW`G{_JXa)-)0luw&aVk}4*Wr+stg8xtY!orc<ly$D18m&dFHQ3(d2S~scct@BA2)KecO0Ua=A09C0T?ZmzN_~gK6ZlwkmR%gfSgN@D$_sQeKvuv_2!;j?*N?NCXityyn zDe3bmKRe+iKqnAi3~#)nQ`T3})2l4r zk`KX!#uCCZ4D}i~HLJ6vj=?-97g?_vgLyncHacvBc}}LLuTVU7t(V&|pUG33bgj{R zn5R|`rDby=p4zAjoWotqwxK?MsflND`%IsV^?9unL1L?uEEO_)8|GlEdq<6bO&{ws z*y>p!L(KBY=re{$tVDM8wAVaQ@xZ{&6Owb@pLUxj(%)^9g{&}~)j86a>Dk9c(%thH zrQt$4-M!#9lE7us-EJBF%Q7UBUA&x~&ZjfkvBRC`5;i6~bG7l9Z>86NyqnVZdGs2b ze7fr!M#lvZ^EQ8|H6guex4?Go!Ir?*h*&St>!%+8zs;&hA(P?uEnJG>KpfsmiE&p3 zGu-v%?p4TH<<~&|<;0Z~Vz{Tnvf3pKM!P^0b09&^OiEZ=oK`S-?TOqdwVcjtcb}bj z!V@{?Hx~+p9}!HA)NogSD#ZsD;rqwE&)*xkYa<&e|N6eSK)S+*e(y($@kfgBABAH4 zeZ(?zu#1Mnj1I9)M*sT7Q&3o3nkB=5)|YSIxxB%uIP5ScKnCKt!S*y)VAh;&3t&bv zH)SARMqwoDo89UJNJcUx+*qVwFp??z$-_)WGAsVl1D6vy7)jrM_BH{EknCQI$`{&B z?Pp(p?c(~3Pyx3_WT~i;%U$zxU1Er+>>r8D714>xg0S9lCl;d8n3M0v;>b-gEr${S zD}L>)T5#M+hMm&8_0yeP+jYjyC7>ND?PckIZi1EZxo9rTTDC2ivbapv(zk7Vj!R`N zv)vLd#B|oOai-gUS3sX>dbyJxBBur98NKs}n}cuL&tCk>fmc2u|<6#r<0jyRTbL=CPE=I zBbK*Z@Y`pr;qZI$>bc94r@sVi30*3NtB34KHo8t*@pc7&#dCTeU44tc>J<(lO$U-br9ji^f|^vf??p1yvE)pXcW z!r!eWDg2BBv7ZcwJXeC6q zErN=#ZM=Xb z%jY)8tgMe<$@A+9ck4rTK_7tZj*M19w?S^k;A}X5rH4NCAG@jnJ6^*Ih(%pD2c0nd z4*B5pkM&?PMVk$uismr~7kW zv_!yvz1f&N0rUn>U*YS|#pXf<6*Gov5sG6qEm=GrnedwVG>NTJ#kV}Gt993RtPQ_c zBI}6)S|amsWgeZ2?dr+-&qO#)8mtyo5@GUtRMp9}jhB1E>+wuy4JXQmZ(hA1zG=44{-V@2mV7*?ApvK{D7>^fAo*+jqJ8UsC_@0jH=@CK1_vo2=LH1{Vz)pN;&mR6S2;O_@ zBB=+N<9Q3jE+lVqX}tGpe*LBslK0;4tqYLb3glZ|KSz!?%4pB|cGKcnf00vrk5vnId(+!?1Yb?O!~_$^fjta(VNm^(f9MHM={Z z$nxU$$YFu)3B)=-P-{r_Ryalv5lY#p3gj@LYhZZsIGWE!l4~{o*~ohBI+&?%nRB@6 z%pphCdl{v+C0^9Vt&r>3@g@DS(uHL$5I?_}^=B+U>z z+vG-+F!-f->-ysBub;cR5Rk3QE3nfWzaXU3@?Jj4wQ`u24?6IFuaPoo`Mj&JG$Eaq zZ%)pg5Ta=Ls^&HiLTQA55)$da2f(|6s_d>&j_rHlZNt-7&#=4p;w6aa&C3-bn0%Ab zODmx<`Hh3_{WK;&ZlT_v*h>kpf^1Lm>zlpinM*M7Z@&HNEwL)Y1HI0iXz>aAoB9<6 zo%GKS$=;JQN&oqO(dlC;iu50-txI!)?c#f3#u7fH14uZ^xDv7I0AebdYDHEZK+nwP zKK`t{N3NJJU4Qx7enKS|`F_DF5m@cmzmn2C;w!4;E&*C2aCMf}F12d}d?QjT#Y~NW zdPVOcaA*W-JhMxYhuUpW-Qf{Ms0r{}{~E-lrMt;cl7Vx7%U~3bRWi`hwA#XjB?D82 z?J+`QK>XoX?{MKKb_9R@4ORob0`+n0IU(hF*>1u7^rRQ zYKe6e(9%Z+;lVP4$&jmBJW4?Et-WBzx9H(2%@-)~LDHa}W z87w}ytkCa&a+u0vjN?>tqPP{&XhTv(=trLe+4wO_c$ zLc?AMaRISd1OFQ<4CdlaVz@MgK}3VTNk)+o95nlk6QWoO`u7UtPB$fUvEu!Iu!9dz z>5b}$X6Rp{oytJO~dNfS&{ zI%0Le(u9eO+<%2uCWItprAyJugoBD>GEu}XKL|TqZ+4=~4_0Q63J5Iu?Tc5>U5O2^ z^QuxMvUSNXaM3@0Fs{$~y=KZ3Jfi!$h#uc|eBj$Q{_D9dk$w`Cej#yVqd^Yg24B&d zsi1Cu?|M|~=U~uEh?J&qh>OV?BxK2Uw)n-Hr|_TKi|)!nD&TK`hyYE1($@NuB;t2Z zevKVFo?EIGTVm*PXl)maUJ*Bu*srUnkJh+UN4~zTV8DqkohX<-870IjOzA|S_wfUU zA%APhtAJ0FP8=9(v&cc6g<}F%g;fpOEmg!Ts!De2&L5`GJ^w%9(SfWRN zJLf_>#JE_%z8tYHLn576UH$UcpsFKyP=VHAj9v9dA$1r{`jKjc$v-(Rv0jSMVH^x~ zhlpSu#>m*}22#Lu9n@Z&40BLAj9!b`#9`B7*Y#MXr-INDz#`_t+)~*q!y;2+yP>T$G1`(^4n8wHHw(p zi(w8Ls_@XRpB430U6%g-#epM!> zt1lLGL*9h`_LYp{c5szCg5Tm}cyVtB@X1Z*Pb4&DN-+wSRBLk-qtJ$d>ZKO{kt`(TU{Q;Ct? zF>Ha3$JZ}t=UGoda2`C3pyJeLOiXW*p5b+O?^t*-A69W_wY|+mS`U6HUw-@K8Rbg$ zCoL@^S(R&`rSK#R&Ro@2#bq!vS5soIW2DP0rM+&Z|)p(+iI z^R;eLy3(MnKA_Nvt~Bs}TCC|3vlxh%&*LLGRQmifW+zl>r_UoBcg+GMeO_6UR4jm) z@T;Z9OeZGUeH@&bBtcQyhO(RVS5tQ32cL3eU95JUTqT zv68jIqYo!78Z(c{s8D)cw7!j&ow}TSSnLGD;I*lBt5OIC_xDn}!7zAd%(j+in-oA+ z5Z@80j;?R6WA8kFx>T7fr6AFHk+CKL!iSaaQ@SQ&V9eudrcx<{wvHAB6gW9>)2oB@ zRvC;=t{O^f81A5@g`Q|W3Wf~GSj@)Xfr1l`)tkSifq#P)6Wx3|_}5tP->R@8acQxO zc~UfZ7PjoQ$#dXh)w=uuyf|Z^U5PaZ|0@hX@b zFbJ&;N!?O^Z7avo47427jq(}Iz*($sv(%=$4x6#?5Y0fzbhsPgsV8?E&|@Ajaw+wE zL1kI1BOo=WDAtRZ$V{!z>$cVZ22p`;Uu+-S#LAs@Bg?ql-ti^HN5t_bjr~yi+m6J4@u#m`UOj*Hp7)3L8B+A0y&oo! zZt@YY`B8lPVtb`1au%~`MFPud2iThMd-r z5UFG4*KCFKFN>fWQJ1inD@N6bA-mH{d`gYD>vNO>>t@R|vr|fXjhL!0JCd>JW)mVW z{279O5>jn65a6B6VmrAB;r1+0bj*@#c#{TV|GTXsI11x@hTJZW6RM}R^VYS&!4 zm|mc^sPs)dSPJW&9Gi?3soVlH)dAgny6AN#cy!VcL_~bdnU<&nE?xB6yk6YmL=(Lp zM&h}BETY%kS#!9IE_$6W-fiI1CirZ1X6+My$&uf=y1cXOOLF!gh>pORmy49<>)?N-{YL9nyg^*tPkM@`+1SpZ}X2RAXQl#3nSwm5O z7*a&4Ws?P4wy8Zc3)OzS|Chb@4sI+>&wC3QL|ly|-*d;7WJ_9wEm`i|b7j@4c6D`q zN2M+4u3VPNmfY^?plxmU^oZT#nN^jmKrnzg6O3R2Gr$oJb09?w|S&l`T!av@GGZch49YOk(4V7c#4>Ji^2sz?3Gg zbp59Hc$b*iuO>LU>L<|s``qZRB`G--=dbMdv0HT=Y z%#uPmFqft@C00z_jsS_-ah@fjW0tW+x@Zuy?A{+u6axq2NSDRM017@t#$!~uawb-C zG3m69ftAcy9n^?@r|s0trE?B=+HQ`_Q9(P~?jhN0m3h#|`w=pJ(yo+$!`R5Fk&=BG zgpHgC&YP7|4)xpZX>|-UBG6sAy2K@cvXPTE`w17uMxJXs8u6_o@GF9CzU*q~_m6;4 z#dUY$8w3o9OzU<3H*b9*t3L8tPK)J9TXYHOkYnAgJ`p;t=KNOWkuSqNntOH$ezpp&>BAl52Nn4DaANj!{Ih#98B@6K#)a$YsE4+3xZhMm+Z%T z0vfV7UY-%kfg+1L5vDkDHRx(PC7ng*677e5M9c-PL=-c%*2JZNiM7iiXI!9YbkSch zgIC)EpQ9gZ#$IDP7sevSOx*U;CcR`t6rV6mdb!FiPiABfixr19=wfsiNoeeC2LpQM zuQF0tAY3?|Sv0_Zqm;X*8asMKbVG{R{z4R14YcXyF*3P%k|*;Q$p*_$b=dAfCkW#f zJN^KU2{!fII0SSOaIvy5j8<#wE;b}{K@zZJr=W*LFTur5six$x5?uX6R2mC{^^NXT z>0~sl&lOs2;Zm`_&`QmckXEltzZ_Kv>Gis+v;71y2z9qR2M$`?QRtNJzqHb;} zyc*b83C$aSHZtUtfTd1TVb&T8M#*JnUe5&3D7mv^V}^uA$t{E~X3-*g)Vh;I2}sH1 zx$V<@I3;J;8n5G!3a)ywIyR%QpW$)bHrX}h)S8V)c^)S({12SUz>@XcTwMA9iyUn9PV(XQzGZ9!i?=C-? z5>QI#yAz8gd>SNHm=>*-Q6RC^>gY8N1PNR0$W<^5(|CZm+sp+4U{yY$I%kAI+}}qO zHSH=Pq-0;X5K+#db6*P$A^9va_tidCvn>aI^L5H?{VpI-nb^@%ZHFBUWa=Yic;>cT z1_i$kZW>acDUf%NWOZf~1J@O~zM_V5UDbWIP)z?|^&YWR z`bDk3ClkM5J?7(a=)-vz**Htjg}NWzW%d~{z73W)&}1vaaOuZL#ckoJ1U??<4$@GY z@|EAe={)GO2mt?PC$T<} z2bm#Rgm7DcF|4Y_KAYsfvtB z8AHd1Sri*A?Y6W|Lbt)(lk=GpvJthG@9qttqf&W!T@64e%ie5%5Yw!M>f)s#iZL^A zd2=ZA88fraYMT&h%=AZ6ngWc}$M9 z#*-2bIrPkPF+5hls4=IA0#cnC+$~s9!0Qc+$JzfgwXi=^7Gsxyh5flr^MsG1YKkze zVLA$4I?q^4{2%nj;x-Bis$e@OuTiv0H zK2WMixuOc77Bb6*8ghVtKIWQ+z6Ke&G&il@GP9`#xSKNV2#Z>1%ZbZwp%&V9#}1X$ zLfd$5X)e|Z=Ph$-Ta%=af>!CwSp^FyU$xD3sR);sNH#!s_m@a;)NLr6QW>fpk0}uP zYdp)@yUDnwd;aYGYu}F@X>}8{lFfNdSqg_e^!hYM)+ z7JGO@Jd0j$*)b03#h!XdWKVV?7hc_H*oxc{`3o20=>r|mZosmhUeRDu+r9LcNMDFm4xs0R&QpV-1T1ckrQOssHpLT{+ z#ZCKiSkHo*lBDE+lj6GmY>*9{{3bQW(GWmtR4UY7J}R;2xKQrb*UAP%V*sG9oja~AiAP^sGv~`-`nq-P zBuqeVme|i-t&xyq^XgJYoga|0C)6)V=`y&pW^)ZQc<5?>cO+r!?>%C-O1sxA@u__Fj3_T#UoTP7~a(|4Hbi@?VGcFk{HYwwnkC8d9!MvOGp=k z+dHRv0Rs~iWSwhd7g;(-$h! zC3GoTkbAJ}k9i~p`Sd#AZuCQB{B&nqOoyTt(mR%axKt?WWWhA+@80$lwRc<^mw*OE z=@Y}PEI3Vc2N{aZ|1O=0vd%WG(3z;rwk=HnET{b*l0QHAZyAL4QK+Gm4Nv?8Typh$^sW5GD9`jvj01j<=;>RP2R&T4tH^qT8Af+QE@q=TGQO7qdWlzj5g zm+0qwQCXD0zoep(J4^u9?SZu%Fr5j?YG-7BDw9pW#fUE{vi5%gj3n_fn`fbl3Jl?Onz-<*!k6|kF z%|4`^#WA&{^z~dm{mEy@Z0URu!)P>f$wf&36|ibdYjUt*(R@t_8ycbaco$SWJSl^J za8$;v%McO3QK?tfCvfK$dr72wFf#KhUdYH-h3BtW_)L7&`1oq4gnoonMRltgQuC6J zZce4Z>9V@sY!^cLs`K_F$@c)h$~f<`^P$;z2a74ekZioO^H6mFKwh;*X)`5YHlA^G zcIh9;#_P(}r}ID{t1+W_GyurP(_Jrrl`;)di1hFxtoCk$ z7I(d#zj=ke`oF=hXT!NVo?)2SL!|$DrJZrO+O@4aA8$Ou@}Zu7{R*+~YsRr*dt5A& z15poG0kv9!x9I9#yC6mk2fldv{AaH|M`q?+voc?8Kv#ERmx8r{o2{!WhIwm$3ki-` zC@=8{S=_pG;iUj?1YT;Nag+n&yb|W?ve*#LYh0!6-#FZQ~L;6xMp& zvdTEC&9oBK1?4aAAq|T34G|0qOO0)5;?ZkD`B#Z^at0_Y8Wjw1{;Mu(uO6DU@BnFY z=#y~!>(w))HPdn^#je7;SC}7U+X#S!-sxxKN2TPdRA_fZB|P-b5_=PW!>87(216WS z5_0HW{&4W71QvQ%XX|SgP%B&AL49L*u9Nr4$NTt@tZGM)kW%ovOwA@*;e7=!$8h(; z2ZzAf*MehVL*N$bDm$R#Y91ns`j&b65V*#3SC0frmT9#a2K>cSN#Hmy@Yu;}X>sdvc(dSEe2_;u`S9*I4S@yTE7o zj!@UQH^72HX?ZD;u_AInUTQ+B+ux~ze`XhDgEi0upgZVwSh`|xOdlZUBQX&S33=|u z5d{yR)VgPjONBI-9eor>gLU|t?yp6*haBF8LuxU#jV36r`4}mGoJ>yVd$Vz|RYXx3+_ULRwN?iuo#6Na5ie zr3a^MMjlRIf3)LELcuPuZEkji2;f6+?w>pjd`=wn5JRDJj_)ZH=UnV0N{HwsAkuDc zKpDqpgzD<^CrkM>sLtIQIl-kYX@QuY*&Ap}mBFjgqb;R>M5{K=+D#N3rEo#kZXzkQ zRO~NV??gAIL&p<5Lbj{j4mqrv9W{0kCu3yoN?ftkLTar$wPImf2x0BUvdip{^g73B zhZRse#QA2o?@B54<{*+(d)wgYASAyo=%i>4uWVEE>lS)4g5@wYZ`azX0H)^+uj%O1 z^VYWJN@P@jG%qN6$So(`qn>ARFLLYufs=WwVdLFAQl~ZXp;)id96HHkLk#>de=OZZcs& zMx*ztF4Br{m5UJ$Nb1SO5{tz0wcKWn0CySz#|>J#@Jpr)KR;wC-?&pt@NauJEblUwRWNbD%pG&b*E`yu{ zB0AW&U7-!bd-eUnb-S+k{|LG+F}M38QW)xYqlgP(Lr}l*fmx!k2_)K=&a21RGy`Y6 zVQ-Ls0Rr4wb+rn;GxnV2$wIQXb92+0A)`}(w|$=YSdaqTKCTN30KA|L?TtxDqX13E z9px<0A8HGYxRp{Uz}~)Nyplww0QWcTL~Njs0xTYPJMlxcciF@nOTtPfk3{60B3xwR z^`uwt@H&;o+Pfu!(1Y{mGqa4-`K}9GLp;!bvq~S_UrOJ9+@l*jxTgeXqpGTN@v#FBj_~T`$$%JM;HUn`v^%2@9&mE$-ifFR*k$7CohFwR`(dQWCK6#72#_*Z*5gFirk{)h#kK?_`_`^i(3(1uW#XOI5+U#6il0%%+ zh`Ov>0imUyk~i^IXHPAgA_s6{v1)McMovW*ciIk{1IXGa5I^o+^*32~ln}bfq?|et z$&)>Z&(!-p+2Yic6gC89tjj!#=Ri#-@t&Bp#h;EGtl#$eD;nV^Qyd z*K@AyZ*Ipo^`E?Z@fs;DE2xyxk4wa&5H#sN5>m|Rn4aNbs9p6C8JRAw#a=sLNG;Zr>BY1M=qe`LUaaNMDt^HBj6f1J^@fm@s3{mu`+C;GV`zd(1Ez!yKjPCGxwPAW`)ru9GGrGNOt z`y$Do;2R%NUijudwDd>lWAN(JKlS|FhYb85KmF>%--WsEq8~ne_rmjSzxjLL75y6Z z+rA@`VKd6mh4K|vl>g`Gy8mOX^IwA9Dt_a9)Ml*0muO67;cw#4-Ugz(V|J8bNJ=%- zLpGw*36AGI25n<|Ar``asJm56;@|lN_Je#lQNKD>P9r&B9qep-NpEy-g3yrB8ZKw|O0BKLqL+X3%H@`vZ8#)dGNG5!Cp3^}k zGOPPTf`kly`}}sYiNSBz7rT=fWZ``ze{405OZD3;vNx@KO4=`f?zc}Y?f*SqVnaX8 zw_oBf`txVVkX}b1N2K?Heb%9ogF|=`!T0fDKll3WlfWl0Um_XFS7ThN0Y5!?;UXA1 z&o6p|B-IU<3t|4_LqvB_{=1mHfqkY(({9m^=>Ghs-I_t0)K_&7sgl$E`LlV=34Kyu z_eQ*fF|;ISdt*<3>>a3pFX~wTEBb1e_sgz0bmx9&GipyvbMEa8%}3A^+C3!0YAF@q zDQ|DT{ERZ568+@fAnN+6E4&nqy=~&|FV(55c^)4cJsQ73pQm?7xotKH*Ikr*7yIDb zyF;B~?1RHSeMMUGbEu`?GorUKd;<57>&b)@hWR&-k=ZzZTMu?`)7*HIChTvaX8cTi zW>5e<#6%z4vVx16Czuxb^zEmg|JiGF<3+Zkf~Td}r}^ZWms&z9tO;v;nCE_RUXj9r zdG5LkMGABPpE0&9Rz~D9kbL&uotodq{^pC%pA(j0AaYi>p3IJ6-ir1d9W!?rxK@hd5uFYOI9tY?Z zAE**}iyqbtCSAIS!Gk{!E$)|+LQbY)EIE9@gg4fQDrL|+7GEwk=gK+(#iO^a-V#%y z0gpZ`F84rA_2{#*9J_MbN*RcB)oU9W9QnD%1udU{>d4!h$FuOj6!d}p_nytg!=G5< zr}WS{dIvKT7M9nnueIdIav^4YXi-w8fO2QNL5h!^{#oii1HZUnCRoH|#oH@3FLBL> z9Wn3)MEmmMEGU${{hc}jIGwm=qe%t z{d(q#iBzNM({;Z`|Gb;={K5;^5q|)U&k{(ui2x z5^V8}BG9fsuD2Fr%LDE22O_0L*B=8me(BC|mw>^>zpPxkU{jt8qEx<;9aAkL-*?z^ ziWIb-hso%s|K@D)5uYgHcrPD%KJ408R&fD;6d?C7JDv}q0JBG>+qlW~>K*QSJx3-g zV^;Wd?ePZL2vsQ?t92gP4ONN3s1r~NcyS}h+GvU^g(+)A0fBRQxhy053qX~WydE)V9)I<{K$rX z?g>P>PrZI!FNClHDravWn~4ml)3xMtv3HH`r0Dzp!?&nF{rdf{!@i?t#wxd}0hPcXaZ%zP@JP@l9nfVaOt!EV%dUtq$^9X)5~Q$uc|v$sSa zLJ{(GR|(h}Mn@5nvdy34jZNf#bAeZ$)(jl}XE>%1G#2g<(l7;6a(FQuQ&{e_<4I^< z=pd(KI5vO|9SrZ)MZ=(jlmpb}p1TgrZ&^1Pk zr0}x_n^9%w&?CVeL_aW0q$=V2&~sFZp^xOvQuZ*52|yTMJ74pcoC3*z_rY|IZ5@c? zBC5}kArV#)5orDHRiMY&2<}R{0fB|>8|6L+1QxGZRzSG|+^-2KXm%c%Nl7n+L`Bds5&k>x( zp->MSb&*=;6rhu1^|=HpTZkjVq*KWPcAq!M*zDR8ZfU&vDPC$s5-M_H6-a4JL|k~?u-r#D)CbikaVUxozplFIYbCb7{Q_`{VZU}R zUileFMQApSDpJsY`H3d6iGhm9oZUU;l1nOq$ZS%>s1ycDq*_;p*^Hosvpy@G1EC^} z_UuhD_EUgtz+V4G)S8M7G85sawIleP6#Nwb^!=xAu_yG0Z@>KfIWio!ybmlC#2NN_ zxgW2Z(YT4(lGa~>@e!NO2%U&N;BTiXgG=KhGKbZr5-J~mVKUf%xQ7e4(H^0?5T|Y#Nz*&_>Po0J(w$Ip92x{|^x8!LHFe3w5CZbT*={NxvweEW~e+wy~fb+%kRj z7E#CLxY#fxB0u_QPy#_BRAGnHP^R}DayZkFAAo^>08nL!$))Uy=r)lDgK%!8W(ANj zh@7L8S`Hn97}Oo$DWeb!Vq9NwB=QbZiZ8P0HU9KDvY9hd!=_0p&vz!o9=3lN*GSx| zF5wZmfRxq1nq2WI6RiMWK%l>&x?C^fdZ9inY-VLGGmXu-r3NAiHI4{|lH`5FzMVWQ zp)(oV1G-`vnaPMKvY$v95AJW!!;5)I3^E&TRh?T(e|7*oAIwD0QYLhm-+iRCp}tm3 zE3dpkDjSog80yN8kj9vVTOpM2NX%Bi8xHOu*3IHr89X~GJ$5Er3PU_DmdqoT@(kPwfR!?wSI6CPD#E;SnfIcgenCpiGM5tF}elGCY;ZFS}r4?=BZ zS8J@^f3EQv#XyT34rxq}23rA0qkn3ro}t$N7)c4asbw7I7h|c-!{-2dHu4{Q`Tp%+ z1U~(O5ZpIa`FVKLIaX`Ns#+#h<_r(2rvs77+?_etn7_Q%j4&z4Swzg8jBu!&MSoXx zA%_A~94V%c#ki)XR#b>TiYOetMBRu+RHUy}e@_d^Mi+W>e*O#zapx@XAfUzmmgZDQ zKGMHI6s@XZnTME2%kOE$e;d`wD2}u*!iV>@mTp$b=^#Z>`>~S`f)pF3IfnoWQe5>V z-*D+5MNH1@hLj1UNHpk2#U7BNXk{#&3r8nXs+WqS6m(+L8DV0W&$o76=HP>rM6J=* ze=nd=60sNhvjB!m_4+O1Y%09qQAvrNjH_m7!^<5+GdUk5ppX)+uJ9>7KuU}Z%rD45 z9%3xSuH(>oh~R{pF&2e~s7hE0mwkep(jxOc(Rbdwc=NlTq1X1OpS}GWABNF)&o`}w z{O;fKd-U%N>g%D)lfGJuoPu z;tfmT@g^=5Z^%tiwF`hGfsUh_0xkeD)VB=gi(w!`Vfo%Bn}jTkFGkn$D9A#RBf68v zfGp&dY$`;s9P_(KdUyMOVIme<8jGrARAON|J12^6U!hA{QoKn;qYO6dvMNMyf23f` zF>(hxuj5F8IcHSE!L$%I^nrYVJkDW%Y^F7U&Kx+#i^hah=3vcb-IV&WYCWe#b!W(9 z8gejxJTk~*LJpMW#zGdIEEs6jCebqioLA}-XhQluL=l%4B%q=LC&gFkQaU=I3-7au zeNF$C{_z7gln~GicQiy`_@Kf@M+CYv!`yNhBGBJ!Q9}^{lX`KL4MPNW>WYITKr4P*aaliugnEQn z3%a%$CYV( z=;V<7=H>I2T8__e&fe5!1TdM$q0PhjBDzN$ypuG@-~$_$;!mMP=*P(Uys?rG@q2f3 z28|rL-`iDD>SEY9e;y(Ovko&fqURoRd|=oX!2I5|@-qc6lO#VfTE%7Xds8dzHZIiG zT}f=q2F9ilZ@=?2KSM}1Y0U%YF8KHnmHTX;4|8I5{S~7$C)R4Nt7Oxh*zB^poB)aw zYZ}T-l7GmFJ&eq1WI>$R!WQ*!!Ohm)wbN`F&1{`Y%?@Hif6dnEhSoee$!xU^m0$7b zX6uFRFdG)m=rq(TWfZgZaA0j)0+_App8Oc-e37B-aW~CPO-r|$80L%QUqwzZ$d(64 zqU$6Ux|QBVCfrTwLb^M8yO=k^2HeqdThs=s;88fDU7pGj15_FjlJD3)vLAFnl6Q zAz`!&4a=byEVA#XH#la!Q$&hx=W}_CzMnIuuv|bhfA{Jgrhbmk+;h3&3#Fh@x4Ac> zCABZ#DlJZ^0sRPBJe@k@z+Abbt9b{9(Ut2@E;I%}T)F1P_)LiJcDp**$7b-|qFqZP z(38V`Bx54c1WEs_Tbs4>VaD5}JtZ@uuk8FtMn{TWasFQUn@rDM2lh zQn>N9e>ZPalRJ}3s|zwKxr+>isABmv=go1VDizb6wnUDp8%YwwBHkV&&8voAB$;UG%FGCX-$X0v zpVZ69Cfa2W)-Md0Xbag`4nDMvc&O(10Ls`te?mqlZf0a~7p=8=XM-BpTC1(?lHr+Z zBo}Q#mHMx+5gxDJA|u-EUxoQ)x>IMB5aO40*fmE`VRsLivUDr>9yhGwpMr5 ze^3;cW>c*f&8-M2HkB^1Dwpkdp%yi|Gzp~d_r$%mEMjt!8k72h7%B_*5nHCqCZ!ug z^8>YqlxE$b;N?pmX#5-&RJB4+ulJGBx`WK0YCGAC4$nztu3k!Zc(Mn}`=t;Ur*OgS@Ame)IO%g2e^Fwp zi(_59DHl^*oV@yp2|mQ8Ssy;!ltcWOzW&KhuleFMU-pYZ^Cd!UY34)CmyLa0K9_F3 ztjs4iK+Kn=(zXE>)O?xKBpyoW=1Y@du8eUm$DrbJ$7{am)m?F7xcOp<%gSa^%$J$O zpbhB!v4==XM!h2dG+%Dx!`iWxf6;HgoJH0D1=UY<2Kv- zkIH_*Yp}q-;bSXT)WBu>>2IfR{PQtb{x{?}|K^A98^1x5r>V#hlfs+Je-bsr0hHL~ z#-ol79?U*zF-C8TN%l!;q@`a%u}@aDrKxOs?DFW`MW>V;e4H@l8e!3ck4GCOT^xGw z@ko^6OiUCiUcSVX;%QIcI6mIs3-n`pjkL~Ap79u>gH2_%IXrScu=-$XPvWx*oGH0` zIC1$|AeJZ)_@3ZK5QB3gf1Lxh5Sq@LquG?4-zH_f9bygCEyaa$VS&B1n#5zg?(&)E z?Q|-(awPOr-frDSC>{v-#osH>(~~!NbWMrb zy(-xm#gE$P8ztVNqN)3sJPv$nJU5mWHoFvl9wowG!?Op7IreBye-0+kUOJ6i0&h9H ztipCBW*h+0T%P=I>FKTA#xe^JC{54T2FHOdM`_AIgMR?XMPq^;(&M9!ib&y7!ZwGE zr+pG{z-4quN+E5Mv1+z6QAn@0&2&TsQ#u&RvWllz)Ii1R)!ladt$+3OXUMVTBtcHC zgq^$MIxx7+ACA}@f7A3|uz&EC!D6Dqxuhc0#CqEiA5w2R&*{-gf$CCmY|jjid!cv0 z`}c_U@@ha%83UrRY=nwPqh8BmuCQ|sDYh=kb@)5ymM!iJR?8kR;*<r#WczT_yW#jr$%4m?|fBkqD3pn{zE#+kh!IParRoNw^oa`LeDncz%bC%}!EjAt`O383Lmr2y( z$ZHRBlYFw|#nUKRK=V1ZO%iJA&&BOUu-tPrFlp4eq;wqpz;U(+2*a6;+zj#t-(Gc@5yL>G$(qup_GvRI61P6`DEwy7;A4iQ}}8fyxR zg$!b_WaA`EL>7aw7gKJLPYjlw6_iP#qR*up(+en~uT_zjCIdyEW>-s$RRu&}jdf5? z*LXp0M=j4I>k2fr4iQ&K(pB7{>9max0tTkk zT^V@@o#)SaH0sz;@~#9Bc_UGl!2qAgvz(bHAhvg`dvSs~!Xf;3m+)hl941|@XUEG7b5vWErWI;Ao&tkE*%nz#;XgR+2Z>(-fT}+sgN2? zd|iEP20HnMGTdcyn8?ewsB5@XkyjfOe`2JIyxzvTB`&^~lJ1RhRqYQv8t=f-LByVe z8c)%Y8Z7f`ymeEUNeI(;ak=g?8CB!ix*E>dpvE(&>gVJnjW<40s*zAN-soCFrSO|; zywLWV$N-Y6%Nn@NqfQrJ47+j3K^=E%-CmQ^B0=kl@@BllzmHaI{|7eX*{j>4fAZG< zBOu#$qUKzXf_zqfXSReQ+eRbfFF2rVtIXOX26fP6+fdC`Fm&j1?p1mzEtOH3ke@ zyxVkUWipFWSG=+0z5vu(YUjzK96H@?*4q2$pWxf0sNoX~oFO*SuL57ae}ThGALV@F z)oYNiew@M=9dMyP_wBH^Z}r|kiQda+ec*m0G~fUGzne1u*A254y`M_Pe@phW5d-O1 zaRk`1#uI0LpYBL$Yq~oit$NA3HjNB`6_c-Ki6~^>DiD%&8pOc8w2fa8d7XIfac*a0 z9|`2a>FJol9)W*H-iSIqm4le~@n!yuoC<{ZZVuWg_HX}gxdR-+Gd*?!j~jk~6ke4# z3Gnq4Ls|0boxyAf%Hk}Wf9erKt;}g-atGXFS~#%Cz@o^bO1mdfjwQtX?ujAIvGve$(4fXTFqmV41L)(f4v(987yoje|b;@ zK`@(Bi^@5q@`=Lkl+s&(6T)?U)RIqsdCLJ{ml3?#nzqd;z)lce;qG51JlZ|6wnYzh z@a`dNixa!_IDbw0g@e`#b-s6$0ZpsFi>&C|HW0(Dnqm&8qn8=-;|XoFAN!JObUH z?jpLW@ChD;`7MvNA3(nuT?B)bIZ(8_%am^q0I8(oe-n310EHkKYdZ)gHH_h{!PUK? zLR$0G@bd8r3tF=}wr!NifPRU|8@rVabjFnIB@E&H;QsQ4I&1P{W-zrUGL8kDk`kuPKr3L%<=F~j*kJfv_Y`?zvCA=E zE(1y|e|Z`0Lo#|n=pxNg_MUV!o>Mfl^LM^A3t5(M3G`dl#IVorRx=-wnh`WbF?G^}bE!4vz#7@ffMO4^o zfACoC#2YDS1r+CY?Z1R|5uT5ybx_cOivI023B8ds={7bBTb{^#`NeJlAJ!Rr2brF0 z{#Af4)NHSp@<{+fLf>@>m+l0FcDZ_mFbrifr@5C;Dajja!m`9bSt@;0Pt>tqJx970 zuaiU|TsE1X+5_b5HAW~e*_3|#!}P^je*r@S;_AWWBG6fDH*YHVWEZ3Kda<8FEk9dq z7gN4Y1wW>j=r9Je@EYk;^Z`K3C)yIOzhqh$rfJ~={ha#jjA8+-NoUi#5hnpj|I_o6 ze%^;#aC)N79gt+W=GOT(A+)PYZPWC4O@8HIeUX4tsaB6w>|@zhlsa^J(d6$jfAyf= z%yhRv60LTRoJTwe2^(Bn7A1gl(XNT5W53T+WNAs{5f=U1_sB%wZKDL%il!<|$l}nc z)R3tgO#lr2UUyy`u&H(PLg!MQ7}jiXHrcZRn)PQXT7wvhW~zHH9ef(Jom*G7#UWu> zX(e42ABR)hG7$~!3A%@bYR(%4e;`!9-BY+nA6JhxmD)DS`Aq$GJ;t^Z3B1PNR&u2c zVCqzjo3@t9A#m(s<@qchP6)@Q-Kuzyf^z-5Sq6KSWk$)(d3dXvE^h=$|q)<4rfYH~G+>@X)^4ZSQ#WsEOE9G2Oh>e=Qgk9Ev@f z)tj{|1bxQ%A)A_E*w7{3s7hDC zfwl!6T#fX=e1^-6oDC6Vple!3-voS0uCB_}L=7^Vb4*=xAV_&jb5Ez(L%|%!9CEX-0sw=F7j6h4XInIReD7h^2 z$iJg^#3`~GE1*p?50U-hjdHRhS=D#sWMux zo@Rb!5$Ci5U;GXBsBLF0D23#H5q)gysu1EJ=nUz5h%xdcOu`hw5!|AvV==Xg zRZkzAd653CmcA(^khV*l686S}c7*gsgZ}ALn>WR4FeTzb1nVr{2^~+7(_6EHnv#eR zOMhy@(stfC{^Sr>Sgp>@4^^w7A=wR>re@I1s@3DlAi!5%~2#EIA zehrzba;ovJby!wQdho{gvBR*x!DB;z`h9p8oNc}13ReJg^6y5AMJkxP+p!d(J3$QPkc*xee3#tGs=s4$M`9)L&I!C0I5FGRg{v- zr)+Yjy^AsEe`#zgIWb&FQlsjK2QHx|Mw>wXXVhES?z)=OiDRau6E4~1c3UhGNM7nfo*In z%7JVyeG9+7JR%qbRQIKBV9}tY|X7CeH0gdOlb}xIWLU;CUtg z$!KS#oKgI$-Hj_;dZ}ePHrFl(T(9jRLmnG29pjAGD?*<_3OcnoiaUssqy)O06PsQNCnwWt$!URD7f8ouGH&33ue~p~&Ij8wB%OLG^a-BAv z{BnHLDg%AdLQ7XHn-_{zyJ?*i;Lvl|c}&awF541^WHN0f zU!sx}4r>@b+y-9@ZKJWaIv1GxSCP9PmSpB@P+LBxFw4 zf2?sR*6hCKFiHSQ{(|&{bl70ynY@M@3CuaybsZG5sFqZP%e}?*sI|QVtYyXL8&^B) zHw8fb%warF^J4eWH)oqN$SFL&r1C~WsuUNc%pMATXQhPZ@KPQfyLV5{{uPAks<>TH z@jT_~zLV6V0FPc;E%89vRzE#lB=O3&i^iTFX4roDHe{90bPZp> zefk`~hNmNGT>`qeOG~X-<^tlb>ta z_|W>P!-xnTRm+{JCgQo@`)$#`@iO`EUOj*D;^oU1Si{&`Z2$A6&F@47e;omdXPP1H zDW5F+LSiN+WK`MLIM_}%C6|s@FNGA@7p4yD6H#QJd(WCECCR>nql87QAWD~ggZt~h z3aCC*jwa#tRnn7-7%oSX1>gG((Jy=7?2E67W#Gx{=P$qVzte;6us9)lNq-4;N#DK4 zV)(IZS{1ZN<^0{-Q(B>4e^_4WwO2IG-?=S8+U2v@UOS3tJ?Aj{GKwhVOi(kxdq<6_HnM;E;@#{j32wC{h>O z+%41@7lViACLt(Ne=mB<``HkYYG2dd3Sc5N`C?VUql(nL?IoqiBT{27o&7w&NX^Q) z(8vLi+O=+5lX*ny`F_%g03uR1^f_l_k*czd)?lj_AyRF{tKXr6PJ_e4;QAa#I9a$q+jZC-8DH^$~BB(HcUfgu4rc>~R z?UiTL&r4(=_G}+Ba$w-CVs_{)9Hd_>bT;GVfLHhkk~dGE1ipXzH%N+pah|~gzK?9= zghhz_6JD_Pf~?-HM_?bqSomeAj4b8L;!BB02mG%Ef4+G7{AWnR%|JSk)neExhyon! zEv0h-Uw!?r6?^YUxhvdCOSQKh>~=sWp*}>E#s*RVy$7cm7>@jc+G%k;p1jSa8?}*+ z*(5OyWxKj)?O~Xzdk49lsO;s^`KD0wNjp8LKB!{A1O&YiHk@0lt(7u$9oH_LVkJi3 zv8Hc(fBA{;RAbJKPZU5;HI3bqCkz>Q_mGpNbK;!lJMx^@?}sx?oqddK9Hi$9iOceY z@Gyz)xYNRMA|#J`PTH>Zkc25;U+POlS!SqvQ7#_dQ5In<}RD>;h;;J)RK%|S6G2!F0|I|CksKe&+B zf5@YrYflZ8(*p2ZI}n{!4IKyj5ZO%#H{q()zwjfuAqPDIs{5P0W!S|DJN(x8;>k~5 zy?=sdpP);pB5$o2nx{X1WtsC$y?0MkByk|PXV!jNs}ShHsYt2a$J-HJeC_R$$E6!$ z-z~aiHdFNTPP3Q^Zkk&;&j*~A98Fy?e=uB`SlrF}0SErdFhNLsJb|wfy(;t&Ozht} zm|x()68pOox59Xy$D3$=MO8QYp)KERo@4hpjq2(di~JWL{bL`vb_#&rxYKplviQS1 z?##$m91Fy7w2G@RE&!mra%WDs46yQ=KJOSm@@FLQ@IvA#-z;xzJ_e5i+Z941&&-kC+T^=0bYFR`&|rRO_wqYC2fP6wJSo zw84cUoZH5tCSrZ}?ceCFgiWfjf47+epqQl76dT|}q;DMcO9CcfaN@YQT;MGj#W$tT z1W*e`eKyq=hfaxPj33ki069Te6eeQPI?!gQtE`Q29;Am(6SH(Bqj&dc#tIr4V4{bJ ztz%!$FtEKjy(yao>+;x4K2o#j<*b|jhHw!BGiX>CACp4KxE4!L5Oh_2e}I^FHcN=1 z6VH(R)UhooxmK2LD_E97slDyt$YBn(ik7}USI2{)!?8UIC7x*U>KVQozeJ`IYfn7-g~01EGp$fyuN z+~L-!qzeo^mtqT-ZvjS{4Mo3!ZR);`p~{n#-vY{s#>luFd~@(Ua_>I#lx)hKvGFWe z3(4Na)(vTB6W$T9kZhB{a<}gwsqUd)Wojr)y49UR*SEV!gDPl@e+6J{5v#!!Y>I-x zJL=3g^YJ1|#nRESx&idqc{1QPcb6F^t83SLF4S8u98cDy;r&?XZS(K_3e{7+UcXu&hRjLWI9y%gW8D+j zg(8?zY~k{(TIluKs0unKVHH=E^C8eas7FY2=D-w>4p{^{_fliFMk7Sj%7(`%U-PVz`&nMPye9ka2N7yrp zH!u)6Z_HOnV0{qUS#248+IewjP#%xgKCa6S3FA|nWit;eN62jqo~JMOyx$~;chz4s zESGagMf@o3L5)9(+kfCGvh`+5=-q~O+Y7ZqYQ;Zoe|YtnaRPd^su#VbzOfxJRNcQW zqBWO?>^3CfEyviWia3TA8~Ma0LERr;B6;aY$K+1L(d-2mblAWnWW3XUB!)1*1-q@4 zz>p#W^KR|9R+62HQ*HYRWz_lhZrCUvY$!37>Eoaq^J7F460rr9G>?$!#&lvriq8ir zu-PN2f9=?)=FCaiG(ti&Z}+d)q0Kp~>53sXB)uZDygx{r+47P%7k6^h8n=7@uJX$#k8&xuz*vp5s z$ZZ-LJ7~iq8q^mJ3>gJOb5|`qm}OU6Q7|I{`Z>oN24^@_BQLBu)Wih>S~hIgyE1xU z&+>&k6gDLMdL*+%=J5i%f})gMc!Su)e`RGCo8GKZNy(J}tyP48XxvO}NIKW!I<9v|hrmXG&!;V9O9^d3)pJh*pMHf@uO7Csz4aM?C$l?v z_&d}I6ESlRw-~Pvdh-El#!`QSod z2F)3AP2RQvgTzx-G%L*b z!$De7Z;}NRi+3?QV-Gsg`ypcPe>sWuaR`bfNkz;gh|>NeRpowghe0lRe>#y^$h5#S=EJmbU?M z2HBf={TPJeb6b;4LvQKEYKtbg9LP1+ZLTHD8Mw*ZnQ;!8o3!6l)bo4}V`zk8A3n=s zrmm)hOED31$NJ-0pdV=3e~R7^z+Aty<0~C*!_hu!WW+u`gG~XYxOr2Ch^HP0F1#}- zPeAtC##Sx49FlX@KE1NTq54jFoe@OZDb;sUF1pU7z6liVlb0e!=O$^+;R4K=%6@k; z7+!q5G#oC(KpijFMtg@|wyj+~!3FGwlDLFozcWzQ-DZJ=7;glHfA{byCHqKYkOezm z;Pr7$zv1tw6+pj4Hu-N$dqb>Es4U5=Pq-3__aj0vbE+g{gZIEzxURb+-O z+F5B}dx}tr=2hIL6}&+gr!UcWT6kj~=D}-B=MBmxQvE0T>L^SC ztLNB{6Y_b~icRBeQUe5FOh{i3XZw;Tvsb%T$?Y=@n$cV?f3-w$n>>#mlfQWtqQAS4 zeALfw8Ptm@hjF{QaEt|>y9?U$r^K{lbjYCE!S$S#cbjM3l>QjkK;)$iIQyDr9aczx zOmKL~n3!_T-CYRjAiAos0xy2E9(UZ7K?Ydd>ea)rGh@~8)uj-2v}uap36)U~F>P^s z;{l!%$as53e-7V&E=W$AiDd)lf-9$U)k`Dh%--XFR(GQ=#d*S)E-~7GrihhmyZQl{eM3NU@qif46Y~%XpCaL4%N z+~vqZe+7QJ#TE+uy$};0qZ%^O@$($|bL1X`SDz!%9XSdjT^#+==WpM~X3HP2a^SwYS($fA+KYFED&=JLs>WY+_Jx>;N(U5`71O z@4oPGSQyFIq3GQKv+SyqLmg58TfFFuRP$kqe{`kwW}Z({q_?@Nd(=)Ib;4>B{`ugq zH}E<3BCtQ7S}{3@>#A4SzvHOl+b`cEtHHA_yypGmcVGP3`@sME#WQ55GG(335F9$1 z=U9ds9Cwk8*@att1^PE5^AuF6hy ze+Ph6V_MnDE#9s}{Qkd3YFpj2c$*aV|4-42{Ij=Te*QOD>SkSSHC`9QKa&^eM}j^Y z=yk!l`OlH++7p9>$}MhLb{u%o82=avI^sqbYbQn|V4ol=V1M!oSzgulK~2twNZPrJ z2ru(~JEEQJDaBizuh4weS-fCX6(Oyi>z1Itc$6DWo5L%f-6q%>%?vs zL+0*VI&_(Ml{bP{@1CPt2ixV}zk2%m$sZyOwcRNk8jN`|dfmm4(Rc?*ty3klf9Wu0 zr){iILW41N$H@aS?pf<)mqN$Rs6Yu>ACZEt( zi)6*FPU4#`anE3C`YU9;_Iw4`1K4#TmXof??pVC@6mRRnElFG9VTuW3m>7Ntn>fqhdN1o&f6v}LfAS7fDCPN)^$f|V_mHE7@gdxbMFr%0&&q>7 zRi?b_N!|_b`FW1ea#zstfQQBcgo?|7>5sMPE;3V(JWjN4@nfEXYz)NV*(6e_&cvjYV+a zRGBhc%<*1l^sYyrT|`rvu96dv$4jEU3g@9S^Zu9)psKo!#r}21a|dH@(>j=9zzvNj z)G51LErl!id~AGnFfkweq$IM01A|cOV^-%qU5~!q15tMe<=_wkX_fur#plnlzq-{t z&?taWt0jXY1Gw$;%D<+xe`^a517IX;MWizmFN1rZV)Ow6C_8=)(OFIW@+fvZtl;<$$He%N}CZhaXQ)6HDU1M&u0RP_Ib?*qV=di)2_7g{uS)F}Y?kTw zUA#T0e0ha3e-vkiNegZuGC#kth(Eg6ccZJ<8}wxaB9#%@X1x0M>K#dEp#lPF-p?-* zGGWY?k!}kRIYy|tnF32JSTCEORbEM9Y;yBnO|lqFmTbC?nB{;Fa#KvpCJTU&BYQSc z?fgLqxhiP84&SH=wBbiT_)BEPeK6oX4-m&HVgnC}e=Dtp#0DO>WmQT5URe|G%)ohv zw;m;#w;P%hfIXJ@qW|Ta|Bl`x*rmj}cC9K$HHQIiz9=ut#~;r>`uy1^U*AW9N(;;Z zo>iQxNRYj2-5QUwd<0@gSR`l1X_Mn3hP=w*ec1-W+;+b>#0} z$S6zje_iaKmr^#fcwSMA?^Cay{S@0^2L`b?ej0CGvSJC60=%_8p)ejC)f>N@P55N5 z-r*&|=SX2t?-oNE+CyYJzG#>ssO}!pFx?O>@ZQ(xQ}6~CFN&vSBaef-wnP%ES54Of0XvPgB0vk6$`z45TQK&KcsZO_X@Au zc%JfGMaz-!1D6Edlh;BUCxl@6cUNI(V8MS9-~Z7k^gw%gi#;PU>cJN&GOB(Kj+6&C z1-IcI~L4ftmUAIf*)tN+#Ji4--YO03tyTtpB-;(rdXmQ>K!(fcNh`Xj$FYxJ`zsGLx zzAb%M0F-h!w@q9?VRlqQmT`S&JL1>uo1;zmSRU*M@F}Y7$K$rjMLyy^?)KWk$09uO zg$F56Wc^>HjU0PZ>MBbG#=(3<`bhB`e-OPnYz@vgOt^e@`E7WB@05tCXX9bU2p@Ad zy!!{`tQy~|v50+7IZ<9fU%#RvZ41|yxP^_XhyQR7X(`EXlh8?fM{`3J%e#pZH$3+9 zi{(j)xc0{|SnRrZZqKJ*J$a7A%myo=Ny8721efj-D%Tz(VcWA>KZoE)5@Qmte}~v~ zI)AW!G)cll=W91BuAzX=J;a=D36;a>{PyuKHJ?uBpLLg%3K;18@sRj4oN^*9`M9LV z*8JPzuyzUYMRHw-FfI}sj*29{rN}FddQu7xu?GpH{VOICzmNCvkkl&r1H+x|dC+Xo z2gt@s@V>xX!SY-*Y_C}9JG{gze^_`Bz)vwL5Ob4)@nSv^e-|kTj(kKmk6J8Q8$4*! zz>qfU7%v^8r==HPV+H=GuInZt29{CQFZ?Zj`T5h==y5zI+d3xp9@B_B75z;)(ffY| zYc-fJt+>EV0z%T>MGhA7_Qc+IMk*1V>|HiVsVfKi5$V?xLUC`(vrW4Pf9&OA$bla{ zn0gQVod?ay{QsZ5_YQ6(%kMk`-UCu=b}z4`(TzrKHLIIrRojp*v@z4#)AsGi>}X_m zH+JjFux3hAPOK_*&qZtmy#WpAKns!}K?{0Mg5HDPdk1<0TG0E;e3=09WxnhkY41We z5&cL1(M19TGGD&;{r!HQf8X~9*Tu#oyc58)@_=~Q@vDi4gomp;WS0j$+61C~>`W(x z3S;*(~3h17NaL--(Y4r8ioE@TecYTEQB+do*1 z4i(xttH2e5(5~z7!r`ujR^7|qo-dL({V<3>`s~Sb(wt<r6e28z_**Y$h*;?nl4s{s@9awh3DE{rYo|pE!Z8cXD$YfzzB9JIgU%h(?Kxs@V%M)a zqeSf7w4bU%e>AY9?A0|nr^1r)O<2@PjLID!6T(3o6yA!&$v(ic&qo?T6YR@Z55B-3 z8|uS(VJCN)P7*1H+J|`rd3Y17bd-d`yey!hN~C;caw94pp%2KBe+iZwxh8T5i)Czi{h-&cq2%n5wE85V1@n} z`7%UDPVmLBL&@mKDe<#xDxqzYXweHCUv-xl$h@L{V`fj_J|8`>`qs)0kt>Hm+<>W3 zN2%0xe_Ncv-`Yx6zlPnn<7n?1M)vLGrDREas>4P8T|*Ep@ZL@Nx#$+2-pzF$G~< zC0+Z0Y0n^e@)@=g(O4g2B!GNfA3v(Ma!7dCDuu`?-QD#<1;#mnw#=1 z3Rk<(Y{StUkKGW|*s3iNxOtE9{@`=hDy3?JS97HSpic0LOc=kxR~Tj+YaCLe#^!vC z#b|wgKwo!cDE5@t?y=Lt{zf@1Dki96FRZdT*jI{J%OHtGT*#5y$UYtlLm8>|=@)Xg ze--KNrKbW!50PWAq7uk&x4w0zv~0y!V~F;m>A7k>xE~PT1<`tBN~ui|p<0VpPeL#FjMk#v^pJia$EJAH{Usk(+P<SLkd<#@4wj88AlOsBqE=);#3T6k>-LR#Ia~8mC)6g&&|Al`Hs(^(BRviT> z7(Ge$i-Tqvt&sZyi#Q#hmb?Dt9=-${w6~dJMm6Xs&+s42g!kzsq|*#hZt%j!e+pIu zd58=czPiH-V|r=%?R!Lidp(F4yo+BEphG{yI>y7!K$Z-qRIq}fu3inTAIg*&5sOUm ze2kgy`bS(v5i(+HE_Q^e5iL@wNt1URlQ3c|i@x+>@mE>9ClYrVj>zSgN9r2D1a&fz z*O9kgEhmRj;0xk2?8;j|Af-1|fA#d2N6FbuRcHPkvofak!!Pa&EPtWrMB%FKlUob% z6b`N*Zi`%MpL_)|`ah=*FXvnl! z;dR6!04qQLb8I~Rphn4PdrLZ7JyEi8z_Z+Hoe07K%eynKWKMNLf7EjNms$;C;*4=M zZi8CWPjH!vt#{|#Nf9B_pA+WY(oR=(L`Ifl zbB4B1qnh0~*KROXN)U~69ft8(5!^WE)3!Xs!h6WzxOeb0e?dlKp{^n?en+GBPk@~O zv6#p`hp4N7l?EW?@tOcVK*GO$x$9cFlYiskX0xj{=<2o$I%-?exG)2lYHM~hcmouS zB)71U>msB4JKrS#GQw>##oGP1tS-ILrj<4&vrF&7(3vA5XsMSEU*PXR#CV=xzWpuG zweee@U@LQpo2Vwfg?|)BrGP?cEz3(SeENNis6F%-5vXiBVU8<_rpd0>{CMT;{ z)A>&P_OFF5E{4hhcwx4no-ocnz&K;kC5QQo2j=fs+Xw9glv@4XySK0Y#_Qp0GSF^I zFtw0d?_;vamEJU4=*pmzM-9lJC#2hywB3(T1QUt5X(BQ>eSh=d3-DwB?q^?T&5HI)nC?484qSFO62=5gC5xaz!-p;BC1Og2k;PCKO>)zl z+NEG5E%2|iUsSy=WM~R-6T`gME#MG-<}UC9jewbtwWmBpLt=e|$?Uf2q0Iq19Ptyn z;tCh4Nc<7QxPLKKMo&wUMezrJk4-j@3@e-@6>-v$i6VXLRy&cbP(cZLtTMTd1ih*J z_zNt3#g9ByJ^vmXnhx9|-wVQnu9jw7Wyr?G1Cwc3&1_t>6$P}ooIl)+i*qH06%n#= z(cEoWV~yvIwr3acxf&OjOLVhxiumqcxbcxV6M(La4Sywh($XC^4Z5q*N4MWEa4uW- z#NTefiew0Z{^f`ux=wSd_IiQ4YcDsXa^GLTBZL?k!Fn-8t5&K{?!0sXagJM_Rpj zVCLN5Dt{@|Q#m*huq{Cb-*|AAmt!)pvH9$zoJA?(Ny1++TR(KHLI`&+Y%e}$#;|B8=7N*Now0}O!?6jmM1=MG$w_N0^P*i)QPxQ5h zMzznZ&27L`d&0>Wdu;Sx)186vm3Q@7ZW{i8*=FgzyQWt&+bko~Rw6*6lKL)Ke_B|w zgu~f2-MYM11pLbZ~FO2=69vS5-NcjFFWm)I|-YMjrMK5MB1$$Wp_sL znSXAn=E{;#6@C5@^(Ck}VQWoEsM7)UHh6VLO7Fjn>4~q9(&)iRe?ugL^V4o>y@_p` z(SMolfAFOfoU3SR+7WZMUoLuAh7wUA)PA{nQTZn~twK9fn|l(5t3^;BX8o)^ zPzV*3XS-AE3R+#Zx71rNMU+POa*aAAtLrkO+tdShU8ZFvST*jh%Zj2}{7fgVC4V`C zc6hfYR0;Q5Uf8buS-qA%qocoy>b3MqPES?Cy_O4gBQ+96uVshO^$6fj)XTE1D?C3~H z&-ZlAT21U};-;3u0ttA6z?5^}yTDU$DSm?M@3)}twUO1crefz;Qf#-~d?>$im=>C# zV)s^dwRLPt5WST#`u=$^yZ$F2k2at*5N5xrReI~C?@a5c%ryvNVLK0F zc3#?Yg5n969cJfc+{)=aDqa{ZHybpR4BsAVKN3Q^*-}>1qJ*^TRC9HMoTVU>#zB(B!7>iiE$vubi%5N zkl1^2W94XY;{!3?AEKvZ%mOey7k(7;^sLS0}-ye9rdr25)VJr1JW)-v5F0_2rM0gF5vU@%63t%<>=o#Vu3jCG2I|gM) zA>I+%ddSg)bRUad+6W@ap$Cs&zXRn1@EL*wmkOm^&2S~An15p-TgZoJubnd+YRCq+ zf{f!sF|%*2C9(GeH6%foyw#{iw5^r(l?U?~ZEM#F$?FnS+nOaILq6rsjYjLJOb~o^%h7D~zy+$ms66K?Sk3M)il4Q`0vsCZFPfN-#W*^K#;jR| zd_)^i=YL$#ET7q()8#wfB4%~xIHt>vrL4d>JhZJv3U%iMHro3+=+KX_vdqX64gmi? z7FgG&M?O&=*nY4sO2&@3uXg*2kZIWaSX4^&vJ%~wveQ471`O`;81(^u|FE0*84~?r zcB5pahWJQ1x=}{TtLx>6Zj|`VOpAc4wIn@v{C`S`>?1i?S-s(*`bdt~!y^>*o{>Il zfUm^WGtyUM-W77SeMF|zHIlD=@eF*^-aJbhc#>YZ&mjU4c!!5(iII9avyUU7&bB3E zZlPqiK#MVs1@w|&Ys`6X2&yF`#Cy*~lRZyfVO^V-dopH|#$fAWA;&PPkFfQOWCx3# zA%E|9LSI6(8r7tcX&s9bFtaS32ggMMjwTK7p^#|_a)d&UceouXK0d@^`}V3pk(2y( z#M*j^-OOLFlPrzM>ncnVzFc*MoFgEygZ2wH#F~bLyl^D zNGc0Clfg-$xvC4ZjM0QpJL`yp(?aLw(0>8xSM?g~RNhvI5xoZGWhWI9)bjWUTTI&h zD@G&1Sx4mx57|giIdpj|a;mf^o{!%B7;K)zl?uun%TUr%Rt2S*t4e0Wz?f~<%tz$0 z)-KM;vFt98zdf;dUckz1rDd2pf%mQFmv8aF=8e~5uyGGx+b2L~6WmfFCs~wpg?}8P z(2!qQEq6X>_&Z=l2XSHUA!XoV9o6|pA*=iznDL;kONkz^@*%cw+Ix;B=XG=80cs{6 zKNK@=7O?pE@PmCnIRnPPpS9by%^Ib1L;?{V*jEMZoSYKjg*=xn%7Q;k&QRaeG%%sIfDw~!NQx-zIMy_Q{GCZ{VI#BK=z_Zgg8~F%c zyS_SpP{81|+e*896z=`-#T%?EzpMxR%bQnE--30NGhUv;V-wdc`ZY9hy?-z<9wLE> z>*i|hk`e-}6Rp#C+@lgKUAqpz@i=+vtp&qRs7z{IU3{gI#G#0r`pE02uU!YovHs4Y z;)JL5Cjk)}1`Hh-biAr`!O&6q{aYCuhEATTXqCA$v?IBDO+xhW17A~52XJqE;rRkf z+p3D>qcXYa*`_XTI=Ln`bbnNippzpqi!US)7C}DKr-Ku;TlnM|HX5FKE#rWa&-Q9U zRH(TsA7JL$h93jdn@2yU%i{TbQy9X&ud}^98!YBmE}=>6m3y}3cp(a5?usq1Au0%T zgt>jT>mL}9a-7dV9%DE{X-;Je0#aV_t1se!lw-2P^XX=SEyn(N0e=E5wns)(xF;@B z?_1gzw=L&Fi~EwB`qT`xc>A`egxKL_#Dycy+~?S?F6ZA9-<1X%H{MkL5d!bkF2=8j zX?X8&xNby^#(Ou{Y(o+R%X@n?6psX4Kf#Iy*ZRcHMg?MTJsW8)Qq%JIEhFYy8p*p@ zv*4pq>pkIn2TSD2m?ZjSD z6g)&B$`KGHVh&L-#FQDquH*EGd5i6ptZfM?1U9p@@m!5UV9)(G{8Wfx3XA^1Y#tKkJ4{R1Ck8U6DIawN8SUbnl7%mR2|qjO6= z^aeZ{ws}%j;wM63n;A{PGctxZ5B|BmJ3Br>P@7A)Aye-32)I-Edq=6P2$K|MDZ70k zWJMB}gH8pqHDFm$vEa*_(T^&daK9(omJHE%Qzo z=m26xpD-cE*rKsHIYMFG$8yvA?}TVY`U$oYk;B0adth~A-CIIV%rU(xZ4z{X zfMAB)ujebynw50)F{d>uj3^Q^t8LAOkbecvNFtL>oA%n7Z&N@=GawejkAo)}#A0Mq zC24o>B7YVW>YC=H2$*mw>2O+sfC(?xZN0!Z;uZDi5DLpFfSNAWuQXH<&(x4)RI;JJ zcymj#015paj#n=by8{IMMKtHU&u0FN!3p(JB=hI1*ZYZ>%wMaoBUr-4{55%JG^?m$ zV_>>HOpV6+Y8<&8VyF%oRJXjuf$GgQl+9AfF@HP`#=kZJMPdiOcLO3ez&CTzYk-Yp zJ40$0c`$BgvECgZlMNnNy8m$~7D>m6+CS=`@dlV za>WUizGC{=_n6h2ei0LqD~O5f7BCUH<*@WI4F?ftF4|ue(uugT*nxchcYag;t4<^h zx(-wUN!!=e36!$um!6N`z4gRq8tOu1E`N?LHAXwCM3(Oga>wt8Kj-G?ny&`GWLMvY zDjG@zD2#6R@Fq~n#OQo&Avz}~7nEDlCZKb2GkLaB4Xxx;e%`dgn2%i^bTO%5&neim z-B<l)BKj=!uA#+Rhj$0Zs7vOhoV$Aq#Oo>?GGt*3s z7nfKgulN&$J-4=roZFx^nhXpO|3s_{L@Fd|{PQUBB)2258L+_Z^+=?Z*T7k}imWWvsB zW3vK=>1MA6uBAUeOt;m1a!e1x{g#V*lu(2_VchUjuwlB${oN%E1ExC+%ro;Sm@e_= z!Xl+dqgiV=t3p3_Zt{O*B*E9Z@M~Sjm}kJb+}xtDW!=vpfN{E@(83COCq?p1 zdzlmo)A@~T#K>4M-OlMkh>!)-8RK^w;JH`2uJS+`N^O=7tu7KLp;K*Ib8h|@NNi>r zfae<|His=6i!u)4E`PJJ+=`46?_t)7$r%pr-~-HL3MU7(xcR!7<+C4T%Y8R>NpsWz$$IvX=c3)?U?c&9>a{smyS{1<3)11a_#I z>|Jr^T$75y-X-ps3nb`#jt6#=?5AO(ck3DFIWh)%S7tP>Ab%^E_pwfMPOgeW$y?(m z(|B|xZy2=DD<@1h+upaYV$1ovoxM9b z5~gc6nPWsKp?@-XmaAk^cHUzXSqi55H|3U_`6z6zd^+nu1}5oJp^W>{rQ(?WkZOkp z1=f}9R|QK@V1J#-$1>y$HL^~1>navTx8K#N6Vn5bmZ~e0jEkOIU#r;Uut={t?l?dW zp8XJ8xn4=+vGKXV+L>b+gwKt3U9Gd~cw{ZiYDziQaA0!Y6}`zaTFex2nO-1dVR99h zH@Pq-XWY)|*B~*u!{}+Uv%?*AU2dIiAg06F(;9ZvsDJX^N7#1o0S3c!F=5%OA{0Cq zkh;1dcgAja+mo{b1U#2#sg2;V;JJ+O1V8RbFDxp1TEd0U&DA#8Z>ui$*#>_ z03H%MRDb?tce#Yk#$BwJ*?BDQ?e^5tI~fNYx7X^p2EAl-2yXtY<9AsMTvTy#s)iLI z2d@lea}#gvCw&|=(|xQVG`$Jwoa2Fw_v_n0Xy)D;u+HW9a-k~}FV!|@AecCN$J`Lo zpYJ|a+7s1}yx$*V!_A8q5)=a0omzh;L||??<$oCsDk$Q&43-vf0MPfatl&Uz5rHd6YrsEE|Sd6&D| z?js^XW#MW2afAN==VNLYd`{(1KE|gYbzcSLW5&kL^y05#(~8@|_C*LbZE3GF4Ov6} z2pc{t4&^i8w9~D~c_k7~3py|?sc0FY;eVUzD%V^gCxNy!98)Jkq={O3%^fm`Kx><8 zHpp0squHIR0V#?sJ712flDNi)fp2zZi9t@d@W3L+EQ_4Ztfnko2+|n%9yVK47^7k_ zW4hkNB?L1z7CK~AKshbDQ9mL_Lu1CF>{+D7{RAt%Te(rVGhqeY7gsU_7k09Entvz( zAi)!Ct9s{Jj^@6m+RIKPOpq%pIyZw4XV)sbqK;&2wyW;+G*QS*y5&yln)#>)r2F}*DP5A)Ym=uBW;LBCexBD*dZ zZF(h}>`FCsIcQ|pO-0!l^5%YwReO8uk(orln&S;6C(PAam^~tg^Nf9YU7;xV$w}+n z5L`qCkJ@mVHd=_bk!%|5Bhh>~W0?|Q?~|h#tf1Xye-*<J;D5Z6cpeO4 zg-$f}tJo0M-C2k~=x<>|SZ8TjKcJ;eODARrBnSq}vKMZ0hmX|Trrz8p$lx4nc1v3} zCziEWnMlaHR7A?nJnH)Zg(|LP36#~R+&!1>RdSZWq9bJw$ zD~Ut{mGa#wDE}rMrm{7a_J69_FjdLiOgu{kknb2~w9InARMmZjm2f_JKDGA_mIk=E z8QET*m$H-0i-qA@q*-8i_56VZK}wnD^Q)Ly>CHX;Ir4+w$1(zU&NWoRdN}uNSx!$_ z*A!28h?w|PY0Xi*fWynLwkw0}+Sj$S{ksfgDkg3+Ue3;WA4g`N3V$JF%71UEQ^P={ zHnrYk8VHeUO<6h=AegAj$e=?G`?wFW)Qo{H89Sdo(O?aea-mZ5md$7aZDJrH`kumuMTkpNz=V0od!(C0wF{@`7N$&@`;U*s?wbM=LlRyn&k4z z009|p;h|VU{s57tW3Zx@oZd;=ust}-SCDbK2_p5YusLyBZ;WB z;L}tU(+177Q-53OGIDn#QLUrn2Ye(FRoB0$rH`ip7h*d+$Ee^!qH^wf+7wigsnCD1 zmc!|6pwBy53Dutl^D}iCG!nHN)wHHy!%vQl!3hCWv5Hu*CosImc2i2`SX46kd@rR3 zSk#c$m_Da}P{XQt%}uqX^O0r{HL3oq2#2t+>7@%67k_1MvinFNE-I@0I7;{_C@#or z{@R=#;PXF!FYiA)3uUx_eLqknUlT&V_jO_H>%!RoCxx+JLo73QL9Dl7 zeNO}z#5xvwh6S{O*mbPFQh+RowTuk=vI}A@jhlBeRza+7rs537uhN^F%cQ7+n0IPm znc_RYMSl(}VNP5F2~NUxKW5XBDWH|jZWglMBN1MDo7ID-*!;;+C?B$`NbXy&V^!3$ zS4UdJ92K?j4bv3xnRSvRgh}WVz`S}rcybS09V^{or4+~n@^;Wp8%N0S0akhz+a-c4 zZn+~ji_8o%z8SABRrw3)6}QpA^ARZ#@qtC)^?&a5Tjvll;sf=RCg*^LU4jvQ_W1D& zg3YDo6@O34RWV1ywG+? znUy=o!Ii%luH5aUp3I1tmAmo7x=Lo{PUnBT2O{`q9uGXfeC!E6qHC_-LQyx@AUhB2 zFn?*e2}n?9JJ|ywD}bFJ>qdCYdf#l_mQKN__hmZDLXlz4eJpJ#Jq4V@1Udfrjn{)O zz}V>dNNt~xqYQZDH_#yP!rcUEEpvRvE=Gn$>@P@= z#mLY~hZ$Lnyz;3@QaYyl z*#T?EgSK=!`yz5#RW!1&(0^2x7C=r&eoEdLa&FF>pJAD+ahD=$f&3wrFXe-$%=1g~ZScSU$m_w2XLw+C8B@Cl&;i$Hdkm)7K5#mFVAX}k4InM+TybD^ zfCaZxR;v@DiqgxLwK9-WVKi$;b@dv=&SG_UX=;R;{xB26Z%7x-*ndoYj?1Bk*loO? z0KxJEGny8&i8LwO9%lNtKsttS?SJM)O+ttdO7E4i>fVDH)>IW|-MiB22$gfyy$7bX zW+j)V0vCpm26J^i%|d2%yez(W4LK&@9#+?#9wlH^$1|2Y^+Hs2JRr}I35-pgSBJQ8 z?_T~retDWJqvinM`hWV_;|H&wW9Lm1^&)m5y>t1d9OZy?4;!!V*^#nK>>*8^n^IPZ zJz_ki=)dDc(->x22erNzPu_SwB)r=n|J3sd@#MxCk1IhIpFF#tcs_slGkoi7Nb@$U z*k$-L^Li9Wtbs$8*nGj}at}0z22J~v$XGS{PM?Zs9!HJ7W`Al2Ujnpc?`!~bEqhak zMe9-|#BaRteD?Y+Hrm#krEp%kXU~c3A8`u4d5aYdFT{fE1o(vR&eemXsV*_o6K6Et zoTwy;9rW3VopjD$30TCyQB%W?lzwQqwh}Mw=CagClGNnCQ-jtYzj%ustrSEHSfD}r zLDnLW+fL0wjDNfMzdecLzO?jmmpp**k43y6c`)IhE6;Fk7vhJ7>&k)2EA%U8*joOV zT=>H3Sne`MPW3)E8gUjaB*FvFNBEKc(+6*%t~f7jDY)whIrPy3E8Fuv1h5DCrNmb% zaID}bI5l3A*lx&^lXlGIZHyIxotU_v4<6#{{0%M`UVjqTwk3M59QKZQh_7Q}bZuU~ z-Y#}Q6h$S0WQ`MsD5~ryd&pGIeQYkT!h)>Ze~hhp2RX!aW1)h@fGZ)2s<<`po0SNv zVq;^XT1DSSzwn|40jAakZ1N%AqP#Pu9-NMZ=6n3`9lou7@d#^;h~MT&Xy3y%MNc`X zExmY4PJeEHi%qXL77!Oe<9cko@TVS^h*p14aZ5 zFG{OD!D8}CHUYHfekD=NC-13W$znARiGkQ6_B#bA45ZgIzNBzrAR{9S22iOcwo82d zl5ZRCcQwf4f+{R4`c5Q`BdnH(Y{5!g@>6G{+$8n6UmvjzQKp(>{>`1X&Vs(HJ9Pk|mC~ z+zsJ(eoy`z&bvmRS@4Reu(&3&9E7Zwaac=!gjICz9wDm{_p$5Z)g(CzLJ40tG)kBd zN`Faiz@~@;Ldl+7I6%*+?mn|TMKdVz)dMiT_pHOnBi&Ke&zV?ZXR8SxZV2AYhvKmaQV>PW};Q>j^)RF<=_q znf*?LglS^7iZ12Oz@9qyz>}AsH112x`uipPAL!X8{Fp4$|1u4~DPLMn0JI(*zkf-J zD)_Gm`o{O7b^)j$=)4=bNKR5iOwQ=mz?PiFQ z^>lDJ&V7g#tep;nJOFWulCqa*2Y;hZmCEVBDdbjl51a8>jwK@&n%W1EduUr!tb|1i z9gXPgz<2X{`WobH@VKmYzga>Y@r20AsoaD|h505~y_qtTh313h`rl2Y$KtMma z=_>jj*04BIinI?)T-P0_If=8RtexMag$Ee_(d;>fl-)WrhhI8a6>OhladEi8g9{h zev<=i^Br0q0HHR%d%gO?Ev(4xk;wLpnFksX6O{W)O0*gj`qq=zF+_~x`j_;(@B%-* z$bp7fMg7&kV3Kjh)GHB#jN3Te@s_}3T>sJBl7@MI!6Vi_)@&EDnYoU=f;$=7xNIc5 zyFfvM=1O)i4u4QHkuS@_R$0)Tqql=Ooxusso%c+|tA2iHF1cd9Tf%_m%Hv1y zZ%7nB=yHj8*pBByOgv{5>&TAZ7C1L%kdY^I?BrpUY^y92(m*`JTv9ua*r;9W z`7txycrmbULrTMsv80=NEm(_WaCOku+n_+8dmZ}J9)FO|W>r|gWbLPzeQ)?2nQ{FD zGc?_v6Y1n%ai>FZU4-j8;t^@GCVfn6^)*YbCH3Q&8E-U zU|{RWu!WTzAV1D+_f>+Jo%QkniKV+T`w|P(BCk~C)l$C#&Yw~__-vo4X_zNGJ6${x zGkL<>>wg=Q#ObRIrdktn?J0J)R5351ryfC_jyQ6#+t%%MM4$V}fwu_+;&k@_V&x2! zj0MV3Y~p3!Y@Y&3Nk3&|m~_U1c?E)Aw1!un$QkrvS^R3Pn7-ujje@aJ{LtAFddaEW>cg^(SL#UoWqh^^l9fxgk^(IU}3+MiIJ|aom-`J zjCA<+JW>hS)R9w^wG)4brby4j`nG^TL1YHJu&&hEd5%NrV{G0~WQlpvKj+9Zo&A4Nr;+{9J0{CXOg=!SAIqfg4{jMjoBAc2U$>~M9Kna>n?mIc%Qov*5{OirE*%~CVW{Xdp1#6skA74NI5KH#eE-Kl~ za?1R8h?KrTkjE}fo^_a-LwOL@oMj(R1i2Ykq0S4diwewB!=!WMRY{i`3>|$6WZxU$ ztm#SW*{4`~p`T6Y{C}~#@Z^jlleDG-6_HAGZNZOF6Y3|dBXMe1V#&3$d1144H5JI( zj0d*6Q+Wx`bWT2;j}_5XD)B%9gv|9=u6B%sg8U6C`HzK7rC*WLXNlbxmqb|Fc1oXs zg>Lti?PUm%==N&PL?;5>u2?wVW({{POWySsKFMm6@_*Y*?>bi&yxhBMiL`qyNt8P9aL5O5otBk|lN{C4v@|%d4viZ@r z%=2C)iV|I$EVZy$%K^WlY9aXGUJtRA9#b1|lzjAKPb_6DdC>_+ZrAu)q3O=&JJmKd zP#c8*euqQrFQOrP2W6{83Yf8*H(j2J*={P6)Aj`5Xn#mlOrJd_91259TPJ1YCwh)G z8jL3jCwkUoKRT9k5?}_awL!|nw+7BFzG4=mbyXD@K$JybuqJ8g63oAG4ZC(OO^VUm zF&(XlT+B%CcC}5yW=0ARMoSeCp)sGn-y(6+1dFwMLp+!sXe{i{kTNUjr-sH{1-*2A z64q*C6o07WV_+T{?NpS?Vbu@zlG)F#vH&&KEn0Vu2q*M zgR0El?UCMamAT2@R1UuP^DptR;;<-OC!keg4UuJgGDOvNqM;&~=S)>Ud+`Fh%r7tH zvC6AgbECyds7|*&Xv(H}W9B+e?E<)3)w@=gD}QE|rOY9R3&MM3@@t7K2`)E%rua>%d*vC_1L&T`E!Am*TxFS9qKJMwmrV5q$Nf3CXPx3P=0Z# zr+**^n5n#Z_XsO6wB#z;Ny3JrAw9G0*uGb=BzLCX@@FhOda5i;SKTXO<*AD5t5*c< zTbsP_+KbPVnibXX2S(|g32hWT? zn?6ltHQ_ZZ>Bc~o-RmD7JfkAgXMe9T-;n4YP^|?2?9Tq(ypo0!y)8 znRhBgOvSn}GFL0(5UE*(#!%w(yF{v~cj#|i8a2jeuTtUqJnkAA;qkIFoX$ha*W{Ymc6QB0HdDwHe&IlR z5x-_RM}`5m;ktdTBTnWLn{`Lmhdj2}O!G_bmP2B5pJ*#%VU-I)SZwAdTjM|< z8iZ}`>mHCDUrtMX*F!-`Uw{4bj2R*+H_J{7Rj?dAYr7`Lj=QDicCB@W2dT@@%IgVu z>|{yTWF_5LtA4l22j!u4zA(BeX358s!_+g%Tob>f1kdLA<_&RGXDiJ|LP%F;Hx~B` z+`2NXd_775>&p4{ygRWsk|+_r9MkhVrzLKS_1OLTZ?d#vs%dOVOzFcxb4fKHp%1M? zSC=B1KJ-299F@EDVOMTcpNgpui>5-mRS12U8PRp6Ap{`4@_%ov+4rf0a!%}3i@>!> z;b$k<*c;k?tP&`foE|?E1dr^gJLsMQ7Du%G0}F|*u(I=+!@1fhHBuPft<0~8KP8S{ zdFQVX{oUj_{m6^VGJ}J<&?O9!KY!mQlvfHlUi-B){8}1*g*5z~uUs~6ZP>Orcch_- z!~LMcMFk`d^MB7XPLT6;&gL(5VwyNK>9+I|NF3^N7B>h307x80#wPv=UFj7V`u$Z* zrFRp*7Qr$dPYX^NS8yo3(3aL?3btBT;eq~7N3(G@6+Kk}m2HL&9&!=f0e^KQls zt#Ir7U|f>Fgst;h9ECT?5jxTPHx4Oim!RYb{YFrF1;YozK$_{1v&l5?aJ!7|A)Phd*e-{~;b!Vx2qI@`ji~D6JH?@CB%q%=C)u0b zWE3;Sp|067u4ait^Kw`v5Qp@yixt|}k7O)y7?l%qqj8DDyRF3@LO?RaVNZw8eMlQ_ z1^V^~oqyW!cx(Gc4Qs=!uJ{WfGZ8ta(_6uXbSl5zjQaly3%?V6(+=SuDfr^y&#u>sP5N~hN_`ZN^xM0>TtG-aZ*xt)gdzQG zSAX?m0!sSj?UvpM>C!LaqQ;xYl71n+t0fY)^qUN5?^i<7Pg{F>C16Xxc0+lT8X^5I z+T)Utz)CgoSZB>$!7&mMi3_|IwA z@ZS0_IYk`nXYX$cDi3a;EtktbcZO>wjnOkuI&W`A{Xxsg53 ztFyF!R1JxW<`SYk6F+Aj5Ze3AYvN*DlwU1_lnOa*eI}s$M@+@DaZzT{ur$TW_WGg} zF+Dye-Bb$FRZj4UG_}G8(&Iv%jGW%LN>5%`_|75pMSsq3J+nijg63TH7sER0&I7nCJcU^9Ov#`T~|rYrN%Y4 z7q#F+X7`gtpaB{GKk_eyB;OZpWbU4b)+tdTPI-F3I1qTx-h4(*a~`g} zUJ$tlnwOq<$I?u{w=Y5`6-@OtoR~4`>}~T6jm*}#qLR_gsTL($4}VyCCpFNWcubIl z*kD9ygv=?|FZbhec(mXvBX@HRIImD9LLF<32dFc-(mLeNqmQS)_UV{VQv>36EVXAs z@a0~=e*QBocvN2@h61{fzSJ@eI|Q0sGtbI7r({R8%=8JUYlMG|&w`SXV-(8i^m{;_B@~{JF$(22+YbyXucUUwV4s zJFP2Gf%WDc1WAd;2>ej^L*hT@-=u;&+eVk!2`*dwLbSlA<9{XCl{Z%p$teJ@u%X(F zCZH0DpAaA9BB7Q{suDx2jRmKQPr=?UFZ%{Si@!@SdOv>c_2BW_=Y$tzvI}fO{Pg`f zp}bs(eBIXxq+cA(^3OAgk8{zu(?_|98I5$8QS)7$oe!mt5)R@ckpuIxj!bb1+78yU zeX*p3cAMb&oqt*$v$km68;m42I#>QE_$uUYndzhO@l6t;qNk7Qr<)eR{`tid56oKE zd(1Vfc{9AG3!2qD5;An)wrTVU4NONL=-YKsF$ySW)Y}%Yg+SY`wzOShRL;meznrIG z=7SoFtUYQfA9PxlatgxVFNhkE2eww{wH8%*kr71NHZox0#~6kwL(eQ1}m zbXr4Rak&J_{j3D(LTI_4gx#YyaFP>`r{|aNu-)|3E=D16bE>{m!_*_o5d+!CLg3x_ z?iQmE=vW;(QZceYy~$xKLS`kfwEZ@e2S*k5^MCXSfwLLOy@z1Y1&5?`0ZaHEX5X8d z)lmAV##%8Tq;AAL%sXe*#7CDD&ub>=q}thD&jJsn&#RBxLsW2L=~x%N$S3!K`&eI0 zN-LuI`*#22R6a0<&bpRnarTixAp%YOoQ3M<<8B3;8uVYT>8c+031R@8O#K57zl zT5|5Cik8CCUs%SKt`t^qtaqV|nZnZf@0d|#%Dx4kU=>xSYzdD3_msj*InEu13zB&O zi=%ufWDnjtxt6d3#jc}0vjREzx$COp&pri5vwU45mwbUsar~KiZ${!&iq3y}UwjQt@+qO`w14|0Q+w{whx{e1VH~ z@-u$>zsUdB@BeGU5x^;y{+x`X@COC?`uBeSz3=?1Zxe6utv5e;_3rtTZ{hp=w;p+Z zi;BOVc)tAh?|=LLckkW%uE)23?|=Rm@BOv>M{*&4;=g(C2k-r#@?Zb{ugOK<^YVI# z{&eqwZ%IDVU;QoL|K|69RWAH1uFLiP_n9Kl{k;$Z@t>&szLnHjmMNpjGV6797SE-9 z;%8es5qf4QEohw%DBWpGcBCvRFqt3k!y{X>JRiR!7VBREm+B)+SD}O@5r3A3gZ&T# zAy;>Uj=@n)+%U}VGB`>AAI-Yjtumr?2p^~B{FJ{Z9zOhxiJ5KsvHRpGY0vfsVvkzr zm|uU+v4FPqH{Gux^9_vH76KXr9Iv3~geq)=WgM7@f>4~z@szyJO3$bb2V_^rhcv+oi22qd<+BC>z_ z%!{wn;QxP5gOe>!Tnok>7A9IwgCvNY%T2|801uv15gg%HpoWvO*PG+BbX$K-_o#~g zsT5xYYgEN)w~eInp-~mv=A>OdD)8*i$q$u~vuGc@c=q-Y;q6xB7v@jj^`Z@TMimr{ z)|iJ!)f|H*g35~YAdySHBYs7Q_iPQi2}3ri-otVZlcG3WEI+`~ZuZO)c$~yudRII= zyAq6(xU`lAN#R)zeI1(z$f19@A7OWkKRqrlIquHI(1_`uR zrW|O#^Xu~e$*JC553y6c=R5urae6}211@W=-{NxiFVxlfb4*sB-cP<{*>aIT+RZ!* z=CjTC`*SCEM35Q3&2N8l1?i6S0cNa7S`;G^<$cqu7eWqG{z{+rEGbQ?gqGNvG%Qp8 zIlrzB6_iEZo4;+5BYpS-t)XOfhT2Z<;g5dv+F}EvfRR;e8x^5__Q#ErS~2XI?;F2) z2~h1n{rpFt{pbhO#eVP?-}|e-{I`FME$8e<$WX@jo4%We8fSkBr(q@H7B!9Cr#!r! zG*QF1s+VsG5A(+l@O@F&y_qOtxz&fw%nix7{OEHI4Qs67z2p|RyI?mcSQ2ojt9qY@ zGL=6Jv>k~VI~-vI)n*A*v;E);7rHJaEI;@u!%gyk#}9saVW3%!sPD~sS1<6`w(oUA zTj_k3vwQk_dL@6CvwLsoevA}RM!Oy8nv*eY*n6AP0wlC^<*S&3R zb?iJ^cg4cC1}aebM&}wqHy6HgzJCuhUYBohY;zxB!CBrt9233oWBnx&9e~SoelmQS zU{MnbV|?e@A&xnZ2agB?zS7aNI^=k24{SQ7@)~3)$)$hnf%S&_<_XxsKDj#6!I~aV znm3!e!}#|RcIA;VsiR^pvvK`+$RvGYrQ7R! zGHiZCiSqhBUEaTgDmyi?b7@kS`S;LdX`-6T=KHd(dlai6ZAmm>GV4>4_uehWEn z_!I14EiHdUhOpuu8f>xim{!~|;fKjmE`RN)Tz#Ab?XNv@a6%^FnEu*hndt@|GbfuJ zG!e_^@YmLsRmZ83L*AEi>jsf7NgraC_~=FnJ;^&%8a^*TI%F>d=j?*JLN4KZ*hu_U zjtXUmz1DiY0gwv(H}5?FzB9yHuU%Z}W%P%vR^NZ>1dIy(iMeqXuH$d*ObkoE%EUTu z`*K@|@T*SJ`uHR7`$t&mUU?FssOf&yV@E!JjkK#?smh;M(=yV274vr1?DycnPCKX$ zKt~T2GpHvgeiHkN2Nsmo5sd;F<8FEfmGFf4$=W6*E4^*29#};5Z=pKE#-60EChMH4R4igNZo&X@I9=q_&h_1Hen77pI_#)>)^c&M*|9` z19N$P!ieGq?#BK~A>UQuPHFQ3=^?XlCJ)Vz(TKgV2t#9>L(J{pD}ar{I{pwwk3d|DY|1@$o4Pi(M`IYo?U|#Dy^YOPDI=xv&4W17< zsh{MrZG206QZM-&u+OdEA$w>8De!-jx_qpNq4W#h8zk7ubmr~bFWQqZtasawveth#UD$rX>v&!u+-D}YRlX;d8Ze-Ee7YN_ms=~@@y=hi@{)bLIuUdq22`b#8ywRH)I|Pg!_+q6A6^FVA*eXqXnW=XxotSQyb5wJLHSKS1_l!wFH*N{Sq7c62)U?qGib#FSV}gKwOG zVb0o79Cm>4$nfuP(8;01`KW(O44>h^8trI4R6xF}{hJGkVmFRAKQ*$!N4TJxORFL{ zo;O@^9M|}9$o>zol=;hZ1=H5Fw4nD+M7K4~pB*j{F*E{xof{{wT9jyCQ}2exH4e|m zkFkn=d#{)_V#e)UI^2J~@+WBH(#hnEJ`viuv}1bZQjIb$-Rck6W+q|qj~zC*3C(nH z8ka6MwFSEK6yW;DTm^P&(8i^i+kQQS@P|D|(+aYF&3%@DhjDa1l+K=#k^(nom_(imwt5d1yn=(ERBjDbvt1YASy~f=+*2euB++_x=T!GiPw% zT8WC2dTcXSYK3&r@*-kjS>xV^z%iN`(bmOdr+NO}_kQrBfBVN+Yh`ba2*q$#9z_V9 zCc17b&hWFThp-dpL|yi=2y)_#Sv7X35O$hz#yGngVW&B9*On!xN>;PCcTq|7(d|@uwqxy3Bh4toji>QqrWs{JRq%z}ork#R%tPc~ z7bhvXOe#}GdkU0PdF}Y7b6?4n>*O=mJ9dx{SL6dmI(4kgmrSZRM(5~V14rDplJUCr zPQqN=R3UpypAeSJ zWM^r=tC<<`QzwUtc-_|=>Mu^w@Slf6o%{RZI@G^SmVw zgqn}vczyBe<@29m)km3^u74r2&scGxAyUkNrv^104fE-EYPYqZ%k8|lpJtwrAxmC4 zdj*+t2v1$p1;mNirL0n|ArW$1=9 zbUd|TaJLHxwr3tsp8W$h-4R+s1S`&R%yr-H-@$d0*0C5e#Q?*qhUUQ=m9x?@6mk_U z;e=JaJ5zNMCajuUbGEHv8{60~7L}|dd*AW8&JC+B=mN@^ZY->0M~kriXdQ$#|~nGC!Q)c+rdgx;CnKbcLZj;^kEY9KnbDQZHia?`2x zg^9j^LVV@{e5bLyf`l0{+^Sqsl>IYimdJlG6kdgNKa878o&!EY&pf=IV2)hvm=H!) zErDYZd!o5UQ)5``+5qh)&piGC8yr3$bLec`bZhQtNa)VJ7^CYV zMdbWkvbEp6HBn{Ms@UUTGWWN7N&Ekk(Ihns7Q1u zFZUAaDV$DjT2Qf@oniwEqzDW(wWWh$YGJ;R0!MBB(<3PV!?4BCcr^_iHCf&X$VPDb1(gFqO}KjPL)hllL)!n7`Ncu~XwQfAoH&NWLv(zk+|R?j{BQ z18~^ay6|gVNY{nG!L`=hqHrPQphO1KX8Un-iF7aS;bQHn2#K26jz>dPEY!?0z8fH9 zqh@`{by7%e8V~Xc#VGjfBKB@k1YG}JCkOcO)Ui>cU((BIYP371KU@l_(WCBglai@M z%M3f6d=5Bme)wiWfyU4-iw}Q`IL7CEfGy>ucgSH3En_JEPRhp6qGm!bM071&eB8Ay zVQOJtW5$h|sfDK|FGj^M!4~0X8%GXt{s;@Ws?(~N%$nc)bcdTM1fO&FG$~WYWY!!V zMI#^^Nn_UX_tX1%=+vJFrW+1f5izMXLsxkmlUmb8tSlp^58lUWjRt>QJ)w1ccHPXp zlCI-d%_d*Bj`uMe(j4K zn9V$G??=W48}+6Ncnlc6G=^*RBP^@-Rr^em1ckGub+^U|P&nI0t9gir!r5|5j$$NK ztZ})yl_(=&9U8h8vRQv*l+h!Q$%eK@kRm6?WWK|4hi`{C5Sfp$@u-a~Wa{Tbtb4E3 zmjM0{bX(u?j4NoO2_i zk#lb392+_3(8xe{18AVp2#wUfp~JoRoI75*N=311{+K`Jq0zYa+;h+Q{eIui`Tn++ zcr=FXJX8WPY^@pj+hQ8SR%Ji;6C)Y6z>S$1IX&-6Z!GBN!g-gf2m;TfDg zGB1YX{0DqEHL-tVR~~54t)k4aAiF`g((H&e5ej9SKb#|NzVQSvEoEj&fZ)#IS z5VE$lJs-{Pa~i3sUgxm^ZBe=cKQS{`Y%FTk@=*`k3p5&QVHb?zzJ+`V3UVteI@3u% zf*`jni`vMCA-BOX!z34i+`?k-^5x$SxtUv<5)m-lm1=*YhXcWE<#nq`5*C=vJ~}%G zL2J`{jd2PHPg}Z3AB8jL%x-l*%li5VoZhCcd$7@GcPDn zu-IQo5q5vv5ZS8n{3(hdYrCk-Qn-+@=!TnC85=U@7h8JAb)Jqrub$U2EOU!y>O&x; zEM#%*4x;Wzrpg?!R0YYcB(|atx(PrbWjnUjMY<4*Emwuz{tGOy`y+5{T3A)0-Ysv? zca{7AMU=&M7R4!;g_E#_tS&waQP#a+ibWG;p`(9&MaUke55eNjP9ld|c?q<}=mbcj z?6xjph|$vGZhGG=LNR5hBgI)9da-7zW9EqNE7w-29i*dUXR6vo#kZkj@wq3}N(4G) zE04O9JD2CDZ@vKmXT|XzC}IB*DA$$!86MhDP_p1eYpN2-l64MDkI5)3*~nb_fq==9 z#a(|LUGoq{rIg8wa~_Qn%hVj#2%$1l_S`^+7)6O~4(#lE&?zy?PVxcrS$cxRiL-E) zfaxKKGbUXjm31$W6dpJV17Qc6dB$N30tjorJF$vsC9#^axoHs^2}`qI$3wa)`EC`R z%B+GbC;`O0bkh}F)3u_yE;vy)e=XM@Y=q6&SAy5>W=pZ1q^?j zt2SES&r(TTvk&)5T%^}{=1rgsZXIt9RCjUQ<;FB)O)(OUehm7;T62+g#;c~y3?3`f z)wO-s$naaVtw3X2{=Lx-f{<3vT-yw?%23!=V8-pVj5tl? z#~PF07dk`^wtoNY_J3E(rqs*0w;P!Sre3aq?I=0WA} zDt$o{6OroKyldqlocs)vnR0(xNnEY67kDrbsSee0HNEw8OUMZ8i(pP^rPgZLJ5Q7Jt-VKBr(JR98jWw=yb1Wv?`h$zg;l&=_Zf<`cQi z9dugeSP`m?nbI)-7W?wb^A-G(#2CzQ2xa{#>y#e8_)+8LINos#67m zp=z*OFGX~Q>byf2j|^PAz}Cz~2vWw_8xEXyCB81WD!8nn(St5-Y|rg&b0D}XDATwh za0LX8P3!VB^m_=lDqK|-&2z{j)vC%dDVnWnHFvMdm~2&Ekbk>`t?hA6L}4pSUCI;G zSi|fTA*S?xSuKZzn1z4dlpbfJf#A^CeI7!HIjlGeCJfGBKO5vXH7 z=RHCL8d^1{IeQ$KOZ$I;GT^wxVGI6V1 z{caO0R&~ERZgaX81g6bs6lkof{;;-CM$@a76IvF;Xsl|+elj71v8vwidqywb!jR(~ zE*+s-u9^vwF*6+U17nS%*Orxl* zUB})uh$^b(U|oOq386|zR(TSI+fNqX+dmlMImI=`Pd@DCNWKq!oN3mQ!D0Exe;xj` z`k`v_J#;7=cXw-10#~uy-ej#3!)55`g%G2Zf`@EdbpH-2x9LiPs})e`>3*eg6k#87 zH8mT=rRU%2J5$FBDD~`(3@`I=dtvVN%r5dA`9SdWgdcw;I?oTdE*DT@wCbFgN*OJt zY00q7${m5k;G88!Oxwn7ch~t&i;&9kVx!aNy5*$P)g8NQWO!6UdwE8Zwj%|!S7CNM zpkMR}G0u|JC+^O)zAvAWU79}_cmnl6cKz_f1cBs`hx)DWB;4;L-2WmHu7<-t-Ttds zw0_3XylLJV7@UkGV%=hBM>tZ*~~*l*?vJ|@n}%Sw0u1%gTm^m0sB6WEY`pK3iw4e z*CHj12jDbkq(g!zJ5-p5jWXCYK{XyKW6Ci4x?(LdF~V~2qw+x|Tz_d;PquI&vCK4} zUgm$GYbBbB)I1TQ%0H&|n-U_#kebe-Op5DX?oEMK%FN`;P3mL1iF@VHLOo=YL)L9a zL-*=rXc4EUwd7L3C=g{g-5dz%vEa#E?j8g`khxV(!sZp1E&T{+9qL0Ku6zip?WRgO zqNbfbbZHk+izl@^rSnp@pgH_%MxyD<)FDdY*_$8s|9IL=xA>IydfTRML( zq^a-Ak)R6#ep4$8JXi%77;P$JNr194%WC9Iacs$W87**?gG&q-grOuYkEjl8YhZX? zX5JNu}766RCT)MaOYgW1R%qTG6#PY%#zyVx^7Jd$<4an?GX`Ey{Ii`ImY({oX?zM zW5`y*pV^oR62e)XzNW5~gW^;!4Mq1NrXo=|rP-vR+DoaOi!9hcaNg2i(B!YEVoNo$ zDCG@KZnJA;C>?a6v&tlbD`s8lv0x>wY_Z*M4Pw-sY8o3)c?^tcP7^qX?uCEWTdLlb zL*&5S(5y}YVJABW5h)zH7#f}vT*_lrTFir2!2&1+*OpCnAS%AKW6gFUO&OgE7@g+- z=0*0>zVvY(v#>vKIHBi4tY36>Up=dJj&^cFBcOnU{^wzRQYeYkl$Z8NnNqcSvu;63 zlcFjt85SkJ5IHi)mXNjon z^gBq5&m1=xLNlx1>79Sk%9x1diq)nUG6dD8^i~5BV)X>$N7Jhus1$yhJG?KTFmg+~ zt3^;Rf&7l>Yaxo#Z9LVs;KeF8M7i3^hsw_(WBc>O3-S5|SkBDa<-!F^|Fm#Dq4p9p zBPsI8#%D4TH68Qy99mJbeW)c-z{37%tk;q5^~*6jZ7b!ww8MXBO_4zhaytEU*jl(J zh0opAH(jJk-L~BgQoCJ@s9$zZW01FdlXeap+_rYSIM0953Sc}qcSFPmbox&X--{5U zOkhz?hX-28DqIVh5+RhNdrQtJ(UKm2Hk*sJ4eX3*Q$v0tj|KY{}l>7 zN{rsv;xPs3rH;rNCr^;qw$aW<@zysPmnVNxCab)$HIvLZKG2yJVkVZqKgPG)f8h#3 zy+HK#bc=}QB%F44YDcC$55UIma5!{esU`4^><{u!{s~wQ*{v3`os`OJvZ%#$b|Cuv zPKzX@9s}FiT|JVJdI;7ELbW_Lc3gL!5-$6M&?6-F4;^Ydad(l*?hpIvoXPjdGTN?Bry3hfht4 zH?-}C0&L+WWA^Il?7u)(#g^I*%jJKxlXgw5H*o?uej4-}KM|q!tmWan137bl?h3EZ zlQ`nG@QBJ5IW=Ld51+sspM$9^c_aNFb%dpc} zY>2pI5F*-`;Awidx8l9NUL~y#xip&9!KFk!QDgaYD4A&Gw(^_R_6{Cn4W``7pve(p;YWZFje=6U4*V|n|pLE3-HNCg#$4h*~O z6wpwf{P@~_4qTT$Foz|H7-kruZ6%*OQi+O`>E8guqdh?(UpU;y41fi^Js%F|ArT*cW1w)8GpKt4%Fzl$MiP+8{!T{*$JJ zdc`Niz9+H%1Y6Euee*H)pU=}bUl3hzHh$uE$Dse{!x^5Wf(L*9#&?aDzH7AfZ3Y*} z>EaH-j??UY&TjS8HcM;W9Yb=ul3ml1o57>ESvs!XT=zg0GIC1t8#$03))3#ZB*b6; zSDx5H0tQbfrtouN^kPm(PWe+*$XB)>uOr^ax3Awl_j>v26}adenxGaH+w-fE=*DZi zA>C8N=KRX*t1o|Fy&|lF3(qszj4ss8r+F+uP~^$zIcxfsVY3bK=>$|-K}oy7DGa$; zDVy5%DY2$_VFQ3iD0P~wEw@=cF?PuYbsy2z_?=^z{Ac_KVGx&(-(ms$JKPNPY_%qt zpscYa_}w>eU;4ay<^`&3S?fd^jX(2eU-@89^u3qQE3khuyL65ehaZ9QrNBF}tEbbE ze$C&5DGr?V8Ed6 zynf%8@3E`5RbLM&m>N%DojOZFx>CP;?hTgP_qT-%Il8vkFOE0?@EM5`a+V>S!UZ45Vd-dg&>`8@{(`)$U1KD{kR$G9(8>lJ4-M@PoLw< ziqG>me*=n+`s#@y#w#zMcb~t0_1?$(hv3kZo-cnuw3*6D&p09_Bd-_FUOs;g{BK4L zGU6NGU||&bZ=3q=LOG)sRes4%FcOM5T}{7`qg20;;=M~^V!ihCd5Jv-pI2Vkm<3(P zP$f%k-ASwjGV!Qy>}??<58toe`vU(RyH!f`0QV%`5uhCk`Z1f>Gi%oBSsXkp#;8?t z#5{l9Bet(QRL{T5CBP3E_gM!SrjRmo%bX+%&* z3_rebn8P(`gykGDTS2RFrH^>qUU_1R$ouzTCnWn;&Y}`dMNeDBlr*tCC+tEFp&H4p zGci0`#noccSUr$H*8OUFBafbFj`Rn_@yLHE^wo3xZQ6;syhQeA!PY5TLxzH0x;yO% zY9h`Rzj}%HCwTv*&ns^bo@TTQQ0URX)^d!{nZ8B@M;-AIVtk!Cr4l9_3u;1Uh$7?5 zUwz~A>diN}&VvVqU_X6!2QRww-{E%vy7s63J2n|ff0wyHyb0LoKlk|x`w}mZ9+`hu zj_iix1uDlEwXnP7)y#r{Y`F6m#IF!jC1C%4Q;LGKT5<~4iQNCyYu|V8AN~*|MV2KB zU2iBk@yk+M2IP#53cK9(^xVH9rVg>wuEoR|q_7%uu{$3J`-|wTwFg}1B2GRUY;4NS zp?>^tcO8jq*9G$tt+lo;vPt2YE~9_Mq!m_qKupm^^^9{dwPhgo? zsU&2`&#>Q&(3I5jodJd?e%nXkRs9k~E3Se<+c9|%$=k7wF9oNq5~0V}UXG0+SYJ>o zXCk+0fk&l|3I7bsXI_BtfUpK7#HOh;hL>O~6iqb`&U^}(je z8{%pz)PpKQoqmU{tzW#r<{L3!VNXOZZ&E7VI4%hIUJG2*QsIr`j$eIu~`CefeBesgKZdB~?;L6VIeCHt&p2gC&-Ch&2 zVccV|RilgGqO^e2Jik^#{zQLt^4jex)+rGva!gfpE@2!&-10LYN0{XW;@0~8Jji8~ ze1`pTC4GFhn!9SICtKiJm4Es4*I=@>xP#0qy?wCRgDpl2^W*zsB(*SJQd*54NrcpX z^F`vHQ~QE#UR5@7T`16re_0{1)DnO6_4B8%K&UlfPR=Hc55{YI@GyT_LdGA&E8nQK z{~NNM#k=SD|3_^G7Kz9e0m~A=>{L>X+#RxbfsNFgJgMWhe(8&?1t4#wE7F6hz-0S{ z7f8qy0NaCrHr`YQ9pEFSj1o6=_n7>AJK+I>QrO##mVZu+jOXj;cp(K?a%($yNIRLN#(-Xy z24x``gLy*NOu`4x78IB2L5}5D&mV%>!>lyox+n=BP$lN*1!N3>$2~RGdpAVE!tvP1 zZERV!*eRtJHQR=VW|T-ly}q_W%_YANpB0(Koqfp8XX+;Z3_^d7{u=*SkZ!|BRBD|I z61ZK^^(g6kH?|jIu$A|48zCudy`b`ExA6@*(ylAN*-yi(HOsWl@5l1-hbp zmbjF3i+G)}&+C6wwsquzgh!x2zq&~TjFcrCtPQVa3mB(O@-{;2xkzX)I^dktEXaTp zi`8Fw0)0~Qh=@`=wl~(gb0#M!R?xYWM7(@od*cD&qPZ?efG98r7nccg^L>HOX4SPSK;d45Ji&0UW{1UH;325)Io>5yny($xem9_fm{`(YdEu{k*Jgm^lKJ>&V>y;CH#ge`@MbHQ?EYq%3jUL7A&=y%RLG=%y> z-T|?Bm$!fBAdUst8sjb0g9MbwHt{|>42jY{0Tc7;1wN%aTy9)>ya0h_W=5R(^Jop< zHYO7riB||;TtGz{ZbpFg3d+u`-Vwvy-eQeu;Y8W@YfsQu7TqEs7b<)QOsvZ3aW`gh z&>($XX=5^&=-bE(UEnY!3iW((x7^(bZnVI!T1R?-h%;bT($c}5e21pSi(o{-o2JvD+fldf?{jz?hd=u^EF$RTe&kV z@&r5g&0WM^{qFhGFMQtO0d$ymgQfNI zVh@x)w4x3uRkA|u0XBUhPTDeoo*R|nzkz>Q_l=>{1pEjYs`H#$)Bne4ASWVebeAZA z5{rp50uS-OPZz=}Rdr!uf{5IIp1<|+ec?mYMZWw7KY)bo6JRwa;*5NM@O%Sg8p6Ag zq~>_Z=>QXVin=lNh#WaKk@cI8E^@?-b8jP6I|Tyzk)OfBtaJ`cxMs%}56aPp{dRw| z3e8fA))rjUQ;F(lH)%IrNSFsG60-dLS*%#RfWDP{DtTRkiv;1CrYFEWwUhnxS1 zaqca#HDOTdih~Kg?xJ<2RYbz6#Fl}-E#WaoS0eXXfhEe-(|MglV&y99kRh#RHXuq# z?il!kXw)?(5>t~IH(=rO=4q>(tn`06g1+|Y%{DHgZ{FB!tyNAr{69TEJ}9BoK(TiK z`@S~+{dnYd^B9b#EQX5^>{L&^rpIxy_N^h0x4{?s#ISts0y$$<2kudCK`b2T&;V+L)@JX_8$?^ zBP&l(JXRJ)p6m0*HsCnxa4LU~s{d}5%^yn1r;G2Yp@HUFF7xDD*rg>?iN?<7Zx#nR zkX{_n7O*RFG~_c`CeIZNjJtbs=8^;J$+ZEwwRA@c-DXdr+*vTdCo%aPv5DLeS5r^E zT3)nQt2Q%olfyXRa#XF|myv-!k;ESMw>9ux5gIlsBL>R^pP_en;%|S;bY`KFhGw4K zg*Otr4B6&yH@)L;5u9yca7CGv4U!#KWsh^vZQdS$^P|AuW}f(oEKHqp6kN%mq@nY; zQcT4?50ay73c|}BPucLz-p7>}_`b(h(3x%pBNW6QYxZjVvVs(dh-FA0-#d=K{Rc+AJZT7O(g<&b~fXX%3@ReI!6cWhc(LToGtT00L6InGn?v=g*R4cU{3)4xl} z<2oMVw8TmEP$6NG^va9K@3y1bR^?>QiI*M@^XtMWegpW^_4A9ICbWpJJ;~61Dr2S! zd1luO#00F)@#w;tC)lgVJ(9aZNV2X|A8U=5K*xegbW?uBp(}r);=3I+9Zei7`PvJl z&Z*PkE`;Yv6-gXs7efD{o>a(^6C07FjKNcxgO#wQ&FzZFQ6MJ&q$RakMuwhVj?lBF z@O*;h2tuW`W>UgD4idFqY$5|oJbl7rvc93#Wfora38>GF8IZG`Z(rP6u?Sdu7q*?* z*SYSz2H$0)&!>NT#ME|%i}6=l4p~vclev}X+dBaQ^*GH7Qt>GJA-39KZ+LU)o+C3EK9 z*xlkU0KTB@TqNRFqxeGcN0j=GgGw9kGJ3kJI*xZ>_EepNHpD2?EYv|ZU#a~IA#(V5 zSAM!SL4rKz(>!yrOs($`V|(Sd<mJ(?t^qi$J!afe~);;lpR03@^p;unbHs#wk46+%(iSf+97PM!N8>*#;Z=&2f!_KRQApwo{mXw$YCrBL1nVKu{8S=*@$45LWB+}S z^iwBpwpT2pIg%0F}W-MkM5W@7kXV2eZ!7LWbww7o-Xp z(D=N6iK8fqg|lqbk!_^c1W9P53Vb)Tomv}YccOHiDnhV-_l$qoJFsY+Ol84V!Rd*TjwZ!-7xzQ*1i9+g zWX>=0apaxgh_v;6f6By&CP&hP37wkwJNVcUqvZw42kKOK^hV)}{93-xq>C;zwY_2n zU3781U&Ugu;0c;~Z&wKciFh#Yo{^C?@qj890{8jkP5V5RSNOhu{}L1rR_K2{ zn5?H-GME&0}+{6@W3TPP? z)A6HabIULxqEnvyFTjN%qJvOy-#x=|<1fD;)Nsf13K=i#a-P+55lcsTV;2e+pENRi znIJ>*N$Cdz(JUkB4bs%c1}-^Vl#zdYf$dAXdTj(t!t?}mhNRUCVNfY^wlSaS*+YU# zFw#Wckg|lK7Wthpd$r)`Q}SsFi>7GiBrQ6tTeSh^ajt|P`~F5+OB^JlO!mV zskYBQK#9VZnhe`|4lYu`uR3{Gc5}3j4{=S?)%kh>bsFOLjIU2vfL)){R7I?puCM&& z3v6?E{RW(bG?hLEPEy=|wriUVot$PTL&B9W#jb@7Oljx_n{Bf5pu!N6bJ+xU$rq(Ac`kXWv& z;nT4uTeGfIh9a1(cK>yelVF;!jM`Nq2&S8f<}D>QF@Fy+kMIYxm^gpzNqLpA)$iBj z{F<#yGZ3l_HlvA6%g4(b_|I3?NFW|cQ?^~un|znqehYk zz!u#ve)S%|x|blWqPhX8U3mdp+>R9=N;(2%o(%szl8B(I@&{f1ze9!*&xv`C=kYiq zbCsUp0XqelRo#?>Bz3$b*oi zqZ$+b_R8>&x*p4F$gKyS+*4LJ_e@D2^gED4(9)oJAQOg`CKrG0`w|+o)Kb*5N$5#0 z-o7T*Z0s9DdO{YtjQyBcO~F8SNEuNfqs&*zMjm1dO=H1oAA#wm-cgp~mp9PFjYYG7 zOa7{zJLcWHx4eSIfF6V`a8Y_?1M+aQHwf)1h(glWk3nq1Xs7~(EG>m4_;F}!x9>M! ze1X@q&*!%F5*B}$DY$evg^PfhG`lykEW_jl%Ia=d@f1e2|sVDMsSz@V2z?in0 zi>%0cgFJ)%R)hkT8Y)dT1@m;H-&}%%#R+6PW>G6aVo7<1ybbc$91aZ;uYsv$H4C~R za+q?OGxdK`YK)72{v>sEMF_1+FL23syRgzEr{YI7s}-d(w4cX-|CGY9hY%|jzIyDQ zXN^lx5Yk-q;3~0uQ6d>nu+n$8EF;7k_k729>50U|JT4vzx?Z`@pJHn(zN!+F^l&e; zp7=dRxEa0{lgyx&j%M=Di4v4^jr%3BJz4__$SQv`1!n3k2-DL2=z8i`@_65dE1m8I z#tUg#q3c3HOKwsZ;WGB(?ej0Oto_S36BPnlt(y;5q~Lmee;Hxoy-^y|5{CFP8HLv zW-lka(Un#^svW*~NBMz{VkHbfbxeO2pCAFKtigpdxjS*Eyj~fl3prf2NaP{NE@@oy zprcX;kq4Us8Y;D5%dPQXZ_0MRxJF#7JIV3%`2-Z6#p)GQUTS-w>sIFArL_K+ElO5A zs&%ZlTg1Sl`kJOf#kA$0khpKFBh6C0L`G0k_g$p|n1*UK=}a>B3Ha2AIun19e>l@g zVw@Ul?go^!T7i3~B0f-Z5Z8!QM;?RJouYIkGqq5>e8g@98ok<=EJiR>M@JivU}j1? zb~i^v83<fF_m*N$M(j`$gR&^K*5(xb6vuy6t*f{x-TDr z9O%ivywVld&Emf`-g|$u%9@is0;&#mA`gv2sd95dInEHvQC=RM9~07;sKw3sN{ETt zS`Hf(v;9p^P+Hi(B_5-5(XcyzXUf6lgk2>>twV&0$Y1fn=Jl~z|g;S zi0adDv2YP;sH9a%Up{~P<`?7^GQ6{RPjl92 z4mrK01T56udLwBfQ^2IG3ao|xERDR764bxXh)Qynch* zfxICT>xuk`7UF+67fDd{s^-t6gk`FG^#Z#?8uImX?@L4_x+ z<0FVAM{j-&rmWT195j118(Cd1M6p-HVK>trA3^L@tF9qbgkZ0_l5P1o3rm5kvWn+^ zg+{3&`s(_X?zM)f`_~L#iXmu9S5dL&0ijVB!?$NbCK{F2d)&l9pi#9OcQafE8l^5= z*p;BUr|o~Lx^WScd%8KzC{a*zJbYE`R_P98Z0OVFQ2!PSj_e8Q`{QGztmspMcE49d z<($-wH*-P+*tF8Mn?(3JIFl$M)3A*iR)ilxV3TEdK1V?Zn-0p`cLe0tNXa0BTy{|&u)K1tL4u9-&KK`Fcp~O4zOvs(3~!X z!6v`Tsbwi8WyIHhB4r%uYK_Eq3m8+XSu*`wCZ&{eQ0}KBzs7YlufKSXjmA*xyaL9T zYKCgl6-az((ReW|W8zEt+4MZ3P>8~p>IXOem4j8%F6L~?(5%whdBY~%^eYEN*v z-CZc7QA=%|%jZgnS~|VDt0d$`#$3W*{e|GdE-}m~_4|)^i_B_1EpOe?PstyaRdkZM|~>s|RDn4XoB=Ght#7SGD{xx-ok)w9yvaFxe#ks_L~ zr9B~kk`&3F-mK)&Oe^OO^+ghx^C&3Es8OO!D;I9_ONC6+%8(87gw$bLSyo(8FQb}P zrcUQ9C@Io?{7pZH6dRC_2Emq@; z^asRzz$XS#Jtm~d;V?f5@06~>}{lQMVV+IFLc!`gmpzz zWacKl=T-XM(xSp?YT0@_n4};}O;3H^zI^LY8oC#5GZw_5 zp=^O+XPF$)o~5Mg8@ameDY~7d-5y&erO6of>1~S#MG)vKY-!~n3jGrYL0e*|UO!rY zxSY$U*;$@XH5ue?J4?UDX0njswzIsBt0K*!snyt-3)4E%&a%8VO;3!s>(Sy!F!1ZD zTN8iuhmsGS_Mg;;-4nViD#Jw#C(PL0xk?YF6J}W7POZ>US*)9`XcVHI zFz;uIg5>TxpsMC72I+)(-#xkLLGPe{X4o{(bK$yJV0vDIkWzl?8Z=e#=~kHgw!B2) zZ{7*%;#j>+swA!vBqm>ScSt4G19P&eDp^jeKg^yd2FhR$%=6eLi;U5h?LId$UgS*U zTPs_XG!xA5<1~DP>G^e8QK%BmnAbCda`?22Ic<8?glJLUc)GYLM3`RQbq4dzW=eBog#h7%sV{8IbhZeO-S>M%Wb&>@ zOCC8X>h88l9YJt#@AZ`jEz<3OTr?+m(8AEeK;w}I6h;PA&&_g>4w-&Sx!t@^h)q^< z`ia{@`t@^sWItH{1o9{+fAV28Ut-`vpZ~7&@OR)!LrQdKu=<;3K zA!C8wH^!1w5M@Jhe`cTD%ht!*58Fl5usNnaRZrX) z7Q*4D>QftZvkKzIp8mpr=PUeQ%p>C&T&5fRZfld4%Z#jVBGkQZe^la;B^I@mL)CmT zpTF3QC64LPaQNPmv=cGiRWp1iku++ga_{@uw|7zo_g*l05#_s5xC=Ts53SH#_we>m||GSLBz*=e>vV80fW<$q| zSa{>n8%qV!B5}KU+={Y6T&rjw5z@*JOIu0JEFN2bUSOigcqc@{!rQCm)ue9z@{JEQ z{!sT{Ux-l@vC1}HLhLiXza%rNtHv24ku}nv>%rvZvB(7%mmc?C^4M77)w8lqKIsCC zKhgZsfD+<}J)Tox`~QCbm|o_rtgIULCI$2oihbN{<1yLd>r6ukvhCSJuyMY$jkGR$ z0>T=9^>KUz7~Fa=`70(EoYHX6!U_ftYoz4qc z$l%T6$w3a13~t`aX+_2m55dXgW-krvy|Z5K;@csN^=eF6{|{cya~GY8vM>G$h4fx+ z99xNK6?^)h(RqRdyMzICUG7S@5ll?azLG~1j`t_>{L7M0*2ycEBrFl5=wcj;5_@9%j9Jb3wG8Oh?-fVaWzXyqh8PA z_xHI_6>Zko-=T1yUUO5g{`S-l=^U%&qP_s?ZALCfV*vwOEN25BfY`|SrLalxrKDFIdrnRw5r%a~?YVs(%pzo5!PtllRfJ4W>rE4(OzA2U zTlozBvQJwbC!kjy2N%Z9m5i$6z=E!SR?MtACYEfC@L)#MuyYo}MKPKu_082DG_KO% zXWbLAa+QN6iG$AmKH27hAR#L+8D_by6Ek?p!}1;tA6*BX8OnFqt>Db$(tw)mJV}Qp zQ!7TAMDAi~Q&ZbDG3&{F0*?fq`ubv7YJ@ry^3Wpp#lUoRt^ieE9qX?d;ImSHk#Sec z2ON4IqVB5ue~4%n89N@BE`veI{J5l9WKV(zpxAG_jRTi)>pKFk1t`4n@_M_E!@wKs z=FM9i2Ht31vUT(5EMxJ_eFPt7858O};cC!trKjl*>TEL)rxXug_%nw97UxVpg`b;6}1(GNPzDNs5aa4!E4P+A}U8XooK(Lj=2LDEX@mV z=8z7jc!Xk|Ou0gKfqVj{TI-IHQ|B=-#TW-Aw1WFiXG@BN3H+HOV&=qua1}o4wz^-2 z!uQ651M-vzJ})}PI3{J3?X&BvQji1e4U9)8W~cMnSdAe@L9OWPQXAW)Ov3KIcX)u0 zChWRK`^;R3uuIpjUdtFDU6`h069LlQrFLlOy|DT!PHJ%emrBa@X1D)y8Yp+PdLQ6! z3hSQm)A8ZqGIYfM5KNzcAO0<@H&h4VE>0})nz1w{jgJr`!VGt<$}Js$!ax!&>8KAF6QiLu^@)F+ z6a}qmGloJ4CKHR($r!)IXy?Kd+iGT2g%Uxr<;)r9DfSom0~@=4A523w&GrHb8>KOF zl(4gq)-a}YCmha;vDgmlVa9FF)>f&Y>pfQ?1I_qWOvm0rd;R~0NxfwRHI7RV)LZJ> ze3ihV82KmM3DD46XX7o|e3lBmg=`-kaM<{^wei+n71RMZF&UD)@QX3ap^3Z`f_(rPBcJqQfsRns((q5wNfr_ zOT;c&DbxYq96_z+HK$DRm@?SG`qThQ1~c!PbsPuGHZdBv%|*g#J8i=c zm~dL1prt4HBgBCC_OYeafv}~v#jLyiLCQ0s7?KZ_jD9fot(|9|E2 zKhJaPJLjyw2hLf4$U0vro%zPA$E64hEyHSOnSw4WR*W2l;qMQ{Dr-$Q^$(E3;{JZH zmJbPw^TEM=3P@ON$mrQnqCmFfj>TGPTE88jstQcMnnNx`S#lGg*uiwA)@%{Yud;BY|3zmz8bhU3KIU|up z?bEe?54I{?9re@^Tip_-_Lnj?Ya#v;!F|7cN>(zl(MfDsNfpvX!Ity74h2&bv_y85 z2^pf`j`8}Er4NlK=uwZv6GzYSzxeDM>~Z*lt6g;fmnjs+8Yjmj452V+?jjhemv{sJ z+lhFh!1eAuajqY~jhDZA@8b(hn)NkCPrdbj#A=g*=}^@(UDDuosPY?3tU#-W_B^|p zrO@sTjw{kf$^Nx3-n}Ot-penrYq(D?n3v*{&H1Wfckc7ti{P)LYMZl&^Gt-?K*SF| zFMf$VZXfLbfFWYFo<)Ry0tzQHvxqa4l$*wX4=hCX93WK5ijsOiwBuD})&33Scr|-} z9$$=yO-#ot%fT>_mr(4hTK3QWJxY?yERRk@N|HxMh z(2qABh#_e*aCm=$_~gqkUXWT1cH=wiNi40U9s})7Qw$*{zj1z^e6M^ye+{COL(Y*L zz(X*67>w@C^APwa4~@xT<+7-BAx=PlQ!cBQ@Z*X|<+82iuuz1skg_CPn>oy>^cD=R zhjj8-gih1>jopJHbjF+v9Vj57bITghh3C3%p)0f#Ph*0kP46Fma3 zsr*OOox`DN?sprakoNA6K-a@`wJYa_uOygMmUpoa%zsjb41vwCZKCixc z^9HZCV*^olX(D?{E>+YS=xkJvr)_xU}ts3JrYQO)#ccd70yTNoavx{A!;&(XxE4P z^hC}C#uLU>G~*!3ft-PB63agOC^;3LqNdVk1L?eGQxSzQJx}++eU5PX|RaA zZ};+m?|5&4Y2@f0N^wXDCG@r@S%i#Gu<=qY1~$r^FPh_rSk!UmuP09=(skeHfRY%b zU8py>h}cd;+H*bznrl;kqLfsE=)!MA^Mn_Rn^dv+E+3@{H%!&U5XA%M!}*f3t%g=L zAA6u(^1VP|&Kf|f!*#nyBS;Z=S(kF9q*_U7)%tFCr@Q90!d4IJ0)wwF_|;(J*M2>n z=fS1~U-~C6bD2`^)`qT_5W4UKnE1_+4ZVDy{?g|K@DDHOfy7*YQt7OSS_wj?(NDnc zQEHwL(W=h2YwPDSh2GfU-V7E?*GC}1u$6|iXYmFdRac}P3sdN=8t+#_LT}=l*2rTK zdi5vjB{@>)HAaW&Sp1&yIs)s7-3lMFul@#Kgd=X)^60&8bp#s(9ZBA7dOpqFX)OCyqV$Ct}%VL_iyp~-X zt%Ss7{lvCa@X_}rfD&9|X@$?rw_m;gHE}^vhO|5(%5a#&h7 z7!m9TM7@E-{ZMrLN6b3(+&zKy%yms0%NLvMZ~JuOx@4vtj7zM68r;> zoF=x&_`3*czHx5(OvsStt9n{j6sVxU7wjzEBr9O$eJ!u6f{#+(^U5ou_;iz_hMWXH zJ}t6;egO)tR~dAHKCjlM-mHg^cTfNe);H>g?Zt`5s~p5UCA52hOie@mv%GaB0saD+Ndf zz@UviP#_fl4E1D`1Xlc~PiCe(SgeuKLJKEYXn=u(t|C5615_FotC40$wOQ&5C4&aY zn~B+Hc76-ED_`Z%X@HTI-4F##1NfPboA_uNV9!>wh4U9q8en9q`Wplcuy-4E0y!9e zHI;^(Nl|+)Hj8rWLYi1w_j-YiZB2%N;chhI*ICA4NdMzk2YwPpu?G8kU%YMR5ZRuI zsCf8-(5caL5hA6*=1yA2@_-ECWHf6y?UGWsf|0|!Q3Z;IdJN1N3;zl)>M$6B!Nnj? zG(ylj<>yB|M%U3D)Gcs36CF;3UeSnu0{wjAp_JVG-1$0or*DDZplVVKvj+B*u3lhW* zK0z}ZGlOFsES0vKmB+dLW@5uK;&Cjd;B*G2Sfd?&~49b_rj#)=W} z#9i(528-*;Lr|GzwM*GB3B$ltm5hl)w6t8zaj7^&q%}DcfkPaf2R9(SbsvD0;_+pc zD|!sZOCs)xqs90jk@xCNh8sG4ISSmT6ZLcOn#eI|pA*x4S_3!yBK6i6=nqsoxyJUl@`^?kUQ z#!V!i>`uenL|j#W<)IR>&-;R2%l!$$vL|XT!_38Y1R6IHdRX)W8a7eWW@+VqLg>^I z?Z*-Y_QHRRZ#l1@5ymOGAG?lf(|__|2v4%*0ezkdOhkBm*C6cgKj!d#mS^mS98_mh zIfSb$19Hgf+WK$lj6?ltwn;{B2WF}B3lbqAhns-F8@L^R*nug-3PTQ2(?cn86y%`q zjn1V)4jOe-w}J*a44>shvo-Z9Z@p@Qde@M8QuS~||uP(845!ev7e^b3>GA|`$ieO?-eG-~w*4dX>+xVlRL z3PNJf{sWUID37xDNL)O@@Wl3{0Lc^VU1l|~#0%b_D{{An&nEvLG~Kj{hy!^vMbLP& z@I$1?f34}<;W9=3>7=1S9-^&PVPjB@kkO>7HL13LU5Zlkn+MMBxF|JWe`jivK+1j8 zRgQ_p^6)XpiKsY1>PinmW2E08QhIm@N~(4?Ij|C+lWAi#b80=CRw3);4?unV*@_&Y z#K&Hw%y3vF_ngp_eFa5wPiws1l0uTZ)tWWTLn`d;*Be$DU12vC?~Wo*Ks^E$JQ!A8$+}g1Z@U@?Rp%QQ2<^L|E2d~AQ_rYgxgBuctWi++d zloLM3+7BpWJ8_Stoa7D8cI&PAu!yh|qxVfH5jJ;mKZWJgfEUm%O%T%p7Ft(=@8-BDp|xT4C`mvSTAM3> z)3Z5j^65}n|Dl8_pPC16a*$Tn55av?cnpg|TA!m%kRlY)$j!2K2~#1hI5||YxBx!_ zX``AgOw{>Sk(DM3!nWy9A`s*)%&0gQar;DKTsYwSCf&+7lpt->V z|0_)cZL#6&N;Lfw7c_9=@{XI^e77sGm-dTEO6_eWLUZYJZBl(6y^d%P73^*Pbx zCf|$P%3E}9MTrcdmsL$zbWFW}tT;%Wi6d1EQ7jz#mwCga$p(B7UF-(CL`JAQ&*9y`2%p*Gkq+7gk_`?n>JLA zl9ALaXZ_4Wr78R288U{96kT|ADu*?rk&Akh46PYu`(=l5DJMv?_73wUsJ0hz{>6}J zv@z0K#Zs2_0s%`+gB}cFsL@=JD`yBp&1I@VK0?zO-#kTkYk7R$!ck{lo{%AX z^hRz}65RO_C8qO#`3PzN)6J5y~Qbe&XG?U%+wAxR0q!O*|p_@ z6kX(iqdgH#Q0VBslQ{GQjb2rwV2TDU_L~uw2lND-CAZG8oaOZd$uZ&VJpj%d%CthN zJW!P3AAk}9EVotv0Tu$9^XDpMw5oq+vvo^}DB~MelDnLLXB9)zLYu^J^}Q^#bC2+K zet~yA=nZW?TxKmk|1zLd0N3L4a;vX-$is{3YtyKhS&YxGi7#P2yx3zs zOB6ba@%fqIb{1RxxQ3-UdNF=)WGx^}1=jgjSC4x!)KOLgo0e+R7=>Cq(H}vh+KW z-*);)KJz6cmG>X?xm@m{pMAKQ}GuIO?*aQzK@+~D<`wB3fxD|!V`AW2pRz! z8EiuMzIXV$-r*k7h|%(5!8L<~e=a3e}S}O1f{`=164%k6GkNo3~a< zsg;?)?uZUP-G!{dQ1&_gy|~3~YC`UcoRA+}m6!{|&i80WV>!E}Dh0g`(|x1iQ>q~T z8*w%XqTrzoo|xr91&<7MVk{p=CZ9e1;%`9l)QJ}!kYdZm`}ZIuy>@`%n5D@K6uP~C zuQF73a~y_4zBw(5%gp0*24c@8D08ZV*w%1{;nY>rz<~$DaH`Hwv#MYkP95H-`19~Y z0?WY@ZKpp$yF0ZXX0K4XT}Jh{8hMUsO-vThU*DzWp_1v_BfkV5-S@s=Wt`*|>A7uT z_05r#l4!=vE>sKY?n8HVrZUcNZZH&oG+0nCp`>*C8|!h>A~Y>e4ul@Ul6$ zDn~|(Ay*8wXEItuSlOJMC&2$K76!ZrxtGm|E=cQbVJ5VFeb-DtlJpZ|Czb4p@Eqm& z$3ObZzwr61AN=VD^CD+hNB!r254$*$EDrsX_|D9ibAIc$ev8S94k=4sd`{_^La{_Llpf?dnd60uZ#_H#Up_rm@iWFD>?sBuEmSx^hH8ahAogCG4I z&+*DSOlmQ{?hzYwdD5{rytkHK3Pk-j~*^fT` z>CeFa-F-drz(4!xr#_$kC3c+&N4wJkX#Ywq3^>DGz$A`u|MQ>y2n-zL{28=m6~!#< zA~vbZwR*DJ?Yy&3|Bp{WN5Bl3mN@_MvmgBYM?V3U)D%C*HDQ3ARSrPlKSMm=!!ck`T9uT`ZQ-n-`NmC-4yb%Rc?# zUwrz5|NS#8lQix-+YXv?Qw(@Ti~O3u#J;AjJM93u^*Sw7!?u_Q&81{}$0OHyG$N+EqoYHgi5R*)m>xL7YRt;vh!enPx>lJ18R z<;!1v^D*|H&)aX_5Ts4TL+4_{{LzOqJV^x){*CVpYrZ47*w+7l%r;%zd%#N1ajz2L zSCd$+J$83WAavsJol1?2?pL#C8~7m-hadfYpti;o{=A4Y>jreEQcxYjDjV# zXKKeY#qF0UtT%eE`-!hxEpK^hnE?_E07)=s5)2^02<9BX1cC`5m@`O%IcE~wms`vO z9e)_x)iDs#!6GAEl~>b6G@TPKc3BFGFQi_EYb(Vz;&a?|*Gw-Bwlofz;hM_6Se8;5 zuI|LVV=BYddYiM!XJWX{3$%$e3z?vjDXWBHA#-#QOSc@vMT7CdAvMJ)W_RtToJ9q@ zPMXVhxZbRNCe%6&2fLc5_751kIq!pt%72V;>|7?SWzczE5W!F}e*$Vd_kv_}+G{8N z4nS$I<(R=zvV$dZN84jv%^V2rHKUx37gA`iDN_k<4n#F|ah=7S$YB^*;c8-o9}EL) z4=b$V(=f37&Ad7WKj+6l)m&$UIwL;>sp_#C=v0A+pla|e8!}45SuoKcC1GH7cYmj6 z45K70cIAK{1Ouy?P8*OgU|^AJ7PS-|dX3!9?-6dPyULJro4+e11car9^EMP*BvWFJ zy#4>1G=L(hYIjZu#l{wvGtGQ*Sw(ZURthzid<3>j&Q|=$*x1Ozs$B%b#!lTq$~JkG;X-V3WI;TZuAoN>+PaGzE7sI~WPZ9Kk$+DE)MmPR z%4M|VAh&fkibVp{lI_#cjCLf&k&E#Rbklt>m35pBC06c%a&2p^gr0*8#AU27IE5sY zDE6VN;xVWy?~aC!h)B4NFA_6QYBL8R2~r59))rsh&(O5x4{|gIxe_R)mJ)wvVZkW1 z?d|YB9+6TTsI6%A*@2{7EPpLA4u5Ef?k^EinYE6fiE=36_Yi0XR0UAS$|t~;H5bK( zOm9dVj`$NQvSzPw&5D_jwZzeyQmAh(a*OiqO6_c$B zA`R%n*^Vo_D;Z2Zg3Mb=KVo^b+Zc7khB|mep2kN(9Xw9j8*?e}T7SaYS(l9B;Bh$7 zkSixuP4iEN>!k0a*A$MWD?bRm*6UD&Ld_Z;fr-Pda|wlB+Zn1?Nuc!Fa9ztT-fa8M zKj7COe@C{8YlU&`BGZyewd;>;G*w|9%667 z$*}R74RgFn*5mNCtbTrO2rcnss1l+{x3>-Ch0t}W@%%{LZz$L7Y*03Q2Tw2Rmwx{hC zKOuUPi?gsy0lj*^MlKg7v?Pbv_n{qI55QzkFYX+H?)bk3g@0R9eKI;G*Jcj71h#?tt9p~?ygxR+laN(D~ptot?O7{DJ8LWRZHC?a(5*u z?6?mJYMI!&h<}#!5Gq@@ceR@B=bh$|UOO-^r;~PxDF+h-4~UbA;$htB;>9~8MnJAj zdfY}M%@iL$yb=!Qx1`WH4!X!(HY0quvoYDsg}`^QEoWt918dyFp{OuX&H&#X&JHI* zpF||U&1{Xws7JCuv3F_y494CSYy^+;DeT=!MW~fSVSn#t9If>nvV}w5w)sLr$MG_o zI?p9=98X)BzDdOKauP$1B@i4>7jv@ZYY}q)-Qk#sJchW%A6P;*+ocp7FD$lOC8XeZ z6}_>cLI{p$GTL@|1dD~6t?qhgBgtb>+fo*wEr35Xf!Di0! z^Wk}Sw|}>@engXngWan`qB(|}*SlCeIfw3}Pe56$rHTzRW_%3VINO6mhbZRv_||9jpeIIjO&%cns^=n#ir$tm{7i`)w2#36yJhh|7kLD#%Yz}Y4!hpAdpFc;o{P4*}-0g?tEfBm~Q|>d5a-T6>n^hJ+h_^s} z+|jDsUCE!WUuj~PrLf+p+Lu!?L0xzH2!EruKtb2KmeE^aW_CB2$H)mT*AGu|iJahU zZCsTcB97Kh&e-ITMo|1IA-Qb!-wlIN9A6j~=sBYk-ZW9e)T?XiQzXW8<|| ze>4fUgzRdCZZ%`(NZTl(BZeCZ6_;EnRCsn2o+PJ2g^`Y;Lhs}(A5@qe9aPSTLVtxb znT9wC6)LR0c0~9wL4~@$+e}Y~|MF5uyBrP`Zp2mL`jl6FIXSBsh4$?}0*0cRIu;!& zyc)2~iAj3Rg6^P%M}`Wgl9fpeP+`i<$%2H04W8{bmb39vguka0(cBQ3E~5$2`Nd;u z0lj}eLhmY(Qs*92R{>8c_%M|x zJL6zgMo@Vsu41$TlFC!M5}{#Hp~TRj>^eUpl-Sg!XpvK)#I|%rh?F`Nynl9SAs7$G zJ_7~Xl}Z)^kf^M)_Q;7qVr{&2*#{(^RNUkXq0&bF{@@I1JL3ZnQ*B|7>1;&#syS2y z;|`-Et~Su#9TInVRMPw%H)+^oPBw9gq~V4|)5jxJ(8J@b3NE<--k*|t$f0wEE2~-g zY)?Tusd;9Y#mE(gPVMVhRDZ7U)-bnD=Q56UlUYKvgzVu835&;F^v{kdY7x;&u?Oif z!*VZLn0&dg!KYVDcY_vMJ$-dJT4*gvMv^NxT9{ItfDaq>p@pq6^(qmy7`J69TwxJw zXGbm8C@gCtd|EJal(c}Q=RV$Wrm`uGMJ|}FBo)O9(GT$XmtTOyvwzTN7Ku1)KD!;_ zkZNZNr?#CqSTxfWyeWlLrN-0mMr5=a)TO?~Dj`vYX#CeHdpN~`q3f6`jD(u79l+jGbJi05Mg9;?FR3^03wXm#`+Nw z&tZ*0M@D6~a@#Zc^znRiL(90&1_2|C8$K-Z^j+CoLW{-3d@Wnuc0td-avF%&~7={ss9dBV4s|1X2sef@Q*b|aBgfxXod>ElY zf4GH8FBFV$VfK}K=GeYg*DQiW%0s8RJ`MpRGzLW$`UZzZ4dsvXsTg5-;_b2&f)RG4 z9Pe}8k?*QAy12=W9aew}a4uF92UCRUD-o zmqG}g^o`0CHkr`LEJNK?NN!6Vy}D8K)pSJ1boxg$K&L-ZX%N$ZoZzv;VgW2v(==Q5 ziJ@9_Nc*gi6sjff+Q$7DfSi`Gqz-5!m_Godz$XJaPIH3U*XZmn%g(N+)=4Qq z&U}ffkio_09?&a0Pia8Th*BFbq5wG~N!4A@mgW1Pwq2)^lYyM><-ty)A_mRZ*_yix#=v%s=X~U&p;HaK}EA{2Llpgagch$~Np$(kK zx!o^opbc4S&+3d2z=)hsSC5QBpfWx6$G;&m4vg*Ayft zDSxARO+Z6()^{gk#WD^(*HmZh*`X!D`yi|Bcopgp^B9aT?QO|m zbdGaL+sk91bHbW;2VovkB{eAq1`yC6tXAKuh$curI!CeU{3Z;}>B-Ez^CQAJ2Y(Tk zC7~D2SvHNONSNT9>GHL4ITg-X(^mIEr=LFr)q_!V8VW}Uj_kKlz9GOqF?VyMAX!MI zbMms&Hy8qhM__MZGZ$*Ia3AE_3(ovtbk5OeQIwoo5NNlRAB!32oRHd{SU8;%8{OoB zZgUSoT;KRH-*ajrd)iy%R00=aSASI;V%foZW^R^1k)7G_ecTU=itN;sh@nKL-=6_6Q17&)R zO*<3^B}4B4<#Bl zMr`sLE0@_Y#Aa^7el5gjI=Xq9i}}TW%ZSq$qKCFQM4V=!Guy>>7qrUFOGh$BoW`EA zh!5`|;53@q${c|gr!m@A`=oT7=314I%JUV&4zyE6P$={j-kU6B0Wuz$ zjGn2Uxvhsz{CEO#ql+upgd%3#OmR2QN6$p4l}>a=_R=#s?M?rN4$pMNYBJbxdS-CvOfM(ZQa7>=7szKn60aP()LYoe<9|Lx-3h^`UwjJ8hqLS0 z1Mf)|&~|imNYH@R+3)8jZiX+`n5DCwE5vaXq#Icl)Edqq0PD1 z0%8R>wbN3~p%OQ$?OEJVmrmT+Qm)S=w2JSBex*(3CT@z(k4#v5E8)}aH&%R;UJ$lU z8ABzcf^bZ|Gk*q^fQZ0NhB5t*NxaRNwXj<5<86u+)y*u3dzN`GW{QEGcnCHc>x&rb z1`j|&rE*P5bpv#P&&H#7$6ZUAT}=+|+m43x8q6jdE+LMnooVCJyswpuU*? zpzqEe#-$NAn?XefY+v^0CTvQA?Z2i1HZ_j!Vi^RmDUH3XV!5mN*`rCLLO5VksM%@a zQvn-uTV(@-QTP*3KV4J6a7*ri$@IcT5hGxu+8i)TiGWSyOlFD{A{%V27WcC0fKBp# z{UV?84nycN@UARrxR;WFZLnUg4k5;2`V|oZtGjb92f4TLKNuw)NNDU$J z$6#w9O~)ZgBUuNXI%vG{2!tr-Y9S*tO3h{+xqktAGI!6;Cj&L}adGp|Cd_+asWvo) zO#*7d#|HPLG%=(w&Ueup`IKdT{b0M$!x(EAfh37pMUJUESC-E$gCgXjB6p81iE^40l z(SIL^|HA_2svf2>=m_+!Y084ynG3UqFdTTWF6=(^==oFL*9LX4#GoUg9bry zJJw4cSsvQE+#dCVc5v^-+s?7G>>Uzi`KIrUmhYa)Xu45J<#;#Nl1S2x>hku!3zLni zQ~J8FEEFH@=bmiy1}N>L>mq`jlw~b3N`FXl(tiK$kOZsGqL=ckK;*S=k=Q2Sc>sDn zkne3%uo%=PlWlFCW`By8XA3$;QWz?P4?yi*M<+#XN@)&T;L_Em)XUNl0S3!>t{8gZ zvBB=hZ3;b`+?0~FsMtNUe;J+2hAfUi_lTwcmRKwRx5fZ9E6{#}};zNKTD+?PZoU?ip%%ezH1KWi+428#gp!BFhC-IC`!|qSu zbL_SjB)IP6RBfx#qR13bw5^SZXeV|+xnGT5kY}Fh>fRnme+Z%uD;ZGSe)D~RnFTJ18ZAeJ+5GmN%ixgw1Sl;lZ7j-2Ozd7Y^<*0a zbZKqnYRfK%N^5avrNIKKw3ZS#HO@hQ{p~B{@}nV9fO}1zkBrsHAfj7j*niFu7VZ!} z&|BpHc>{_~F?W6xIc~6By~xHtKDsM;rl19a!6nV06sFT9ZiXESV4d?d$Mc&Km{4cj zca~sXbLhH8?lZ1mxbL$uuCq*v?>p!Rz$sO^tjoGDaL6nRmHtWet*yn>$lLhyoX@u zt~CqN-`{?Gbj2abiHRMt@lbQ<2O#2VHdGFg6BidPjzyCh<4xnGY?91)aT{WgL1o5?jpTSSS!T?=x~+y*qaJ~|fq$zODOqMz6d#?7 zFyNE4DzSO09mCbVJeb6&k3cg(x5PMR%hgFB5@U&FeMX4?Wx_Fsg5ht#LRUtZgtWTe z07ppqv4|!~j$Z3RuuDXE#sWcZe#$7{JGT(o;$ERcs}oDgCRt4SoJy!mm(it5{dt^CNS7`(HVJ%zI*ZFGjN@2ihma{L^sG?x>1|L*ffo_ zsdFg!zI8frj0xSDytSM-uXpMlW2A0&>6< z2>P`nm6(?ZJb#W+q-JNz@cH%LTl@y(Rp#qOq#J-4%JiX{);Fn=Z%ef19bECvy)d-% zP(}g!rk{WLl=S|Apj$PQ2_-!qgWk&PNHI(i-rCmgN*NSk!)A1v0HO#_)@_txB~k3? z4)lZ*0T1p2$C@dd;Wqk%tBdn8_`spX-HR9zOf5d@8-Ljm5!K?>zQt6rS1s;r%M0>@ z>@rAnp1j@>%k>?<*gvDkZ@gBakWfRRH{dMHdM#wqolOajbWHQ|t@@Yl;h2RhQ3g5X znZ}0YcE$JX@$lEUcY61h90<&*rCt2@9_*jJ1L2Bho7l7Gde_XJL z#vrC=jhIWZfE`^m=(CP)hX+T?E*U-CeFI{*(tj#hlt(%cOm^roDgjS&D65f;`5R^yYhD6ltClK6D#T4<-Ee^Ik13`-|Y?wy`2n+*dmvDd} z-+y>^hCKjRK&ZcihsPv}U|>PcL2kMP8pH&Gs77rZhYTHrwv1QuDA2)K;*o|; zf(}A&3?%}l>=S#4)00}F+3&*=Y>wpx2?R^9p9Yn(Xi2yy1Gp)?YJ!p}kHB(S+Xb5f zEwl}pROmrQhZcf%a{q`hApQ-O14iuZjSC4RL&|^E^%9p#GDMlr3@ixA&~VdKEhmu- z$y=Hzy0`R5*myO11kgwZN0RY(A?x=IxO0TA3dxAWVx7?drAY6AxXz9c2J4@PKs%@G z5#YfFx~2nP{PI`mxM^e)KT@I-Euiqjd1^uu4StA;3>#v3sg+~DBqSKE|N5Y_sO6$#yfCOEXrE2z}U91m4&0NSW*Bxnmk9YvN z(Zred;vqjel+iGiyu+skQqMv3*z&%Bem;L;abJD@K`+fY#ipAQ8dVTmji|B`zYgiBHPUo&$AkZ;6m(!ZLrh zP?#uSq(;hPv$x=ZDYnI3&1O{7PxLpSKogoKCc-AkNuwH`+mrn~)huFUO~T7#Zv~$E zlqZmKZvv6)w>M%6hthPmvnW9AnlTPVS0DFNQWk*SqK(9eafsQ=`2w10dg&BhM=QKf z`Z3tInuf*n`;T3Mvx~?@9*kUBNFIMI_hTei4zKKCLI}B1pFURvv3)68+}1G7T-(b! zYKCrv4?wnUVHrZMl&jOqtn3aE{p=<$# zYpI#A9SJbcZ6DX7J6HcFO6Z2&@uQQII}tQ64g}RTT0AzPurQ6|1qplt3)7xg7$T<5 z@WbJf)uXcCfj$6%pl^L95r)ZRheyLLxSjyTSs5jd#j03=pz|ttNKW8qT2ym$1b)VO z86GAky3g#5DF<0}fF^2urU!qDP$J=e-O!Z??mlypUiUB1^@jvl$i9N^^hh-JZLG8V z+xNjh!blQW5_eF3*=UBU;loR4Uy!PKeouZJ_xSeTZkW%92cE&V^Mk&Q9 zC!u+~1TqjJDBM-bB7r;VBAY@)PiO;yF8b6VqHfu5z+h9Ekxy~fNza`N=aBBnb1-_7 zy&$H>27%yQuRY>W3XXqB)~eXBNJywq;Yw|Zz=sN17GhRK5L9R=+`7m(XfH9&9KnbR zC6BcxN~ow%;qCC9jCN{12c36a+ae-D6x*ss4hg2x%p1_U)1~3k5u=!p(G}WQJ3Is% zna$~9F(F2Mrw1u)&tCB|@Wl&sd7z79ad@EVcyWK|9$1OpOeV&r1o)`; zFigRM!AG;36B{x*d{hv96eNJaM|o2brz|pjRDEjLf^LQWpmm@ilWzBuGc#tDqgfjC zEJU*Hrnp$VWJ02oT$$=c0ToXgnQlMeK=7pDv1XMNmPTX2s$p@aMC68-u8uQZA~?KM z-KL7A!AtEkVJd%y%GeVSs=ByigqLoM8%z8c;ia?X`ba+_ykyz!@8UzF?Lbf)n>Q_F zxP-{l{1c!IF15+LTaHI=tg6-4aUp{VlSc1;K*ymDGcOzEWE^TPVW0pVuI0m_QgmT# zEUqCJ?(k0vwiar_xDZ(Cc1(T5hQLyl*Cw@$Sl@U9PL6+V|IUq1rL3<9VF5ZtPmFEy zhopblg$mzGTE@ldb z5EkoNQQ0YivRDbK*k}Qj#Y)ZZttNUplUS^1?ZGj}4P%8REuKo?Fjn+&T{aoU+FIY+ zq#b}b6A*t{>dNBLSc*W<&_7nlrbAklPAdh{%DL=DLU;ImfBiY=+NrC@VrulqZ(h8? z9;`P&op(@=4c+pgU1yfg&*>rG8<5=A+YB9XeGj-aX;VBB@@4I*UuM&guga<2MJXNm z>P?&)1AHqayEnCQe9K`6r1R`6jyw!5O_hIV7oB`jfCi+&gMZi zAkBFkj*c4eqXROx^Cl#PhWIUAW_1r^v6TBVe}zTeU}CK+noS-5c-m~p;=6sAl9wa* zNIpz`-FJEngz)|$_x-YlpZXI(2-n$417&~iW8ZpG1Do#86u#gnlzsG1#s9O%Nr`rQ z-4(&gq~IYhqYxESn<8g4a*Amz$rezJoN6x?&*@Fety87ZbeASm>t3vkuq>Y=|M#m` z&)$I;)0~mzwQtJORa-f9ebp6J{GUkfP1p98DL;>U(@sxlC7bNt6yJMQz@zF*iA8^| zNf}WExeA+25Rr|V76%q4DQ%L|MeTc1k~dRgNMsIKuNc2L58@IE`x(8Lr!dFxg65QT z9<5@(S6|pnp7?m#oHfJsc{8=0*P1wFZ>HGzom?)pvf6YSG7a@+YPjji6Oz4|7Oqpw zVv0ADzQ?)1rFt`MW@HDk+^uYr+VOu;8Qhzx{IsT%Q1Gjmt6!49DtGIfA@g#wH&d#; zb_G&M%iO9xBMv7Evy8N|DBetlf_U+7?#*;luR7)s$};g+HEKUn!DDb`uaHOgW^(TL z?6N4{Ot(4L;hxSOOZv@>lvp=72@72o(K7z*3T+<5n@L}l*CHfk^ap*dV{(7GH&a2~ zM5+Yh&6IauQIF=lG;bzn!OEYIt(i2(nd_c>$kNj_O0Z@+t;|0X(k49a)*Bl9+}=#5 zE92EXNRvforL&z!@Map#)$RDanfmKnLWNXsro)DmCN9LADLZq1h3`%R3d@(bC1_n6 z35N^S$Nr>{SJ|;=CkLui>6U*bdN;sfeQb{()S9VQJ$cOj7&}14d*2m*^VQ2Qzk~b_ zOW6WnASo6~Vo!Y66Y)WR{$T}I9L8mM?bl9Czl{;!e{I5J! zcXwPertfG@I^dFde6_VFjtk}SYj@grA-*0E#MSd+2}D)K;^HCuFc# zFL)s+NsPVJA0+mbju7KMdrDP~AKA!hZLmSafYxVqwNFCRQ-7elvjoYR(0cRUz@=}_ z5(cZ^t#El05_aB0)_O(MHgiRk_4JzkR2=szr zTQ+n=+qiMXiVgb+eE#B>{-AJBWgx@IR33nW6GsjYLVN3X@8+1Mxn1sto6)Q1NoI0R<6hCAZoBS0o$MWzaJ=IpqF6r7 zp#M_&DLEP1-7gJdV7ljrIx}Ttrn|Jpo+`(0C-Q)Sso8(HN*Vs2Zv&r$8kIsNg;CuL zHL(|5PsZd*XRG zd_QV!zHxul`aw|Z^tGvVDIK+L*gLMEk9@0|zF1*0kcvEtI$%SH>ZF07QyG=0ZWwch zqXWfwul)o3{XuZM@;}f}>Di%!NG>gRT}WIXkdT0AXWdjBqn%V!jz-PU`hFiMj+?Te zW6AD;=)x1bz`bof@CRX|7JO_GITdbm#M+=^9PfXFwA#!HsK3}_u(EhNBZslXL6?U) zQunMRWCI=^9=+f&pvB$!V;a~fxU%)JT^SQUyf?I&DTkU(C1pqcEesz{&Crc9#cKpi(CXZo0VdS`&7g7c%+2tKBc_Aj^HqnwA+fg8mLLZeGoo-p@GhZ8yjfB{eg%D4aZ7q zsE`4eJ=yJwmq6X8LXX0S1fHYpJ}_&xB86~xwXr2V3ZoXV%L%qEVIx?&`1(G&K%HAD zDI`~_dWWH(3x!IJ>dt&V3?3~v^@NGY<(7Yn?S31}AnvI%}@v|3{OSrpo{+MW<1hgwwipSUtGMGuR40`7t4$ktk- zS@+VoQ7562o~>0UD!J!X-wk*OPBIiBsFp%48v^p3_vO2<-n~UW<`d-nm>Zjz zV$ek&g1F9&Sf~+=KL|e$NfA>y&%N-~0TIpQs=chcMEDVn1199t$o{J9X6ni%qS-5>i)u4v24t5PJt$=$njaK(PLpW5<4I}-~7Twsti`! z%-(gRVaB4!)&xd(w&u8uh@yWg*AOFuAd2w|b_E1cJX@UEVKUcBHfJY8M{qv?TS04K zEP7=%X;#03sW!+{jR&LiiwAom_c!!^2zG12=Or*mG2GI#!lSrv?Npqt^C^^KS+VJi zO{NsfrmjQTbV@PhB)EVFrxYjqYi5b=TcJVY1`&i(Y;KI3_l-Pv|J{Gmsggwo14v}O zcWw^)Q7FZ`=*1uw#eFMzU70O%A9?=Y1?lG(dbHL+1rV1;C;pJYA4XMVDqP zx=G!JwSf(13&$!JDxrTL<}rwzNn693AdqYVKM$S*TSDF*T499{g%it(pOEV7it{=h zi_~_6gnTy*JAaC0DDONOO4g*V8@m_YW9K<2P?t=2X5uYdk8=EAgkXK=c7YVDS=|TA z;lUj&2rW1`V>p7gGT#S%UBjy~cTImVZzlo`M7YS+dJaa8$A5pHSm1B#sZepLU|?Ba ztWE|80~NKUg|VRY9^vPy>3sJ&Fh`~Y z`9WB|;;M;C1~h;8A?QhtPnWn893%tNY~SReUK~)guX;E1DRt^z_dv(rdum$9XRyUx zn?!i&2su7tLd{_$ylJ67y_}7T4ywU7^0^bX!nS1)N-uxe+88DyQ+gYxRV&c*z#nX; zyTV!SZT>!TM+W<|#WZNoa;mt+H0m$i!K`B=Ihaj@^qMq|7#S7P>z&!Szgxb&G%KG~DKN+9;fcYu-xkWKn6j(3pt< zHWLjukv(qZxoNn<9sMT5tiPU-f(;=_8Z=Z}ccD%`kHBqt?5Wpo)sJpcrsL*6*n=usuxsQ**P>Z5gL^e0uo=@sz zQwx6&_*T(Uz4yYi0b^TfS(o(@P0Wl-PRB}g6wa+IWj>il<=n>NZ)W)<&doNGb}0B5 z)1Sn)Z;0g{$_TZ|eEaIz2OVCzjryYx?Obssm;Q>cNw(h}UGpD-Wcz)l72{Jw+qe5? zF(}9V3qUhh9m*vDZI>ylQ34XT7BjY}f=+*%iL4(ekP<}7=KB0-4oRduJh8ROsBqeK zaYHzl2&eVNR9gj9IIVv&JW)u6(|T4+P7VW{HWy-v;1c1ql4D!1Ph2aGyBmg!YfD2p z5)zyiwhA+nTH#io#^W@L55WMMfE+Hvys zHJF=r^kKOpHgeuu> z8R(OdnX;j~eqytDPgEK{N1aj}%*qQ2rTf{d<74cY5uS$vbU$D2qbhi8AzeU1&RPvI z^vbG?jGP(w=Zjes_eTjc{Grjrw zSIBbz@+}C@Hkb3M5ZcX5;f=%%q0Jg}seW(>ZANFb69xosWZ#YnV1=5kyV*J(8A5C5 zFH%EFB@v+sJ!ov^sX-|9yZ<|xL9+!e1-v zcL)#pvo8aY$G=La85NK@wzXhWE8m?_D6E%wuQATC#qCA+NNF6~QcZvBy1>n`HG~(A z2qD3J<>1)7fWWbJZ8z7myc}Cfcv+}`%CV`IbAu%ijxBh5Vc6pZ9JX4KDsTs~`AxOi zQc|>dA8|DK(R^2DYe&u&-zYFEquFH3J{XWJHQt|2sz9aX=ufGgHBGnH@ zKA?ql?jKRZI?pS<4(oru4(ooiu#UW9d|}<#-HMt|h~jn@F7;@2-xtL>w{ zike3V;`S1*Yeb|V&T$*57g2+_$d2WG5iy7>?Oe@}P=mPkVS5&z8pIvXDkJ3#LEJ&d zRx_U%#F=t7uEgFT&giJw;6a19%wdNgDTrGN)#su6zQBFSKumvw?I}H|E4fO!l){3# zmAecziyG8bZsl2c?x1dQsW6X64CU5LaDO;=l#sC( zx*?*+e5#Js1aHjOT(_hYGsb*L`w6(i4Ke0xERTyqBPnvsm*7bLU0N)cJ`uMk^u}_l z%f(s=J(g2CRZf37DV9?VbvgN-SZ+PL@d6E~39;PD&B0$`R|fgIPv3&w=!-a7yTEkU z**?iMX}Uflflm(YbnUkXl)26t^l=GTuEK^7d?Tm?y8xlfjciJ7a#Dj!(BNqy_ zlor~p-(05&NwM3UJ|~Oii`@?U8>Zys*v(uqh$6Okh|&6svV zNRQOwOY1I(qnxj7;T0V0wtGXhq4A+V|IH({nTgRG;t-U<KwkUB zi-0daaPmAs7Ud@&cC*CaqWwBC!a~l=53*Pczk(mBfAx<|w|}dxC}o~lTd|~$P5O!% z%{_kwDhRk+W4P-gmKEksDlHhU`|QngPlq;gb3@12v!>#h$y;?{P?nj#y$*N94q{Xe`pp6YpM%jTTMVjO@!vgt zg@nK$XiEK+dxN`4om)iNG*8o0*wnW%_fmiKKQcpl&bg%RB3Pr)3ULYcE&7qR(l1X% z?oDAOcvsCK1k0|24eZ7rzQ7rah&rpwg|+D-xU87PyBy} zq=u-3gWCO}{vcS3>WR0E{JvlNaE~i);lBSbeVvDVork<95BcZ6$?EatB=vh4e~UiG z?qFbMWJ^w}D_dMqtA4PQq|M$x$tLW5?dJ9!7AYku%&tg66?#%i5*3;7{}MBjzKVe& zKWavj5E>CDre-AhwH-FBz4g!j{62qJZPMh*7}Ar=`qeEKF+DL9nXi1KyYu!7b9m56 z1$m*}HaRIj=|5;Fg-qYxt|*$5!Sa#FnH`mgnvYyNx3r#oBxJpJ1HEeAd}L&8I#5l_BV*2T{ z*`I6Xl2VrXn&CccOoC3>yzPI93zLx37gJZS zmW|%%pZ@SKLCM(R7}iMuS<_%4J~s-|7k%8&X(aYV-(J^uQTn1Q1}Bf@zj>z9G%`Iy zw5z*qYG3D*LYJ$GhC>d$COuPX%@a{l9d&oZ59)Up8d>~rS(W5*-!t;;=TZu{d9H(_4tvq zpP`cQ9najmnuCpXp)dO>H*a0ZXxUFf@X-*@o&D60>54>yuA0!G?_sF)6m2vlSfj z$Wz!t8WXTA?=OA^;`Urx9xW@L}@vru(|p7nTNdD4aRC)Bp`=9c9cg7-T5)sI@$Lqcj>`D}(o(eHC2DZ$xsITK2YaH7)l*RE8meIE$b15VT{&q|Y+~}y(P~M+i_h)@!^+xs>8Vg+ zjdFh#J}s>*FC&ppZ9~6^tY5%d_CI}wZgrR|V^q#`Iv3K5J`s^-QWF%a1O zY$J>L7f2SVOWW14VJ+&hjm`_qmKXi~m#@D>p<49NN0)BJ&fNwF)~_DakkW~biE_UQ z?mcx7n`HNmwIXz}SEu)>v25nccQ4RiM=yWyTYr#$HQ0f%OvF6~+gz4*V!wyxML+$^ zPryoK;!kKD@GF%~QBtN3_~g6ADKXv#;CVYFE$EA&4F$rx-TUV{*Dz0H^kZOYWdL@~ zkk65rUHT(72aLU_J4QwW};1+TV=X8Day(k$1m`1n6&(m5l72FF|bEE^dF^ zfG$K&HM6g3Rx2g-(zj}~YUtpD2SC3wRRf!x*3q7@kF6*7T|u`hu+dr4fVssZ4>OKV zqmN1e1{))vrXpp3NPyaYxsMmz#unWR15m^qiTJ<3Z%I*Hhz;GfVU7CNrXW6{UfYs3 za>J!H>f18xJv>sQ{zTC1zMOWk{E2@TYkRmfUI-n~HnMPgA|Mw6T#d?YtU7^gTJNyU zyL-#Aj=7+|e1ZOT^qPGB`t_@KXaa|QtB|A90epTJ^4zQ&iiCWl~u0 z7_!)Y@Z%r(AL(>CFZ`IC4m2$#lQOu|LD}*U4)Tz; z;i}Q_HWAF}pl`nV7&cn2tUsMcw>79c*d4^8MbGVb9~K+?2TSzHavfK$X6gIw4i*w4 z>Nt3-ED|t$8t@jmE}$|pRVjveAY9iv(*$%6gr(x7STW55A^*}a&S8J>K*(2)7fK)= z2!$oh#{$NP=pOb^EiJC={ir?(uJ+IbIn5a%CQg&Wqm{jiMh52OBxi)t`mQYoLz71! zai=blp)3AAxHz2X#I(FW`!^tdbI^=U+hX|e*c0#6+4qH>^ATT!NW=@u7L%^AWsENP zKzt2?o&3>YY|Y@?hwp!UNP0-+M`sOF2|9AcR;uxSU79s6&4w_s@R(FaIUz9=-Vk z9N9w-p6A%bRtDeHFr420prNbF&hf1AU!iv*5ZzLms%DnN(2;W1^}-t=%oC!ureaR+ z*)oy5&hxozgIkj@>u=&M@^0hi1IsYUNg_D5KAG@^ZzDZuKFTs|5;*RA>gwU=Z(3G@a!20Oh;@@M6EU<+c z8<}&!rW#jhR%X;P0=KfDtzE^B66CG%qy9A-BZel8+Mo@n4?(C)JHUZET!dU@X#E(U zI)D6govfaX_$Gz;`j(ew?FK2;2E)~qHp<4$x&q!J4=8_#Ii2rk@cMrQvaJn6e7G;h zUGZI`80L%7xpx{vBX{~c&W$W4UyRB=l^E)ak=k@H0(C)n2yW9`htav?-n#li=k)iX zP8X}aNs(eK+lTL5NTAXkdnti=VYHm-M_Han6np9CgmqNB(w2_hYJ3Ot?rd!_i*;CK z{naxp%0Pe4$MdFKHo3rW>6kP~DK;9nhneesq!NFVS+5bi&qia+5Y#D#*l3Ie9q71( z#Ml!&L@VZVrExY1~+nc>r9jV(=)7EL<8 zf#%om@QQ*vEPn~o&srp&ZGlpEK|-Sa_*)?{P{OvxB&TMU1#|F-D4lEMkxe_|vuEuX zx<`!v0)G5ApZq2E=yipb_tG9F{9ro@uG-+Cp@DA$`V}=?-(4Ba<+-2SpQEjrhaDG2 z#;JdAF?CT%*wkd?%*DSV*xMW1;SjR)Fa8d_CcC}s{g_vfd!-Qan3o{C{=zDO+4A^< zi-@)V2mLa{#gD8DWc~N2o9lU0Pmz^8=dFb1DbkRqxD(MmMf$bbp)zzoeox}`5@cL# z++Z2HJC;Uve{k2YHiK2~}2JkT$^4>Y!yPB)ul zF^1;PzyE_DfDXe7K3{;iU|xd5&Eaw}-15ZaYO3VIEl;8ryZ2ZG%ae4oEnh%LNYL^= zKEWMH2=zC?Y;+Kc=M=d|{+LlQIg#j0G4e|LKY#S2zr+IgxYN)N(1-uctLM*OzX*T$ z{3Z4`z~XXE5Zm`OlQOoKAg!W$osBoX6D}S4ebbxA_OY$r_mjXEzeG=EO>CqbV$+hg zai{ilF5toS&~Z{HR^3HbHNGd7pYCj9vJW9I!It%|AtwQP96kW1rAoXoKsIoh$+c#% zJnhRb|1JQ98qmQ)XpWma-p6&%%Rzrv!826KL-wPF_*6{H#oRnT`TkEo0eV+ihXlRk zp1=igty#&Vz z2+w?dF}c2v07u z6M^CIsS+vS-`+lZ2uhYulR4xef2PicBl1AM?xMjuIpaV-Lr08GM)a^5Z!T=-xs_#m z+H8`LP}D)o$6#UlCYsCWY@>egY{S~@EEE&OhSO*_k{S5r{E3jN}X_I?a zj3*QXS53oNY>G8bL(EPJ@p_;|we+4;g~V%@Q#_m!B}LzsSY*dmMQEpTT}&VLS2A&n zY>r+vydhJo!vg%hd-oyAeE}xw_rD!45a{irmA#%~^j=NHo-8$8s}# zCSo+z>0K?&7C=mO%J&+LUQY#|sZM?PriI5~s?*e%7(**d;8nZE>gFCXU6!BdG$ zUY}|J;!?W`L@*zn_JZvxKZ=iz{wQpYP4Us$w*=v}C7+MZ_RxHynC_#qQ)i9lFiiVv ziYp|N(Fb=I1|h^pN3nlYrV&wnbau>^5FV3{PIPvCDoSS)eROgTV*bRlKjK@9Cuw%p zB*ls-a{%=V9siyb^u8c$6GdlLIr!)q!uofgGsxdt3rkeuz9^p3{XK9nHMJ-pZac&+ zDe`>5CWj0VJWNSU7ZMD78k8G{Qi67ZOANurpjK$D;4#SVKi+?ndP;z3g8CBltR1P* zHAi*&iLc#<&We5l_M?w)qy!4XSzQ$F=QH{_Sq;q)5RHB`x4}i235)wdeu^uKU?@p# zlMsfRx($Gaa}T}^_xnjczc__j06qqbvAt$2UGi=#o)v_+b7;eG1lpgUXwrp&~z=N80s}iw{n^ zbvt5D;lX{{BX@bRpu>n&x1S=X7g~s2>+h4g4TYLJV~t{%p-^1tl?`)grBn&9?J)07 z7mD>5xdRRCAJg+^@mZP_+o7SRl{&0&fU7b;2b0r@5m0}py!&89(G`y;XQ*t4?uff# zDIp%JW~V1USV$`75YJX?%aV@7+*EZNgZ-#hMR`|Y$qZISn*3T9o5tvz^+e9I+=fIE zw>eALuJkK!gteWt7lNHCJ_Q-%n$6{GOj`3@g?an+mvqu+ey&l$B}ge4q8ybGJ|(9X z;LtMyefED%>CppzBsQph^(4!CjQC?0?Z&pgCH2@Vq0g~bb6*Qf-Q-YAkn%cCN<h|0c zA5>u?fk5{Sv74MH7~hC4wx>wsIk;9QAp0s6 zw%&iu(i|q;(+}59>$q6i2Od%*neWW_Ig4hsWSs51z*2GI636#SZyD1vkgpcf+?RH` z#!qP@5~r=vXQRqm_Zt=iX30ekmtKjhNL_M|W@sWme*@U8NL~te(Pp z+mGZlkIPvR18PobeBS`Zw+wo{LAx9J(J=owIBoqhs6`2YZfRf_mj`ww#!O;3^sN z6Vv9rmIw8Vx~Pkf;WO4iu?)1f4yPDN$>~ebyHjNlQJtnjL&ubmxr?o9$J219sf>)F zIT6)qO6BNi<6-y_#Azz1bT+^ZOzwX-BoxYtr6%8Xt+dRRF-&BP=vbT-kub~X^5_~` z?GuNBV%G+eAd?rDc9i2B5|~-l5V^!AJ4ofG$0oCA;fPxiI}a<~hZZyLfx3n*HJ>6b z-v=AY{(U)VykgCCT_}fUJrGj4@uzgxsUlOtD2wVkHFI0MMs}StkDHGDKE?##JaN{S zK^6oXe{yNRPh>C8?NF5} zXagC0W8&L|3|MFG;YAK~8}SEC<*Hmh)3lzRf1=K98JXg|y1FW)PMSdWTk~|(DvQ_} zwUd#tCZw4)bXF@l)YhoEr0hCBGOpQeapuvcQsY~#sdZV!p(2;*RSEN4GIF_{o$vBZ z{Bf5}3in(l5e2!d?#wO{G9j0$6h{Kq*@2ec;=*o>Y$|DKnRj*jQAo>Pg(ViMJKhIQ zf5(to#L)WHR%tmQwSKK0IgWW=wlcrCct8eaE5mwf3It5UdzPoO6IrCbu-e6w9)>yB zPk?LO)XAcgl6PYzF(N1_c^R{=g?g49cWmg$Nh-2VB8N2z9BPl*n#wgVgaVLZQ71we z4#H> zeafs}T8Rb!QGUjDkcbW-hG}wi5)w#wlwE3s%Bc@PVRGG(9|P0Z+pzf$1g6gseSXKH zF@0;nU8^Dz)7M;mX`<4u`12O)EDWardk6EWRvx``Z%MTugf2n|eK#B8{00c{C(#yg8&!{(dB_ z>|mmkOQ+17rVgiwMwzMi_nVn0f3w+Jy}Q|yK#E;%CLf4sq}bZUTnfEGGNQ#wlv@6I#CRMe<2)V?BsBScvZiPO++ z?g@yFuT1lU&_T(eiYqyl4yp_r+7vUvK%>f-H0ZFt`ykq(E5W9nQemL^-I<3}7HID> zexBA~fxuE%?GLwMf z9dtK*E`)(V*|$+kTr$8D)SRRekven_w>8V?$>hU#T8_^D>HFxN(SrGDDTLlpj+S-M z9tnJt4jXNmqEb4SAtzlND2EeXQnSb+a5xHM#XO(N;S?twFG(SNf5SUR3uYk+xe2bb zud`^#jU#?{oDV}}YHNpc_+&&Tzo23U3V+`Nwxc{7!_caSAUk2X2|6IaAH>DiwuxzE ziDu%c1~RRtqIP#kLh5=x9bByyz+sMq%fl?__VWasUKq_{U$1n>?D?Vq0z&LqI{Tm! z>jMzit>3{$r1-KMf6%?U9WAHQ1e($L1Od4Zd+gLaDWU@gJ*H6&iwX!RyB(n%SbO%k z`TU9n5&U~XCO0rW9Z9{u=lgK(=CiDl^hQ65{6BklR>vVXdRN&k1p-WG@HKkdDsI0) z?eorBTdv~K$$^!HGz}j{4jk+pP4dY^z+{5;P)s5M8m}U1f0&7Y!Jw>W&+zQT(77c( zqt;&@tK1e-r25FT=m7z|0o_o!8_o7A_G)X}jF7I&S1M1^L_S^qz}gZAwI6>Bc3e>a zrng6Tq;Cot_4ebep%f{(yWL!0pyEIzc+*wF4Tmnlr=Jx@G0d)6=#EK%$n2UktBD7b z)SbrgQLK@Re;}zZhGhQ(MNuEpXUzJM74?l$ixx7OW;mu*BY`XGx4kX-Y=WXbm$?!u zfGFyflUH?Is-hk{vz#F(_v@!;HSaPcS^l79cznz6H*eGPMw7#^ALB&pWf5o%tNdNj2^X+MEzlRb$oCTs#|M)YjMeIZX7;ZM2x! z5D9mGtsn%=UVrD+vo|PT6X0pO0IBNp=v*pG&+8? zE%cf;e_1BKG%|<_muoXr$47McIJ0x*PE45@lNj4==F!F1uJ)W89!W|)I$KO)QPk1+ z9Zd+ABz&%K9%gg#*^yrayasEzizO1Y?C+5Zo*@TF0G6V^dWYrstuEyOA0m2gB&tnv zcx&)OFrtsUNB4J#n9tAT!q4vqU~@Srm<=(1e`vQi>iJZSR6i1wCM0U4!{^B=HYqj3 zG}4Z!tG|Uyq=r3hD?|G77;GO*91`bs`*hIB)b1dLxiR+AMm59Sn4_SCEh$V0P1n~N zAwuY(>*|UP5kec%@{TEUW7g7kwG4A(6tzK}o@q5C+l$XD5mNHj{&Y7KIf=@(Rg4VNj6NzIkC88YXw4K9X( ztxrHfR@Eef#C;Em((@YlKI4xKt4qtG8GqEK_g8zorTZImO)%q+GRJ0*h^{8yIkbCX zvYME>R~g44sfpojkvlSiX-Le4Dq8F{e+?Ncs5+HEbi>G;%`-kkH(a*$UvQ}=Bire% z8DfaB$aS}JN96Ib-ifGSLnXibF5``yD%?eSmm!d+!bNEiz$+|_T7s%Rr(TKi|V zh+~pT!d>K0QlHGN+$Cl%Px9gN-125jh>Rr973SEtp~Llm8F_#Lz|K|T(`mbbPp31SK`#4FV(`j@+CJA&?wfc1S$C$VwF8x^iP4CE#XJ5QV;_-J+zkK=fgZPg< zG9C2iAExod`&@?Cel6L3E!q8df069|@VyJpC)_Dhb{%rEa2J)F>lC2X)7S654EXHx z&rl}t7Xhz7|Ma<=$#?@UYNDrE1Z{7{X+QCUYJ0{6Lo}PF?YUHa>vEd57d}@r&L?Sm zdolec2~6AD(CBc#P`9=>kWoA(Cuw_al{0C6RBf-k_w<&@vZW!y6bBt2f9?;W^yfJY zE*THNen?Q3oUHBTwU*?I>Du0T=H7;ssO{;uFY={SZ7HkE-|`e_UR5@FpkHB(F#)sMjRYA<65MIGwlVZ^KLLh`l4>?x z5;Pp@d;Or2U|Ze7ssttpDr55PGO8q45t%eDq)LL?qiZ7Uzfr)!KAaw zOCenoEDnnf^&@G5qYk~2K@)7sTvPDyZGff;X6(+DiV32iG5RozLlXsOO`3c*Nffk( z);7aM!JNgRc_CR8e>Cg*TrOD^%u-J!@?ol=?!>l|2datUy&1Lvq~9d!P|ooHJeEm)Z2$fI8<41{3t@nAPeeB?MpnGEZDp; zpclhr!Axb?3Y%_WF&*vd^P}s6v5LfL$wz-6{ym1x5%(fdf3)86Y2sn%QO5RvMm)@N zCfA7Q;$eZ#dCH=RhppG9aw&s&*wA{n%cqKm-D-svn*l)*56_xrzd_Ru^EP9QY&iD( z2pk3#{G3TT9G(pdf<7GgKt*NKl5a+sqpT+qV$YyFQ+LuDK5z}HHQT%leRdy%uAa7D z0Zc4Bnfw3Pe|yj1NVe?GFUtEWaw0gq8tstF<&ct|$l3NiB$wPJ-*Q*Okd};DMJ(kO z>eptbIc?scyXVcUf)ap&_uhL`g#u7;1@FBncw6uV6g*Jy9w-3Hyjg|H%zN|gND4;m zD&B|p;Wf%+W!`)4Ip_C3=M3~IF|cs-@HSt?fQ8p}e=}B&?8bd?9<#P6!NS6M?Lave z7S1l8dI|4f;r43Sl$r|`*3a$r-@(GGhWTg#6BfpphAbjBEVQ?qZCHf#2x!lbD-e!I zVU5TxKRd3KKysHcQNV_Ug?qV49A!w4fh9Si06Wz80SJ!iZg<-c+E&Z*izyScY2nUI zSA&9se-=iTml%0iS~y?}J-}u|9|5yr_=wSwH?6L2NsUE>1^UuH4HFTTjahDZI7HZ- zGHYRtl$)E1oMz4)IXGyJWLEfx9b}x#zK#**<}^(SF^sURqO|}^gCB#4j^HgGixFm( zrOUCM0X>O5f+bif9FdWjIi6=zNkbP;oL>z1ndTQH~Wqj z95~U^{KZVZ3da#IlADkCOpaJJxnjclYxjW3OFyLH;)pfVNvT+|!hO&(a$5k+AA=(X z1tY$Frb=yrTwu#15Fi}4~By(fL?$+ofB?co7j2nHi ze>?Ue*iIU%f~5%b8w!#_mo^k^km#t{T2nDW;#}WI7#{_R0c#CVa?AjU{payBuonq> zw7q`!b9j_{g6XY>1|g0%?gq6?Deyim`EF0pJGgIFVQ}O~cIug&1srcTO>IIZaP(_l z*-^1NO9#(Ymm$M&{2Mni6)15uCEJUHe>mb;x-p^?Fz{k-O~0R%6EEhrCnqYMc(HbO z_KX`ZE{^mpt5|sP_9m}hh{ubYt&Te79bPOdY0(Q%yr{jkH>urtu_YnMhHVG>1jN-l z;<5F-OEJaWWJ4G{UrdO}{nt#qxDZ@zl40;-YiZh+0>z8LM#~b?A%Sv_Z5?Fue^LZ5 z&czOw^Kf{v(BFSt%&OSE%njKVvG`*3hL1(Xwn~_MvD<4aj-#yXKFG|ttP}t0d{N&ymJj1%8eeRlYMYmT z_Feh6@t!E?p7Q#?XvDE!TO5sKe_tt}x0R6{&Bv6@Zl8w!9UeH&o%`iVX~6MNSHH=q zleHTRyL=Ql2K!|g1h{r1Pr+&aAr^!@0fQaOCp-pkyc#x8bLQy0v20+fT!Qk(+w}lB zK*qn)AK`do(Y57Th2f2pH$5`~7FJBoNpHsCgbMSc$9q)*!0`~iyI}T43C71(HR2z`VpxU zC1$m*Rf#buao9Awh(U>61Nt%<6D6JoE>JquJCs;+vsSI4p~SPvoGsNIN<8Uojp1WZ z;?nYdln8?o+mZs-1Z4UPP5;$WWAc zoLOVW*8m>b`{Jc+l;}6O*dXFUi5r)f2Bi}v>Q?tA1bCDfw=*%rtn^#yA6r1wf>ioB zyIgBhqbRXmn>525!BAaPoh@MU!nVbnF>Kc(w6L?Xep||}=W9MlYb4JRH!j>55Z_xtn4p`KnEA30C8R+p>zna{*g3 zlTexLqdF4S$mSEw#*R)eQSnP%yNp!6y_>tEQLXcurW_f0P+JM5@4O@GLDL z;y<{v3hNNk0>Nv#uKaW6#cC{T2JA>WG zMrL%KYD`S;<7>{ryH+KM5i4r!Av8XiK(+33WsvR`jx&7}Qh)5IkzzddDO=z$wl?BE z$cs)e30aA<;;P$PY_{exm^KWUl{hb{sN81&KcX}yeYQn{xJ7%q-VSW;?jaa251kZZ z!b<-zT@5;tGo!Ts3}(jeZU#8Gjk!{@Q~TER-9`>2d*8aPP=N`$x3WehNby$8=J03u z@Hl@U{2W(i(tmYoZ^HF4ZarGj(L`LArUA2z9kN}U48F{UG84^Ow49Gh`<>2JULdg} zm2ftgyxy=<0>gDyOvS1@SIe!NNTxP3kB_s;sx}UxO0Q^U{ zYBepfq zr2C*NCMu1`pf61;@j(dpqO9QJ+TsBphuzi(s~a$BlkVm124)AHuEMiK4Kt^ZUQ>Q6 zWPfRC4z`w0_*m6TggtCRjeu%xzcEdMC>`ckW3NO^_BNVYvoGRIy!WmR-Kdd>tE^=? zPK-`TjH>7x(=b3>)IwD`?^jQ`me(AH@!4tK!Z^#401=%;A0ah@?ZA%CDHbt8O_brJ@Ej5>`Ol;Rj$VRq|^kh7{N zbZsVGhUW64z1EtL_FGgyoTu&XRdT2g>MRR-*Jx~e&`my8DfR@M>oN@NW)I07c}68I zS5jE6>tT&f9jsmp)zA|4UR6~A&_8zP-mmwA{xv>5aGqCoBW8<9GOH7|d0(&0=zplM zuTY`+pPqB=t%jYqY)xOg5n_}v^}U7`B~!1HeQf9uvC^~V*`agQopPYM@gR_+!f+%0 zbU=kgzIiM5b~P#k+Fmhja?B_Q9lY$8(uHm(SCfQiPtYcR9yI< zl3aqBkSbbU0c;3=Hr?WoFhuD^kx9OM4nbMq#dfcIW}WkX^tI@+8B);tpnvT!mY&3} z&s&wFnZ4z~?lY>R@H1iqfZ>$V4%)a~%TPlD9}(uIOosM~acYU`=CE5#b!20r*Ocn( z)DY=AAteG7SobF`$7-+woZHobHdnQ0WlnpKghB1cuXntzit-kdDFlZwW#4O?KVgfBhce*}~1V~sMLY$(p0&?#kS zzw;MI-ryM4rglD#RhPSeI9(~lvD6)1ZG;S+0$gj`5n!{qU12Fl0v2_;9P4OQ&>J6} zWhLC{0gd&(+t~2x0T}h~a;Q)m7+*B9E@9;@h+B{vF-tujoL0(bE`OwkV?Ok4o@qzH zB_iAokIa=}vh0g_+E67PL<~k1Z}TxIAUsUp04K$hkH-H-Z;^278n>>7l2shiVoNF+ zRA4okUU@54dby@k!r;5!(#z*iN*y*_>O@$ruWc?iNaa-5W+Y!^O4t(chQxKdk|A-= zND9vqpnBrMsq$vdqJO(2M{b~utsJ)2uk6XlTlI!mJ=q3Br2#s8c)20EzgT={_GZ|g?6p2%bg6a?D9AQ8^b;YeQQx0Vun0=F8ush&C-Z0 z`t~f6I~S3+M}HXYe}_S88wnj+4MyS8m%U)-GeG&GDPxDD8QW73A9UUzV=0+x^HWBt z=?X7@Om4Q>h>}Y(>u|@kn`e$Wn)EyX>ltUESdrsH&>Bn*9A`Jh@Y}6v;JcKhmh-Lw z0i)_{c;sRXo8ou^>b-l?HMq7>4~Tsm_yjKnz6Vl`t$%;brE!U$T3nG~3fUWTH(NQz z60AkncPZH*(s()BE_HI_gN%k%Y*(P;sLLpN15&D?j(_KtyP+_Z;`c3RfJ)wfMg|Xm zcITYl2Zi~*r96hvKQy9p9a}B@5L_nuQ-+k$Jnw9*R_Mf;30t@QJg&-~h^>PW6_XmT zTui278!MAP0UfJ}__0Edz=&-ujbruP2j!Eud#WEm%pt#i>Mq-Q_KVlV9eYMx^Os67 z1Sfy{N`*{7xj>6h>1ST|!qsaOeZ`dEfH(qDWrNY|%9DP!)iepZ1{#)iwy z5oSN*gpQ;|5uP$`N2Ry(m_>OD$BTP>H&|SXo{LnWU@FXft3*#(fZJ z^D(pAZgpCQ*EvXG?Zs3oR!?P!JuTs3N#XunQ;!TGg%<5q8#Zcs2&yxpI#n1_m>-h2 zr$$KO%uRQ$l#KsE!S+PF0L6lxGySvZ@L_A{%&iR11?%hl=4BWzxDkJH z3D+%~3+A*~{??5J%S*~eRBSBR-?f&)Y>tvTdT@m8>v9hq_y$*E>F)!uaA`EMhx-h- z?hMM9T=3MS{=vi%zH#&VQs=rl6?f~^eH+41_PQ4kB-E2URbdcR4?P= zg8MyF<#Ln@R%cGsYgk-xBsir(z~X;`W%EAaG8`AI9V|NIh~pjud)9d=M;+87&{}-x zz*c8H1?8E2QEXyFqQL6wd>Io3&Q0aoH5@4L);2JsVW7ac(heODivn9_Z-zObC@SVA zfpO-UWg(6P7C2_JFeGrIz&~1pBY`Ue>609e?*q_2Wu8UG1jHSe1_^K|FjiZhq(Yje zM4HZ#$vV5EX})R%1y=Ml_OpNBUqx5)5JyYUry%rj*CgkJfA*uxJU$Bl_D^TCuyxR( zL$%9NHvEe)b|xz6@Go|GVF!yx?t}b!bD)}8dev<>!d5^&0G)PIH@4=^&*B_$$%KDP z-Nglb2K+mYj5V;}-|eW^l9UDiGMelw3M~BF7$4h02dQUQl+B3{_?Lfkn-`#B!oL7h zYmbT({%sX@7*q)S3z{{CiBR}ASQK(8V!*$X*`YPzuMYpxi?vz_(nBtGB}Ik6zuva; zL5}X!k3mS}88utc$))^@ld1L;41>O-_lrQ`-$2VW6aICW4Zac@{A*d>+h$fD?&(4f zWH|UYR~_9h;Dmo!d%l0U82EQtt8-wThkL+p=CD)F0sjh{kEexB_}4TxQx2;mo_T`u zx`b^G5V)PFTVR90jfut~IlccxABF! zHqjjktn@3)!XCa4!SQrNy%0+R$2ZEBFkQlZ@~*DL)I%%)FahUpL?1_gHdH?NTYj-bH&@O1_WOwWwZhk*n=k^*5zyKzY04}a~T zgWb+^>~Olc2;6y zhP{?mDQka@@s3dA5MWWSAqz!-qsNUgWc4MA0LwG-|0fm!EJ+So*3bxGhq2VGa1p@P zYoA1{c=G|cn!ia>q6Bcze7(Yn`m$^R{TMu$VGIxDAb`XAws{p70bJUhYLa6JpgnGI zpR}DA0(jP){Qv_17bbF3MV!oUKDH@A!@>O0mv4WXuz|*7(BE>HEk&7MZ`AUlgoF7_ zZ7pi$Smvj<6{WeDU;D11U&>~F3rTIc5(e|TjI^1ADD#`D?pxyMJM;uhHCNY4*vu~@ z`Zh_9V!qv=qBSXk`I;Qb<035PYYr(J6<{#m()nqi7=!tAGe^hx#_~h)S>;U3*SS1? zij03XCzi;Tmh6y4VZN%iI=dRhd~yD1r!v}%MK|V~viN)#L-{t&QiFweT~BI#qRxb* z1^WVApZZk`*~D*rbEgdo^j#Z&A;&r*yF-9mC3$T;1_Df2+_b@7kYyU=mo8Fjy?xROZg9VJ5O-`eoCN$sM4$1PFyY6ku5Jn6{5(!?iVwd|r4DIcILcXYRY znsfTn!v5?w$1=MQDx>Ebu&&n=(A_y2r@^4X{-wbzDVzTp_DfPUPW~4fa9qX1@xO%G z%NnFdj{nJdAdCN%pDu+-vHUN$bR>U;$^Q(NkpM)YK{?zt=ZDmkBs%|#^oeefIr(3f z_V|h;$3{H9)Je0H$^W8rOG2?p$S0uvyt#m*)lG+g{2|A~xevU9CykOHKu94!cp%rj zc=`PMWS?mAzvmy{d~5!J3;nVG^qoAR{8oUzgAV-k|6hRLbo<_+%>(2V$%yb3=fO#DeW%$7-6Vst<`>6*4@Y`R=0) zs*u|K3xRHXzERDQoHm4=Sh3Ak?t@ru?kLAFfrp@Ouv^P&&aT%!$Mt#en1CD8W2YWx%#uXxg-^6ZTnt;jprV3)_1Z=?W?BS~ul$G5sQ^|MB_z zw{MBX_yK6GDKqYln_=xM4*ZNgWBzOsM@z^D;I?g{fTO_cDL9&J>y@CcuRgduk&kt+ zEy<}i72EH%wU5oiGtGa4Ipw!1EmR9CbN5NgG+z+?B!VOqc_q#t(puW zod#xxdDC|Mgy9@+rVjeB6_V5z3tej~VCnLw=hu^^pM6*U8^oje6PkT+BK)28%OGsG zn#Uj~(sxY3^f{KzH-UT{E7=@KIMTSH=cJ;kKprMnn3%8Ig4GfsEpBjw5% zb;h@stJr@*KuOMICf52q0`qf&I*!WL;ppoI5k}TvNlNMwvjOeRrf!KN40;R>`a`?1 zrP&X_cvoVAhoq5r4g6CsxrWb_|1w82%)P$tFd60iet7Na6qT(^1ocRew8F)B^c0&Q6N|o~ zcezM~SC(ZMl6|l;uczSbra8majFjBntAp8jQd%0_MUu`_LjJi^2SObMi?)?(Y@ydf zuxRb+fhC|YxFDkOkI%>(eC0SEL5A%Gnp=NwR47@<9I4wP(Gdd+R6DYO6MYc3VWKYn zMu`!qWwnzhDl^e@X&6t#6mZne4VLk7ikwnY?4pDLdz(fo4!CJ}ab*S75B=>=e+195 zRFl!HBJ0im^iP3qYUKr|ilOp&DNo8`WT%yhmFhcv$&7tJm4`B$y@UWe-wlGy^_G7c zF@COHT<*+MoSdV-XeN%&386FW&7%@b+HE?@+e?bV>Gjf+95_rJdezT9{_s7yO#b5i zr*|*FYS;>8fIzeajIpC-Txev;Zb!RXJAui1s zSb^+>;!QbAMi!c~ng=C^O!XqDYun!w)1(L4YvI*Mz(8fIMyHqdaO3N;M&egF#@E%< z9Yo4#ofxLFR*$J6XK-ydERFRsnoFjf<(BhMnB)^(6ppoaPeG6W&@3{pp1ObDLTi6A zOfVD2+)Gcw3%t{EgU*efZ6O03d?8Sjf zQpb+RRBKh0QH)p?y}Knr*k4-nRx138 zAO1D)jgRwTPp>=a++LNT;$wgRv%?EHL!i0co?(%*)C*P7mT);EVV+qzbSNbsEU^rK z_yQzMBzTK3$i&jM9V6p{7r9&Ol&^(T{iW&xVufrG#^QxGSV_;icTL4@DOm>^DOK#M zTtpTLb;306=A>~_u=>{Y8WC2ZY)LF%!6uOJf$;Q+UKw6B7;xoS#mavaH;sESYE)v` zb}=0+#+0TWpH5Wsn1Zgz!2LCBDan0Mb(uXYN7NAOA)8xh*T=S zdH2r+)ZuG>^=Z2?61F^3-=gaha7mO$%Sta?9UNOLBchQ~18RRGcttetDj2$;z0J)V ztZe%+7+#w?#b{#&5?892!{Cy0j5P|TmOEtAUe7V{ZR4t`fhnU&jY?@nC%&2H^oK%J z=UM02s}iwgw{2CKW(fnbZC`JkNq#`)#pN@9C0D)q@ag+xJJ=5&zxed(TRnf}A}-87 zd}l}~FBGz0O{ae?69V}k{KaSTU;oj|pM4_S^*<-QMCkc(p^b0Y%>S?1%x~iti<`{! z=Om6PFleSHt#e7n6tM5McCHDSY^EzLWI%vbIn>S-y+F`RR6|j{06{YY-I=#M9GWSO z-U$zx?>p!}BMvKa!s?v^%`2AT!LwZ6RDsGHQMKi;v%f z#dF_#2zGyqN@GM!5;T}Q<-kr{c?^=aR}IQL66D*_P^M&)pu&X0S}BtRwdaQQBP6K6 zzxZ6qB0(#DX#*Th*Y1IY;*BlH?1`I1-kwJuKY0xreJ@AkY<*_YNa4PK#fM6dkAH)F zl0SO!Hy=I!@*Sw0(v@IE4-de4No)(B35@)2s?L8@Y+y8)zgx_^14hp+-OAR^a~@X5V==iv!MNDBTX3Je1zpRQG!ecf z+EcK8)%#cES@dsy@$uhz62BbSA~v?*ZHNE+H*Y?DxDRrU%td50rup!Z9P8}bB%UBM z+V+1Dcp;l)b!Y=`Wf+omwOSBM+73F& z8r}2%ZQ3t?NG|Q>K}!!0N4Glkc~^2A-Rd21q-k(;Yus;{8kj;|HADc<-u)8Hc7|Na z5y&;09~Td!^_TCcW|_pj%C4=|a+F9v0gHc@qZa62Qg;~oXT&uVkB@j~ctdY36!N`s zeHgWM$%i{QU7e03l_@ONy4s{u;=0j40*zkHPf%bMHBy_*X8^POl>zZvXhSsnECY$sgR0{nvK*;9s`)2I?P$HsOCB0KtuNOnlR2Ay;*;2 z_|}Y8udG2n6QjlDwpn2SbZ6umn9_ zrlCGLZ3R9cU#GnpZ-z6am?sSU0n0wM7M}a#NtNc$$tO&#s+GW)WH_(|S|e%`=3Z46 zD{=77)H2!ycPw#x2-`rci?<*r^k9Eq<^p<`lWRIY2Iz$@6&9-*tMn~6u}9yy)|&g6 zAg-7AF=?l5F=_+=R`*v0!KX;>X<|RVC0_3d`Ug5z)m&I`alU1gV`g4@|4^U|!GfD1 zRSpRb3x-w{dttDkB|4*2jAFsH+Zh{LtGsj(YKEqVdJa^sCvzz^NA&fqP-lPL2vLx? zk9r@RbrQKev_eM4Y<@VN*YOy|hhBlFQ+(=VCv3ax>wiYB0#DHExQS3AY_T-6U*E8BJNCuEMj;z`V{PF1sE22o6*sXRPaW}j_J@Qm+c#l3zS8cj?KjL z87#7`srOv)*>B6i-BmbGvO9lYt7&ZJzuxL1xGI!8tK!{>d zZ_7~}cH-^>aF&;A_w_yHJoiZqp96Wx2K#xjkPFC$4~-=9-M@!? z)2nyX>6&iM3g+RKCh>n)YH0=;mj699N7xN>8_t{liu^KCjzQj8YHqaWv#&f}fPvIm zBX+{kBQSr}v4_nzJOwk|CH3$DLi_Ogod=vO?+F^?8cT#20NiuE;?%s;0Pt9JQ5a`) zQ}H#Q6S02D43^lESbII*+cB4h*L@$2{@H3tB`C{CXfV*!6~_@VDOcJ}KdP}UpM z2ZxEl^A1GT=r7f{**jzQlk>47yx;Es$)oj1;}mJ6}eb| zJZPvtht=$_Kah9+1Npi0_Kh{Fgo3^ei13K`+jZ)>AJ26e@Ipc2;_{V<;Q|m=sH&r2 zM92iwrSn@y8Ww+xF4r%HGfPFI+jh>swngFQaZ>@b->$QoI;uhBLfeW6@fV5ZOkB-$ z;>8WFY9X=Abil!{FRBe2BKFr1AGKO=T+C;2ZJ+4bU1Z+s3?p2aY!@WK0~sRuzd?vfl$y@xGU0w^s%|zU{Te0=Svr6~7>SVB#N>*6JcT zbSsa*M!Ta{=C;tzV~I3(8y%fTSdGqo&~Gpv|+kh*R^zXpTUm&+o;Wo{cw z-CN=gI8uMomqa-4ka(7f!Ch+_#Kgkq5i`7{hlBoTVISovlE36EME7$%zV~ahrD8$I zQxIEfSya;Sy*_GYQ^CBop1?Yso1tKA>9-(kZOvavni?wHjhGv&lVb`z5R_nj9>#;5QAA+c~z!?cD2FQ(G8smSH>6Sa&<_rj^v!*t~KSj*e3M6IN zYZa(gz{k8&AZ3>jo@ccNP(J0|y?*)j%a6Z=w>m7NAwu9*5=?pRolEXq_xm1iVJMz7 zF=3LsQwyX8As7F7+cLCSoEiXa+VPkhzL$^DjaWQG5kR_YQC?E9K+{u3?nu5b-gs5y{GS;#ocgrSxePgFI7`K`D zigT->7oP5L7g2xzia0)Bl6TyzGz4*ND6h3^q}V7%YyLnj9-#9cJj0$M-jaGZ7LxPcSbj2>8%h>XbtHRY)((^~02jb@qRapL>OWD!kTa%_> zDLb|cmiiPdWrwA-E>(_17SIzP&d`6AzzR89ni2k?HYDH3r@8!ZpLzWBHR(r1Sam#i z=fE?Q_`ZJ@3;`-BrWMx0ahhO(vp<7c_Kl- z$rF?Y9%1%OH+Ym`6Z+bkfM#Q|suWv_{2N*F^bl8pL#UO<2={Lx!qrOqS*uY%{%wz;MG8_vQswmNIyMz} zRnGqWP@e{;%318s9+!Whs+{c0v>X9Ol{4<|)yHS5awc0M9awX5A7odTPpS}A&Rknx zArDdIG{hCBBdVO!_Jt||MwQdF6lxVPc+&$AU$dD*`mjj+52L8Alz{)zDdTC;UB{~M zGM?_bwrVk3##7alLhNXmSRjo%E8}YP-5TZ;`NWM@3~;m)y9a-k?Nvz<_O2vW%%aU^ z7ITV!mW=&}3cC0w@Va1`LmulNP?t~K4KR1+6E1frx8cCI-AKcX!ksAp%9DtgLC;8| zPKgm0P34s(shodgk;>}a_-x$N^gm%;L%YMJd*t4_lxiYY17h2&(%n@c9*mcFc!zqF2?1UkoP6l zKd+z97DCmH#n-D)A(S~auSA3uLS0yclX+|*)X+iN7>|ET2o>0>uMjzfP|Fnqw>-QM zDs`{yQos;G&0Ob|U}w%g266TKu`*N$wI7pUVvdp=z3$GIFojSH-I-l{Tv$LHTKdMB z00G9=f=*vo-dPIAK$Ve%O^1}BplWa09Y9AiCD$EaNZA=8_~GN1VP{fQTUEH<7bIb8 ztAaMHM>2ngwkk0zc1QUGsBDoZJe4cnzI^+A;y*GG{H=hyKGyVq{!XAsz9wY8@|&*J z-*m112kBbki<<)uYu7Tbj&=|YgEyI??k2qqecK;0BRqM%Stq9Rw z<>w8=ix^E}f@kM0utoAQ*6Mx8usxk;0AbFL9Ls+xe46?ytaz_U&M2HWR#^j;?3QR# zjTNy{4hdFxzt0%9Oz}Q2H8*u|7S7jKA4V&gh4XECYm*$6SvicW^$L1}v(ow83lY0P z$8KQeiiX_^siS8;OvY4NZH}4aI2u3ShNk(s$HF%#Y-MXo-9!4`dCf<(v)gpml!n}5D;^$zmg@?ulA}RY zf$lP2NpJq=dwbi z(=4@ZbZ4lY&9}Bj%Ud*vPRXmh%U4Bja@gL|70BTNKLMeAO`F&g`4LDMvG{OQ=a*(? z1Y@f6i*0-Ra)#K*$1qWZ#ikFzO;JU?ibGTsWh-cg!7Jg9I@LiSVtA{DK3lDPwzhvl zgD8!xExUtErBQHHezy{ud|!!{NqIH8YEu4S9d13*#FPVvGUjHah%C}2~DXmskgQs#x6jpF(@TK70h z_#ILG86tLfQvcbyDs1F>AM6BnB$9tY0#m@VpR@Q9r{6J*=tGpKey3(P`IwA0S^Ayh z;PbyhP*!X$nda{KvgHeYkQbZVY zJXxM5K!h=cH6bw)E|tqzo#~k41bP4(Vv26CgS_v7+O))Z>0M*Dwj0X;rdNOaje%^l zj8&raY|fowC%HZW)mxEyVw@61UwmFG!>COPZYLw)9wrW;KXD#HP99{@g=R5_D;F-0 zr*Now?}NsR{3R*W=)Q1eg29Ea_1CfDlGfe%O$kn15arj+yVkW)dHP#PIG?Ro`9|BNWa-gR&pph`rEH7`B+6qZ_rgS zw!ZZd2+OH$W(*g;84uCp6&d=R#$+C|1$S56>V*_1(g+RN?c*`U6#0Kix@jS!`*cRo zYM+83rKpJ-O#NCZMajHANrjO`)R!IRU_rrSP(kKK9%PR}Y|;YA3eyIFa1L~js$n?HgXM6jE2lPqVn z$6XuUsZg*}7fva5#MU~;ERiMCh(qZf8*?4u6{y0%{nX@(a4f}q2$ILm-cmMyFA1)_ zRWkec+plB&c&;YJZX`cnGxYmxBH!CJl+VYF*}3j5s=*cVJ4}Cdr)m}jH+7w+iJ0wm zQ=(Jb$j3+@wNV#nf5C3`pVu@~F*WK692xQncA2!lCM*l6D1(st=R> z7O`5mhoI9sJwXa>SvWepaQY8%WO+EGWQWHh%i-GmX%&ks2gbA-giNx$aClvcX$)|@ zd3>wFljW_#BdwZ7mP?MUdJTpw_l0+NDA;5<#y&kQV|IVVztyfZDY%Gos&!S%|J6q& zoD5yG$yl&CuH>L!NaMb3B|~1YkNsD~tp(b&cpvQH{REshEZ^X0u6ON%87m`r3Vh4j zw^IVC4nMSOOg5k2C{YBu z6qR`>VIa_>>&rly%M3XYXzAea8wS{{$lj}UwpxE@EceLP^MAwzGP`20G6k3crpD$h zj!y3nL0)Y41g_<@{iNI>V{=dAk*S5z%eSYhvK!M?VCeiX7u$>NA&9Ot6>!eeolF=S zmSOqjbWTj41m}F-14mmc@A3H3H$-n0;_&5#t;Qfg@#T6$YMUH`FHa|?y%bD*>D_Wx zjtzgk?*mI#NsAOWChgqX639ciW~|i|EM!hG@=ohql_RJ#rMatE!$zIurrB0RQ%L-j zbZ=dV#7Qm}Q+hT9cygIB-JB_6lglfcuAgI6_){>~Z!vN7skjGvwufd_?m=gl5uMYd zCWko&qO$%oXP@hV+m^i7N-+d+ZL)k&jNye9gZ5PNbYo0oDyN494(s*E^PFZGVt9|d(aRzz$b#llAS>@itpkq=Vd|$zDpm`&#IXCuB>R$ zA6u|+5A=_EndA%#7g)I&BS9#f|G<_(Oi$1eckC=?yB$BBaI3=Rt3b)z#^k}ZhE3)g zGbhjF3^KR8=NOXy01^&))UU~Zlh%JXm<)cNfAIA1eEICfw@x%JO2_q*?-+#gD*^W> z(CJ;u|I?-j-?TRP&!DxzfAb%E_->?@6)@7l$22);Y^pyKGdmiXwu3F?*^VHvUHj~} zX|$^)cQ0SWpk21>vkTr`ZGBH&8`X2fU4$N^tx9qYP`@iekMzAM)ZB5C9BqG@3HDP! zxXGru=XX%lvF|<5BO`-<%v}IA$(4VIsm}K89ZVLn`V8;+R~?Ay&4J^tJ8aC_LrRh! z*LRtxp=Itf8aLO4%v#=n6yH&~D-E7sc=(q{xoDiF)sKhi;%eFq9#LZo9uvA!1BFPk zc6B14nAuTvsd#l?iRM=0V?uw8QjW5`%;S<-IlY*zFy3fKI%+1KUMFZi`#t%0XUV&QC*z#Z;K^qhFBa4~)4jJ1oaQ(tC#V6h0*fDe>joN8$C z?(x3fN5v932OW&X$}yT_YjjAilok)>omA$FiH+z~_a-|U{smrRo0fHGlQKfP!SWt| zp;HBG-R|sijfM%Un5lo_(F3W$86S#sF#@BN*1iIzQ$HG*w3j4B1EQv}>^>zUAc|`o zD;K*(oOMTzdFYl9cX-m~{ZBbXZNBFjS{Yr`7L`{yp~g6r6C0K(mut2#HBh2J)mquH zd0TR)mdWQZaaF_ccuE=uN15`Y_1e`|IpTj@o0=mvKY%7$Zu@^D_dsuQpOJ{-`A5$; z-|GD%Wc)n+@83xlF~-j`-usP^=NlohEtsVY!B6f) ztO?tC$ux3dQqq6i9c%Dvt&-vH=#Q;bQy{vyC)vM0k85P}WOMu;R-SMlB&7!zaRkT@ zK~nRKmDZk+)X1dOU+3{ragR>d9xNg*`cB+4UVQxbY;8~5x_6bBt?g-#+ofjOy0twK zJ0ZSU$;4yOVjF3dvb8;R+IBOaDdh>cPP$OBr991!%QJuW4Aa=H>`KnrNkL;B0cy6C zCp=|4L&cKvY=`Mrv4fl+fa==w4l#$6rzkQ`tENkN%!ciD_aKev^ZgV29Nz+;^mYz0 z$^%dm;C~@uNO_hk2K+cc=~JMsONhjb)|hIDC{SRfJbJI@bu~`PQ)eiNmb0ZidAitk zc!OWR`s9D{_VtGk9>iCCd`Wny#5*Rk5=*3PozF?GkFOY~^SQ`Lozvh3k_P1J{t(-m zXg>Q49?k#k&p?CkG(LY7DRJvcT2zp_Uvwr$^gKrE;H8wI z=NYbz^})|<4;eWr)iC1kJ8;TNDlbUnEzjY%p_T~F|8_yM0w z*Ry}Jmk^;~>v~QcL1O~Eu4iz*D;WA#^pglom4z78NcK%IuPLn^_ce8woAo0 z1y4#@c&wD2LWmh{$QN-acv^0IeWh3hk98;74=#R}oA`>@WyG=N@zob#HuKPcrH_w5 zaZ16wlAee#^(}|v)jd_F#cm~2-4lOiJsaTT)IHYBrAygo|3v;B(w@F155+G&{n*2W zE@6uu5ZUOvDPpEP(%b5FQicNPaA!M{$4Ge8Hg^pvzjm-kez&(0qW~ITPmJYgjMCy& zaw%acfb?gJhxi64nfoOHN?OWg@9dE3tV34-6&?FesWEw&gN>~~5kmoV(Qkh)79k2C zZ=cR}F;4R{=dfPTx^9xcY;(Uji$n7h-FB7^>(=g;1=$G8)S_ z;;tDfMiLa3b&}38(>`fsq=J7`tuQ1(l@tB{J5v#qa&uiLK$~ ziH6naLU(qQOWHAvFsL?a)IB-G%lkG-jOv0~Dt+oj?nGhN*-bacSlGaj@pBn2hd4TS zOKl9SF6b~J+$3P?g3_Ye;{_O9(6YfNAEOJ34?ozzR^UDa&6}HAOp0ZVNmnH;GffUL8H}kX-MrO zCA7&N&L5Oult8-+#V1&?!Ben!n{y&|HHU+_7vd;;O&IPkfBJtBY!=qt@M&q`wxWz{ zG81zr6Y^Qy5~3<0Z|&?7vRJ9FYfWp}!G`5eK$7WTS-?mo+oJPQlx&rd!JJqtK@~v- z36@*z43Ue`@ir+&5#-;Lv;va`G)2&6LVgtX!XJQ!%l-?AGnKtIdb7iWM?aPD`V)GX z;lv+PXO<3#bzFZ|=r!4NDZReANW~N_ zhAa&t#9P*pkrnOhG$!8x#Ph;ud&&$PA$8M{t(3 z65-Z54eWQ9YA{--NlxjENT05CiVdB~7GuOt)`7Dn*W8v9@AV)lR_vt9 zEI5A@vBgdmp`|x`RP1z;FkLOg3Y%8beIrzeu&HLsD1?1_F~X+Zfs$Xse5%`clZjGb z8%x-CH7uw~J)1&C`B58pLu>ZuGx*mlz;IA3Lu=RY| zSI!hX4UC9pUsT&06k&8tdCg#kH@k%A_CbTN#xkC#3Jzl*6B_YAT#}r;!@=MkQSj2f% zA2|Y3tz>@V1L3UUKLNww!#FC;i_x*rhG#D}ge zWj=||d*y6`O`STg-+{R8jw{(`zc2qSuGbKkNcx{kSAIbP8iSpV$neuJ7_4<}dKSvR zUcY+o{864Sf9COuY;+B(@*Mvb>RvoweSJzwr3*{M`$G z@{+pza(6V)iy)sm*(b*nUJvo7i}sxV4N@2KW5}EL{-?yJ)L#1Lz>bmRL#3K)KY#O6 z;)%2;q_xOt<+=1j$-nOE$9Mpo9Ur+bCR@1?w}iM-Dn01|j}jOS_^ud=V2#7auijH_ zYDk;y32OZgD%Gx2i+psRABYS5@;MlP%_z&@Na{WWy<=s&0wz(esLqTPphS5kppu$H z=lcBTZ(leQopXapld$;!;|_qEqN`q*L?d5K{2HnY(_p_>B=o+i6NnnBLi~HtN#vT` z6$vr7lgC%oaRuykBVlSLn@-DVU5Cr>?o9jY*)Pd$Rb6?$58Vp5^2z^Rzxz3V`9~1d zmua9hbRUVu{T4QfCj6cHY_ove&hTo9Yk-$ae3Hk{z*Xa(9qZgb26f??3H&>$W8?UC z1yonMZVE@s8?lrDI^KxU35N$ zcQ3ypZ>YaDJ_iyF%9Ol(L*7vGVUso`u%@6>&O-V7q2?3VF#z8D7liTr95fwwmU4`i zxd)2STt(gsv6L{uW=kP7n$jA8XsrcyO~qO6*XZW&6|&~e+pxl;wyoKB}{u&RKXgU)P@ z?DGR~5S%wis@BA$@gZ*SjM4ft=$|2$ka#Q;p~ZVpsR0#v41#3UJy5@A9rgjnkSD z7K_S&fEnM8A=2k%${3RM3GY7p1NryRA52-tyB|!Px?nADeigQIblHZlh(COEnuk}w zPq$!@yX+EaQoeZk>?3gtJ`q1ZVW6T5nj7YB1^T0_3yy{N1epAE@!0Z6*Xp3%==VP*3~Iq%k&we_w!;NvBXa*99uH+PzA=$ar`Zm zK(H+^C^uHN3mF|)dfK;8;z?znlOwBYH5k2iWBdGpl0&s|rS%PiX{0aUsY7<>fA--c zxD3_p(wnmcZKY4j5iv(jO<%s8A?DahjMx!k#T+Zc%h}X_6dJ;Y{p9@{!sU4T1qfQ} zI)cXo{a@kj0(Cv-6-uW1LmSstDJK^_%SO9S$@+O?H?+HMbr0~_EE(m|PjAvYfUX^= zD%W;H_xH}Hgl7nd(Afi|q|zI^w+LtYy(e+df~CsXV4>4+xz6h^UVr>RcCUK~rstaZlS}%a;Ih9pgLAx8XG&I?ip+}A5A~OSR0jB!2XVlGtF7@6EOoyRRs;5j z6^No`crnQvW{cnn4KJLG@W=hf*QGe2%W&0Lj}#TUT&=86VT3LVwOx}`_KaLZ&Q3s- zF`5}qsE{KX7=N#leRy(^m-Cj=xPZB_v_Y62c6wl+gQ2?A0M*w^Wh!T43I$ZGfJ>7* z_su(h(4lptiZCS=UKx>1LR4zA8?)1eij`9@WEwY}$Ph7*ac03Jc0C``BP17pOT%FEg&I)^^{(chC>T{H#{mWFd@jw9 zF{-Oh#HAU^?XzWyS*{-Ghm)t*YSX|9>@7=P5*cQ}Yce19|0P~z6st`-g;Fi(n7;eP zN6O^QU0jSw7;f=>Vxe_c>m4#qt44)cU%UdbebX~g!b(2FuZYw8bFdm$lL?Iz?Rav3 zJ24gC;J!T;l(KJzV8Eth;fV-oAhg+|Z9F^JXy1RGrE%oj3_ToV)TlAK4u+qUPdJ8XX zzkpLesG#=?=Xeon^MUb{#T-NfLG9qB{h<{hP7>GXlVOJHH|K%q+{f@-j9fa*ZVX^aJyFU;NXuD#d8wcY7cwx>o$^P+WHk|0; zcDP9Y3dZ*Z)|}5t@qtUnx8HE`6f5H^%gdeSIWq;g=nKrW#RQT5^KT-Vp0D1+6x-O* z&Oe6dob#rFs=}lc36+z7W8?(ylP(%@E!hht(@LC7Fnnsx%3~+ZD7QOpH!wuu+^$G6 zqh!o#5|Mr(_N*bFRPo4Yoy_$hiN8Mo^!C#mm>h>cp`lHtikg#%9W(-ag}K`Q5e*pkK8_QgNLD;8@b zwqw+pvn4LdIrq z25Kmi>w5CkK7)_R=vV4T7fM!^MXbZ>rn?ga*cOY^#IEq23@Y7r7bNb?){v46hOYAH zbo%cwvdRSWO+CzibKXU1&Wzg9!tN;4ropB1?l~<-K$!KZI{m1Mp~gJUt}jF9%Z*gz z&$BZLWDYkjA!SB&_xqtU^d6d1DA~vy^BPm&w;JUXE&82=%AC3JpL@`P6GJMN)>=ITC(jE#*EJ69nYNK&Uv zb(p?b%~Y1QUM;snRq<;VH6R`@^g~{Ojahp=wu90=P;j`_D}~ zQX<kO55%2fdi9=iV?bBlmX7hU#9Ha?-mFJv15jan)8(m` zfR@UC`Th;@J9qNziE@|oPS`y%?>s%4feoXdg3RfEfIMo86L!OiDq!gTiv04XEz^RE zRxCc5*=mzg5xe{Gy?^)SmvGJk`3$EA(pnYNl5(01H#5|2REA2a5Yg$Iye3xXGfz)) z*TIZBxXCEnm%9u*^w#dOaO6GCJ`KNiVjc$P0)dIyhs#-+9m>|K$nU^xLgGf|tr^nb)~08Ro)J5QxV(dH$s+5yRkSZlJ7L;?r$Q^Az>!SWLiyf(Hz`XPL@Z+ewAf^ zaVHX2{+)SB6WihEVEOiNNkB`QQ|SrYmX}_QYPz#-j;&&xrh7ACx()Usrk>;%uZUH8 z#|;xZf=|@Uq~I$LGF=NoCwj`248eCwePlOxcgP*PrA|n1-O%5>XAn4BH&{Yi52$f^ zcPoZ+rYKcZz-VWV+$B4wa$|5ey#g73!zTd(PVM;ddD@cF>1$GHhLuV0FsBbp-sSsG zNXGkt@|>HzqJ9A391KE;7ub&%oG*myPEZ=3knUv!&$vzcX{psCuq?Ay37~ zty6wWlw~wV#aJ%H&iInTfMsoeFf72_5Ku8Yl_S6@H|~R)s<}Rn)}br*C5pA>XzJu`!F5)KsvG%gWOG$|kVCL5q%z?!8#AN}l z9Pzf@TC-eHM4bmS}Dbab#q#VDW%9sZ*3O1o&9ITqyHS} z2luZu3^&QsWplt}d18ZG6XCp&XkWh^&O(cXjpSW1tKH2)bKa1|83eeOTx86}yoS|$ z^}KAkjDL463=9J{8)_3=Ow?^7$-gWY&nH7kJ92Kjk}$0;16HVib)mrza=j$_MDL3r zA*W)v!SSFa=+se${S`Tw3qIzcytpF)relx3FMn7{iU*ukA;uFQD06UM30R+PUB#A* zJ^?YO>k$e}p~yh`budz!MpbUy=Gs>1ErO0_qDm!H_JWZSfno7>ny!>Q41b+zJzu?g z09GzS|K2?}boR`DJ|J-Vx_?58<(*X&nYQ3o0lhD6=ThE@60JBnGL0Ky?TCxsg7cu} zXc(ANeg_Dc$T)OCN~#Xd{AeJ%q+upKYjwjQVMFN_`XOZWz(BH zMls8N;Yl&G-1fiwA-M6XqLf(}M;t`;jFn1VDIh9dqPx(4#NjyI8*@z2Qbs61`aWcy zV==+lszG2rbmZbAQ}_%O;T<$t?8@&V(}rqh^Z`N!Y=7)*>tsGU@zLOFZM^#`G9<8m z@b0mH4%$osFEI5t?Rnu9YP>k`&I*Lq>L#$k@B=VqjJf7J>v00cTXS7$cv>bJIv~WS z#22o{$?pPxZpsRLd`5VV9;9ouX^t*~8;rWc&xnmj+^Y}Zpt)mIa>tbJM3y0wQR8Hn zt-Jc2{lnAm-bqAXy#MqCLn0bcY&?gGN$88YZb?x6d5Dl*&r@q3)^dzmo$-oIgg=mQ z^genZ>}R~J!droq`vhuDfoz&cMGbqgwK|Ej$JXV4)TvI&DhfJD+L=?KMM1{W%0UgI zC}?y1)+}cg{uCuv4XfSv^Ue|azu9}w;Ks7_N>K0~7*%U}pK7)>n$?W7>X^|~R%K5rwi6#f+D#ixjjJllKaSI%!n?4C%`Aj zrbe%(b#vX?Gl%rAwE32=`0-+LODP z*3^cGh)9dZ%*DfuOZ`4h`>TptAW)onytXXy~^1MsIM?v-;R;o5t4wsVX zK__N(OhHQkVUy-~emg*g_W^Pn9y7^<3Y#tr6^U%P8FN@m@t@$9D>PlxM#Rx$T&PHY zNdaQ_o>?Dp!+~j@IubOMQVP)s(`v;GMlc|nr={lM6CB(5xne=c%AkE*3<{yzr%q!7 z2%+W%56kg`hM10IWQks=wX}Q&k2XlYJLrlVwzsSCf(XdKLAQ$W#<5G$x8#e^@9fQR zZLnwxtHyrSu84&Bi7?^Qho9ha#8LVVwvp$nbiN8E^pBRj%q*AQdITAN^X%7YlC8;} zsUliS-OX|nk$Lo|k;!$ml-;3K5k%27k~gjrdaV3X^JD**TnYB4#gKH3t{YD)(tx}- z-CWsOpGv7C6RQUuz!S%LRDjOB^`ol^LaHFFxvBVuXp#X2VMx;mmUbZ*!hHcka^=d7 zIFKjy^kIlDpeOcD*!DGlJD`Q3Q#+&6g^o2h=#0IyS>B(A}w4)HfWBlrg&l( zwI*trfQC$$sqf)H<0N!swe=Z$V!~|4Pv=I;6DuNNM%nuG!9+Y|2L|Ha7%oTKTF--M z%X+7$N11`L1ox0*)yyT&gYLkbES8ULRP<>09p7NC>u%`*;PZAXi&CCGv z1)Y48BbV1~k09@4cxjRi7vyDB_V3`ye=qXzXgAPHE>jIH;i(3U0fJ5i9A06O+RI-e z8sk~35YX{OTdQ`MzWv(=n!6LuG_+8QkOxGpl&<@%+5Pf=KJ!W6sZSb#&8asSn9Mph z76<^W^{`aP&e&gBY^kNKBiMc~5xiWJB5_^;#O8gE)9&`m4{RG)fAV z=tg#Oii<^nmN3k`S0N$7RTMuE3j?p-#Ej`q`5;Oo!Uuh6YXp~OcN)JM8i}_AL;r>X zZ*tgB(b&O%rYj7U{qfTbs=~0;(AUKP6oz}T)eF+kaaos8^{0LT7zVU30a(bN(iDcC zm#7Lu?$B%DnO;Gz^GZU0lGY8Kmh4CXX33i%!&h;oE8q|&OvvZd&pq&bT;9TOr+@_BP$&l4i#cAwf z-_eYJ1#Qn>dJCbCpCaXrMtc$)fi{PLewV&}tul zAdafaM%-hbz$S-xg#%EM@qJ`Qx$UA=Oo*4VT8i2wbcIgol;&0fc%<&6q(6eoaH3`= z>OpQgQSBa|Qw#|L$Z#yyumd4kZ_|4eC7|hT8mg)zB!J!~@j65)gX(R%dcrCgU^CAuig=|B=V1kZ<8{cxK`k%G8(6#Iu}0+X6W7oGG^pIJFHWyxt+-XQDSB_n-tTLUtP6eM)5XC2aI zc|+adViRIMvaBO?`*#CKHZ)l!dV)lq3u#1 zAhw%X!aI(tVn`1$N3#OR7?kmKwJ;~xZQIs~%=-|~wTedZZ{EEKzK0Zl+G_HIf%FQ6 zli9a;t{e?UzV`TKXIPX~OsEDS{-kVOb(RmwP#PlT}7-?)S?0c=n( zD$ToBm}#IjcXU}ANEa|(m7&L16A7T9!`T>L*G+Sg?d{QZieawS_mT38rT+#_wV$i* zIAcJl_B9QiiySJS-Wjidy<*~700^IcHGcg+!U^*ijS(vU8A#eI_K(!3A}T39&*U_U z0+8aJS=WaQC|A9|GF2CVtG>UQ+0G^V#HLT>)-j+2^DgJXItRw~jv5@j!`p^_@zvX} zhzXKcP}{@x@Oy~*^X7?pkq1(*m%Ad5#1!iFU}^0dAD~`uCmz~=x!*>;zDwvR=0kj8 zM>eUDEi;x(cecB7>zGvj^2}rs!JNUwTi)#zosEjl zJUa(MY<5RZoMY?3&rog|N&P=)1m#_gIfe|f&UaS#~0!` zcN&rOuJPjM5FTlgUQ77;`go+h?yPL6Hs=#$X}6$;hj#{l2Kb$gc}*Ws>7A~l>IDv+ zb6J`ewJM?#CzB&9+Zf>8VAqV!uHni$1f$6MXuGAatZxN z_!ybis>_)aretK@nMy|IFP0P}*70Ec#kHL5ML~{eymkT82wPcK^ z2z{KosPOAH5uGBpalRAlu@ZssfYC?N8{42|`>AsCNf*1&_KXjZ)wruzyzK^#T~XY< zd$`&!f^pKOZ6&e7fSk0jtf?cIgYC84EN9VaW^pZl$&0c8G_&OlWiPaq!Y7FGQr{tk zxZ7HRp@pl+MVyi<>@Xz!_OZrpY(~ ziIJt~DzD)|7+HJy+5$0^V3if+NDg4~e-9~Yv82i<1grh@;R_LkN_7ykKO@5{hUDxB zwgF;)bD}Ie?=x&`M_1U>cW89-^dY({Se)rGObE%T-!eRjXUDwZ)W=AFy)jcla>Lzi zylW2NhI_mbXJtT0PS){sE7XzTGeo`Du4j-)PP=Fo>8m3W4gcnHYw`tps~L+`Owv>q^E^qz~ogiS7l%2T6kFNL;$ z_!vp9+CjgIpy{l4=IMb}+Evkg4J>Sz!GY3c=Jm3GN{ZR)j0oqzNipGQuM=EvIg+4bOFgVD6O>x@-RHQ#aRA;4Uxakp@=i&(M`8Q-XN$&8S zTSDiHsQY&E0`Ns%S|>}$%h-^?xl2%iD0CV z=#z^KYX2Yfx~*5c1xyGj#Ne!^@;|0RC+ncr=l2k6_2h+&#>S{^$vu$Km=fxL-J@s$ zl_@cCcW@wuP$6OuvX&Sg3)_m*#(fT)u@JFq++La9Z@p3Uh7V$Gg zJ`^7jfNc8_;;3r99s>^pF@aWe#{Uh@iz4 zx+>MVce6q$jbJ>yRfV55#7O-Z*^Ri?GN`nH$BmtG;`dj z@jII^P}gsDakon9skvtw=STR|7=>80S9#}?0-$(4KT@ivwc8-7F7548g#vn&{I=?J zj}O$tGvjo6iVN;gLR|?B0uZ}{t@gBD3{IR5wxO@y!mfpyJaGZ;&W$E4i$|NRGmX>#Q>Lj1zwLoFZZ=lo7(=hS*n|GMs z{=>H)6#j;{Fin5)!+EyQ$cBB2T%6Xi-nZub|8tS~zd&ow-w8Zt{1xh%xz-#Bsmo@` zUKFAH_w~n|w=V4oVFl!A%ixuWR6x#bFHK?t1>_-hZ8VqKAJck&c-~Bu06iTlTXy6z zJZg)|h?v_j0j))4Vq82sKnut1=7nh%xrL)TOC7=U6*F7o+6KhXVy0{2;6w~`X}pSW zuVB$@kExgAWkOiH#qNb+IhWczu~xoVjTcznyv0-p1igviWWGS^+vR(B5dSBChOC%& zY9!>=ht~1BL@B9%7?!tSa`Gts#dB^oOnM){g{^~q+;iahSC4`oqH*(E?@tCHJN*rr zLT`!5qPRmN$v{o{c2BAlENb7qFvsb(kXGm^tTu&-X(fpPS97$?*ZnPXRlUukR|>MK z_T3x|`1;ziEo??UAPSi1h#>=&Lsf(;J$f@pva9`y;q9D%*5EW;;bOcX%#;9$(zS;% z!A5G1tK@Tw}+UlsDWb-bPSyO&ts7Nl-z;#dG?ZMP!&Z9Jft zmq~q;F9UM6&6(XxEKjEUrwCE2ophllh|NSa|~Ki)L^@h=f&TSv6epDn7f8*ZU(jV${I z`FyZ((T4LlPC`$}Y$n*s2$Bg_m_vUVSqvH6=8>|0BOP&(S8Vv&3_`kxqf?k*tDms! z$PVVO(9LJp8pa`|69z*JCtM(E-|9N_>ItQ-5!)>yawzSt z+{vSNd`alFFEiPQ)(C!(nlqy zC?e5+8+ifEK+5cqvG|noeLoVftL+ehoj{_Mt6f4*q*IwtcS~&E*a8pVFvjux9W@%1 z*J=i>qsCO4d`#-;sF9Seo?yc|YJ`mrV5v!OR}7`z`M>!ZU$|1r*SXY27V$$axfs&O zA~bowUgn7j$~%85E))whIVu5$gr=) zF(C6YoX`h~*hV(jB{+n7_VvS8h+P?fqVS4DY>G+tjteWj`4q%^MVj#@DTwb{*OD@r0KRKVU+lzlv_5>-GJf})BzV_<-mXm& zKmgrbMrIq22Iw^QgK|EA;U?14FGPL}C%;J#r(n3|__i4V6~oOAmmjcU7_K_6A)XCl zI7boIBoM@K(Fb#}w8oo;oU$vP2g5awx1Mm|7_R+lbWZBWZ55?8{Zbfi3$2PQl2IB? zy0*f(blf(WlbOvWp)}KGzghr)0SpOTuqsX_d=N0Kdg3BBNP!{5F~6EM!vfTYGtWt+y1Sy{*H8Z6AKy zij4VF8hkS-7Bhr&_%>yCw(|h^R-?#R!Hex6JiFFeehNWnA_w`Q^}4Pg1wySp$iOBN3za_twW6S zNPw?}t|%TAdznuyc?<~l%1k&~!W*=r>!Qfg|F<6KmDr^$kOI)lklU@`0(nG3+kPq? zd!;9zwg{luYpX1NQusN4e%c97sMzbpqYst?V6PXCpx6uj#Cz=Z9((-?*vmI#{MgIV zCfD*v*sINycP0j~m;7Kbo(aQVi?expa>}kTs>RI#uvfSx9E6KyK@1kg=L0Ep3&C)pnMKiN-BttTs>^qLKxFz-lLLahD=8Rx91; zamjpGt!A`og#pEC+odz%AXaP27)`?f3k9oPt{VOw4623eDvza9sAk9f*WfygO;a%JDXL_;kPmqxD+C-e|$5go1R8@83g=+;J@pmFP zT&pil=_IAu26{H;plP;Fglp=92p1pc^Z{^fr?=?$NoXx|vMGxXqP2vALek533hesi_(Pv?Aps}+UC zT{Ah63N{^fHLiAau>sh%Y+tpp=~=Pf47FMa!CeJsBfC)CHQun5%Lj2+PpmFc>cL%2 zMcwOIAnL(gp;y`;(_q(Gn(NR(w0Od*mdU8g@}DQucDq3vxthj zMhfyA90=}OF6_?Xd2p9zb>?re|Dw2SZEfg30I(~*Rf05&r#R3c}c(%>$%kF;-RsFj3A$kc-^}DQTI%Cqhb9UvsfP8qOsp0`eJv^Rmma_w?htKPb z(FE(re?Jij50xOqu%qAOP?g2~`B6G*>%uBko;p}gG;*N zJN6I`ECx6{94z5c1;&=x>TKMBh!h!lmcA!Ae;QddMbWan+bd@dAxua-)S7T1feDGr zhBYk$m{K?`>}o~ei9*6sUCBIJQ^L)5YcM%9N$Rat2Vj6cKn5bLbx;HF`$%EJ{2o+2 ze;-LbG}rKf<#7*K9`Oz7r5w7fI9sj1VgT*^*3!#Hq1Jz&Aj`K28QcK+;j@&cX+9}2 ze_l7PU*XX7!+opk8V;ZzZdmN@5<~UFn+vz2TrgnEbe>)D=mDE0V@l0|>x%o^M&%S4 zafxDThmYNbXQa?`5Nqf93Y`QD>+}*Nt>6sKkNff4WKA zGY0|Wy2%xVD-shGfMu9-5F&HGI9=@3QNqRIw-_*EH#hzky42T}3lv zBA8S+yCt(z1d-#O_D?pjfJ}mEMxGGhB)f;G*HYqe=_huK`5Ga=M3>GtNd1C2LIBs} zDnsMMFg@;Qx%E`$li!AiMiemuf9Y%|b&gu0H=U8$GU%3o>5PS&f@?l;Q2Pb9%Z>f_ z;VrbBqsML*TyWb_*s7I41h<`~qy18<;5PiKbVm}XfmOlmAdbNxird5OIgDve6Wr!@ zTKW`m1*VR&lS0~9Kt)T5?Wou z&DR2;4bVWjV?j(yaT#Wce~M&Sdg#Tw=MP^YOKE)-T$t##q3>kHAHLxCInh30qwV~} zCUo9k*oA*9`lKGcLh29ZwcJ1&-0gv=TN>~nv=w2Cci9|TvzzVk&Sk1?DY__P_9t>> zK(a1rvvpq#7t}6K$TOg))@MkuF0X);;@b#c&7(P0VxsrP>Op8ze`WN9Nxx9=sH)ef zl4-XPOcnNLSA{d_LfAolx|?uSCS?!}`G&W!1mZ|b#WEM7dmY^j*%DGztb?7K4IB!= z&%HNBCHU=D7AR=NVfoml4bs7*!CiL(?cmYjHmwQ)x$EIcl#(A%?%F@wH!bpHGe;^G z&jp0K+G7uh+1$rQf2)K6MXh1mx66fn$laKrNpq$rYl+ZOPa^|a3$-lJ(gfUd-BTD4owP_HG9jS48b*Nm~bMJ^@L@)(2JK}b<|Vhx8RS}hCdrVC*R z&F8>*lU)cAf340oVMGI{Xm#q+WWt{Swmh)H3%WWc>)K;kq!fDG`dT)JtXv)MwU@By zLe-P)vr8HxA%14IbY%%TjUFIvz4|Bta2VgC9L6io!UL}78a-h#(z2$@2H6y?Id^UN zfkxJ_4$RxXM^E+F?kBt0Fp=twZQ6yACa5A+OZCt{f2NcMEQWTu1m=YDF_P$L{oGSD z$SAnz5|FvJ%NC7XLKUhGT~2BkaG|PtRxw8B=3Zt-w@4{E)PvE=28a&zdaSq^Bz)u^ zD<-)VXzQUaC0dm%Sbo0{UZ#zjMN%lF-i0AAP!VlQcp?%$?F4T%$5+!hRR6o z8EKhNccG7vGV=H=!lu^~Fu(_yTnOO&oQ3J+QZaGk1k$~+>V>QuXj z_XYz*z@H&q30H>!Ht>&-owj-n!%t2!YkG5pG-i0kh{MSBl*u};m%?R`GFj|gbu^nU ze>+v)$m_YF>@?S;)QJGuX-HGTF^j}1KR#=D?yIm3JDtZIh$eMFTeB^MSY96($4h7x zxxQxI1&oEh)xW+d_OQ^cht)GYAeg^L1oOS&CM6rHEzQp^&89g}7sSa+AyU%bdM92n zrb|inc_E)tm87l(Wt1q8l63kYH`eRke|)ZUR*B$BQl(`xk&P?ky@kcLgWg|<3rTmv zH%wx>ko3wPA!h@X$kf%*bP*^dy(^iCfm)V*glHBwl6ZiSv~ub|DW+92cZYWlq|i!c zfita=PgjmQx96*AhQVD8$^)v37fWU(3szNJ8CdJ!kgAFom*E8<3+*g&PmbH!1V4Tq{OIS1 zq9~;fPq2}zjFaa%e^0LFrDW}uaH-Y2j>e=kF14C>5nUQ0#`1Y@oH`s!DwH@khfVCi)ba(_7Dnqxw1S^3+k>kOb?)ux=B71D(QnJR4w zwDL4_sGjCym;3z9JLI->U`$M{CpEjPv?Bb5UOz(G(-$oG+aG@sj0|cAVx^w)(8k_s zos?V&>Mrfo%kaGB8x;GlsSk-z{msV@aqXk!q9K`qA7q&6Px8dz6+y@LP6?zSv45eM zTy}96M!4~O8Xgx1KMF#^8e>A3U>U_3XGxaO%P89?q1Qs<7M>%atJR@CIYLEOhgJ|! zj!-1e`U$ldVV`t#F=!Qsi@maax_zc?Jbn#7B3_|W6nh0pOmt7Ne5v!Rb&T3iOPx=T zn=V8^?s~nizYCXmzIcs3b;VfCDt`utSeV#iufhHcjLAa?TQ#@IpZIjGiwlVv46+2z zH@cqs;o)ZVQ*Y|IJFcu!0H&UcyIe^)JNs!cdSOU@S-grt&Eal@t;dPUDOZ&(rGp11 zR&&c6h)Tal_j?@qTiA@g7Rw*^i(849x(~;0(rvv-OV_TKjU|rbe4lBFR_T| zITF_#*NHE&=U@E-u~*6UEPvvuyg*`IMme_gky3if%7*`oO8#q04I9UGPgw7e$FGse z1J@!4mdk6HSIx1&{9JLxa<`05;8Eu878&|E{>0Z}ach|jmd9ENZ&((Clz+j=l7(4_GBAGD!H_yVDD%#mC(mCaQOOhM_^$cp-DBjYYL9Rq2eS`~Xm>P~XqaUgGWx8p%y&7& z40`e6lfT$5*j#KCH*+cd089{D45Tll`&w`RzZ zd19N}zLhgP*ME=AG29gh*;q&*|ndi3s1u%{<^e(8P_lNwQ^Pc14~Sf5t(-G5Ad zoE~Ue(Nv-bZsO(Jdx*`DG~*38>e>fVCE#6tgiLiN-iXOjNQb_zQ3zi2>mW}7Vd!e- zl-w9XpKa=%loOqb+XEL^sDNcVr(j!BP7NDUMp)Vf$i^w82m< zgC28qrp>8X-d0XK%gzAA7@w=IY zQzOkf&_HLk%upo&Vu$E;myN908Hh=%fm!DCg^$VUik*(ddK&`(_j#ioLo#se@G15h zNyw~;7kFPG&vN84ej=3X4+xBLdOc>4N`HDI7UQBbWqoe6pvD!%~vvvu{Ry*lil>z|hcl9RB;%>UW2amO`!wqq5Qz|V)PSjCb zHp-)*{KSKdHLnZLP?vE4fc3+htFdAljxR7chav5hZ3l&Bu4kzdIQ}+a^ATVG+d4M; z*K}F;O@H`5g`oJMut6u(bmt=avVT+tV)MeQ)l;v$sHmo-f=f9nKJpwD3a4Jh@&j^X zv9(4<1LX3-BstRq!n=0X6)+HAAbQAeWRavkDA}3=3hbd?VB-vz&v>|WMz;5^Od747PC9_1Low3n|H8m zdq(rIg-Zg=M;F#eDFB#jCRI)u6)-OviXsGLz}$VhxJk2VtZJS)kWmqH=2=R4Aite_ zi=h}m%tIS1MPeUf?vD-|#($1TI%3`{zWEHm#u`o37NkR*;%wK=$J<*_uyNJ8mQ6*u zUD`f$Y^cKa$6t5=Ze-(5vDb{pF`!=Il40vcbm{_+1WjuOvqK~xG|gyeQgi6gv~hRZ z?#pgBoAV89pLqW97s%XvUVmU_{!zwWm52&IRV!Bt84Z5!s^V%mG=KQn(>H1NdoxBa z#i*Hdq-pKl*kAzJ?Hc{mq{Is-S2k3kED%t}=a1EMsDN^CvNn}RPCOrK!xSPA9}cWl zWeY)k7?PGUF9z^oLxlBG4B$hJm9hL4p|{0H zX6kAH2cDSb!DOC4Kf7yHEa1f$42wN`j7;ZX-hkhy1G?L^?5{yQ*JxWb$mo2)*3hG$ zlh9h&Qqw5K7%`>Nc*6beXib@8i6x^oYgd;;3ZOM-g=?8dhks=8dtF8J$tRlvU~6O0g$LT9zO4&X2on=|{b68t&RkojZ_t zaM$*L_6XMX-5Jri${~N@t|)nYx6FsTs@G$?8B~f%S6)^(7XrMt8`8Q(9^mCFNtkDO zfLBBAY%G|(?CP_ufxxR}NbaPQC3Iz}=Nu^TGN;|$NI%DqI$^>0gx~ks7h`s|PaeJS zRH7B%^Wv`n@Az!im7AqBbQJK=w!~;N?zPve}Lj`5JwTd_{8I-M*HD3y-pzN@q$IYaIGR?)< zB0m5q(;X!?^2wm=D6gZA;{#>Z_Lf+dm*=o*=@3FwnpaWkE)IauCWnh|m~@0@u*MaO zVA;$0D8q_~3ekV6E!7bs4+cv(9>ic}tpgjl zpWxd^Um)piEn7a%ovhI8I%YupCEprvl+n_E{ZWx(8vdHjjGN@r@RwTd4(0ps*Mzb5 z2!g+cm*p)WyP&+T#_97vZ10~QVu1LobUnI)Ma5s{+(myU9`FC+G2*I7ssK`TjrkdW zNio+cm};V!>-5yO)PqoLZ)C*D1fZDtrqm)OL9yB9G94EJ#ZFUN8+e3;3F%h5G7H22 z5_2cpCP;qP(}f4)91w|V^2`S;sxl^`X5l^zhz;*;TvDp(+MCW{sukjLNpTO43d9P> zR4TCth}D0^&0B?VAa&2!=xKoukaf4rpU|AAhcb(aucC5(3siaDOxV1|pyPW0S@u+Oxe%bs zrrRL(e}H`f_-K)VKd2H?Ww@C@IY2B=X31G47j^qpxm40fxUi_L2#Uj?$7I7mKdLwq^bmgd> zMokSZGdFXnFwLA9KPn2;;$%E~T1tj#%D#aWp%12+^4n|RUey_PO+Hvyo*7Pv6VajB zNPb)j3kJ<@&d!f$f2`BIshN4U2bx`HU=snOzK;!C_M9Dcb|Ws*Kw+JU9s3%|FW} z860-koUY5rf30PEh9*vhFjQ7Cn6^eSsJ6u|Pe2T+ld_Ua$f#`LI7&fLo9-35LuEhw zm){kYmG#E|6CnLeT&xFubsr9|kuh6!VTls#BceGioRrbgSi!>5Jez{XO!GtY-U?>M zz{n|^jK;3>(@r2v#QmKP5gm=$<5r0Rf)9~4b)-LXJ|JE4DOWIIAe}P-^e|xdi!s58U?T0ITd5HssQaPrSD9}ne z-F|TlY5UQ#m9_>|^OzgQV}(>GHJaVO;`Nd~-OCvfkfD@$-ke4z!L^&ZTnrFOIie4a zAfg&w|7nCTv9+^m+Y$$aQmMO>RWw(xn^Acwz3JP|!r~!b!}ZJ(BsA&xjP5SIGo59@ ze+LFT)v&i>Y^h%&rN!eKsSk2Z_moHBJq$p|HG0$f7C>C7Q=6wUFXBqjBwVmT#5I^_ zJ7D89pT}SPvFExx$7t@2DP2SbV>KoFHa5!CJ=GV-1F+b_m}^tu$6||%;VG1Sm}_o% zLPEu2T{$O-(8}a-%=G{zAtv8Hi>As;<|}PCQYsef9jM6knoX;;1sPm87PGdiXYjI= z=RrJt{O&O}^|hAxIa0;alyuz0gF!M)Z)h(Agk;O*+8zc3k}0Bw$dD{5^yZ3^e<(A? ztc~!$d!p>hkWo*Tj)WwtV?fZ=xn6oJq=T;RuJnKqhl^(^WCHvg1HWKwo0fAb;gO&E(I~yow7;K z@-|{~R%c24m@E3AK9!yUi|S~Ke`9+vSG#*~4dz2#?bavoNh0}rOWtq!AXiCvOqG}l zxlH-FN|+7x#PGl^!-KibSE^MU59TVZ%G&_@e0gIJ zu4aX@nejP(=m~Sa=TAal4cvo*pL`JaJzAp6^Aa`D<^9Ba`1KxseYZpxe>h|O5G?=P zTqY$!uoOq#gb;vWd#3FcF${v`I6Jc>BnTEarFV+~2$t8@c`Twru!_aFN+}tFg{&Cm zLMjC7S&k&AEMy3#yHKR@z3iq(&tD?FsV$R%FqvbmXa=wgj$WME_RFnfHbeLLP*B#_ zbkhfdvdM+K5iuQ<O1XNA2FhZxM~?U&P&Tpc3em&le%PuCyvV+mHSJi{KXzpS*qj9J!5bsHMBK+RAp*Y3UrYhRz(dUm;x( z(GBb2RuWwk&jB!;!>!z4dNEweb#5C4!|A8;Z)qH|){?_QHaTmSUAQ~Vq;Sak+zXT@ zZq4IPVpaH#6J7r14p_KM>XvJGB&3!U-=5BZAhnIz<5D_@thp*DQv#P^uml-5uy7^} zspStSPAIZ~oN`MfpMuop90R*FA#k)kbHJ+sXer$cSbmz*iJWO-!LPfL$Z{SG9woP$@Gf@v$z@pTZfNd zAscDel~9YP-Sip*4}@qLUBUhwKNW=ozbE89fA;tfu!bIOzvsnf-amaf!w{Mn zfBtu1Co4z7__bgAHT-aW`VTbx`2Px4{O4kW-vqCmj0vts+|f+1tAsVoTEv95k=Pp! z&*aeBNN8J!uB5a!5+$QGolFv9h>0~P1?t~W=sH{!!235eZ67QO;2vI|AeFYNS|-@Q zVP${3Oh#|uuyxsYEcV?delwXvqYF?6f7efuwG6w4O>MJ~w2jqHfHn&mMtP#t_dbse zr z-p9yvP|t(;Eb}ghj((=6R~#~E(}Saxn+qAWw?WnQS~e5bq`)el?P0*0e-y;8 zYUkLnCIt)er6va9s!nQ5aNSre_5l}nf4U|KtVO`ObHprzbOjhK8(6~@0qFkU7h)F&viEl< zW*BLfqr@`4jkgcbWv&OVRi_Z<38p5DE`MY%~e*zjVc4sTP z19aB-6gkv|76n+bA0yYP#vvZu$zMAcu@4ag=f!8M*s%5SF%q{Jw#S2e^q)5r-|%1_ z{g<(-Qa;3^U#T;c1X!c@kj3q!QMl`VdWrLd33J_F8CHb)UH8|VJ#Ka&*ZpK;$RdYi zEQlX#B|Rf^P@C}kNYh5ee<}3*`3%V$EIIa@E&8pKtLz#hn`)~+m#Qsg1hUl+Q@V?w z_N3^RTrzyZ^Q}$NI*1P+O5gXRFVwD4={uwg?4v#3{A+%);I5PrdRGu4v;Eb7B6myQF> z@B4^7`+7hO_oVNN?wOO(Jn1K9XLrHgCAcU3nnim?Gr1)8?Zs>NFm$bchD7D%6=P=^ z)pGte>g>1Sj`M5ff5tFeScYOmKE*!% z%GNN9=o5L z#~|6GpR6cLf1s=F0n!#0k}QSTqnj_s*7$IHba_*|Lq@hfuim~plhCctV+KzOpu5>; z$Z}zX9%{$@0NE<;m1B8Le-2_KV&N}=lLS5N?h1(ppRxU3qE`y$K zA0z2wT07s9xHw&}Qgf+R?f18dsxk~;F$X*<0rD{Y}bMc)k zC1@_bs@%}AA?D(VWfR>2(9L}$=`Oia5XeP5G^4?ZIidq@;g@}T|B7rDK2WlmE})x* zr(4`c+7lx7LdUFalOM<|yfLhi=sZU=3*T$pbJEr*VFo;%>Ad2@&BE6s<}_Xt2EZ(Q zTUoLqf1<=A=yeyE4iBXev+%swnQ1oY3GT>gn1Hs@K>^aW{!&J>{?1$3pB2!&y!Dd@ zJ1nY~cZ1@>#)Wu!r!8E!d$UW8P{;I*fntr?&ex6LDBbHaL;yKTuA{7?osVPe>oH4vR!XlZs$T=wpVRaIkAhIEj^{fE~-61vE+cn)^AP&Ju3^z~_qk2VO@a z%)sT<&)jQ~TrCc$Xvmr@wym95EVNKBGG0?KqltcwS@ae-}@_ zc!HSr^XfpgX}l(7kWEi|I^vSgp(WqKLQ|{XobJmZRB3K2~E~GhbDDD5v5t{NsfF)Wzc35V=gf zvudu!yG0Wh^X$pH*RP%}9XcvZ$r(2}5isy++-(f3j_)vk!;E zCY>B`j6XuO#*GBNZ+xD;d4!w{%rAlV-zjb7V`5)%d(XVxETd=N;)YF0T;CU=%L5tj z>|AF9YH+yG76iiwi06*gFF&JA0b(hhIrf) z+Eb7}OQ?NKHU!t^gqFh!^w9<56$0PWcpCHsS-Bj{WYBU(6Uy@kL2$BR2;?;*ZI}Emv5iF{PNjj^mjw=YH!89t9^@k7=Dbz zwaVjIz)brT*)1Chf5p;ZLeG=_^3f|q;Vu&SHn*>XUj}`J#)C+eCE3pOj$RPDR*_Tp zK?J2qVRuSy29L^IIO(enWA&Clp_Jyv+8Ez@yhF{6Ocmyp3QW6Wh&`*Essme=BPkXLwD%Up#w-98b(A zN#IO~)g_ab?av9FRUKVPU`&W4^X4_>0o+4W#=b^ANRQ|#>~wIwSA@;)Up#yA28pso z?LqY3>cTN62bfKtAg#5gLLn&`Q#*GV#{h{GowlOUUqJ(&s4+G9H?7y`!)ovE-II~1 z74FN+P8mS0f3TX4^$@b_{>1Pqe%|AEhn_Qq`+W=w)na2tS(DL62LO)b=ZHOX?|-CGHHNZ!Oc3w%+AaGa=wnvrHkPu|N76H`=@s z^+eai8jSrho|?1E1qT1Ymq^!kn}w`fPg1s&iOIm^4bs(R>GOLFwOrnfvHeRf7}@T= z+YnNzf4!rPo!JZsZzM)%C}4ZS^1Q@+Ef?IZzeIWroxOZup?r$mEO!+NJ<)QTW+9mc zoGSN_;ZXAmsKv_EhTA}=qNTfta1QCQef<_uS7f9}yne}~JsLUKF1r)a2r7p+*;@iK zuzD7R0xQ$9-Nk^?R@@UK@$Ap>*g;tR7eYQpf0M_Ecpv2dg*UYI{q4hEwy=;*dJj2x zon{ID<&S4*0fzB$Nup!QY@8 zfBQ2GI{w!f^#12Yt{>9oi9b|T$+wzuNL1jtMH5+1msX{$wT(VbPmwfVXy9{q%<${HwVH_^vS3xMX z=E5ic5%x~vCSJe(3Y!v0MpV;2?n~moUhGSdxslsO2}sTwzC6w1U~rc@tzJEQg8s%A z7`Q`W{lbi;1X@%76j7`cU*m2`f7r7|X6(DOVk)C6Eq64XRPV)ZJ=eW@CZaOBO3wP0 zaH#Wta>G_Ts?2y@+$)d6X2jD+&z>Wt@!PpV3Ty24rbLT(fAalV5IQe^ z{1Pc@tJ@+vd;%IP?0S*?%+)9wL5e6N&0LQ+;s+%0__1L?YOgLDh+q#LWMV@osO!k# zc@iEds(gX&FX&01zo+nPB;D?0y#$)nIoU1KO9K(t;>RsD0J(UfLswO7SEdm!=sd&_%}>7i$;-cf{^&_C za@uGaW#K>vn?~pZe*DX~!RT@`ubnjG;p4+6-n=amS?4+t!T5H{f1HvFJiH~I*_*#d z&MKYg8ik<~wR_R|o z`8m=YRchs859-l3f6uT)6N=GZzx^e)r4{Bi-pK;+_>%Hgh%53w7Zkpu=SFFHUJf~1 zHoFpC!KcytV(Q|EWUi0im(^IO#TOjuBA$JPk#dm4y52P^>5|wT3kSDGMPSlxz43UO zHiiVXX7l9uNC=Vv?e_XX+)&N8T(Egil6v=tFos}PU)_>Ve`V4$9-qsA&;@(q^VPUU z82?IOCquuwe6PqQ_Kq617>QAf3fpXBQFk(YSWryBF;x?QibUCweLYd5j@fWvF zU6BmR35x!}e|d*nDWUTha~3phEV3#(^RRUp-_3|+`}Ol6B;r7+64N-2>&J!XIL!hZ zKW`TR>`YFMERg*0x4(`1s2N=RK6bC9BN`hj^uvbukwP!jBXBaYv#)nVr!(kmNxkzf z5j$Ezwxnve;`;%}l9}OScU<7n;QMvVOj`$wz8ulXe`C#1rx2}_gS^9GE6Zw?0Bf26 zVo}YNk!&gvvmrD)O-v(VwmYY@_};O@Fs~q74W3U7Law_HR(OGkoa=_W7Iq*ar`znzlX`t;&@n)A^DbI(1ss0dpa=Jy-MA-( zQ$6b@e=XZMPmR2g@TZDQcJG|vsWM{p@N+w3b#WW-_JcqDr|+IWe~9JMaR}f(QRfQj zdF$MiAv^zvzbpJL7|TSL49j(r1u`n*MU*9IHdaMJ0RsyvDX zBb`QcYAVFo4Pejg86KOX2PcsW8fn_;7eWZBf9lALSXLlHYJptBuyog5Zn&|fGUFr_|#sNQf){`wGzsW`wXd0*l(74VKO!o zUI+gt#NHCW%z-d%-NyEDK9y;^;W(LP1!CIvAGhxWVA|HZlLjO(rtRQJkyb*ji<~MV zH+cc*w_{C<*;$FVpvKUtnbI9YkN8Wf< zSIvb|c01EjmDui2qwE%%v_J6e?c}2v$r(>-!APsLa2-opA~&whzw?1;e52SYTPtLO z)tmv--U%KDJjABwn^zcEO{=Q5F}>$F37Q8X8{yp*c+(nRrKhdD`txt&4i{JLe-7fr z8wz*0GUconN_77eNm$icm=yAG_->Vg2PF^3pJdmHNaD@S&e2@~l}ub2GVg|U1*n}n zFJxmd$9FoAhu5U&yun@4&|~?*3}sFsKuo?^9oVNAnZiT1vcxcAa%;@x41qqp zMfpVKDF9ij^dG^|qKEG4sTNyO2^JtoNQcCAKCnhIuO5t2*y{ROO zK|VS>+uq3K!T^-T_ZcD|v`2frg|R)~n(W_v_44hbXD{C%=H7~IkuPC|HT~F6&DC-I;OO<6x5)BhOq__y-%gn+ zD3p1lXEM}&_@9uzjwZQ`f6nbbIt@vaQ7d=jOW7gb-oEIw?7WQ`A&PDocJ(BjE^k(h zA_yrw+jvyUp^(DucMdI?TzF41mqc!2vc1r$5TJtJiN@t84zT&jl`~`e;o(|GR+gqHqMz8 zruoghqZ+UI;k)(2zy9|>LrnQKXKYHw44pQIHb*Ds-A!=P=ky2v3wGJh-w?pUR;Qnm z(mCp(@oB|ke?4;LROJ$THxFMPq_lo%4w`~|fRs1KXzn<5xA zARU>9`yBFaK`g6%e^b-37*+{QPKmga1mwn>I&1fM5N>>1yj{zsbK@J2T5Itpka$Am z(c7PVg)PJ%q32H!l9^i`9)LG*GPrXY{?rIM*!Gr~9v;2IswlPev0w!I8skt7dTq zs$%;DDNRT!^B39(0NN9oGZsK9^Z+q6Yq|tbZAROEQ5;PXN$}9GUDNA)Z$SVZ<}Y4i zWna$;W;nAr7;xc6y&+~&0uyd@CYN#l=L9#sr7pR~9R6N8uvlC~HgvPtPl^|ZhTaJ)GPWUF)`owNb^N}Gp` z87487MWSm*R55-3164XQkUUu|_5wHze?JGmdEqAKP?{8>qmXSjycpC5;wqQ8tB7$CsB)>=I*effDwmSJ;zM*VSuqJ^{%sID<*}=6b!={lJ+vEpTzNK*0>MJoLeJ0y zzTr~gM8mqIl)XDws>Osb~Fd>1_{gry~ez_euAb-q?i*WPSR z_lEGG+MBtx$_ASDMv-=&%L}Bvf2oSxb^2?NSW*>fGw*4<{boBtkL`SFcuQP-b@T;G}K%iSUh+ftnG4*fEnt>Ney7CF4c*H>kp!-5|r#1`=5 zm&oM#L_KJy)6}TY;%A39L5_5dhF9T%v0vbmb(at-@ns|N27)h5Olvd~# z_<-!^EN4hdCq-4)EjjSz<*8F5YYWRcT)H}_V4&N=r>cV*d&6g0fI4WrFfWzyIZk2` zmVHksB`Y)@zxp84=f+5R<-;kqu#!!C|9c70e?4rd{{j-A-wrTg{B)|Y*vNbdN#zsT z)2{(lJ}vpGQ>eSuyVkdA`_x|6kgyfs!vE;Y<=_m)r$60<^v)&&?p$;)MZj4q>~ zhe6NY;Lo7Bvp<|te;>%4?$Uw&iIG(a!8ptvCzylrciqDn$@}}Jfim9$Lo(C60F?i%CI>mHO-lj*VV&?i4lgj z2HPZ{7@@AaaE#g&PUYy?q?uqB&Gjm!o@C;pX57p@RHT@KRlqXPAHX$%goI&!=gv9j zZ{z}pNA^RVe}uK``r9Bia{u-N0p7-jga zn^7S;9AH1vYK5#}0Hxylc|LyR_mM%*1xx&i+J({FR%WPVZ}l6Vptbj&!xS!oYVV)b zREUFFyV&OrlT|q>4ss@QjkcGTr`ST8BH}>%t*lZqZi$(t7#2@~T+70@|zR**dT4MY)UWIzau5IBLW><&Cd<4X{%e2vhVVhN_ zZ^8z`&8f%R5VpC)Gs`9P2=l6`iz)-%CLfj_fq`&CYl%KC?6v{B<&2NIja2RE3T}(0 zmTpclu`KH5Ic&IX1sborzM!wBGtU~(vEjb(o~eX^+fVZ;o$UhA<#4#iB8232K4acw zf8?ebO^g@Aa_c_c9_F*W$!P>yFRfIF4q+&dzooLNhfr@GjeIUZ9zwcKTUCX4cUibulcnaPm?@u=(}L8LJiG z_@&Zc_7O0@e5v0ub{^cB-8_NJf`*c{e=S8czv3&?aS?u=vr{om0rQ;oLCq8w=Pz-f zeV^qo)B812i27^pqi#?H_^Z?9Q?dNDWYiz?Ztt)3q#~1@Db5QkIn!GN0js$yn~sA3 zD-j8(cr0K^t=4EU4XkaYmK5akSFYP;bBCBQnM$6`J!9bYrA}FiJSuUKDh&^Xf0y)P zK7J2^*G^g%=3Z45j~G7E|F|?DO&7K-`&lk)GSt7puq-2=xIR+Ke@MAi+4Q~?2ebIv zg_jlDt6%ymEu~===`}5u6=3GL=s4#fFq54)2Lv?C6dp|)HxVgsIE{eAkHM+71%iRu z*R%7XGB(U2)(*C9d6tks`3mqIf0&W5hHn=>-AjHvZOgk8$E zo-sVTnnIR93II?`0Rj{N6aWYa2mmK?P*O_#wZKCc z0RZ@y(bEJlASZHAQX!LxmHoT}0M&&G01^NI00000000000001h7ndQ_1SAH%)C2$k E063LG4*&oF delta 258642 zcmV)3K+C_-suRno6Ae&H0|XQR2nYxOntUgb4I_V)T-#L`NJ|FW_xUS-o-IEcTb2zY z@}#QjKe9cmCH3=DEIoa%tw-^sGOc?$Uv-kuE0m3H}0tAvF zU_M#KVE&kR&5#6$36PaFLk4Dz*BXYEH6Ld0bM`)GpL5Txs#f1CD~uLAP~WP$=bXLw z+5dmfOBPQ3+F!md`i);*h`Lep!rq{lT{wulS(dgs{cLX>_b*(@#&;%z;e{{SkH_)o zLYj>`yZsB3c(j{ME{v178$a1NUvFGUy773NjW2ZiNq0ZZF0@9?Nq4_FyfbM|&Z`ek zz4X+JU-FUP{;_}mXXm3q)GB4Q>~J6dYsP=DR5IzFJsbB2{j+tk;IDmLEw~%~jZ%-7 z_Tpxzors0LPoJz4-7a;Z-hMX+#9t^Eh}}*L|2sRnnnDRWYpyJb1%K<4YQg8D zzgfzHX_nxB&E4S$vDUnLL#*|aA60AJjXLG5)$8DY&4W=q9A=|tZ;J4LR%wOf-`D8U$87MJr?dGp?6$`mM<99z%>b;ZHtQs&>TE0~F2x zyZhbWE0xFra2Lj{=6DiMGKU^M{8Oc>?3T#K_xj0rEXn-ipR7dsPDY*G-Jmr5ZjG=} zl$ENo!+&TUB;!$Yl*Os1y8nM-Me2-N6L_vz>qj-|A4JbrX#){p7xT7I*&-5+WmiO! za#)uB#0zx?fVL#=6>-PXt~fz-7j9kJ?8cL1FAv4C{Qzm_Zhdv{^VyXaXui6DX=4|FplDGKd&N|wUFls$Xotp(;|9q!q|WMnqMv#grF>< zhD{2ibOQXQTId7O7nP{+NETI%rqe+ugR6ghgC>Tuy_86G8f>G${jGw>yHe~Ql}zKH z>A-&hlKZ}oRKoryqd3WOEP~Y1vh)DYTy=Gh-_aK93sG9?!IC)H%Yfmw_IGo~`*^uX z^Y}%cPqd<2rHT;;e!qY4Gu1iOLh4K!Jy)JmuQht9XMat}Dn04hN%u}V=*1mJKK&cD z)HrHar7zJvmY(=eezH_2mmzmxxY^;P+36Pr8_MP!c#)I6xZlqt#eeD(aJ8xvBT7obr9vSI!cw|9{$;t=h^t5k$ea}FveZJRi;&&( z2bD-KW%qQFyhd1Bzz)ntkJNwRmnw2bf$Z8#M`!awq%_LYLNb7!PyLT-p(J{?Y?+@I z`DMvr;S!(3yEA{JVp%6c&nwy?07j`YeX3LjP9%}{N|x<5Krc)Iz)Ge$c7Wy`pJlUz zxZ6iHLI&l2yL9dR^7fW1l>Yo zOZ7PA>=J)X7|VI{q^y&f1*vA#h|Lj@y$6yfk|7 z5=%pj;`d5r6roVR^aFje+^{?O=vu>q(|!6^)q1_?OG=K+C|$Vf-~}HK%_A=@_*Y83 zT_R&BpD9$f-f=MMOtR)E8%xgq|9qmF)Vo$#9W8&GAcmtX?IeYH!PUg`<+(~uR`Uf( zUVxMZK_yafZCL zLB%3*v{M@Q9>$|sdLKVkO)kCGhCCPUpO;K)TIdBR;3L0WS>k3sVXkB=vge)aWt$Y8wx6`|gTG#l#|VGL5pZy1;F4|scB!7!kl7h<#Qj>yg#uv@ zU_Lvb%oIdhY$27(Zzwl4%Zm61mZPi!j*d<9HPtAu>hGdcmF=TN7j&|hC3gbE@vZ+; zCFj!;#dqv~`V*Dp?wRxD&s8=jfh)#IZL${ok3LbZQ9zO6XE&~iMSfI=ipwf)bBur9 zys=xhNzlrBsyL^RnpN=m4Ew7HHk@3%^)R zl6iMOAfCUn-1rB2@2`VCn5*XeqiP)ZlU#K8Pd-*rm@%KhUUDx0&j}o=M*NjZLhMxT zB^IJGud6bh*AOLR#L^s;Xo(NErXn$t!l@o{a&XG!ziX1;kxnTTJcC~ z|F@OI>iI~aXtp}qsqb8fzJ4LN=6O|FcOe<x{GR;*-5N84bowwZFyli|6a1Po7ktoPRzZ zsm*=pLm&N#?>m3$YZjspRow)}(of)D zqj+@Byzs04&wuv6eDcZDe=E9igex%~B^NM@O}{gj^q`)e`fJg-=<|P*#npDUzPh%) zR9jtcEH5=`%kgr(kv5jom6cj+xm{mgU0X|P$J>Bk>mxT%Ur*OolGS>=vRsSni%GiF zSY26fuf%D*o}`PbwRSsgt!CvwfPJ)L1IugeEN(B?*3#N~BTMV+^;*21*3x#;UR-LW zX}#WFtSzm#PXE`@`;LDB0g()Cp{w$jS#>kT+&veK%z8jZEJmGwrvzLcct zT5V}%r3CPc{#jxJajm_!y0WxXuPv^u#*HjqTw013v-Mh*F0L;vF4wdAQrxQ5PJj8r zk&5yQj&JKy#U9et1eVQK)5XSmx|(I}TGm*u0ZqiU)pTVY5YT^UG*(*e;{m}hTNWE= zr^^k5Lef}SUR`dluP?7GEywj5P-bg+c@_R%udl5xC8rOf_ul^oIJhFwzqZs~Yh>9< zny#nIi|ysbT4Om|Tx&PlOG~S1Twlr7>q#7+K8&6|#==~@C01@MuP?P17uOqW$M{PHmgK=T2C8rq*gu2>Z`SS3ahN78C<-0X|;d87B9n{Pm*-?cnfo)hgdjU zUW*&;4E{H3tgNoCEiKk+>q(NdR_ZJD1o(dqM8W#{%JFE;)WnLF*W2~1y}VX$)K=G$ zcztcL1{4NdJ;9ZiR+qD^-d+R#d%PpFf-H|*ZzsUO>MN`DrImCM{>)Za*Vo#u#bwyV z+7kRv7B7D{>ZiXfdglHY_VRTlV_RteX~m7DmBu>!PnNB%E~m8wP+kWzYbU@}fa@oX z(;r%hZXTbxnk;fD-RdiAODl`*^`r)8UrB(=18ZGgSqCt{AGLPcSXqx-jbjQJuewd* zV71+dliKQPnx-wh%Lw|#wMGjBTzv)TE^V)YyeWT)xwC^!A_2gh)RNV;S{tN$yS=!& zRBtp=Kzx0rwbEE_w1FlYi|y0@O?3VE5YXWV$!JzutF7e>1lwX-UtUg^mRA=S+gSoo z0ag#3ubwP5vi92Padi3qm&d9u3H0@)`WonOaZ+EduLE-du&=dR>Dp?#ytbUeE>;(p z7TbTdk_gY3jA9F`tE)?JxU3E24gzjzb-lI%5@r>yK#W`{3 zB2ZpEtF2}&;C)%Lz6y#QT*5WjPF#n}pS81gNt%s~+Q~g6YamC~7lF&Jtu@kh5OYgw z%Z;VQ^|Vn-7U4dur|EKit(@D(_O#dlXtRGUxckZ4%HsOsI#69Lfy<5}2IPIcwcc7; zYBUzprQ>bDPqmQheQRwoMisxcvK%kfv*k2di&yGdqqS6DUjq&V>@QufH%{M+t{zXp z)yYLt(ylKquO>^a#(FJH+o0CP=~8=T9njv0v)Z~=`xoQYax4qZ&5_s#M$=B=sI7lA zZq?g0q`?}<`SrDB;6=y(z6&q0eAp~t5$EsDJp;6i}mB0 z^?r{$v4Is}eE@`7YiWIPbrB>%+^(;+!KjW`mVq55wdFWzrR}&R&KHnBK>2l$^s7s? z#RRAyof|8wOBp}`m~M)j0&^=_J>Kp2lJCX(OR!d!tijEz)j`Q=)RyY2trgIRmyjNp zz{*-}FU9MvW3JEn5IPL)n09}w%j?O~3fPsa$=Vtix4?F4F);k)#jLfMEQ1tI+O1YO z2;llMc>&vN%gZY(=~5lg9oGQjYjt#GEQ2%zmI${WOoODccIr33;x*B?oG9PH_R)nZ zi#`{p>0&3yZ%0L`4ylUtf4&-9RgE z02=+=XO|b@`QKkuMGJq?Dhp1k?5AB>1LLxC`1~%36^>CUam!AbbM${7i^02b!XGbL zJNL+;f^^(t9^Z{tmUjGLpU)~P>5nPoyqGBnxhnh6R?__Vm;~U)ZhyQi1;@#mta9jG z^gS;sJ%aq3CoAkHRYlc!CE3;wU>M^AnZFo)`-uud{2wceRfK=Xr9P0BS;sUP!>I?Q zIki>{=o?Rzou=_~3)S~ss;m>FmpjI`x($y}UdjkX{d>&ZE-$n3CAEo4-`L!;@ZLsl(H0 zA6=4@+)t|drGYQEeysGHCPgIg%_{$3w zS1CWBu8Yy{ohU8&@taOm-%6r_@vPO2xGzbpxEY?Mi=M6UW<_j)nm^g?SKI%qqPdd~ zqBB;Bh;_P^Rog|btXxSAaB2`6efU_ejbOdfzgTzx!;31Mb`t%^wbE;-zJ0BV5dtQc zvD`kwEk)C^ZD*B@@yc%3I*OC5;=P+Lx0SbouXul=WtAgbsvJRB;TXk|=Xx5^IaV#E zOZ7;E2ilZ8j92wr1IV}bokqST6DG-NOFZRvAy${RvdxdOiPJ8>>RD0`YSjFL8S7l- zY@O61w<(Ex6)GeCl*^K$E=GJj z2pNuS!D>6@!pD+-C0lpKB33;#t_l;&fW5j=m?ewu4$`FM#U&NdS~ic|AVl-kPUCUMt(exW)3{{kWox%8$*PLtt5vltBXiAOsxgYO8%%Wu zT5ddL>AqG^11{M{%M#L3N7bmQDtcs8nUbjnRBY?LDmN6M4CB#g;EJVhDkY!QZJ2-f zvt?ycd#^9MBbUE>_59TLA1mJ|+`RF(Pkg55$&@id=3yGvb381Cdr3aR(!+(NaJ$Jz zSSlM!-*d9u?w5}+^l;sya8u4lSSlM!KX|f=UY?Ke^l;s#a9hzwSSlM!-*vLQE~k&M zQ#N+~-pLX>u|7gi+35N2Pgc|>_7Q)o9x^`X^s0S?owBj>!^gso+luuMPLu+jhikU< z!-TBkT_v}1?4zsnaH$MlU)x9MdAKf*+jRF4cFM-i_m$*Qn+FABP^ATrSCggcK?6oM>r}Q zN8f(3oR-p$F!OLK3|=4RM`(IDMUmSS`Vp2M&fu@#qxun^91h4(7v8=#&PLB+H@h*MbO!zLS@+M&s_DU7`8UHjxfAbZXWOGe56-tU zxYn6uqqrNrn!m9XA6!=>JfgQ0{^+?*I@yaJwjJv47yp|=Ra?bvNV5dpN$^Ve{PIM@xADk`j4mf`w1Sq7Df8Ot$t^6`l+aPVShYQ zbo>0>-i7DmyYZ82PY#Az|M~RJlW{LyS-SB2C{te;P1HtCMX$oIo$to|-SanE&u7Wx z^iM8CU#8EPX5H>>jsM$>|J!%#w-x?xd-%Vt@qZief1B}tI~jl9&f)pC!gKz)!2jY* ztmF}S`nl)}H3@&|U@wLv9?dKM#^`Ye@Br|^!NzzzNKSv#LUh-C^Mm48r(PGmh7Y2%Lu-#@HBefD%5ZRT$4dAhDgI`tb|`VuVR{n45vf+Lal6Oz1rnmxVG?XmNlf3}lm zgYkuacF-K|kN1C?+S4_6yAp3mD)KmVGN?zVe|*94?o!eCWM?qR#=u_2oKL%C@acW_ zr20&O=JjP^@|!>fP&E^H`zC4YWp)VT{FjZQf~ zc&gLwo{iqf&&CtrH0Lj?zqaw;!P^(TnIGzJZXRaIK7wT{8}D}~r+;Q4`sQkdX@w;B z#aw~1k7~sQxOTlbRW~Tvi;u`$UhXiL)8DrceR&=(C6V*|EcH!O@O}EbPDCH7SP`0I zi{H9nRT+Puuz|>614)3ir;zchowr?%Cui@AvIPb;dBg{CXM8?xwc_!^g25kKi2l2U z($yF5R^3*&D*V||Zycyw4_Dav)Y<5a*>)fH*pIvC`*E)WDx!Y=4BU#-Ke7-_%2yNT zVEaq=MY}(^5M3#{*hk`Oe^V+qMLOgCYw=KGO_V>mHt6pfSI6m}UWmS_YGGXw<%q3UrYTmm^}F2IGbd%P43hm` z)}McjFKi!VThBg;VyfF|cd~SbMVoaSO*85mJp%X#J>*%{r~k zVEMkhn0RGA!`}5%E7L3x^N~{7wz4?A_iTUM?W9+4oc@J{=sO+=A}TbSKe-Tn)q^3X zIS{dVKMHcro;-auT7*r+%?aGY@dbF4b{e(%T5bKpcrPAh5Yr|yw1`QiR*QdQ zjgawy%ERfeT;M*Zg;ac`Rac4)q^U-bvWY8|WpH6dk1?DFAIx`Mm> zp@rxhAB6RN?2XzmQTaGOEb5>J5UPeRgKAik8xF&-ira zqvPQz+!Pt`ggwE;2WP&dyr#adi(ZU>z<*Ih{{Rr4dA7vHv{CdDesZQ?QAdAO;4Mtd z^3yY4R$g1s#po64k5&`3rAgKV9U1>t^ObtB{)0bxF@17or@Y3OFO6PIM?BtJ-42cL zR-)qL;oR#i2sq~_$J?#j5)XDucj3&P(p$N`F?umQh+g4-;Y_=NreI(MGymXEjwwun zU9C`<&@%KvIZVp!$|RT+eE@$y2AMfiutdGreV@AL4_ z=vDZ43q$Lz!6DW(>u+4odQF{T96g4AW3e;dqEbl3YX>7pg+D0=iEcj=fkgVC9LeeT z;}DY5d{hqUyB#G2>C*?tTP55P0ajtb$rtSXWmfdd47D?p^6T>7X7ztS`XG7*KA=CE zoxz#?(hIxa9=)1@rk|tU_6qmWndd7hRemk#jN&rs&(6f9RogxueGYMwmy;CHY@!_6 zb8AKg?a>Ekerut;qRTH|(2*qkZx=M1wAqa($zJqYemJ@dihVQdV+~;Rc<5klzvaL6_+0ry{qU9Y)o2$wyThM350EI{XjcbCR*qSZ-e3UYe{zaH>GXM)seLoj zrL!4a3M>k{Wi)>&Nvhjdjj{;?-JIVHdbN*6*{;8d30y*Y<}AS?(R@))cEus@(G%tb zfdTXGSrBXnXCU72?^oHM18h*Sbm;&<|2lpE7H!%|a$r)zvYq(5L#@hw35gzI`5L() znwK6u?jYArHWg%`X~XWt8O-f34VL%N0@_R;7mJVLB%^Pa$5Vlp}irEng=vWJ9?8? za}w{mbYi!OSC{ePo=+jvd@(-k@V>_4=VE5B{kgg~`E3GI@E!nx2*4{Y?ZDZL?)HAy z+Kpas78`%SUX!?&`=Xx6>yaeT_v7+aN*0Y376i+L4}bw${zl`hkLOJcmmj{v%0>FX z3Qs#d_uO9#a(N5~1sxx;i$!@ zgrk0fZ}KoJb!mDeac2zGp!It0^P~&m)El)+K>u}Cew0U5KaZ;Zxi<)#&oKZIiKNH~ zhoC&NRtV&g^^wJO9y<3>l8)6aCF$6w^4z3-$aB*pd9{Q}BCnQs zEZ=`gx{7=!J@SdxTbUlgS)>E^)SFy??#sjzP6v3o?U$;+s4-T);DTZf%OfhFJlHAD&w&*5^*0F8=J?e;LyDz%~l$fUn6v zJBwsbmri)Bz~)V@%rbV zo?9yP4q#Pn2uSdeWJN}cm#oMl3@j%jwa%(=wEK>SgjTDJiuXCMBhQA|)l8W>Qk} zvz$7VjCt|6*8&b=$(`Z)D@Qs~md zvw<%+-q7j+OhuVaMtTGC)82 z8H$MFyIkEG-{n4$teyQmlC|?Q)+fk+&H4oWDWw{v{x&Q$J=wVgm#DPyt(BHsa5gmwn<8zVPEYgfE`wuCWrTpBHlboyt8rdu}uA z3_SIul@{{(+3?pT%~AL;5K%-0#>uQzQRMVR3n=`F^JeW3cHXQXDb8!t>J;a-f97;a zSLLQh%3Gktrt%i(e@cDOb2m$U(ECVPHk7?6GZ`Ikt@2Sj-dcb4#BsTi-`a7x(X+h@mqaJg!3+O-Y4jolG5+&4(JS1lM|}9Q=*3hr zgnvC2eGZmdB@_JP4bf`?-O=!qH$|@xw^PFp-x$4?a?3C3N~w#TerIx#c98!*>=m{| zd%Z>fgpKGQ;~#%;K6zsO8<3#*uPFL#P|CXZ=q$7{{`EQ0!gKTuK5gS)y*lJ+|LCiu zGtryj*+u91#j|mL(6_sXJ$1AGu7(>NMSZ#6?BOr6K0f^X=ZJ7XyGB{N)6cNR_&s#&8~c;tz5@I!qo^PEvYYswLweBZ zX;RQgV8Aq*BMTUKE$zF<8-X9%GFp7_TIFqNwntfpzoxIz>+rCLSj<{ zZyh%?RQ^ul5qUb+Zw9)6Z|(Qv(Y@x|+{2FKVP77-gzp%^K05^Ctb=qLGi$iaH|Pn& zye}HTY;Pl6t1I`g3@>>`)#7bWV*N9R9|G6Y9}{-2;__{qLco2OM(0+HVi0 zb60=+>z;QD_>qPbK;T_1+;;Y!nM|1&$9RIpKukCE5@Skhm?`++zh9whJ^SdKWJ0}# z|NqU=8=Q;R?(UEGvXlwHEahVs4uP5}>eY(<^oU%jnx9AntMurDt!(!hx&`o;APLx+ z)w%S}-YFqhw{V{#jRbvn{Jp|P_{Ic<7Jz?EGb+6bY~X|RvsPzPEY{#|ec`)3-qUe; z51j3wGubngYwu`kw+CN>OJZ;J>y=m|-YJd&n?Pk-)1NIc6+3W#qHmTXd*Svu$R;G? znE;L<(lVfjJLmesn!~Jb-T=_=%iDBT70jMp7VN>LR(&EM&}W%}TXgpX^q4Yw^!0zM zeUe=ckQ&Y_jS7MM3Rh*|fp(~YXS+XyHYnJqWml;Ls;irTxW>kmg3XJKkLZ$lz;n2) z_&S23@!^xX#DM=dq!_+hg(;g!kF3dsdDTLCsTN553L{EchB^FFd{Oxsz4a&ehD?a} zqh<<*JwV%FJVA8;9TZ))x%oB6w?uDb z^P|_ns8n7bVO&KPI-O_0!iX50{n*P0%8>Abvtw@OsO`q`4P&F7mveVf$Qj3k|%(BvbT4@S_c)>DL7W zbv0~w+pU_u6#6Mp*Rz0pjS4S&MsrSviv=s4MRBUmNQWH=A--k~FC8?K;l6@slT;S* z(or_v?`8Y}97si_Q2igb?2CW#a%2sW_W(t84zn-)7xiQmInc zbhg34NHb22EcFXbKSM>eBe4Y1XbZ!?3|&~0j)sIaLhZUa+V8Vv^D5<%HNMh?fYm{# z(ramdVX{lq(C>B7YfLMc!^1M>?E07&UEDfECKC@VkrB1SWma+o#9)6nYpTGu3NryK zACa1Ya-Mk(?LrevJL@NOSpkIy$j+JQ74gL532a`o7#16Ns}bZ%4?AelhB%=_Zx}6~ zD_J|iLeqwc0ss=uQW~s^nU$ITFah z7r-mQf_b4S%}idhhgoV`Ir&_bR-%cjGt9aGi~cU$iE-R=?1&DCB7s4CG*ZoW^lM<} znhhZ3(`6@qM0>@%;axE#4b7>DSH4Dh(V1t^1)6F7YUVLW^J;&Bu#4fM5_ttIWcVJy zyG{@c8q0)mjQm)HTRs6A6x~v~%y8mP61SCxp>aS5SF)F`ub~nGmEZt^D{A5<(GBl) zv6v%^q?hA#v~+*aZ=p)xR1VJr&GKN85njcb)S>XsbMBoLsY>+0#l2^UO^^W)>B#s$ z%xzuL`z!VeDCU1Wq(7`z0C*%wnqh`XmGANH(Sh2pzt(76*J~Ny0n5_XE*%NE%2l!$ ztf*FKQomFd4Q_Ei*%NE3y8%{q(w{U)zcT&=!5r+SG<96G;XKP>0A@m5TJ43%i{MST zw&c|v9AwNa1+K{{k!Rt5|Q%dpG zf3X{!sEggqdMQc<%`rGod|VFUxHtfZipA@1X!b5NgbwRL>{ZZds+vUJ^&TO3XkR)1 z2+OpFWfC=l7c6X4H{!4b76hwZ$k>zwuy5!zC$K}>xlpQgc+^9z)k;;uApzt*95Cwk zJ0ck^6mowV2=VkT^2AGO8GAle#uVm{Fu)uk-3$FVIpz3O; zhGU9lRoa=iEHJW5bfts-BLLfY(4MerB?%m4O-2T=RE}G_WHivvO;~=xSdU*jn5UBpwX~uckCRE~E_+xGQoc$de;WB&P;P^<3k+qq50+!k11~@q`9D(!UQymlo+Fcj z1gC!=!A-vFm}lDRY)#@_+Fjl^K+6K!l<|ja5m(cWy*#^K45DCU&R_GWf}~Cs!>#IP zR!c`~gtklQ#XJh3MbyRm>|_C%n&l4I-rbXClLn4Z9+^SH;n?bhH7FI*u7L*{wA*NN zrNP$`k!t4MAf96VWv8EpB@3G!Mgasx7w1{WpA4s8kUqqy|3D<214>a*JoijC&Ce*Iy)KxmH z(y`Mpfz>^p>=BUB)nv+vC+*vLT}IJn!xKwVBQh3}^@172qb~sKr~|~G01%hrapr%- z$)xpVj3-tVTf)=~_}W-lA#su35g#-Kye?{G-s(sotnte(e-j!)D)UM5_o^K$)28vm zC-Y@h-*z(Ko^qn7L0gxO0HBJy`;ITpwZaHg+92rkdKo#J*nSX(>mt<^TT+I&1i45r>=5H&?O$8a|6r7164D@ zq6N0p;j^^ppH?46(~qf4rYykw)g~rcZ^+gIPzMD`fNwin?6Zf$c#`2*m!5y#X>MQr z8=FM*;^-)$jSq16d@Mvk9zbEAbV2xuwI|_`rkk(53|0xwUvW^O4yo*+FU5@ZC&T^- z!L55kOlD!I5g#U4(udYtC9^Z&DEb&0rGtG|Nz}2-!Fy6*YR^e$FCG$So0{JNpCj1c z`}CFpeJH^(|!#LY7{ITecLs1emE!)7n-TxxDyxw6%K`YN4M1!}j6 zlez9+1Xiu7cA&w6(Rl6B;XkGO7fB9orWSCA#OravOFJ9yHTaTXs2hJU;AYXuX#DB+ ztUY30c~38#(|%3Z^NzC@jyRHJkHQoG!lGRJ2rrb!;PN6+X6L}oe)olU6HoZy2Za>t zSq&CQ-)>OhfwdAY-987xwQ_$JqV+J`qGv;SaTX2U0{^Dn)L9is>Y zdok!bOWxWr?*?$d8wh`xN60eTw$c>D8@t~m7dfQuPP8shU*_y2A_{?Di-nA^fH&2- z;`+tr-t^g@JtNf#$Q@C5*wm^3P_1qBEjYR73I)h)XVdbZrYJ;Q0K4F2Ng++^d#H8g znf_68ej(BU>4q~H$0(}R@jVxgY;f`uDi_dO$0y3w%a_^rlmdTHzko$yvcx)xO~Hci zZ)Lli1__$!2qsdHqXk$+o-4p)`L7W~TJR9%ieJzf>@Q#uyj3iO6f)pMoxVAgt=`ms z<7$k>;A%>ug-4 zIj1N*Aex!!`c!}P%nGy=G^{nw?YcxIP?s7lIPOXe2-zFGRq!9A%#`0fU$&b38kVZ5((g=53qF6>MnT-(7eoeqZ>j-x*kJ-+f`&N zbeB+Gi=TpaQ6y#DJU6K*T)W|m=)COJhhug}K1*U3Tr+>mI2RnB?J+tEX+6Xx0DM9R z=%g5AWM4tt-S_b-?w;kt@;jV_2kZ7awCPo28CO5mbnQG)-8m!e47u&iG9F-K0rh|nCxYv1K6vNxkLIcCjm-TIj1gI zQ`ceh4WoZ>@a-iBjCQP1AY4S;wBr#Y_j{QXr|{8}?;%XnE&#fCiCDj*b(tBnH0UrV zd!wnNxu}(2CwY>2ee8$w0R=6qOympzE5({lUb1yC!r1|&69HXiM>gnzo5%=Y+|WKm z$4vl#?CcM_StECugjO%SjzIM{w>Gcbyn1t!HEDlzT<+;UcG001H`N0VWKD4t zE^rFIj4?4n>4{Xprb>ofTw4KaWWGjrq@-9DywW8ib?v%g_J@K?=>UrHDo7s+M!g43 z;*8egiFOFE^)&0V*VQ$m_`c^AA```ICpbS0=|?_()X2uMfxJh zLLz@jzj9-K52jR(QUMWdiIDXu&|~}w`Haq-k2B=AWQmbC{2RajY_RLnFFmV8*4X7( ze)hPuUEmCG#Scto^W{O8l_t%GC(R@t^JVI3B@KY9-npZ7Q_TRM6ih9g$Ot#>+Kr7X z&7B*~Tict>o7Zk_v-<~dxv!O=`*pTWbFY74k&p_tseTnqWrjre`$$WcNM#73*y9F( zRcTgMXd!>r#YiFV8;~GcAlf)~j1WNn`w75D^1#8rGqz4K0*G<>eeJS#5)a+%P2jFr zQ)kj{!~VKJj;D0t0dPCDQA%X$ddfjdOEQjtcH=(NnRTZaPhOGh4!+Q5PMr+;X^Vf= zn~w1WlWXrSrWX04r~`A#H;&@^gRdiSv>a6_gEruon)=A&x9A@EY*H3VSb z+MWJgcWE}WwI)j7dFMO%<^YfDu;Q4{=zdQ1B}mmo6QUFA$f1Y}P=v{eqKhS*lki%k z0wE;AX|8WJ{hKb@%mRyuqq#D5V8?$?n6>{RCB!DtB1_uddT#6L&L;f{H$!O#bZQgC zVXy|%ZsCQ8#_Na&RKGYdl@*;{Z-2rXKEl$(tO*GLC#tqZ)cQK4&$&DrvQpkU{#IZZ z`eX9GIQhPUpqUnd{3kZc&#n>a4M!c7T-xq-cK0R}T0Vc8J6CVZ zVW>7m7}iDRFF;FqmxpwX^C35l{GNUFNCLrk69WaA9E8GrqPEAe|Pd&SQI6REu&7WM#NxGufkGEtaSln-fj>&vmZ z#G#CMWXSz{>e)Ow@iRg%6{O717tJwJ%(^KwQzKo+{i>gXuVwo4Ku*10qb9y2v+|K2 zbD_PH=@d@QN3Yq)L|-`6gkBQUUve#XVk}i*X_s%F$Y2&;z`HF>TFY4JFAi=gj%efop(+^eg6q_* z(?3S$0@X;z)!qdoE{f7<^gRQunOF>F+B*`EuGy3_1T9(9rYxK49H}Nj15``OdZQ)C zRP#>y0mJUrHNt-rhxo!Z4f?nH9YeMnB<6BYEpu=qgqkxoWLB&Q5$a%1%9VNv0Fbit z#KD6V5sK;-=`>GuOofK4_d5Ab9)pIf?ubUXFN4t*>l&i4A|z+nxO3x{PFZnC%$wSdq*xTi}e`&wdO`n1_X>SEhD3sP{imvA^J^}1^ zTrlK|Q1A?`ouGR$N}D1&>|LEXDp+h}_lf9nG)kLc?SU>Pp`G6r&SNVp&ZtSyHFF4ScQSY0~6 zv@B!;jMnaQjf1Hy%ltYWG#WKumXTpt{P1jjiT3D3goTdE>HkhPXUM^O!1=oSeHLrE zK(YX-VwvuXmBue!tzO(2Mb08ogyYzulbHy#bBlk*x#+?4{v_cVp^>@kGtxT&l#U+( zbKkRemuO0pohVF^Inv~VflKJJ>yc2Sw3s4t1q@!_+T1ZrtIM2M6dl=AIFIup3j!e0 zt4z@G%jg}F%HV)cZ9a2(QyG?QqBN$PC{B=_R4YX;_ACc2;R7qIXF}bcNn2|?>_#HA zZ|{HH*xEGmY?UaCeb22Q@ z_dKo>RCAi4$iIL~=@MU)(=(-$$*x8(2i8b1P-NBKXTIpxig}HJ+&VF}M=r>mqhd^< z!O{m^aJWVrb&`OWXc4djE)(wjY>=7x9)PE7+qas}+`8U;<`O$1ajO~oBBXb$!ybPG zR$X4$XE!&A=w3X&W7uid0*Nh)HvED$Sg5J7iw831?+_d z?OUl17x(*W%1M^`*HPw(Xjx-o@Uef4Ljpl*eod>$JeyhBGjkO36}Fbb@RO3<_=k?i zf-ucH0^A%J&0}i>t$7On&^EVbo3`ZN^)7-+AfIMdp&;km!17N4@R8v(7{;PHt!cu( z9Hkcq6J2R1v09(sizXV?h=5d-sRML(9c{)<;lWo%;?`-E3qWK+_8sHA%{B2tn&?J+w?=F4-%Zr7BO1@_smu`?>Rq zf+diY-=gxP*uWcdksldwMBz3{IUccERnUZtbCsslPf9ht;gPK@sKn*=ISYifJp!DQ z2PM*;;0*cLQZD~V5Uyt@1dD$#G0*4PEn4Z8NNbL}ZTh@Z8;O(nHJZvT!!B<=jIFN} zstdezXD^-zEF@i4{B*Vz^)_><3`5)8kwb>Onex2+EEGMCwqseoN+y#s0CgHGHFa7S z_Azk^AKCY%xk_Qy7hXXmW!h;j1I+J0M_!_qO&`j-Xsuv%q9v{QDH*Bs%D=u0_J9z`MG48H!F4{RaVUjI3)t0uod@BNu8%j8AY0- zSp_w(w!t23;wp00FDyJr}+t+Gj5ik$gXpOULyK!Jj#|)ql%iS z!(d!Y=((){fDOg7aPfS$@%D-zFVTu#OX2DHdq=B22J*x>(5BHqpN}0yr*0J;^wE2+ zGPEvPw|-WX!%&`}5Lk!y?{vugL5py7V7o;d!?EMPR@B1FnCyQ+@){bTPbrQ@mI*W% z>na4LW^>>Ji2y0a${dnU&(j9oAOdlfqNGIGld&K{v6zUBZi}9phJ_FLec74=UaI%x zoE|pCu^;=({$L_^svl~qFb|cP#kbw6@C14|#O5WSj`taH9@Fh{(pIvi$ie7JPI zFribpphOB)E?0`20W(V7IXg5^@{WpsC)u-E*RqJkG+YDOD1yI*iK7(dG3{$~l!%Sh zVD<)gye%x5*YBjXHz)N}n_YS$0)x^C#AR(xd=RRKss4YMui741YQZ%kImIcg@W!iX ze`o2o=^;KxEk1DoIY7q07n6$ue;c#W3U2NN<|YnUw$-WK6(?2BM|Ss2t?Jjn9jUNf zse4eKf0e=kz#b6J%ENRM~Nz9H1P!lBpfyD^C3C*!}p0;fpd(%X9Y-K11(ukm+Y6r3;npH6xS#h5L#*P@Mkh!=Ym zGkBb63%s8GwX^ZwAm*oci+AR-gy}+l#Yu}UXtG`g#rTf5vO7D#Epq$$&(+_-N_%W1 z?jlwrP)<@6uTsNCy*A2I%dw}2v;BhV(OrzR#Qn5?$1GHvA{WJ~fnhurv_}}OF-ukA z;F=d6%Y~`{4}y|8WrhMkm~WYJeBFupD2^NIPv`|aFg-%2DcR758^M@k9EKkmm_C^) z?@FJ8Q~Ogde4lPmNfb_7;b}F#Rh6EA``}{uC%G6NPpLf9JzC3g`$>%!c^`OXh)EbWEPeE z&!>aW9gCXXW^H!GvscI*P_Eb&GrKk0AHK|g&XnZ#s=xWNuqHLX@)XdHf@9U(hF`&P zdIh>sX#ANt1KB3U3X!MvIF0IeNMW6McjI z&@qLnewR*Mq!txqw;oSh!j&6$X)mtc9zd{;37!j9MM(R2L6il8+fknJ{Ji2s*F`v0_`Jmfu4gJUyA2_-d_-(0bFV0 zcZ=gaIYq|?IHbyByU@DI&wc1S|L_TaJNpwMto+WWKKuk;i&3_#A`@|vV8>y_K%D{> z)kSd>C$=Bh@mq(6rhWqH-@JO+C`wqFHExbOX_mpwOeSNKqoM_usiB918hqvD7ck)~ z8#^1#jmwuex3`yF;fqiQ%FVLr=WAp&$;`K~$Uj{lF%VJQ6mi z1PQmat(fuDA7BMY;=oA?s62qaMM4^~EuU+cdY2h>EK=F4i=ai;PxI87^MM7(V1?LAX_IOLYcz-e=4f76(DZnPAS>WJ|EybV ztq2r`Qo$iHQzH>7e8>~(k;dWLa)6`sF@y_s)xBau%~hUh$%QNfL;IfzfSo<+u5NE! z*^)1|JPk}YpJpVD&r+g)VMWve?E7Y>kvm!7CRck}a<=s_VmmLfS%-69a;g@Cj>scV z=>=|)yJ^myoZ{dJvOwD0%i6G zeMfZ~+$s3!k(vX}wwQv5ULh%xlLf()Js{&&j9F6IuCG3!ybcN*csbtuOB>sp3UGy6 zv4&sH%dA2ZR&jGU7TFa=j%d)TIqqVVqCn&&Wa63pI!tmL^B5GvL0w}{jd&TMDXW%f zn!tHH?vi=oT6j)>E>ynPUMM5PPc90Aan)l~_|3+RDC%Xs^Dpej<9KvxLmU#eK~MGN zcs{-xU+ls;E^3{{fs#>U8Xw9{3F)8u(-X42rXIrPl+H|Z!@D|laQd<{+3h|()^5?4 zVEfZbNRAQu_wzskF6C+ScBW+3HQom}?zGP9F?8>7Z9GSR<&-+5UDl2J|NYmgnR@5p zzf<4*I+X&-lXXWT+w6W^1nOPQc81|*9O%WR4StV6fdQKCXWwDm1DJ!}PLw^*{Ik`j z`**~d7^!A77X`pofTq3gxZ+($1Ev}#Jh0RWkRg8cd$CtX zt;tn_(gA@61D=n5@BXB&f8sz(G5WT_1Pbv~O%qNB`z;(SJj#!42znK;O5MB_xMvLf z6TPH_3rx8*giawd%-x0EwOh>uZt;FE<507YOi|W1?QwO5 z3HcN1;LduYN#P)ZG_<3>+YYe_SuKs0X4@Dh05XDC%K$8FX^RqUCR3O9B!*TLrPyM( zscjm6rUXmovu@o%r_{;|05@Wa;)~?u9!|A%?MpCd%)-J_q5T}2urOWz38K+QLR_7!&hYGLx+M5~WhJNKOXUY*~qZ_#9 zy=lJc=sQ=Ry4AdNYr9FQwCMh_hj96zPAivxqZjNj@FLwqQAZ*|MN>5que4HgDcrfQ z4F}CRW5Wq-5RQCFugkzp%@RYWko5HjS(Yvr>79iHA9i_AXVnIJZVy=j|KhWi zj?<<#F;e`vy^W8a0Yn$mt=+V(6b**+$w7oW*&L0iX}+AHnMu{0&@;7rklm_k@tPAX zjw$6Fmh*=0KQr4KWz5h()5ZsxP7tbpcFbbO>1fTw!k!Y#E|>#o#1Tar&xeJP=%RAm z6rq1`0*Hl;Xzt_o4JQKhTG)CqY&Ls+;YzN#DbXCBT^iH)=O=)1Fpx~=$nOn7DR`m$ zhLbMDoK9M0!0D)|Y_rqWY#r*+W`q9G8|<`&i5_Tz$y>kvhUw!DM3atQXPlpZh3-cD z!_E2ub^~gd)1&sVH1eBzS*W)U6PkhEZRB#z>yP)t$d zY-)Bs22~CXaMqgPX9@SsQ6Pc6qqFt{@78wlj;Gy3i245=9g$76evu z%}i+mJFUHIxIL?cT++)C_Viq4%m(kWlc}I*W`1-yXI2SI|4j5|XY8tfnOHAahIc)N zoy3U>3BF)?nXGjc+NyJ}6zA!&lcCsEARwhe!ycqmHUxK(SKA=0&YO>!2UIOj+L%h< z5K>{gz?>&Qz>j8?IT6kRNh)NGt`zo;Jyp_*8-N?vu}OOaIu>Ok)ArR0gS=qN^^2qX zi86wlEfx2J6EDXYn*VZt-vI%58xPth{9Vw1O^UD9E=fz;8b9FEg-URQ(pJ#IYgS7x zwF5k8YjkHQi66~l!>LB5_pcG|6Lj?xHsO5LCH>U8_9zNI~nU{5>Qljajf`V8a<7QE~=3WiF`i6|{GM%3s>WAe2~Up%AAX z5XdPw>)lSrXG)83+p=`fXLH@2R7fLHLoQ(LFiZD$L>rDuTio$ycG*&4iyE(Z!`efe1jX8qCm725nX|c^1UU)P!?w_BGUSk|zdo8ReP#VSGKZ%R( zfygqZ_1-~h+hR=fc)|PkSfm{d@O!3{P#IXjeT->?z}NSBU^|FeZKBDCJo$8--LId> zLEqkRG|2fS@c6C$(RfO_zC_&rCGH029Q@BG3M}Rs2n!;ADKOflx(id7I8ik!?yq}F zePV8>5!tK6z`{@sO)`IISksL8yCnhn=TAhBfk-&7+Ye7p{itI7s27nP zk1dzc3Z*}t9F;j3PeHEt+-XWG$HSh|#Cx&z6t-xMJDh8Ei&zjoHu)Px^l9~Q*e;(v z2QXTM6{-+_{QlMF05j-y&clCb)~d3{kA04+3UpEcXuOjtGMlpjhTqjiE~Ae(Njvn# z397Fg_@TvEnxM*^4(xCRJQ-?kr18x5+~7(^PY!z_R5OuXTST`*=gc>d!I$;MV&Pf0 zO`UTv^WGISl~Gy69Xc99a4#OEw!7(l_M9o3Ys%JtGH8p~9$^-pEb-y<=dl4^hgsH9 z8qt7)4nVjlNXd^MSKFZ`sIaOgGC+__!;K$#u~K)H@4M4tFXOkpcv^yDu8$UR|2gNS z7W%HefuOTOk1sK^Cxdeu^AX3Q34`|;azvLkX!#mb;(``Ved5a+7~c~bDkE)UwT{IA zhc;b*_ubS$XK_>$d!6!)C?}YCzmdynPKct`(Bf(b`v^ZKhs!%xSZ05Rb!VG4Bp++06RgNJtOfp$kw);Gey(!WuWa7by;-rlH13L*!4i4# zGe@ZqJKNmYF+Tx-%2!`~=E~;#>1Gz{8R|5bue(PCPE9Y;@6cz2*JC2!^{m%yQ3M&pV@bPyys2A=6yGe17d+c@8(+LV^S?a>DY&U4j5AL(~pt4-{`#fcATJu4G zLmPgF)dX#V81gsGlpJ#uAk^b##oUx9T;C9?Mc`tmd=DSk%nP(Qw(XB6jM*N4Jh^f8_`!W^#ocyBP8ywKDm zu-jY@;Jl@2Ak3>yZ9IfEW8$TB6FBf=2Yt=abm%2!0<|NR0N7fuKctt>+tXm$0A{2F zq)@`yl+>ky=0*3_KY;p%Dah=9CIrnGAkK6x$_p@b+1c&$C&9Kyvx^GUE7~mCikcw# zlyNw1@MoYkl04UBMxOxKer$I%qsyck*RD2}NwA;8uQylixLaxfSt!2jt%38O4= zZZ#r^--<-qVr6m4bVx5_0PK0R5d@S1v-ze~_s?C)a9_3f$OI&uErO1JP>JvY(y>I- z+?5DLEu;YWFG%2H6B!y*>~)vQ51qqVBI5xM-*J0zB8So+H~ZNx9rh@VsS1P)drm$; z5Q!a#*iw7egOunoCn4aR&PX%m$)kc!;tkg~9d9);*H{Wv$9x768@-j>_M=Z#n(t8yBz#z0u ze%0Jfx{Q)&W+};$Nq!Z*);73;V1!{{J>P6y0seeJ2-RFN zfzoOPQJbb=qzM2YF(b67VyJCKFcY7&HHn4I#pWzMpE?o)O#wWIx#5kkKWS1!&Z}%L zc%5n~pwyTDE#%Gt@L$=ce{5f&OESwr3DCWCxncgrnfN=K+dDi8760AbdgeO4`r39* z9u>1}pw?rTq)B45@dKX4uFLRI9B_zZL&GtcR z*2Vlz+lW8Ufaqr$nD%XQ3K1n4A}pw-LwFEGsO)2w^MfWZWU-AC~imPz^PIwH+#G6G?l*FLH2rR+We6Wzm}V zdlb}W#AD{K56OFADCJdW=r;J!G(f|xe&>InqMf*E>5Q>J^jl8a?l!b^UH2-rJly z7bro2KqTsYJ&f;S?uO3DH3+m0l~ZIm z!^V*<$j+O_D?@it!|9@PVI8WMK2nw=T05L-e6jdN*gGQL?@USzzIAVsWqot=2=fXB-+(~S{MK% zr4+FW&E@EIYhH;OyN+S^%<)7APKN!wOP`I?*g92rQ}csq?#P zXKwzLy!|6V6{^QI{q17A2%2Z^8wb~fr zkCsk)L!pTUK%=jbJgTf*#)s5@(DCH0I(BNWDBY4v~FppTo|8!scG*l5|~S3w@dyK z0HsAuWv$Z^9eOqX_6z$ly#lt9yOZ=9EZnXc#|zlrK**>VW<*YCoa@e=RJ%)@Jbxfuch_{kT)+a?i3VEi4*-7aHActfoX!_^u|H}7r@MZ z28w}Lj8y|XRxj&+B*TP5V7i4v-05{vL9eDq4E~&+E2&?qgZ(QZEG9DY?VDH3-W_kwhVuqB?UR$I;F_)aJZx3bior z4dNpxgs2|GLA6G-mwMvhF^FEpDRQ@4~*SG|>Db5gf|SQsL1YB3sIq6}j6GMGJXS(=c3?K#X9d znaSv|KkZf(M6nRwz>TkXThl?GZz^^vNG(ZKb}T0p;dP{H$sB=#~>4OBEh$CGS`gs3{2wap5C zOE(mQR^`x?Wq5<#r4XD>jEotD0{Nug9PW3=hOUtc0{yT!KLLAxvqt3cPZQ+}2HLoj z?^u;wGH_)04Vi=w3oi0T`H3*)B)z6_iXwe~DFXBr1*!6-7UFKRJE3Fa(xmfXo*Vf( zr*y+pu-583x{tmzv$8(aC66WI9$a{PV7|=S;IJYh9Cw6Yr*#=5TO$OpIT{cKVyUvAf#bTc7;{1qTZN@jdRfnroy`G1 zv@+ey8aaAJ1i2NYvYm1xCAYPlwdLk(qlhD*a+?>x4)#}Mal3PsGphC|-@Lf3H`VwCx1I6+12bxdjM^)OQ6u4Y>L3tUV5{T9lK@br(8Z?db z%;>Ue4zJ(}1<`E9EN&`hag+2fr^BAMHPF^4AUbs{wpHfz@HcEsDKab)v|^WklL)fO zCU)C(Dc;!$DoZmixX%Ic_u>g6-bjo!)G%uQ1O z&lv@%i|IV8VcZ!xmzzvYzT7sDMc5$|r>GUHx^8}(N_sWiE4<)+mUu?Z$cd+Uo3+A+ z0js)oqW2oJuw0A{eV>RgPF5eR-y`YSez86cLqm@75?dAI^+vwA z1-T@cG`_vnG;9|PZe!$sU_8KhHd)9K6YxARMjCNc8HtnNvb}kYFxtz8T-!;Ke^&Ef zjEWuLr(YfL7q2t5~B)m@|Ti^&aWam!%manhsIo{XZ(Sz$CitdkqL$*A4Ys4Z+?uHvUIix5{x#hPoh z3*+)N7gM$FAz5*i3(fM(w$JtF2Ce)+XISxc#G|xQS2{pW(UlpHDp$%PBDIazK{I=5 zV|%B0^_iW2&8@9lH+Py_n^&%GZC>8-j=no!wG6ozyC;A?*>9l~JL_`^C&`hYLox(2 z$1EB2(+&zw;Mn)L@40`GR4cmKLD&^l=k-0|9b$ zYd5(E0po*p8O|)6vnPHq0a5kmU=4@&rWHP`2p4q6pOLYs69O(e5nrdUgU-Vk$>BET zh6-N%z{+=%6bYMdjkG{z>c{0nQ35mT*0Y@!=|=@vAU?qt+=MsSp2C|ZB(WQ*)HeuF zsErqYvZce>ns1AqP{%@Kt{YS8-VctW_ELplkfw1g41Cho3NH;6RU;wF1aj8SkBTx?(q1tD8FJ4`@+ zB8Zi9;kcUh8>eKJ!H)9JqS_5mwx?WrneOaFY+a+4vwcxS7eJe5ZHt7SA)E9v4$kp=G$@g+nKsK< zmccp#VI~(KD4YxeALMNTT1Kh##_fT(!>D@(uQDe%mw;4bl|shK{Cu38>qci?d7=Pw z(Dp||nV6(C(LM2w&>Rz^=31Ag2D$csL0=4bZJhB;h@-vHpg%xe($>ViDSCa_aV`~+ zc$DmQTr)-MrUt0Gjjp--GS3-P9s@8@o&j8FY*a7z%E=rkaZQ+^_N<~`(3pjcA)6Z0 z>x_pa#3ZfI0>;=Su!NI)JWL~pdtrdQcfwKe!nrbUjowsrcgV<3ItraKm;E(MZ=!i}%pD5@KOJ#<1o^Tb>p z{GgKpH>`S`H2bm~Xnf)>tjm6oyXY45k0i!;#)ZoI0x(tRw9 z*AX``yM3)Q4hlATxI{NQ9`As5d}BsWTda10b@XOvIn&7&-g5KmW!WJ(5yLs)cl2$Y zvk(6;$#KqknkMNs)UuF&eH%Cv3L~!|EV$4~nG15jg?EoYVB%G^%69QS z_qp=Q^ojux0{7vG4iedPCa#4rE6_1eAL^xCgv5G-Y`KebrTE?Nh~CNsf=Nvmx4~*n zxCxt6mKEqtvnOMOGkWm?uJqRp4m<3HR0uY&!cHaem@f~{zv6CxyJGAg{)}2T*o}hz z0+-{WfS2F#AeWtt-jgq+Lw3ejK^wrHyf;_^$^2Z9EV}*>!nx1zrEzChFQ!|Sp7^X2h}{D|C%=oDu!tl; zcu0lPxvu(qq#^{e`Q~j&jJ&DA(r?I|tSBuHzTK?TKSngOLVI%YJlN30qa?b-3Z+d} zDkaf#TjM}8l>2FI4ExghI=;_xP*%f_&e`^s>=^*e4>VQW-A_f^?1c7|Jv& z?txs~ErmE<|8Qd1YD6*?ArGgKES9{fg2#F}Sy zW+@`FlJK8aPR6W#yFE;h!1WzBLe)!}LCRdDuloIxF<=CG9QtO`{6MSuIw)gu*xeB} zPEGbQ{rUWVZIqE&@U_uRO>)^KNHiu}@os z=_`^A%VCmy`Lw3Mu{>Q3+ZB?Z)eqLAF4@^72ylEJfm<{Df*Ue>9hFf@ z0&8g*|BdC$s^Q^&U*R%Kope-BS8+rRsa$kE7J77l4U}Xye~BPA{6!U2v^ffCi^GeH z5pLH(O4TT}pNjh-J1`?Wmgwy7BvvQSl;)Vj6!jkyw z`GHBgnbv?Auk8}ON^v8WuN%i5&tJp-0iaOxv+gR zcIz}{@HD=auTY?^b5Sp6t6YghBDF_cS#J>w$nX@_ut7DK_1&F@kYC#P(1!8GrUV;kFPx=YSKL$CrLIQE4JA9KVBNW7Hw$!Z**6c z<@M3Maq9P9s;c_q@f~w}SNI?5%<~)6^qyt<`MbIQ`2jPCc_wGtTIpHcLE)Qsn(rom zbhxG$eDtMF76Nn4EWvFqi$m1-z0QCV#~7h+GGTlvF}mOk&ch}f5v!rr>a-GP z79sZNO2fLG;IJdP$}P(rC*0qBimsU(vVq`WiuwZDf>h=XN$r4-xzU!?$ov5muqXZn zzL^DeYC09#vmRl-1ng;JG>Z9V+f&bf@_n&-;|+`u(;Y~e;G{d;bdAmu%upi?vBh%5 za;4{+9RIkd|8nW0OP@p0&9%RdDzf(4T6H(@UG!6s|d(jI0R9sHsT}n4eSnfX&ujOnAnkbQgsCnXU0ZZ^>})_8A> zjDVx+4t(@Ry|=M#+%fLPcQXoq3Jgtc#Vq$ER!E`xW~sp`{)av3g>?IsF6RO`E=@E{ z)Z&(C*tKY!ltfmfw$d9676LBJ5*(JkchZ%JX5yD|L!y4ROb1~1BkC*SrYr;)Fz#U@O`*{Q;|eq6Q-;9T)tJqvjnscnaI?0>K18Kpd)x-1I|`N<_ewH zZ~=9JRvg~QOa+Go)y>O1?`y#4YMiUc%>g)$Yh}W#ZDt7d5Z{)pM^JJKp*nSce9+^!fO1e6b6Ey46MPVs+T}oL<*l z1*-3ORlWwr;fT5vh-yR~a-fKN>F0OS8dwq900t<``^0WSK3ona3gN6pz-X3N*U=P& zD`JXYSH*7y({fR6Q0#ko72DG7JK1?Bz#Mk#T6KpcN~k3@eM6`@hiqgwSBcpj&GYN| zdx@zinls0G5ne&Gzok>NvKgMhR0WOniJVI5J`M1v~<|>B~(mv?RM8gb*lM)Nqlqre3krJ6@(__WOI#< z9P|nU`Lzf8X2xI9z&^(y&Q0aZ`-(+0y1|s96Xbl-Jb&)ogu1PD>WFH z>LyljTBh}8IgF*lpc&WH01(rUk>k6gkomw`iihc^9=JxkXx4 ziFH@~hBcmK#^d;6NS&g;irn0o;wb7V#K=Qzy#}9uklj6X2BPl;m%^QN602aa@GJI? zf8$v*n*P5%tJP~)^+uJ}d4L7R+;h^PJKT@Ayhgdg7la+|yg-y}#2_Owb|CtEEnfqP zWaz7H?b0g>+Q3pbADw4v3ZHTR{!^rl&kV14}_tCXvD(r$tRnL$QrCOC$|gZsE=!f;V{}DQXNl zqyMLu$rczWpLZM;bUAB9w^X%uu;=KvYE{(;r9#-M`sX?D1)Qde0LH8 zi2CUuz%R*}8%|@E_U_)u)H7O+W=A#5oNYE&>f4c;{>?L~Y`%eHp=Nyd*CB6SzfY0x z1_R}Y6U-~`#bO^W|AV7zIRyC4Wn8YW@2_nQQl8U5o>wCREpH=DA&_J60Tz zNkJPbB1jTa$RLsMslPByJIHY_=LV^NJK^uMU?^D5-GT^4$!GY)=x)=f4Rpr}d@bBc zz>(N^0C=A$R|#D#obAB7w!h`Q>~SN7scn~zlEXL8urK83?fr}DKM~@}H!%wI4D#GI zj~V@V!5!2!qpJ_QcTkIQ=6w2Y9no>eNFk@6xYu!~uw_o5e@&=K4Rvin9Y!;MAR@gW zVqB+=Q;*w06U7wb&6?7M?w3~61}UxtGy2fdM>1leK!tXmR^gBB!uD&VIuJnLDS5LQ z@EPvPf@z>nIitBky;1T5yxcw~;dUe}>6E8VT#YGBLbMKk(U}K1%eQp&AzjDmpE2*$ zu7Z9O;T8~NGUT`;5{<^XuH?plf-pxv6pa(yt_HAoSGf6XHRo|EYDnPwpJtBHDk|f5 zGDwO`^M#Md7YVHP=d%xGy*Nwy9>*K5T;K2rT(<@uj}qP+_aIkFu$;fb`VjNS;sH|D zr9;?smHD81M-9u<3mEUcd?x%!g(IijLSgu|qezBHkvsC`lN6N%@5SDKM$GMG4@NRGiXQPP1lEDk>)E9? zFizVtsUM|M1;3wtNrkvA=DD-c`6DN>v5`inoND?lC!I;9!g=f=RZa@GYBT?k!FU90 zmw{S=wfwZ!&;-`9ai=^5dX4dy&V4cGxP2$U4j^=mvdNf~$)7xb5x@+BH!+}tz`qOF(0N_`llw(-Y z&pLjfr2+sQ&M7S_8GwKFAD-d?&}4j!E&TtYq=0s~Lh$~pSYGgulocGUmf#^P5;$r_ zz*oHp8|!Jw(%bKUk`VaxN%hlFve;-I&`**sd>-aPn0JJq?#(H2(3>`HG{>D?lM^+r zn?Lz&tcZ>G+8hks9c6dvpRF_-(vQ_XlV*#4yx-?vU{R(yQ{bZL1GbJK<`5HugZmM9 zcm$h{oF_1N*lK$x+{+P@IRxiD%Sm$dtAqI{;g9a9>38RUd2r?@?-Y#t)u{=+G>i95 z+vbjrqk^igzu2ZVdwauPva&}Tx{js8)a4id+`hcEv2*!p-Y)j~g0B-Gxb!4A5E!6+ z{pp+6H=F3h(DjWw)Y03Ps^C}z^`%Q&&CRW?8(Snm0!aw~5Oy+~$w#?i!I-$NdWB_R zly~|(%tx<(arK$)9aw1N8GW9qvw|_X%AX9PAhk$;mzN`fqpYrMZrtKMImI-%tnLqH zGVeH{Ld^hzBW-Q&Tq9WO9qwtDjN;SSdBXIq+wbFeAtOM|Fk$YB*G~6X40w}!L$ijF z$)I73-8?l+ii>ro%o^sp9aYNEWbAe495jrg5|OKagzo%PhtZF!T4g8kaI!zjTXw9`aThYI>q#71VP;2;#hOU7yK3Q0?Q7G^!&(pWV#!`7aI zIAyxnyn0hz#k0}pN)&+bX{O_3#LbXxdsx-+F=mgnzT1Kv7}HtjF3U)xx2g}Uf4w+w z20Ouj@dPm3G^t_J=O#bEDaon_W85~KT`?66yXonEa*S!BoXgAf6~QBTq6{F5dbi)u zO$r&LiyEYL2|3F~Q97DAB$$VaA1zxy>0A?-xwE2Lj+o~Cj%0@W499qGiz2@FHK7?^ zP3#;U(uS3XQjh%7u;6fJH6CbaFG0biX5`6#Osvk-X3c%n#1|Va7CYPlKEF-JP znzfw7na>wWVZym$$PDdd_T!9YCblFywieA|CSIW(1EImpIP_6pdINGp=fSzmIkQnE z5SV7p{vc*817p_auHD$U!o29ID^G9JPZW#XwQa?F$pCXT`+J?1jep!n+7{4(Kq2UV z4F-$MHt=D`!=7U z!^}N=8Tp6NX!>L4r3y-nB4+IqN^t=ZXZ5eG&5bKfJ5Pmp-Q|tTPvf|}?QQ3|R*{;| z+}PofC*HVbwT_Q+W>|_k^E{Qe>GP3)8(W(W=GB)aw+hU6W=&_s({w`9oju0~b898P z8j`0k3!bhD{M48}M(Py+)^k@cZxeCgpYOf#|1tOOF_K={eONd{t#+EqW)ogFvzW2oeNJ?m74T&OP_>eO1-dr1Zy1 zoa(CYyZ794&-?t2Qm1=1lG-Hd3U#_B8X^Y+0Ak!dS9Xz)iKbF553LJPaNFF!BM7Z^ z$3Z{Z`}W?$eVDtex(70*cG=Ep z;AlZf4_DLuT>}gd);#zo2yCu2WqlUPK`4D8jz*;ztdUI%&Ix6t?q4K19&EpZ!{viB zMvUkb_*M2|2gBgXGp1>_p3~dHCX!OG`e$@Es>Nt90Dt@Pvt{UHp+%7|J{K*Xc~3KE zjkfhVET!Kh%z3KK9xMlk9MJlaXq|zSApe?Hse6o zPM{`VL!EVJ3@mkElZI!~!PF|vjM@&gUTRU=qh}Arh`FNrntQ;ulN!`p-h zlzcOUe43_qi@>D#P*Z`pBfvku`%uW7q6FaN)dh)79)H{FOU%{!7Hj^|yRykR=~Xkv z8@Vt#R2H8aNZ4R}wPx*A(!URX4i0t1P7FdHWYxty8XjVS z4VuPtdpX}g*^3VC%x8LNUd7uF_EN^WDY&5PnbR72bqBrkIPr1sPy@%i6+05_l%Tc3kqH*4MP}Wh@DN?Ve$*wVw z)%z5SPW~Jfc~I^Mm5(Ex{`S7p0wEX|p5ZiwM7}G}_tZ6fw#g`Sk>KPT z6jt)~{^PxUb58~+WmXsB-KYD9-+sIo&W7%vWEDiUZagiWba6jc&oEN9gTSgAk4_OZ zS%B96$XcHq9>t4|VMHVvx-1w%g?Z?t>$HV{s#euQgJA;;l9aC`6W#WirU&wmUXd>D@-@POSv6kWh?=|E!1dT= z3VdlMIX-+KB+4eUBO!T5h$Nbs)N2^ergss#n2cje$%NBun(JN~;chbDBS?o8!`qW; zpKLJurZd+OqrZC^cBNAJ&S|ouQuCD$M^KAn=)kkL?Gz($Ky7(t>tsw+jB0Zvi zrY+Pv+(zNfGh7ae_V1w1!P*D#fbIsvtT;TqFeN=8_NesNv#D(dqvn~xNbE=9Q_h;1 zdA#v7b!&>E)~+x|oyPLoz#NfQB0yrDX+nNiI4WyGvwAj8oE|>h-+#B-`}Q74I1IiZ zIq7VDceJiSE!9C!W9)XYy72?t9el8VzxU*U`T>s)_jaEh?u#howGdU69@LT^ZA^Y= z3f5RJ?(PY(q;~BkJEa}wnRtizr$o=zXhm=efcwP7VPdyj<72Dlug4-Nn#UK9S&#eh z2>UVw&`dm_X#%^2YLuSJ!Apm86h=0xS+IKUm@HKgUqE0&kIohQ}q1Sa+Hnfw{{ z6ln#-Ap@f(^U1F^j65!cIxYDhYLkW}FH6W^!5auMiF3y_vE;8wWXq#uegZSduYG7Z zr3z*}4dqW108C-eROFbtB*s(Gu$@os_E(M-cdUaehrumgL`LD|p1dP}g;w+Qddr*h zp%k#TYfMwM4~49yOk}0_nvVT#()l_^jK{K57$4eCZ2wqRJla)uWaZ3`IS3yN+N2z< zC0t5Sw{qssH9eddn%1cAs~acoqZYfW697s;wZA@v(c+YZiakCKm&$6iCY?CTMnnf@=FM8jn-Re}3 z!gi?>Ah(2x>j#{3;$WfvtppRqty11H$@!Ym=1IjRQikg9+_uVW`!s~XP#XP?;d|qO z!=!~J;Qf>73}YLJp8nXyUyEBlXzi2^*Q5p4p)Jmm;Bc@%6skrm5aO^+n2e4qcl#Y7 zyw)y})3WcQ`8+uL>!?Y!f00f^GF8HSPyL8<@h<&7lRg3DRVpCzU11pdCo%k-JS=xV za#i)<;62%3!}xMX7>(W1)p`)R9f+ik?DAl1XJ~F^#i(r$nRogFXu0C(bdeFyo_ZP4G&Y^!2|NPSw+?oM1(G@Hf1w5wb%Q9Qy7Gi| zQ8KvG&o^*AZl8M2@#`vHOxlfHxPH{vP#)4wy$OhzPNwklOXEO24vC4wzOEeVKhAHqJupzhT8w}m)L7!1P8Rn|KgX3wsbNI-0R_h%AHaK*uqe; z6<2nuWT(=f>gY-3jLGWVhtCf2^5-8#Zn}~ZC|BDm?K@3Mf8YhQ;%MNboF4TYqQJ0r z?zgW5e~Zym+(Q^+H!QT+9?}~*7xhT2^8!u+L%{UnN}QCcu#2?0TMBzd{(z1r1|V=v zfYzu3T(Zu2SAnqlido*I1uT|AwKyFf2gES|agD`C37S|24-0^1psb@iZHVb6 zl<_MZ%Yxz>e|HM2u~g>O2tYkj=);Ba?iT(D1+WT&(B@$WQJsnVl*Xt#@$IqKE0p+e zf`dw>E$+zSvdIvZpB9E;MntG2FPsLpo!rfE@YH$~HVtCeQVy5tVydX)sN;)jm{*R} zF++1=>{F+-=+%y8YtBvBu0K8NMr4D$iTGF#Dupqve|t*#6oEMu$pGwQ9jpLDV?cUs z4tOe;RAq>~1~b3OQX(!397cC0yx18amBOz7v^{B!7Xo0%?v?DT2CmpUHUN{;KCe@->wl$syt^s>d>II|Dc|)T0 zXMf98mZmi8qV(F8HkM??4ykVJi@>j`fC!Hqn@8gERme`NJhvApGlamEYXgy*Ouu_; ztTYO^q9WV)GbXeAJ4#o$v$Ouj3u)}0?f?a}M}3--XH2&=CT^Ev;{^4XoBvEY z3p@hP$V`QC5YVrI&Z3HBld5I7$J5JJ!Az`er}CU9K&81f8-)0_tC+p{_4^+=rw{m01@ z={xN|cO#(m5b&s%F~3xTe=Az=Je9|EO2_7|lM{3620Rz=o8t<1N%X(kD+KQPe_(J; z=x-~aZT|6hZ~b%q@$hxRZN2b4s-!0A_J0zkoobEZriz8=sXhsQc4UA5Q@@wo*nG`o6(sq?IUsbUaQX z^_r->GMlczL5AU5W`EK6hu~ePe=}ii@rLqiTG)7DHlbD0(Lh5FufIX?C5N_FujkFf&c*>7Tw?2V1ln>xKTkEfrO@Y+vXWe~$ToO({#R zC%yc5b**w%T+4H#I>*;0d&x^5ObB--n0rUT}uUT|3 z8jvtq&gR4E+>zU(a%(cHgSkfB0;UD15V#>U&GM&z=6%+y#;G%SEfcuTagx2ORr$6! zXWNNbtw*^6l$8d(Yr@uTf1#d2L^+0}{ewo4o^t;&88kes6&7y+mZ)Xjea-g@I-1Ld zq;ynHLD9bT<36gU+^L;hRK4lscz7b459zV^4pJ*QMnENEBLGAXCX$_?Gw{n4Kc@_k znt}+eIa8i$F1QkOI61G;;{D`09s?9ZF!UewRg!n>R)~TjYCpKS(%NCAZ51AUtI2tP_W*LFJt4YWZ zXUMURq9+%<5iIAg34djK$wTU+=}CKUphFyYCm%o6aAS-{;&0;Dgu{~fy8C305C3o( z4C2>bv-ATpoaZTbe^(A3AHDnZ;K|d2qi>$bJbwFtM>l+F>vvsvIpT@tSfSgYXb0L1{7^Z^2mGyLR9zhExIXs_ zDzl+OleJTZV{kqgT`IF(cxDzWjq1txs z9`A@6S2sVI^pL1ESIUa6RN*LpQh(RipT2u2|6ESPr7*F6APPq>2pT{m{0xi>tXstO zlbo45)gYc$#QdqDx?MQUAQjf{sV`vjr`_^0yU)GFY>qs5<(k=a)G->#!ziym>ApVQ z@Wrj~7nj=ae>J`gSu(N&7ho1 ze4})niUR)2Sr8y$P?|Fe*o0c(sA(M84yrZL-i=C;o}Y9-80d7eXuOLP67(@)KT;>h z90U{T9+NEY-(Ha=NgJzc=EccH$|Z|oahco`seF+7fAHYnTF7+$H zN{LjM9MuMi6?Z&t!OK(UuAR!18mTp?O{$P39R0+o&S->Oyt)gB$#oMhG_BYJ5~ow- z=vI+w5?w1A0v)El--~oK9Nw>NeNnkXntF(A4|VMnqDc45h1^5cp^JA=@;!f z6tgjO?s05Yv|qqMud&799{r4bTUb40Z_rzpk24{6z-{S{kUka!XpeHQ^@G}Vlytav z1XAfIi&L$1W?v-zb#W3X)tIVEYntOs?1Q=pe<@bZbq|J1;uO+~zO{6KOEMWQo#%p- zlQXKCg`&F`7c1_CX&{1I#%v&~e*ElF^>F{a{fCG0;-94d-{7%R`zBk3@_ zBZ&kALrG)FU&Vk=`u$)5LPps&M-G2$11|)AiEZv7?#{sj>iAPA5vTs0YOluLqK*gV zQSE-`a#$Ws6ss$}*ObSs6p1-@Eo2daCmh0+?&Sbm6IlIH%zBC>}f(zI#tLYDud zb~|m_xNk?n#WutM_U`2?JM!(&PU1*DujN1!BQ14vc#qObG*J?1Qv_+|wmcAq7jzCu zvf%jFbT*L2i_B5p4a88*w29IXKun!(w(awes-$eRX2e@@YD zEON4iUr9-E1N)%w*(#1|DKc5)A3LIxVDx=HYE@-XXC}~Hp*fX6$nrXiQ3&bOSLO4M zmaxiyLcSl{`tA>3g#rt8=3L_IeT#5OO-z)6K9Rs0B4C}QCf#Cvs8g!5!Dco$GJ!Oey^CZ5M7v?f9L|0YsdH1ecJw&WbBw9Jve&#mo^+b_B&(7*fmcS zlf4a1sqlJ3#-uogK9E86YmFTWz4>7)G>2Xw_#aSMI=C_SFl zhw|57*!nEZ#NodEUwfe;I}^p9SLeoKs@KH4qWF!CqL|TH#a!KrUHyU+&V$Rd*Qu_o zLpTBM_W!}}6Pt|H)3v3*f4;o+1sQjXH>F7&lO(IBuG^@Tn0wn2sU6L6v1pkfpb`M? z^F$W~NIs|$P&Qdab^lyabjFG6sn$=W86WrL={b%|OE}zu=7Skbqd12{Mb@LU2=^w= zint&5Y`o9e!G{Oh`f9Tvwv!;wY?uOIePLXp=10%WdRjQ=wCZ{se@tPApG5P`2rN3o ztVXGKau4r}&Z5~iG}uayqI*)v1Jt7*o2ev$KJ)YLTuU*pRGcq8Vlu*hJRbD5^3Iui zXPr@{4CU5y819_ABe3)>vs?;Uej1tP03>gUTWpZi5h*H%h$Hb4mTeSdcYj%o5IQW$ z5W(DWn*znP2}w~>e+Cn6lF-p3HmDW6Fs^svmVsjkx`rZu3YiVKr(uA~lF8r#G}FjB zElMG9JVuRhx{aPQbA_3VugM#m0@2>H>~j+_fr;gU)P$q;zVozgu$TKXu`q_ptY4aM z!^O0881{_g0xM-+-QvBf9P_GE{_0(XF{-Y+S~~y zhjbsGm+I0@Br}O$=Fvog$@oB3fdmbOl+a+pcmRvUo(_~1J&m;$J^#DE#w|kD_k2DTOe>{VEoY#%VHR2=LoQ3G|ONO7T zd+3Y_z(KyOfBEpQLf3h^>CfRAI}$rVR83W~Pkb04jpGoA*j%CIqtdb>bcYG2I9mcD zGa_9yaF-qq+I^sthC0Dy(0XgP7Zrv2Jag~WXOb>z^-8S?Srfbv#}^UlnZVRzR}M*yf1Ox&+7WnJz_&3*J0V&S2%GI3qfHqb zQn+8=>^M%aC#MsQz$I7Bed4F%s8JA#7A>f21SwGh0C49$t$H($jbDW#1U!N4n(+XC zs2f%IQZhBZKS-f}OM~{fdV))WHB(eKyECph5)cQvO9>6XsskjqlEl ze+krCECtspLJ*_B$so$res6i=R6L0(1~F%#R<<6xDo*&7@)$qc)i%2W8qR!V``;=5 z%4bW2loOQkjB>1DvRJvRovZ+VJ6>2hd#1)?b*z#xzrW2FosU&&7n{uG@Z5Su=9Z>E z#ID>roz+csLvAVNI)od&VvqoptIc|af0=C!)<$#Jb*gSkQ2exYFJ26T_H}f0Y_w3D z`+228qgh>vCTC9R!^2;NrQWFH08T*-oYB3ay6-^oxt0y49neWZVBi??f~^*fP2w(ii$1NYvsZE>lW$0*omx0AC8WlAVujMXe5%uTF|E3;69z^HT z8}}6rR`iP?1P8IWvO_rgq0l|Dg6i=njZYf!Ma0AEawd6q5u+vH+C7P$P%xrOO}24v z+O#Men<6~724!spLRxZPmo{*@f6_KaOZrM|V9%HyWJ#OP-Fk%a?WpL>ytd60jkB9p=R<^O}5bTr7c6=fBvZ)E(yB} z)n3i1WRw(ML!aharyS**F9>e?J0JzBPF8TipSlrZ0!1GUth4CM-FNs`fAMp9 zGdcAk4uCvhyv&f&toJv`f8*++@JPE`5!9p`QoeM#7fIn&`MOPViq`-qPCI*Y*RjSE zbQkrMiX%VvAvHtb&S|&mopvUZ!6-7ri*8`-+>v`ATT5Ror&oE|e7 zP~#40yOA42b*lwO2T%0GTGh0ldp9fmjAEm|6l!W~f)KOlh*5g9iw(yP277dn4LEfF z#aeg6Nr{8EpV>Q6e{w+Fw+PeKe5*kYZ|ZzJk4v#)&_#{M!H3XESV;(b3e{=65BF-v zdPa6-F2;twgw@?Nedf__aspZXE!PElL16kh3QC{r5rg4=-+ z^vMf#nmNSa>6*20|M&|G8O0U|*>->T3!fUoY)$XYj%;l)e~|jS)1i)`)nQm#jg(g% zIkqF9YZ~rXy?^k)1IHJEY02(Sfh|W<&6Y4oR{yLM#t1(L&dhV7z>;L)sIl1KL=+3I z>Zg!s0NDQ%)Ds7qLbLsv6%f9up||`3&FH0Ga$6b|Wh3+2C<#4y!V`di4uSEX_%Y`S z306c(HQ>N*f9a`J;N+w(U#K$=Aj-`UtD(BeG9CDUdIN6DE8-IvO+ltcs8!aMEHDR{ zNze$YT5I53x!fucIh?HaWM^lCQ$P!Kd`!5DPWwEtUgU~?=$e}bo7&%3E_cVb0|U!> zhH*Z_g~zYMtxP(nYf|0utq6x#-`cEIQ!x?4PibA@(urs+CzDh=kgXdIB2Jr0;ll8h5flHhETxDicq^jiAMS#;pIs;xbQ;R~iE25wh>+A?6 z&^w>K0sx-otX83&W*wwyqiACBpfT+d(D)_!8MfMJ`qN#;o_6^( zTVelerCZ*SS-M6Tb~~f#;IxZY z^xk0n)}z4~fk7M4OU+@Ekk|~n@_JD!H>qWGFY}QCvs?ecTT%GW=rtles+j{}YSln2 z+C#1XIvLLuD3R_6B3^Pl_Fvu9?B(lmfBE&FzDetgOB96yp_Z|!cc{*#(~g+The9I+ z73%rqw7lb@gPlwH-!-MMP-eGjezH`nUYD}!hMm-vdfO^($BbQ5wYFKx@)vK0WECfW zk9#lwt2b-r77>7eOrbg+P{9@F@yll!1xbGU^2kymK-+3QwQK2GT_bO7OfFyNe>j;E zU(BnEZrvIEy_;#nFvl?e>P;V1QH1!sc~t`;A5B4+*?d}mBxWj&`;o*`<8x}PEO)E! zp3N7aO}WbaI!>4!6sIvii9^*cY>>huD+fT*MPTu8`Xplqll1I2>0bUfP2QMm*POHT zhe~;4Tj)Z~?#I2Fl=1Z^iC3kBe@!!dO-fi2L~;}Rsc>>1t{m9l2>0H^a^Rzmn!J(5cg(Y-;Y8QNp)q; zS0dT(VW2Pypjr>R4&Y9|EtFwkxB$Xh^$Xe^)n94l*~EB-je2K~Kc=d=xv0Jv{-Yzb~MaN0(Yq zE->$8tzNTY-9kWUtQUriXs4HPm2@PR)`P}$`p8E_0aQ8%uvj#Kf6>U*yc*y+Y?Gv@ zxF}}5DDl>c+TxCUKK;6mZVtKmz-T#IYG@0zC|I2v5O(rRtv_SH>9pftjcELUhmif(MTe?6Hl0-CWr7-+5ElGC0{ zE1E**7=L~;(0P6Q^SOd^@KC?SEc9?Q4R_FCZt6CCF^4Dd9eJ%qnYn!B&bHiQze0Ym zyvEU%r+AWLL#|{v4U2Ezh4kPHX zcL^8}+pVYCv&=H@)9z$NYdKJksOFajIGe$He5@?AUusB#qFjNyC|lu9#;veRY~ru# znS0*}j#X(8O0xL1?!t4i9K@I%NI|$G)E-R#z2t91?hGXcP3Bk9Th(NwT->fp$6Af2M*Y+l4y38>o z`f2vXe>3}C;iF{O2LG`Qyjlr&Q9kQVM8Q@#0Kn*(n3(L)Ei0fXk-U6UvIB?pxGwI2YL;uq4APMe5L4FO?DMTsglw0la`}RG< zU3@o(YEMv2%bcj8qfBkG#x3^f;L-k5J$N$Zf9NS*Jq!T+fGoF{t`vn9?!aXv;|4Z? z1=;cxx+A}`6G%LRhMl+W1R~rE7Y8&Q)(t3u)cj6B3@Vqdc?-L3jO@n>l$BS-uWZ;5 zHF-hS!6J+-q2s(`j>eX-h|WfN%M6>xSXg(-BF!5)9;m4E!v9U-#i;w5*W>5Q57a!X zf0?L~Ts-7kJ^kO52d^<=(JvlXGUigW$53 z&zsvqwf#x&jY}8`7$*aJ$ym8^Pk$<*nIqTvpm#ixLWMjJCsG`$FMxbmAUfxu@P#S{ zKv_+J`_Gm}Al%-Ozt@*Zk|hk-;-Qs8!BC*Pqa8MN+DYSD>kq&2OAPw8&Ff%qf58}w zdTZ--_sCkgZwNyUbB6RU43hCS6Rk0fDHffVkUtTYdQZ+Q*sFkbdhJ!AbSKeHbkpvN zuowox+|D$*qDC`pL{pgR*p9Hu_$FL*hRb05;xLI^EjdgI1+~IK zytyNb^s1&1j|(G#BxqbX3SH-|e=s$O;xQMYnQ%p6tXn|`0jlg0dBH=0Z6j?7jTSR` z+$l}xPYc=}83liQ%p|GtYzDF?I;$LZgzYcOP+X^V;S=fV!9QAa>JZy1J4Oxb;=Nl_ zU%H#&BVxvo(bp0sP+ok)SOj*;tk#T>i5ITZy=_@F%kRsja-X+7?usnee*$3wf9L2X z{AOD&>?B@sBp@Oaxep7BCBK&2a$hoJW1LkV1khA+Qo$-NyWw6%6qF-A2W0GBnx2 z#f#ic(G_kH_n#d+d{FJae{;WjcyP3jMaq@;N76RyjRiB*>BXGomR*=Nonaf8X?UJd zgN55dR7YJy`67uG)FAqSd;5-N5rfNVsUnocBPgJjTJB{9WA6=qzq4=H;2HPD_vZW? z{L=;;PY&a_M=zX2?_cP}u*D2KGoe+UdKcfmaGu53xvu~=uY!EF&>eAPWw*RSc1ee5BIEXg5#)BH1* zM&ZovBAN{_Sua%XLgGb6h_C^eoYmqtAr&IOxB4jTyLCG}jL$84n0)81tTmY>Hk81u zJR$aJLkv`_dUUE-zIM+f=ydP^vom!G9VPC_bv0AS+@m>~en?SFW>{xAZ|% ze}-SiZOkIDFu`(!J63_f#g=yjvG0C+GFaN|mAm(E2z>e$qj1~WE|)ZkL!wxaCgi*Dnb79S^UkPa#GbOSwGZ-!%vTZ@kw0KDh{DUq9I{el zj&lN`$&Y*I%(OLgwKbyPoH%^%7g*|>Sv#oXlOf5d$9ey|SPf^@U^fCLeoHr%XP z{iH285Z0k)kUFT^;4%&Z;E$d&5!JVc>I791iHVtex6I+w4ZO^v1tkzZjhm5q0jj6!^45irG^b-N=Ej_Z5idU(lW0&S&U zHh(N3{CT%6+@faWWI1ODmgA9kiR6w4YuqRN<#L62fwyYl?ANIEtdH#J@f0P^aB{Ao zf7m8`AMPW%YpC$5Wd2om?N!wLla+KK7VE^rUGM`xj2}4DzurMY$LEgAw6p4!?dpP_ zE{{eGHfz@NSJ2B|M1;&CtcR#K&~pG(3_=qWjr}QMEO?zCJIC;3Ft@bi5OU?zxK)`D z^X0}u5L%Ngl32F+w|F>XDAUJC#!_ZTf3qBtHJ0~UxcH$tEvQ#4*7i6$B`86qZyi*R zcaIL<+m}O8e=&CE zLSwKvM!euJZ+)RG9%$_l*{C=ayM(w-GY2gGqjDTo%$RH`LPoN760Zl&AVeMlFe#2+ z-r!0_k(x8v69zUDGS?||hv*OGJmDbk#GA2IV7GBhJ`z5z&SvudX^7x94AJo74m_H4 z(2DzXPn20C1e>+4BuQkTA9&uHYFGe17GTrz)QDd)H^RPhaSJw0p zYRBpq;q2UKI|XQ)F`tYv!M94H=K21E>V8w+Y4uqcjRIqAIeNvH&vFQ&{mZ6pkie_x z2fuKW4)t_7?`VAMRPnM_GzdCspI&Vk>8Wz!HT9L9!bEb1cRKDYmZoxXf3pUfjVfkA z#TW95*;CXfB~x=wHRH3ol=e5jZ|idcSau;LGylW)H6d-TU%Tp*VP|pl@k|oLtho(( zT0Jyp5$@~LMCmM)`>h7`k)Q3uNPv2#KD9>DUtL_{D(e*|b66mi#e;{1WqyTIkfKc}xKBiCsw_@gl`@sjKJ7xQ8V~G;Q{3(=B zMQb~GHh|Ldo?<}6G@U-1K#Ja~Lk!K4tQfOo_?F>~2qdtSMW^WNeAst(!ii?!3J3^m zp^zpp3I14gh{+W(NE`Zj=m^J930DIuT@$h4lg2aU>kCI{Z3KuSf6!?J3&GGxLy?|E z+@=HvH4MfoBBJW4jsZT90i-(1DAosQPOJ_=H4g4K09tGSwaN#sV(hmGcr^ptkh7PC z{SLxq)d*@0Kx+(pUW986O29;{>i}HcMUn$fQK&N{SNB8aJTJW0MRT>v9w8QXXDVx1 zd~asL_){BqY04OGf6VUyaM;W#z6x3_VX+`^uv0$l8u-|tYJuP5|EY$+rJ3`lIt|=SNiRNxzZehH$mzuaw#rO}maZ>D0}ve=g#JWEGv)4f*lOl6e!L zj{o|{{BXRz!>2U<>sNnFJ0>rC$z(;q<@io*`ml_wBdyZ(RmwncbG|n8x_6J4=i2(S z!5BWeLxi2=*W&~Tn3sZ_&i-!+VY4ZL@+T@D^)2Zl!qd9BxNb%O#BB zhom`u=46uGe}1R;7Zm+ZR-hz;A_G}yD0(`5F-#4k7{c+483+sH!XV)e6}>BlC`z`3PM!l_dS#&A>yJA*rXOk&p8W6|&rBTJN9+zS~<(#U*X zmGKoye~udua+O1Kl4#I%wD_=EPP5DC5b45z2VBnFh>}+v| z*mnlu+CudB*?(TJnOUnWWiAXWmuFqNU*t=*e=o6gsZeYYi9P755GpZ(kr?`H@{wO2 zotlpQn+-<8Nm4vc2L(LRXf&H4oSzDYY&$KUJNyOB!%!e>=%U-o?F7n2CfC%2ZQM%8 z?+BCPfJq=PUCkt_wj!x>AW+6Sbmh${yqOl_nxBjozJe?X;f>0+h&do~`-QZup`bt!D&O zWhW)=%0=gt-7&Kc7Ps!0KbkI_b-09Orok7-S@X&m5m|ipm8~z~Trxj1ipa(=WEeFs zT2eMS9?lYr)i1z18R+g1CjowsBb(YZHGy8i2b6FU#Rx>w)VZsvjZv6HyiQFJU2ERq zfQ4=1SjAxsH0j389C1i3e_>~s(&j9U?G5Q*T_1I9N?~aC^s`yNgUUrHHR=v5Y{HA5 z_Wym8spV|7nQ}D0T|K{gT)13C_FaLwjTxH~ld+ewcus$2cGZGTmH)Ly# za~iW+Ei%`J-M8p}SZ!JYv1+V|TiOXBQB* zfO$&YMC6T!p)>;Z*MjqJ5EBUt$pR8?5nB9r4I@9}FrN+_w++g?cMy%x9J;1;0|Rv>efpm2dxr7uh4=IUXmoIYS>iUikN4 zOtOMzegJ*Vf0^RZE9A5C-3w#OCE;XV^n&yYIEjtk%~gslhI(?w9R|{Jz;xSkc^r~V znUUYx4$s+s4pCcZ3ct|hTw4|JMZdnslK;GINzn>hYiGQ-n$P%wYnBcC<(pgIjRKoL zcawIR6pGoNz`=O9e9tk+XnOKW-^1&@g7?IAue&pte@`B`n-sN$MK;DH(c@bm0By&l5!1eg8aetrX;+=M?|ll`}K-HwKC zaV_bSf7PC8ujcDA`9(9#J;p75yV|b0@*JlUFDQ0EpSLu~9PiaXjov`t6Hhv`1t?JA z9}_=!vXlh0y9AF8j`ofo$~4>R-Q8!0`wybu0gk|xzfV~DCumLPtO&ztI zmN3EwKw~x8JjZYmV;D+5_E;bmY0Q0mzhx-xmOR?c64_2gRE}ud ze=D_r1j}RKbc`60yAA}JNWdT)ST}t)L2gL^SOPMNURP-TGTuo|YA0~XwsOg<5pTjm zGi)eQz>DndNMvuAd@-mNfoApQj+)mh=37S0`_$cAkz9A?X5=<{$b}T~Akt64NVR%n zJ0P@&7h8FPZ43WE-OIMRunCKu>Txx*f04IA61iK90jOTeT19W(1926ahDDrg3spn) zqT$2RxJSyVdRmh6Thya)ZsR&o3B1DgJC$fe~Aq3 zznV;SBzNx5-t_0dl}_T{J&z|SlNAHu@uK*-@}0W4A??C&c173AD$cjLiF*6>YjdjORym^o;!%o}0by;tm|6h#^J#^bE0A6~&Xe*5MPe`*tkdA}v9ucr0C{R&qS@Q~TgXu@%lKl}Dav zc^MC_lTkl)iM_??@OW7rf48e+44*pQuKb7X`|`L#(~5f`?SKpG2dvf z*hNr7+e#YM$L>G0MyoK(lY~0q;|7?^k6O}5Ud%Q7CYI$?PHE+|&&b#^*)2L=w;O5= zG@H@PTGOCVI@WD}thC(isjvMhm=f-z|o`1ns7Eflxl(~ za>w1yKZ@iXF?uy~SGFa0`6J{vh!x~iMHC%VnLQs5g7%Lre^*ki+pG#NstKn`!qEym! zx~YxeTcG?!GIl$VWf=~%5Ce|JV~`y$Qe21}$A@$5jrxc&Z>LWkMY1M3U)-^hN5VKM z?#>AhY!FN)e=Y($Avhc+N)U{n5zN)`bc8Z)UmM$ioH{|VdjGsCN3QljI}6|?t~lb@R;oaDE^f_ zTk>BWuUIu{JY6;`!l50v&sBF5O{EM$PYu0uVd`)gMvh2*gTp*m4ezh@sYzcHnCdD~jIYZNg|PB1XBYmc&v(jZB-a!f`98LIE%43mzQS$e>1&C7WU{ ze=b05MbFhlDJFlY-%W_4GSh^?#FYSxs8fUwB4v!B5^6EYr4Xy%Qo|n-85rAU1JM}v zBIGYqOTIw%`h`M!7jSojDq;iZ7_#elJBU$RNJ?gO%bL*%l3@u7S5a#>>f~UzBhWPN z*WfE0&YoizLDq}zAeHMcVpTTnv4M}af5l4&WGlH2!NsK3yugrQD3$jZaiZ)_TCNg? zjiWf4>riF5E#H$xTfbShz8bM7MJH9Kn0q4r<=^98+C6#Q{qN=9`Y}HWFbB?KD1Lck z{8thV-QI#whJ+maPa5m2$44rTK3sIE3&fM_LOjK*4o^41B4_K6-R}Lx$aHtwe`3*< z((-KqbdsV$_cSU>FawfA@hds%C7G99 zej&96qWg*^2lrbeh3Ou;==v#V(W0{<Qg1fA}J zIv|$exNRe>w)-jFDhrn^&&c69f7cw^ZI8$;+TBpWA}fTW2v8p6~|PQBIF15)xab+aa-61`LnnZ zW7$>9!5DpZL~b6IBAIMPLje^%q=(#MCXW1*cf%JpzN{2GCEXm00CHIUf8Ggajw1?z zq;|Ao9*NRn(e90EjqFbP`fO6%d0t}qlu_3g^h%Q*G#VHr;X3S5(dvdV1a zhFQm$Q%p!FO3>Dul^=c~e>Yohm~=a?ganaadECOTcr{ctLNWt&67&skE10+#LF>>e z=Guf)9~xs76$%b51F0aff1|a83yC_^l>=#G zp0)!mqi`J?6jp`HZ%dInh%L0~F9HVn1|d7G9s^AlNhwShBGbm>VmY&|TcIVM`K}>^ z=eEocR|ch2W<;!K*jER?DF&C$a*h9qLqAwM^nH zmCr~Q5q-pxwtCU+?7X95hJPh=nzQ6?QX3(u~9U{ z1#}xT?Hpq3ne5N82FuyXkK~K>){cByi{z5m@X86>c78R{gdwTu%8${V2dgsrMjVoJ z8-^wb(YYEM0#33qt7Biln40pk2%6a)LZHZYg;7oj@5%1G<)k3#CgMl)+LOyBoD*z) z_7la{uh|B&fAV7084JY-loUIfRB7aq2nRVa^tG?a8NI64*g5da`Ex|*E$>!yVcnUFemSpV&UFwt7N9x1DXZd(=}bYy7)?--?_e-X@#MPBU|f5A&(7&H z8Vplfk~w=+kD_Y4NFHI+e;Q6f*+aot3yLTn5ciUu2FHh< zIsewi0Og$!NWR(Exk-VYf_h#A7drx2#?R_!s3C-CB7~`yIPPepBosysfp+wc4@1pq z_Qk-~Q3Y2?k{DQQjRDrY`?l;;rff0zxV?As)2KYyF@-IgwidL`!c0RC5KRrh-E^AX z=dI9{e^&}Lu8ZnTlXgU!;Ui4M5*cY-+AJ~|Zev7#41?l`R1yla%$CD-8(;Q&>PIVy zp#qL6#R1E~Fx0dVS=)d6WWoJKF$t}9jP{$ z1th=H6}|9@)%J-^O6yamXl?)q6fiTFuCWNPf4=UI%on^|k$Gzvp#5KdCKO-F)R_O| zGrk^o*{s<&Pfgf7qgMu?ZMve-aGr%!Q%(}->S550?w|=1_tjvVJtuSXHrT&?^gw1 ze`5pVKCFet)V;s^?ZcI9N>K(+G%Lc=Eyr2g`9-xxAImC^b#hG>dw=)wHx6mJwTv7O zrr5BDovca11Dp@WXP5er(yRrggpt!2s^{K+8Vb=tAhm1F6(Z#E_+2$g6ygTRz4ots ziIHMo*YU!WPvq1<)Ttms{=Flf2JdJ&W<}MWu6cEXFaCNRns6%MYMuj=GH^# z!eiOm0Bt~$zqf?QlWBD^bu{s#PZ1oZ;d3;ml~A=#hdRdCeyoE4r6;rtktk63-?ws33VfK3gIZQpgEV3e67UTWB+BkXW1s#U$o9 z`hTCy>Bd-N0;bv1?Y2>v6D9@52QfC;g;*Ts%NvW>sSBBV0_(WO$@2YxC{PS>GnhOZ zTx|mto)7&pp*uT{GD0sjLkj(I$ION-8F85Wr|EnPl02V&j#*^Q0dY@l7@=YV#mhRy zWFd@woeNKoAeOQ7Y0Q)jF>sIK{8l^*GJh(52s5e7QZSvZrVy05?oHX&S{?Sh(?GVd z=)YpD+LH>6s)#oGakyCEoB+e^y=~vit|yA!Ya`47(*x?1Y~e7plF%NJE3>Po&%;>Z z_8SQcmZQhS&hfd*J$O#w0FUnZmKE!%C+oJpmyB87Ai(TsGfZw?5EL4HrUC+PAAf-D zVMEP`BY#irxHm5v-VA5{s#q3gm^}-B5@Uw=t(~%{Q=6}%t?gu`e6z!QYcdWb2J zA~HwbP3xr}Bf_)jSiy2X>~h4-3{CZTvC_U7gep$rkaK)3E(i z#U?j*uJ3K%t=X(!|0czki5kcG#eZSjSnOAr%Af<7&4BQrd!6)*$f=gk3mK|TdO`Kf zcHwcZD%A`fjZ&NG{`NDF_>J%ib#;#>*c&64u36^j83ef@&6&wEEJD10ASpD5)AsuNXwW=bE%0GoJ+Q8G!twpj2Up#-D;FcKBSNeNK9dGWWRsqfij_qu>%R(hDuWdCGGuHOnH)~_@&z5QoC$A7?vjGHm+a!Bz{ zelBZly`FB?atCXD*`hkvp;y)Z(Bpelp-4P;n(@OfAhxw;Qf7-~{%x@lQotY4YEvc6 zb?T1k#3_z@oXpA*zd|{h1|NL_LVj7(?3nn%0xRc#e>|AlS23u?UC>#nerf#A!*NmXzn6MWzCYhc8XOk65Pjg zX1WWz+d>>ogJ(HNd*O~-I{Btfo8yXh4SrfFh4cq*I?)`U);*8@=JUa<*CMOOJ;K+E zvmUhqcCUNPuYYNtO0yr@+w)u??0@V9R&qR>_PyPQFaN<8Xd%MG0lYct=r`&pY7nju zBVkIT6-8qA*FjkQ@=FYU@j3xujL$}V4SwOJ>q~EhT~b$#dx54>7VXf_n0H<@!^^wZ zkc9E`Xyle{1~XqwZ<3S&0G3qPkCxS?{IeM^wg|7a4u9|g5#_QPOiVDuTraLaZdaHn zVr>`xQ%nD3*kuE_0j6ZzP}0Plzr6JYf=ltULfLFmU4~ zG1f}}9;0ScVoqF~O=72MbaXbK_C3=?#tUVj9i`zBT#HdsSLVqhS5Wy)Ork|CtAcnL zK-o$}RDV9c!yrhT4VCA}XqylbgZrFVTAetH?d>}1jBhVe7Z(FVnL)gx>_&Wxx;p*J^_Qjd6AXJh;|* zDTFHE_mUk8=?ZMeQX3~eGAeEQ&W&^ue=#)_4pIdJj3L6eeNO!OWX*>ulFp_(qsV{h!smq#(X#XFKf zz}JqaD5yFrLG^3EV`eM&%(Ho^5%u!< zJ%8LJH3?u$-5%)+h~A-N3C)lPAs6BTsj%QLVjJ;$cO$!DDCIi&227 z*64g%V+?h&dJ1JBj9w0ZJNkVfk5r6q|H#yWTVGdc>^crdyWK1m11?ry67U-WvplWW zYKkbE9e`bj3afK9vmIYQK(ZUrGH3) z>@kj_d+me0y+_sl-d^?o!GoiB4BjpT^FZ#OfBG@a6$*C(KWCffz zt|Z{-cn_(S$T#d)rqU@WR~qd_8xc4=)ZbR~sun2Gz2xCkv67#LJ0EG`@85d)!{KPe zkL*q7b6ulb4AlU`>vE_ocZW-V@pFsK z#)>+fF!BcDN+JV=^6qA(Z)@6|fGNF~^WvJ$N<8Ic7v{U=nm4IN9Lb?Fedp%ua^p~) zfh#{pz7@q3t7_Yt_M*nCUOG7{EPbV?AC~{5w;T1-YFn9k-tNchzmE4`b!=H115UJ4$?0 zPVu>h+Z6f}Oz0zHt+9N{lJ5SukM@J8b3dayE~l0VEBwyTA7ZbD- zUdD+KkToFlWV}Gm?+$yBn}32rzu9#5bg(>|+h#H9{bfn6Gjp1N8XX1gDO$5 zgH}Jrcm`BXW@H(^$(HXLj*N$oi-e|{c4)kki{Y^-gIOTbi6sM3FMloJL^BM8&o|`| zV*jLxQ;$(hmZbd#m(C>|k{-VH+?_P5OqFet1DyAFu9@x}_)lxZpmO zjl&U;>mOT-=zw-`-04BTM?!@7m@I*akqhOI>l7}M4eJin-5zN6)Xam7$Rx*DG-&*S_EQHZy=U*(zn$q1vd9$>uEx*b4xbDU$96GZnAkut(SOQCorYihva;s$+R{!M zy3RB*9jmc|p4+dHs+R+K)m|r416s1z)qC5Z4YACbBY0GKi(=Uva&P};N>E%8iVez! zGSkp#(5&*av4S91mw4Ak)ts4u1=Acgo*HU#FJx8wHY2X9)kXA+S=Ng$k&nqKsEUQ>G2Dp}aM`fvQ0lbgh!~JUa!Gotkj(?eb+mKzd;|TCtGB&< z6|O<;y@`rsNM)WaEZACY`*mG0tgU@bPH3E|JcMo1DpvDw?nV6rH?_?Bb;i_@>L9Pd z{{D?JIDcgR%@=CI4g@aizkOkW3F5A5`9|OU|BGR75r68~R09{+QN43r^Z3>o!54Nh zk83ZpRO{C-q(|VnquW&r(ee-rrPRox zJ#+tq4I=qTBIyU65#(na24!1ij#N5506}J-s`OiG0hijHjjuF&qe2Vs+2~?1bIZTi zH{aipKW5Wh&fGWeU{sO~b`tuoCvK%1ShRJ~QMQx&*4<7)gcXTSI=4|cH$SmJW0Dl7 z$bWC@R_#3Jc^?%-X~>IUenzyx);&SZB3Kgl?>DO*v;8+wWaz&PUUIwlboBebz8TM+ zV{YRJuQ~n7tW_y%y0d>1OjoY_>2v`IKWfXW$m(*B@toCaDQm^i5I#3K^rp;h&5*)d zVKl^Mz;cca)Z_WzNe z$83w6*qkjU<{d@M%Ti^AaRN~)StM&~wR+0a%ARMmU$@b3l0Dq1Fq`^klhv%1c$Nz+ zPswYU0*t?V1CqHj|JxP0XcpEGLI!~|s()IjxU4INk}tif(w7iDAy7v~pcazYq<>VP z;(i)dX(6qBg=95h$$H5EL1=QmJM~n6Kg)g=-NU-G7&oK@tkitD;RNg@!rqh2%JC# ztF*X(;YLy;P&|A3c6VmakgL1=Bd+PtZml&hvv?M!e$cNpsvlg#Y;XVXHyB~=b%O@} zw{8HMRKVx|E``s zek3n_XiMy$x1Z$cqy4L}`fA_QE%fQ5Ms6=2$-i}*`;A_gX(v4X^>*3$bU#Na=vB&; zRzp&u_sV#u#g-u%y^2^EMt?qaPnY@`4cQs=b>P>x@D=y7b85QT)-nJLO$_tfpY9)3 zhex}6-_S{$TFxY6Qr&NL5?fP+)2bP<^tjU{#EDwj%g%?2x~d~VW*_hkFOQ9tb_~BWB%t{S48$M~@HD0#eCO-hNxY6vYbU)M-7soT&mxreOqkRD_{NGh!b;dGc=c z?`sZE1qDaPmYv)6{J3#>|0WGN0l=zLf?*;&EGG}rEhX!x!Fuz-*2BNIFa zsVAeH-1$)1=6tBvLw`P@;cfb=tQ?D2Scpw=R?iC39vLZrN<>G8DknH_taCotxs(~;Zrm8~y!=befB%zwv^nQeQ{_jQaT1Eyk$ z0HoTeT&!lDSd8?=GLpx9yK<&IL9SeMu(3z z9T5U^ckBLpxql_GwdpWkIYK^MGrF7XFn=3Fkj>A#b6$yDrTS{Jz!bzw0Q4yqm{Ib^ zz2t1xCxg_CM5G()M4Gvwria#T{WSzLXlF6xdOU&`RcB7Cei)zqAgFJYh1FfFmTKlB zS+zXFuUNbCYf8pmvXey|b*xku293jpj@lKHdFx^jq3XnsX) zN4aD4YRuc<$GFwyRqFUks0RqUbGruVm12Z7K7Xyz^kOg%I;ycx$t~z?F`zS~{mu~B zPb>fY=1zsdY#kuYn03qR4@CvkmiY;{9-maspkGk-x5C8K;0Y3xZ_qb5rIoXw8>;{d zv!PQ&lEOS_)-aKMmPPsC5$NGYvgIO-Gndp# zc(cMtxkZweeEh_l4v%(^_TG_4B`}NPi+`0=g!E*A@8;kogG)n)N{HU!N8%7}!$(oq zGP1#sWykjUY;k*rer4-R4JJ3(Cdmvj5*N&O0xCwI2wPCGl8UoP)(?7!8u4HV``Zai zfvFWNw2*;v*EC$Q^THjo#@s_d6AYZHq^eBe&vI3xqZ9zz_je<6FwSmqIssBvB!6fI zUFjZ{C_xa^y?;FPL+FYFy4@3gQqHt443?S|Ewwh(x;7R}9qy!!U>Ft3e&o^a(<5Bw zrjWlATV%O0!{YLa5))by%rd_~RiR^C_JUVBxP7dRt z{>)x0o>j||BgzVzsJf4MM9|gib$_aowKnJbs1&0TN0e6D=pB}k++n)X)F zQf-5orAQ^sWe)t1W?3U?!)hrw&1U_vtUot!5}KpEMI&Yv`{?1*XBu}Q`+rGw+t9qu$c&MQ7nV*|#&kaD^pFXjoQ?gd zqKNtfqa!AR4*D(YprvYRHGktc1V+m9ZS`02qMRBxu0=VLvpiWUFU3>Zh1o2NYL=b+ z3{N9*=4ytvo7ejjQ5_W3h+4ySnq9iu1b$xcnVubtwfE%=>5rZc#!zW&OaEIhI`e)o ze*Mv4Ty_1``n4B1$`J*Bvaau;bSf8nozcb|jns*|blLf(Mh5+(34e?kdFOwhcl^~g z$i+^tySU*&{%ujjTkDO>;79eo0`WtSTau!A!i{ErYD_!s7gj`(bNaaT64>sr%;~LR zbvS_tuI0&ZjI0`BM`?mvryy=vG0^_MUNqCZEGqEdd2v-ih;+6)cZcFMA6C@hELL9q zfR31nKB4Jc*InQtK!4NmPPHvEIt$;4oP5>SokP?XpEjJFSD7FVhgSbsm5pxGgr`7( z&Byl{U_x6msF$+%M%n{92vbbxSk5`8q-FskeM{Br((9f7h&b=>-rV|U!FgeP<*(oL zz4F@sl9vN$Z~I469Qh)1Fq zCelCz>D+QBdGVI;Zf%jFaNatjGa=lnRtxti_sK3zcziNL;4`Rv z_uT36Q%x|%MGv7sw1ntppP3~6qZ+#`TTkon!=zn>r+-qH(Wb@(6xb|Isjyg{GM+1P zaCZWs*F9N{ikcvy7V@_4Shcyty|E2P02YtW(#k6rBXuqo^U8f4OY!c&hP?^&!%?oV z)&(e)HU(}bILB%y*lL!dG1ryrU}GGG73~U(5o)Gq)5Ve;8u`|hz~sM&yLr}owYtzNq!+kJ6{iLS(_0d{*akAk1{$yqm9)>?CRD4M}@8iwXQy%GAP zeSncly~mY^wY>YlMzW$YG({^XMpxAy0{6oY^nbs`XBfKC)GOfjN~^UqAWUS2;lvSH zDh`AR+Kc&buCy(WH?0TAGZ=iLC(=@~SS`|IXt!`oWhhy4f959`_T+W#kbU_Vf1+F{ z%J389vqtUM(DI>nR9aO1!(O;@cVk{yI-bRt9ksf-4(QGqF9iq?g;uH`%3``HFJIY# zY=8JEOy7Qd=@i?$OBj9)@OS)xJKOR_w+tFnw_}IapizGqmx@xOa=i5O0s8gV8N*t{ z(DU1A$!e}Xja6_Qq`_LpV=0aawMcvHj!AeeCQYe969{rUL)%jn1`hB0t!qQ{Mj*GJ zwPRjalzS>4C4*m`-pRnxl#48Si`~oyLw{ry@?df{HjoFI`Bi2L#RGWS7oKd!;B)^R zO;6f;gQ1xN_I#sd8J5rOHJ231<3u+_iHG@@(@r7D9LKzw?pe?ml=P{|=)dSQLY^wL zqXk`n#ZtjBt}t!3cCu_OY+kx-%P}!JUfjOx$+RP@BCJGU#%?lDmN#*GNB+J!z<-_p z{TrntYS|<5qh?8==^2Ng-wFTurZP!!3@s&GNDld@h_@bIa!(kqfS;F7ttDLt+3eY9 zTmBOzkDt}qwWh>z1-e~Ig&kRWRKj>G%(VTaM%G?W>7b{}8zPR1QxRkcq9EScX>37k zw}c3&rdtEU&MH9#KZz>gwpNa|wSRXyjI-Uj7k;rLN8nepm<@U)k8t<=6}z48MUQCJ zP^u<$x@IUk_j2aVec&pUE7l^{%3mPuejU#M-#U&+?uDM;Lt|B%{=zGeLX&w$xConW zooT(FU1LbEBQ(?E-Ou~LEUFYate`lG+t~#za6sRSIXk^Rx^?$gpRF>vh z8}EO9pu5^3zwVp7Ryqze(5)Wvn~OySS@X9ncB^w;q5|Z8$`dUS4k@l4ZUC^Oa%6$@ zEp5w{Em6*;W-k^A>NAKH!$l|qwaM1P`qvfz#L;o{pkL)w?pCQdf0cjo@Z8ab7hq-eLe_LI2o8+SAQ;LC5jF^wpv{n ztAwLxqGbN`??$>dVM8_Tk>6QSU$w^2<73pk~AVsjk0Lj_e` z*zTnb<~Xa8fsqt^_Bgob!$sax8PGs=@wFoUKtnZs0$z8M7sWWp>Yb$H~u;Tj>4i<F=uXDCQq21Ty z1<6QJ|2zetDB%r;SgEAr#zSTv86eL!iVN-P0for(80cAeI(Ozv#hOye?__7OlZ&ej zf{=K2@8jNRFfwEv_k8j)+BFihN68`vcjUE&xY>p?Iwqdj@)rc<7c5MOX|CpgL!QAK!GD`)ArYttQQTNiY|NIm4R2K@N07P z<^nHA+lEa2@ginTM~geBa&j>SVI%~$Edl!rXCex*Gk8LK)I0LL-IV-y$C9(Ljn1`!ndo^_rV-4ucuWGT;zc|IRO{ zH_%}0-l-P+1)YOijk*M^bGYmx`%o%PC^%D=+kbA$dVl(-%nSXYp%CFxM-Y2P9(2xP zI(0^Nv7NcI1CSm3EaY zTKlF!%C|kAc1CIVP`cuVKw0N5by-eB98RC>Ylmc=s|3prG8lJuzP0jTldcSDN5V-i z=a($i4I;>J8}4s!j61%nkd zxSRC1Pxuv#8|ObCz5JI>xIXNj?2>!2+>`wXOlN<56owxVCvh)ZtJ;$V3uK2tcmW)M z8WjBRweQmSF~vI@oE!RcHqyi$`HWt_=t{ykxD;3PeHep-PQh2SsQVr}MDAiAJbyks zs&@DG_74xa^%}WkI7*Ismk3`=oA%++?$NVD^~?#&=*;69JQMN;%)FsjA^OsAs+hiG|s^p2793QNcNEP9i^mp{}f{}Jw#XS#}7N&J!O z&}?0z|74|r4PTgFxZfk)2m7oDv-jt4b>au@j~EN1AIagU%+oYY+U4bw?BD-=_t zRYn0c9e++vc|wQ#b3C1^B%t!i&RjG>)_6f60p_^|d1(OLfx zZ4?50>+r%^&WM*rku!{;WkaLKf>h`?{ZM0}%HG6fI6D=*i*6v7BMS{?=r|2cXGdf(u z;fNNB${1Y)!?tYK#WDjBTR!x|-Ky@72~*I)hKzn&AsRewWZ=%Y3$qSA&~zMrvj7*7 zW;yq~BZTKk`rvfAwbkG2LEjc+p|#E_gG)RBg;io+j?XUj7j6OO+FEs(MY23mrQ@C4 ztKTbxB~7H(fDkrFN`JS+;oyAG7s25VmiVNHU<4<;P|a$mSRSL!ICMO_y$4lA2^+Rk z#7r434xDS#aTeth9ov+QE%w@Ocrqb#uni$_Y)>>1m&Clj3MC1~k$?oNiQFcZn`(Y5 zm&%A}*f#Cr7f}gVC$)nxFZ!nMi@YWBOJqV$sDSrVaa1N2vs?RD8OUjz) zuZ6$F{G!*r&JCgtsm@~1F2^cGy1J+I&c>7_CMW^98F@*nJBY{HC&+o}{Yl@S40W$r zb7*loJYG5hcXEn2h(9K9fuXGL`*+NQd9|XF6jF(7=hfDEbV5nFYuTe!*Y|b4Yw}q<90l~2p?)4^RvF>I^ znnun*vVDHrBu>2#Fd4D<)YFLM6Yc)E8vFWG)89+N>9(vH?1;>aWjPCi1P$Pbn;0C% z$|Tx2qnQowp%b@6C@f8N_SuA02&vv+5C#uKOh3`3w12z~ZG#OFNa@3YO60O*&$2%@ z5-dVi4WR0eZv8O*%6I&l*E*3goqsHgm4zAHD?Hi##qP~BB!G)x$BB58n+tna{jM#E z(cbu{7-66GOYAeR$T=1zx-dC20y9LSZIe7eF|62kdX3ao5eqKt*4O4ih zOo(0Xn!H4(rjOLf14uOZQ}H-zsM#y#fZS6mjDJ`1XK-PVm`x2jM5@LJsuszW0S+bg z7=Tuk$ttQ#kb{sr${L|3l2i_^JOs~_tCQ8?AFX(q1(WtpLR5*dXnduU7%n6i@20<@ z+lhl92od}@W%)Y76FAhs9;KTVGls71*_6~qlpz3LnNo&9Nc>5+;HRY`&wa}Aus#eLx1$+ zQ&Ork4!dzTBnl_XjdEW@uAtB~B7RL|*n*IUZNRORfsO60n+ zwmmqPTf7nbv8iG@YZUav34DKQbANwq!48xV6FF|sq&=8b6%{s9jpl9P>xfKb>3l-T$zSa;hJ5jl_1RKP(wuzIr zCz|zKnZ{&y)~ruWNALJeXCbs5<^8CYjkQ}Z)zY4XR)lx)Hc;Nv$4gMWyWA=p(d zp!T*^ywKVWcsA7ydEx}hG!HCY4p*V&D6XApNWoHa$Hbz7KSp;|LY+{ocf&s5=5%QAqEeTqI zWI!(D80&|lq)A}R{sOntY%q*t3TCjs0I-9_KTS^4D1xMrDgiHd+JE~zyxhk7=O(Gc zlMWMy*6W?1h^LzFR_rs!95W401vceZs5Z~aw2B6qwx_cX2oJ}d*JBxBC+@!ba`awd zjkJl3virjmVPK(O7HZUeN}kG@(iP(Sv5wS~C^M61VcE|!VOb?=lyoBbqk`xSxeS$d zsKw5ONdh?a!Iw&c8hYR6mBQvZbjv>lV zrE>!HaUy7vl&_|=eX{bHU?NO@z(e9VGl{Z&gMI|bMiJu{++UcBlDIiu9|4}wl_Sk5 zS5mS95>efg!&g$vzo*O7fkM?LU3G-T%eQcVu>qzGFs_Yo(tjO|YgxXsMtUQnUA7_h z0Hu?UMmJ%X{td`zmP>c6O7lz=;slbfaknlQgXK0s8uoIw2&fP>DD~@f6UvPy2}1<6 zGR-y;(&a&&u>^;N317wSE0!U_I${fHa*q6!1e{~bM2H(KAf7tp_H+p8h-v|FvOB)V zPb!uO{Qn^oNPkSc{j4%@eKcg)J2=65RuMcvYAmYGOk;^QiG$#ijdBY;eo_u-!NGeG zsE^p{G>P3Pb!F9+zpw7kt-Gui7kHCj{a`xMpd1!nThGM-3V9C!@^ev($0CPPxNHeI zyd6X&3ABxb9r_-NC8;b@V4!>BZ*0o25mfk*tsiO%tbgPAMI@~XI2$uhefsDPIkyOO z(H*tNO&I}=-c!JbaP-d5?Bn?W$yn9&By|z)Q89sdM2LM01yO3-P#I_PC%ccoaY!bY z5rd*>KT0L0jZ1CXq)O8Zk_?oX4J!)r$Pbh&w)l=bG%M&Od3DBqTUJvQx4%*f@pNF} zJ+ivBwtrBZ^`=yp`H*2f>kiR&8QTZP2votrYeVU0J-D>Ye#GY{#;fXF8*6;)ImI?j(wmjK3>dQerRuYgzP) zBVv^n5d;UwM;7OunO;Aw)KKfun>OV5G=21wKX3~epQ?miP6IiE_Zy}VmArJnwtV}KCv=DT4C$*DEY1u-E zcYmhT+7ZS~io{HDf3SEcM&c7|4-ppTU(oD&$?cPF^SU!Q?L^DFAVHz(7})`9w~}bl z&#;QjgF>ynH_t-1Iebf|-;_gLrsgc9P|s^y7HF^zyIV#x=#(dGtSY-4wdDpy!Bq_@ z-wH!InyReb*Y+o8W4T<+o~XWr&lOb~Sbvpls>7v)LIS3=nuU~G1l!R#Fc7?18J*MF z=OV;S&pE2Ag6l4?9mQ3Nk#{H;MH2buVopEopt}15QJXcna?G3^>a|NcKH#WS$fvV7 zY>J4=6GMx<^=zpsLQNI;YA}qX=$)7wPEUHbZi}LDOCViZGJpz#9ZA=3v44m@Ra+~OC|CiG zF1#k#q_##P=_J7H6koOp*$s>UDY}CpsP(l8>wo095_+$X;(ZNxY3$swY9?uoOGg7L z&B)I>5sY3-(_KVG)X)kck!t`9Ab+2%%#2vqMy2J-97F3B@*&4M=_o`{%w^HK(kL;Y zw2O^((QGmb684pBGR9hVlN8kuaR29OAXLDGkmR?EdLdH$yT;{~J-wKUs%g>ILv zyX^Q`X#eE4v1H7)80^i#z2ruBFQt%2b#U6H9ZOih=0(G6Z{%f z3I-KIUGN(+;}piiPWTPvMXqAe^O4wLA-10X1U1cS>j*a_eLBFqx8aG1>x2Ny(JP*Y z9K>t?e?Jhqdx*w#y#MG2LU)fJF+V4opP}~BG=o#esx1C`5CpU_#tEju<(Yi+Q-Ffz z+q*9(*E5dGigpe6jR|bvLVrJnTsyiTIsf^R6Kw55t#Ey%d!^xb{=*XsJYb?3#`mcXs7Zhs@^kbF8YCfTz? z8hJSH=)9BUKvAh=`GB;w<%6uasA(vAxfDq2dEAm5<+*ekd{C72Jpq`n1>S_x?c|5Wc-at zDu1dQ;EV*I=J`nUbgR+eIKaFi^Z7G!=3F|q>?UE6B(cUh)_;Wpn-Ft|al0+ssLi6O zK$a<>YbDG!28)byI+~$DPKHXxyvh>FHci`$@)MMg6Kw==giAnmtr~dY!}LiEzA)ss z7`guP))&bBHilG+)oF+3x>IH#vt!ZX#Xz#7f)`->^7F5v!XX!MviBwOnXK5_nI+x01 zS^Uqa+pRxDDN+eDAoZIfhbZ$n#7gkY@BZEXMKc^QV1L2>p_>KMTj3qO6DFBwIoiU! zsMvIiCL3J`q8$keV$h+sUKLPvQ-s^=n9XC5TnQSHMlA|JY@kRwHna$5StKa19?j^` zU%u(s(4eW%tf*hOnapF!&r77ZOqSwgCjYDIk&%l1<>o%gA@?n%SuLF5r$1D5eKbL0 z2igSvw|^a*g^fjZE74KCXHqjo*|Qoi@L#`?9GGLqKX9XPMC9~QxJbCwJ(;e){A+!( z>h9!O(GbOYnr}*ITm!!La{pD$$o>K% z$$ir4c)z&-`l{%xb0J`N+|GAgD--O=v~`adrO4qh^lKc+|3~M8`%MSv3w+X`$aTmU za(`R{0ezi`;WG}}YK%uo>dhe|n=Mh52a=x-3q$Ts^=tOr)%tD!JZV$g8XI zrWuc6l4CTuF-B7SZJDPS<|y7;Z$x4=88N`hr`AN{l*4q4;d+A$({Y7O69(Hq_ZjYN z;H$D6jt6s=bO%pf{)gWM_2)nKS$EMj0Druo;vvNT>VbX(YHy?oQC-N-U$&~**?(w( z`s7^Wm`Vc91YB_C>!6@+M90hsvNRM`m;q6`{CPnBj}|lU2UQlYayzWDHfF~omwk}0 z>UU3go&NVgTfNHF$q`g@Jk&ITWi(T?ZC?fSoT@2_#H@`8T8HkPv0!>#Qc%u1eUd_7 zu2vdColh8$so7Jv^!ZcsDC3Hmp;^y?0AwVv;Ez$8~rK*^u=?ZgH<*)+*9M+ znB#x(hVJ-vc4d2=v54M(r$iu{x)6V@?mowNR<+r67;{(f5T(-5E#m@nUvnm{_1af+ z*q^ihgH05F zfmWcnepW}G)0^c188yju@_(zkXWf8#oCYl7EReJZ&@Z)d>37a~q&&I8!j4J)PYhR5 zAd_hLKbE*MNmh48lUVGz&$_e17o%(@wQ8Bap**5S6bkx9fABo^=GvKxT2xxI;O?wG zo?))PywlN${#C$i{cpaw^?%*&I9{hC`3qm96Fwe!Ab&0FpkPL4@gY(pu+0tRDj7M$ zNi`dtElgWjG*BWN3EH1lUxk!Ik!pW>woIQwe}@$wfLQbS&UIxXPK#l@l-bN3ImZR{d2Vv>!f z98&`mvS+)KzMe}|2!BH?bH}jUwxL%P@oI*z%j;=4Z|{@F=@GQ#2+9RCvJh|@w}T>) z@4h%aK9+sBBl(U9qTE&r=xim7wU{A1(Hk(x$TJ+m+L_^o&35GN{#2c)Vo+AOcI$vw z*@_#ZR6Rrwf!@jm320PWK4XiN-ZW%GUm%f98jajUDOQB~XF5KZcY@K;1L2s($Nl|)8*669srXwq; zmzY)l$xR!kTZP=aEy^j@-o$V+S1YhFKq^$SDaYephu8=41_q{>i|AQ@)db*Lzuhk# zucKWfB`W<{Lw}=C#4h0=8tQ$`KHWP>qT&kGL0j;q)n0S2>0rd(5iUjyTv0-%APT(w zDSl0herXlhcq<8GqQ27fK)9{2%4NvO7RSx)2dR<}cR zNQBZHVRFc=E0yV_ZO5Aw>S$rkmFC1~wT{MBgNt3L*zxV)uceD_>_c_N^IP=4q>881 zSE{8sR$AN^we8+VeF-Y^*Q?6ON1(cGb>#p5|IJ+sm{i4;P7g5-*NCJwaYHaW(f#5h zb~iJyn18UFB?uZ(QABjjhW$GIxHHqx(|6Jj5aT8&Iv@-TDo+_eVL%Z<9s&^`JOn{j z6p)9E14Ldb0s>}?sNh!Bt*TpftNJlBRebCB2~FMZzs{{Xb?VePbqg&C}% z&VSGRWii={JY}mdqCP>tyA<4QaBMntiP<(I?j8 zSo{#tK&2B}TO*<6L#gny!IVx_fwZXN0s6{@L;Mbyqq=`_AlT3hR( z$s7c$%Zy@ZW=2NBrDZ6z3miFN;t1;hZ7-`FD=n@B#gQ1b%$NXyG)!cwg5|MtD+sG! zr>m+(i(lbEV};uWp*3BSi9L%^5Z;+i!csRw?aD#KNC?~vNZfm2=sX%T=QZrHwUdp- zY3L$!b}-3fSYs+v1E+wRH}Ens9DhzilO)oPuWe($S9v+~0he;c4X6huO2DA|8G_@t z;oL!B==${Q6}<7Do1HwDIcn9w*c1qrdca9qONO44?k;k5-A>_m#IGL>J5K~`pFMZHuxk)>c1Si&$kX)X`Za5i^|6n}V6+MnRCL3PWFI)Vv%bEAScm$C9PI}zPqz>v^n z8xP>|0{rwJqSb4z%?oI_`Rko8Zk%$g#PiFGhJ0mZN>qNqm zUCVjnJDEZSL|D)QQDjZ3+KiAl!3&v{ zb=7t#h)U zmVMw!iqL~@a&F5yJ{uC}HIPXZoSC(+K6*9;6KEkEY z=XQZ4%m;?oaTenRm@aS*8IY>RLfY}95d9c35*Z_M1oXHZEo8uO7EgzsvR^qLT^YGu z&KGEujMCXv6n}pWt+}@DOjy(9;%8kp62&fx6+2g6YkKfY(qd7(vq#7!oh^DhYx-u# z83gUVSU5P!nEQAvY#U6HdBR&RZxLWeX3LFP#BIyQf9DGJCQL??Ipm4{W{xdzhsWQ@ z?s)<{#hd`?`(PIo78~%?LiKAr`Eqv@?j8;!QT7YT6MxJm117F3$PH0P>&22Po}!V( zS#tLBnnF;XewRtKi{Ftub7Dr#VQzB<4L9(B64tF%cW`nh2Ti~%(16uEg z%ag#?o*m*YeUJ!MMs;PH*nF}r%^Q1_@l)BlCm9+N#BP{1M=Uv~Fk5Ai=vnr`CP{BZ z^dN^2CVyir-f9^Fh*S}BxMBc)K!Lw)gD-25_0}uR^r?wBgt78PZn&_UE*U!l3 zVimHWGRqVi7bvdVkH};oWnGGrsfh_w!)Q-be9DuB65fZiLF-gXUyheUUCAD8+ zJu++Jf-8+HBq}xkM)rhv$DKHvb1u&&B>?Y9bdSPQ14e%d+8=N1b@xr^{u^$S<^Q!0 z%Gr}yy8NZg*;10`5^9LpK7n(R%ac~p?S#q3(TCkm+~=`yg~XO)$Bxh&8TN=!BS67E zT<{)*=>7u+{*Gt|*oOuQ|D%QC@Yf$T}99U4!%S9$u2?a zX;u?V0EG+l-mvMX#*ba)z0A;%Al!fLK$*6sM=0A?WOSsHM$U(?W{E7y7epkDmQfQ@ zRdD_ZQH3i!DMdlC9C>4ndW^l*=xzJ}EGd++1t>95t11N6ieHk-g+?M69q|W0Iffbg zFF=1g4_r*%_by--7c$855p$t<2E|F*;d6Fk1~B62Rs?FRs7~4q{I3!)QC`@OZaz9a zfOgn}!x`9$=X8NCTA(mN2N8B*-k)(AAPo32S~BQYv9RzXI1&KNArvD!-@yBkla?Y4 zQ`+03$9)O*O(nl*d6}RAtF{$VUp%c z8QLNyZuGjwo_lXQu|)V5Xt1;4Mag>tST}t1XzBULS#{q$k7x5ra=G*46kPAIy7al0VCTvb<%&# ztJACv&UZpGu9GnoWdJVWf`d&Hcu1z&S>e#M<8%(`5!NQ02-&z(fpM^%Y{h%uZs%}g z1j<$!IdE{9!4wy|lf!{z0LaRaEak!nR+N;?I8jR6qb)8h z`Lel$8B?BZa;zBHM2TrIvW4<8n}C0?M0y!s_z>t}-GXVLcU6XAX!b80;#p^jGxN+n zO52V2+dB_B3tJ!iw5bT43ZU%rZY>f7Pp(~)jyZD#aVCEfodZFu zxbg7v60A8`&eQK?D0fm%!vzOH9a$9NAyLko@{Emu6TreB$eBdGG0@(~E4VXX3jx%k z#CImyCHjBv)-3?*$Y$^F9+`^a-RqGk46V`+^lbk*c4T&lvkp&Kq9S?w2^wDxRn5Ik ziPG*07+OXa24pkuMJ!-g*e`#*cgl7FQTS$E&_p=W*Pho7oiyR7!UTDuitJL?z@)k* zmsACyJAPIkK{6v2;nylQXFGk+2Dj395%~r~SmoV)E-$8hi^J=>P*jZUjv^H-K|RfI&uYt{~hXG&d+opkCWGQ)FSU5 zPwU^!^(A=!=Kt0EzI1oi4lp8{kmk>CQK2{R&!-(u(o zz}kjujT?mD0iijRr>w_#L7P%?gco^0IMrQ>AMUhV z19%@c8B7jUk6xLO9A372P-00xlulrxz3b$Xh}kk^J48!oGXHKRmz(mBzSKUWkqBKb+h+hP4U~+%dWj`2;WFxhN=>={?7rz;9 zoPZ@*@4E*;3HFdj$p+b`?eA)tU&H$Y(T?YLj2bkpBsjWcUDurjvB{3aPbGZFK7ZUJ z*vq_sZjrZ3ux}S)LB>5stg32sxfe)MpOE)@ z$;RjSR@^h)Rqz&iOY++F{~}tMyxFx^sJ*zmm0Pn4HaGoDzL41EJ=x{2TC##flTWWso-T=J65cUmm$bGXOuGe@=sN)7{ZNhJ|-S4dQPm!6nM;r@xBZ}SgbO0QfA zGojGE(o z{nqbvs_AUpZQL2Cw5rU&uu$AIqv3y8!mLb%5`jKuYDn6u4%~&7VIXS49Oi*kG7^Bh zZvcfn1puJ|hTZ*kIQDni1`0?EFtT0^D4`S&D+RsNxUCJ8rZNF6Iu*XUvyoBIdyKo< zP9;^H)X)leFTlta3VNII+tz~uzJ~u(u-lDW+5m=mo}%4n3~W0Gu>w!gONW0e;QwmC zFqvw=Fzcw94x%urAq}%11^c~mN9)0OT?1pb?{pz?3k)>+w|)JQjZ~4Sz^HihR=cLL zLQg^OGWxZhQnZm$$ln^hTMr5MUjn3LZisrNb{MgWuU3rHlcQQb!iO9+pjeCx%-PTA z+qTUS=`(6I0<4Bn&;e}`B;wQJM% z17;j5t2SC=k+Hj|qo>n@C>29VRcLrg!b+Ef%@Xte%1|Z+3%mP`zUh)-Rwf=Tfr<1= ztVBt=(kv;r;&E$OEKyMsgCTjT9wpawxxOTL?e!(sl;Xd8_QW58*Oz~E!GD+HzkBw? zAA;9jxx-Uj)^X|gJ6&A@{=0@xw|#wylkET65=7>oN{X-hF8pels&VL{3g|bUTRi`-g(8c$t9fIwC;&8v5&fnFzvHmz&;_XeR*u0B%oK$ znCda^C?2`#=&n_M?@fP3??JGKL3|H`a1Vp-9%c@>*Vh{bu?|Xyk`-op5CZa*`Af?N zp`bA{1@QKcI-wMA!j#dwRpLD{w@xYEq`z)`UnSo7%_o%NJ^9$)MwNIY{(3|yUem#k zmEtw7|57R5sR6mQDNeM<2bPyd@zyk#{9l;Ry;`H@n*akZzF;%(VG_Dz*|FD`D_s1olV8@4IM zTVH!}?Q6>Lepi1yepXHW2EVtzaYuo8@mP7xjQXlVskE8Q3B6#}7+ug)caGHsJ?8II zW@>^yI=(>{^vU{0UC>8fI@_QL`jaIi=4yf-IqK|cP0+JvKfFc@bid-`2S(Ly^?Umm zH`>rqGaet*H)@9ZCoPzoIwhdygj+skkxIA&FTbr4uJ(VxR+Vs%PCBX*ZtnDl#wmn5 zyl0#`xZfAAShs98fE!@+@7-h2Jt;Gp8gxs4p7lyFa>;M|4r~ed?ZpqxKRaf--`m^h zWm|0?uqs2baG;MB$-ue~sel_TV50>bv;aXHQoR4nQ)2;I*!Y8umVwj;Lg8p8UKy$a zE5{!ik_>-U@$ku^g#4CuwsHCCC(c`EGZ&9|^1O9ce`MtO>+Fr?pD#Rbot^$-$D;Gr z+3FQzUOaD|J#}`=;`7wmy~SG(oM_nL_x2;VLzr$Py%dgnXyV+3I&fEw9l2nq2HYp7 zexL<+O6@@{xa;0HtY;j~ex?O?{kW4_aO+=x`~`mcBny z%xo>VN4L+@g1hg_=e6Lz@ZQF$yENb~fBPLRxI4yf*Mhshezz9f*`J=(g1htMb6RkZ z&L2HZ2kwl2tb0la?u(ziqXqYWmv7aA`}T%yT5#vQxm^qH&e1!x;GUYgM+@%X8=JJ? z&Rl=6UkmPMCl6}D-Lv(F4BU#^4Ll(xSyX`rv-QNnm4uJ z9$mgu3+~BDd$r)!)b7`UyXU#XT5vx;GOu0-?vvA2&eDPV&Ad&udoA>Ci z!Sh;hw>F>Cg8RmjofCB6&e^<63+|o?OW)9e+q8J47ThmJyru>B)SlP1;J&bSjTYRx zn#cDxYQX(^)I=?~4^Mkd3-0FE*J;5WGk3EV+_5X((SrN&q(&{cCq^ICf?NCbAw7Te zetJ?1?njNyT5#9YEF00J0e8v4m$l%2_~qKKb>P;$zE=zG&P{r7H|+dO3+}3~_2919 zH0qoV+(!;Qp#^u!{Iv~xb@)x+AOEZl+^zHGXu%zG`q}w9aJR48s0H`iqaSF&9e?`G z=XKydJ*IAf4&1-)S@nVzdRM=q1^0j0^ur5v;C_7am=@fK%{p*Lk8Juv2kvWU&wZ%__pK+6kKCuje|&b-BRX*FHa%6N19yMdicjX~ zz+L#&*ajWAk3aLx^Ez;AkJK*EfjjeWGqm7-F@J>?+(Y|b(So~V#e{`AaQ97{t_An4 zXP?u8duG&PEx4)TclzQA-iXS@ganm8cx2N%I8#i}u zlUzuzu3u1dL;=#R#amW>u?QgbGI|Q{ibDRS4FjGtMqng*Bpa$sSc$U#)zp zQ5DvwpQ*z7@8WMpk6!qZ-#d_GC9;D(z^|%8QIym)QW*;LgL!QrgPDKvKsXsnL@Hqh zqWtjvisww6wCE!gK$Zjku6ugj-D?0zq>~G7<)M0YaCa3qH?DjUz}=E9xtBF80qcLK zT&aGtLxzeEe6Zp5qkivw#=UvwCSeW>R%cR`K|4EG3%XeM-1~aFxU=}!*N=V%y13cs zQ^?vb0C3-wTD5?$O>BQStrYOoL(4u_2zXcVnfWgtJH{{<4x<_tilzLaa5zM`3P;!$ zLgQOA8|sd$eCY|_tX-}YaK^4?wSXh)r#C4Docr(#Y5^NYEjXwSuv6W&hAOC+uQ6^{ z1J!ZNmSJO zp;koCnpZzR(ZPSH@fd&BNKRLqmahJ;loq?mhnf%}pKN`$u00qP<3TNil*f|Ebezl- zq=RYkl~OY1KC=3)_C~$On5bud>|TAb1YnNpqzV2MX%e0?^v#*|`M>C!t~AWSA2$g} zkagH5Un%9APv)H4UTnmTs1iB`XA-eA{u8W}uJ%VC{D^;gJM-9z0~f)9oFmlC3jB!V z23!)(C&H#({J(TH`cNaH<#f}8<_^YqkMXEtT2Lxr<|CPf(r*5)?q;9eY>T_u^Paxg z80j(oq=cS`RTZd+r7JT~v|80^`%f}7HvpD!8hoe$QSs7=b%2CF8$*>55sy`dtl_ah zhLvXB{oQ|=1|Mob{V!X;d6@^+w5`=CSi1scDw4EP5`o4(VdUC|FGsBE0P7r%)=O8! zN}4jNXgfpGsyT;?+OXFq&GNVzNh7G4ubzDS5_Mu5ehekj@udA{H(xT7fc0H~RDhhv zH~P9!?wk4E{z=6Ow^g(VEUO}J2C9=*+6rgN=?8z`;Ly+z80BC2yYOv3)Q0HU|4u`5 zJEP76M70un5O`>M6uW! zoU#(#Qur@lA_Vg`6CUgiWT^PShaMn;Hhy^IX#-GW{Es@$sm8yls+vhazyAy11GEAvPKFpPzB6 zgYlfln5AxPs;y)?6poudM3C#BMEDc218K|g4IlajB6Y=U>)tCirh0%>RIR5Z?2ge! z!F;x9Ec2JanRK`N>~=)jf`+vRIvB$YOW7Dg2^>fzLPJdS3GhvK=bw;`Y^CM>#eaXB zc%d=I16I2xq0FiUj7Vm+0wR!SY?)tMWQ_6{f6*YS>;mIKBdz9SV?{AzI-j6A1;jsX z@YxNh_v4>#TU2EH#TcfJA3!&DUlD&RG1N?^;feqYf^G7ZQNcFrz_)YStK|ZoBSX$P zi($x+bI>CExcSxjlZ&)!EJ!3&OQ>NUFa#}sr1r4F1p)wsYzrZxvlzj8ZlI0z|7yItBdAbK6wA5SY@!eM)&s4+`J=E?=_Q6R8kr4%l ziXb@=l-bhX)$A)HBEQpxKAXNy?SQfc{$-p0(7&#{5P!Xk=l=juO9u!pp4VP}76AZZ zlm!4#O9KQH00;;O0GoU#myH(zjREPG8yEo}1qA2x`OUXK7y)qH3f4b^if`ai@<#QS zx%2@Ze?E23`=ABSl805~Rs0&Dw*$ukPjdG>b#;N{N)M0c9^^Uw(1TbGKZpX6f*~La zT2ART2rCM&9|Z~*%Ykt{yVom1vRS3~ zQirpZ`2u4DYm3f!0a#IoCKfW3;4D6Da+WhEj}5$AVHW>tRSEA1^U@kbYo~@Fbv)%mXQK9vmxLza8-zEy#n$k@+~6@XbRdyqAr}z(t4?G1IDaS ze`4MyT57M)VaJE5^}6UE|D2`l8o9cTu)~=~-X(GrE00iNSLBFamT9Q0S=f~n?zd=> z_sIQ#0vfV10uh5$=`_!FSb*TPn@vAuKlj29$U7sD>-U% z%mE|pN-p!QfG~huV8p;u`y|^`AbrNaf6)o2>Y7UK%n{>MU720GBX&4dS6)>8Elho= zmFn*D@&z%IFj4HtQE+W#wWnHDaAg)8bV5!5dC9;)hEA;Jcf0(=cwyH;;$bqDtn@CC zn00+9Mp#f#*cEjy`)zc>KJVe)(xHp{ze1EvQE@@oozd`FhqpFNV5%7631qipdUA}gE`qbqc4>vE@ z2V{&mT8dcYJQs>yZf8>7O#og<<2hlRs*p3}nkl5tY zAv84*!wZ(mZ874l%jESY0sT5%e;&JeP_dm@n9R-xY#UU<6{uhOOYoRXpM~SiPOF=W zq0|HX>w}k`K$QySFQusi4PtA0ukM`<9V}UZ+klO-Z@^48GJX+L$AiA%Z^hv$MMBId7O<+wCe>T3~cVQ*?-X#<_3B8cG4lbBz&Kn7}q7MsdSlyOzv#i#h z!fEW>le>iUciQWC6`Nvj-T@~s6i?xvtIrF*o z4yM^MAVj!(+ipy;x7qR6ch2$z5VHc>54LL!xGAeiHKgAkHIic|$q{vdxuu>BVWxE9X&k8H`0Zf1TNQ%NTc?QU_s2<;{?U zr88STjGS}CPMtXEi)>&z6)wk3?{I`>O&LMQLQF?M6A;$}128zhOd*vRn_WK;Ao8=K zW_3E(<}gV=)F8ph&yv*RQUNMIn@w(s#>x!dCX{JCV^#)Zy-Ng5AIdoUhvGkH`}uU* zfpi2O&G$XMf5@c=ye3GzasSz`h_i_JOh>j9ZCVqR&XuB-ABGl4xKcFb;_WpLFFC8) zxvGXn65ww?^?V4Kxmod=GOXbC1L7hpxXXs2L-Ssh$4M|cw4SS^bW=5*Hg_19_lBDb zMlkSa&T2XNlbIQ$e+Rp`6LUi=e~D3|$+DU`vo(Tp z55jD@!n0a$d5DDF_GvFVo)KDvXS&v|5xD8#-hxRps3Un0QTuIvVvHg!@W8la&r+mS zm-VTkQUFz?T`!jWOPnB0);7>0Mg?hwb$wU1h#;*b(by)iDoAU&Eo~7n1!=n{{wXFw zn)lk;e~7)+i5d$XV^KnuB5gUbJj2c`KN~jgPl-(Nh75^Bf6jsoAQP4z?I1GcO-l)| ze*LnEBif;k>zO(E0j%7@P)ev(=aaU$7T0>1c28tgdA2naJm3I+YVvV(ck?7qwVyvD z5tKWjPAfbSV1#IfqK*bLl(Y!ZTKyx6?X3vWf2Nm*j-7Bx?>mGlclKQ_Q7U??_Lyhd zUJu=0kYU}OI8cTa+Tg@#v0F9c&Ma}-TuVFoVN{%!P}&s&x+e^A+UBnQTdp>(JSc3- z7Ozc{A7|`~7!)pjPe+pzX7onv)b*65szCqVw3_oJxIJ;=G*xEaeMr-1Xw#I<3id3G ze@y-C0&SKIQd%+>Q8#7TVAN{Hve6I;L#-Acs#FL~nG9XnaVP51=8T+55GDUgjvecO z@Q$TnTiU;VikGm>Hq`XnFj|=_W5uNmwc5H*MIVo;R*P4pZ1cEkwGHjqfEBfxydyz} zl{CIj%=TSO@$quBwV`!tioQ;{fTdGQExy{pj9E4mSBIg_e|D;z zS_%}J3xc2&K<0K&y@*<+VMvIF5xoe!huH4isfP!>>yuf z)@WQf7R|pyEbRs4SShi-Pb9<-`rBYNZN@6C%!;PXuPLLQIns-vX-i9=tl;A`ZF{Hk zQnZ)=HEqpvWBqoR0VAikn?nLr)HbkN_e;E}Ep|{oF6L<0x`%V*AfG_Be``%mQU9JT zT|1BH$P+Szb*7@iT<-Xv(oL?FF>MAPuUxAz)a64FK2y1-J{kV^K#fkBmV0_Vc=?!& zpTGF!Q!@P{a-#gKtbz{+#q6G+6)p1HMEL4pfrC}0TGr;c6qz8N8>vp0Py^(d$t*E2 z9npjnspV=LsKI^^a=1Pue~hxREA-fgsqZ`ds<%19k7ltZMra|r9vEdapI`ln$D6SVGl}UURMWO`<(Fy;Vm!=rfYG<*xsA6Tr*mv_ zsJJqz?D{=K?p8Qff3Yv-kW=)@Y8gd}qYhW1q2=_(j;n9;PgBi{*!s4;=v_!Xvgq4t zD|!ur4?$y3wD$zon0{!E`d&}pP(LwSkg|UC))8Mc#OHp4*;+oa6@BlMe-69%F8}u9 zA2S=s70W!l?LhmiWVH*h9q97g0lgEa1AVSqH-Lf(+JT-@ zmp=ssF83Fv(ar$gfdkM5EN~*M$a@HDWvcJ#&2|RgbOWcAhwF>`ZXT2ssEfzrXD=QS zOIxZo0d;>{SukQWqvwKA1`h2aJ8YK<>)&q~PUwBcqRwA&o_5h}IpMM47;~nxV zgpXgMk}24`sXw|9QWbgRQXdm}+bun|6f1^qkk-`~zhmm*xV=qX6nTCutA-a~i^23~ zKK}G8fGjEpGuG{Y@O{zmSa}jquH4_K>kwG}K1j3Ne?gDp7er*+-i63YJ3c==cg3E3 zx4&gdF`l@2xjX`O3>OcVM|X(a3+X%fZvBb8r5E1s15kW%f$P%acTQmQ0 z^1&F-)3RW}-`$m5P&0nXo3rF~H<${_vl` zzx}og^UZ*x+k=SNN-TtyTyPb5-T&J48F^z0e{FUFG))2z9sUF?`Inx=%F;@ZJ<2>d z^XuHUXEP6^akqma7W1H?v@k|QUkLg5U126?dJe>rUvH^Z4_d``R|ljDdOm+lJz}^5 zCUaBwVK2+|J$iSY^lv0XKR64q15~-m-yc8O*&txs*!E?0wm7o54Ks$|VaV2VeV6>T ze;^f8l<8)y_}Fgw_-05@y2H{?Unlg40T0uKOeD$6C8C;Db3!%;V(Vm9W@qL=G>)9M z+CX!2^Uegz{XV%No_P{cGv%4KScXJtP3$_fqk>RJB40Ub0MEeTvFkH%e+T6An=Y>e4jym7yD}|wa#eVE5#^B`-vbZP zvV@2!za6P9lv1K0hP;#OsfcfX@V|)O;#@9yx6gop;4v}W6BuJ5;uFZagL@+F}1R(T^$uTH0i{qlgJB_lEt9=Y&v^gzyx7<8!%8lzDu+k_7h=t zj5#8S>;OZngSl1#e(2(L$K}P#r=G4vQKMoVl)Kqfm5#;Kzh#qCQcCLuIC4s9{eT}_ z&)_*yC&_kseijeMS20v(&NxsZf0jP^hyhv02_HvciH=)Oa-tTRrx&@VAJSpBqO>Fl z(tD`*jvmTK(-%n+W|#E=`6zx#UQ$U%NU%MJ{IXs)SOCuoZmcHK{fCO-O9dSk_&D8N z-5$Pp=tcOi+*-?h-zPSYgTk<;Y3~xM({uSN#s`rBtu*cyR=r#wf=t7Me~{;9#9?LghE=B^S5p0Le4hlN+AOx6oMMZh?-27>-d7?F)kb}+ zzJf!x&8rYR{3os?7$bK=t4~xJ&BDVq$VeiL_7JtkUKVoq%t zw4Iyw=mRco$ESUF6?W`Ee`EkOXre1&ZBBp?dE`+kN04{*h&(D+##R!XXe!@Fj7>>1 zo3`hAmYlI>&i#I}WtQ5jws%DdUGhKw>}M{Ye|qn;pAoS>Jw?h6|Nq*e^e)>nFZn>{GsTd zqvwDbpi!H>xxR7*_MyxtB6OJ~6e;xqVjQPPr3pBu#x#Qf4*o2RQp&_^sRK1ol^Ljs zfaB$4GX=>Qs7#090xT1=7e0AoJ?iUgCk!{KVPqxOmcqZ}1>b+^>1zH;bZvBk02DGY zJKhDX4k9V2;lgbEe`IDb&8b%Uove|P;-kWLtuT)wIvbQi4)Z8suRl?Qr5I&vZy|S_ z4r)LB*{8&&QM%{Er5)X#@98mq)9Z7YF#-2+W*+^eQfdjZ*#pRVl$QiI@fG9OiUAlgYQ-8>O+7*T5VcA(G- zBWj^dIthD|D9(R$2c8m&%=rs&Wo*7w_R-+qLg^K_1}bcVKff5-F%13wO~j|?APCWo zrZS)0b{I~{f42k9hes6RNoj5^c3@Ml)Y-x069H@0%4tpXt~DFEhTDsU`M&Ul((FO< z;B_Yv_d|Ct;y5XG9nz-34m1PV?v1(*8xB?LH0nYFTjVCV1)2kY=L)uM&}hV&Gs5C( zi1eAGSX|^Kg1-wc(-kDu`uLb_E6DmiqIvKj+{$$6f4f9@SML=62j3I@mg@sDx_k|C z8Y)asNgd%6l}de?v2gEGnnw>}r|c%42fmDtV?rEph|7d4j-Z zf6mbFd%C>v0Et)q=H4H(d3={6iCXwFsO*`0c6a)v_s0TbE)0rBwH*Y%y%V=(Lm*I2)I|5hiQLavRc))iXyb zt)_GJyqyL=F`FTH=necG!GT9YMORNRf94Jc`7HSmkJi@PtkiwpBT~kEr?5@JyF`1Y zCR&Um5lZW?Lmk*uLEoZ)D2WxSpy4+D2F{^jpH{@dOuUaH%@P#p;zvJ>|GU|gqvA#$AfCuWD*(C7h_1?d7sckQ5$gu=Kae*TJt&soh^ zN=GKgQQfngWRK-Ic3#AXL0btnb|!yut>0LzovEn~;*}@ZIYR}lB@!Gf(l|fY0~Y|; zh33)~yshT`xN{-Ok8IE$3^=p+f03b;lhG(Y^2UF88RmLc7A27to}d_~;@UdkFG zg5Ww}7YK>Q)bTE71}igjrfmSy%R#_7UM%*tg@qgw2bzB1W!tpHB0y4YKYAnYC99~G{bTZRg3bl8Vcd(8zys8k{3qs81Wz3nqn6}Jf8A`d33+O?S6)$O zY~=5ujzkj9TpYDuIN*t|-P+_LIBNFuPs#l5Oci|wD_m5j>iX>qaP8z@)AiKVV*%R- z#O;btwiAO2I}%_x<-sfrTqiS_RyOO`;8_iq2xJxIE~z>srVle>)MKJ^Mc!+NVbr$F zuWAJdqqencx4_)de`aw1>Br*qH<+bTx}S%3K~1CmHDju!8zIo&1s8lt>HLRM@b& zyS?KlOJ)v$g}b}ZGBNAK=I-X7D7SbP?(Xt<*&*Z_zmGPMHX^uW%Q-&i0rh4Gn zL#H?%L`q06GI)R{5nt)kIzT|;u!z$OlYi69k0iGN>|iMMMuQmP5$EMq7CKnv5pQ1ZEs=%InlT?`kYasv)~%S z=T@69V$q*7$BJbl<_Sk7GN9G>$ck;%*PT`2gZ zo+Eh&e^eqM-H|pyb21RE$b*I$UFp8G<&v|fnwz{KCDPrCJTS>vP?Q8>7>=ubQ>yzd zIDUsNLDLoN(~I0TKp%5Ps};new$T+oVni$LD}bT&{!=oywcHZ8RHz3f%4Z6xxjn3c zCizGztBqw6E-ySJ%23V2QNTA-7n|)_6!4qcfA}c&e3FW(>@H_?K8d_sGb-eAz>AYZ z7X^3@c-&l0wWAp-fo-?yQ|xcYV1;LuckNod*e%s_zpODO*nn8jbC+mYS^JOX9yEO& z<9%UoSes{Z8+6klWO2sZZ+ZtMEY5hsQD-KU)`Ae`@f^tQ0tdp9ogkS4BpsiTS;yN% ze{WF!6lC3-QZq6x5VL+dBjqg21i0WG4KH@JN|;I1Hy(7w8vb}@;&2h>SfEDa1{Mps z5Ps!CZ#W{ZV1AX4p{@JsBYi~-+IrHcw9wg96r#wCbWtd^aJ!c?iHYKZpN32{LgF3O zh%3?+&GIqRScdkanqYQgPmxFeSg-*$1C2|FH#~FkzW+KnM9~08z;dHw1{g8?gWS;8*fB+G(Sp5cA0Vv2MacZe*GQo*v;0J7H z>Ls{QG5Y~)BRmWRf22dYgcWVxCHB_4z3piV{;l`R(_iO96*%5DZ5Ys+A>UeZe^=ZgY^Rw19rAbU7vd-3$-t=uf;CefkH3ZgNkD`ObkBA*zX92Y^(bC(C=boN!fc}2DUq+cNGBpLE2OBFk z_~AF1Bi_T%282q@ScL~7`)Z4tY0F{k5b-(f(R_{^K|ggp??lTHVrBiIe*&aVc!yEY zF@%pTNmycq%I0KmDoJCM9EtOiX}yRmVK`VC(xL80N$b(uLeul-*cB-B+0=L(%j2f5 zBrLaZUc}NcC@ObOY$@e7ndCQ59hFL$vIA2s*Bl@t`?I#pU3X@=o7^JDSqEv3$h4p3 zV?{Rbv!l9@rrsq{Vi^3$f1-8J#mjZDVSyo%5rq?LIWR*)`y51ed%VFBBY!yV^wWzh zR6O`wXfo4y=3cdpHD!eQP#Pm<)<%Ey`A>gzkC=%H3bg@6HGC>TE2zk9n$~heLsZHpzu8nlyo{?C5WB+_f5TP;Any}PrH5xi zhB1akZZVj>_$$2HVq_{hl9^aD)E2G%9fuA$wMEHBr@{UQ9JR&O+)x&ClA%d$adk2n z$Dr>)zPe^LfoCo-g8uwjbyTY(UVyP2b~EpcGz84~c&UGBI(M$n>_J;UR1i_R8uu4o zzakPVw)%zCadHnHe<%0eCN6421{tOjw1y)hzadM=7ICDV4(7l=1Y60J)!64ma*w{& z5i^zOI$YZ#G?_py_BX%;`sEA2!iDSq0j$Vn7>BN))R_srh<2H`w+&tkvJp_4Dd0>o zs%;z;Bf<0z8cY`&Vs%0m&FF2qh}jx^WdQsnOcp>s-SXpre;-;?l5~ddNUh9af17AK zsV6vch0`@BZW)^2xn?K}W!g ztd@0qq-*l6>%*5Ms&6_?XoCz$OIV}2xh3S94X1bcH_s%a1?%3E{00nn42XD~18X=3F-GHuDe^ibIGu@TK<#?!?MM(~iiG;+- zA$w{d7rU|metF4dSc}+{YQw)uE#ix_!!~&GF|>ZihKe~mtafL%v0xJ6Y?CisQ&wCk zVe5H(11fiHXw*RO8%O{q*G+w4_^u6C(W6oyW;%c?$fBWAj4u-W7yb`A_YrmU^C~<1*%2tJVB~EeI+^oH69+pLk6W$vCBSeT3J)tNT znH?9Ytr&V)d&`4ChC|(^cs`Bc?GX;BOh={;BXJCD6xQ)nP5Lv6X z-ys5eN=hV1yuL#$&eZqv0N>qefsXvW;q8N6e!u-;g26GMR;H};4& zD1R`{XbWnA%uP#OoN4Rc>g26Z&u=1O5n(;U$u=B8ky>_?0f$Ay&rzvXa=c6pV)C0@ ze(+;rqkiu(S8o)Ooge4S)*J1HX<{4^y-~QavfKVcsNxXyya~%)Q13z0LQQNum(PCs ze@20MkisiI_0}$5)WCn`?|D5;;h&Cj=zqX4g+KWYi^rlr>K!;!IWfj5BupOX*&yQ- zR?3#rZE*6Q*m32)Ekk*;Iue#;j~GzK#A#;5>~RV)`e@ixG>=nQ4Y|1zvBxQNwdpAf zBIY;+)wxfA{SW?y==V*WRqhSa^i@r2f8h7jM%~}FgYEO_O zRxNP65v=4PvlhzM4k0~jVQ)wkE@IAF@F|&Z zVwyM))kjD1Q1)%W!cC}zJ8MCjs>=|WSg29e%6%tOTM0g{N3bLLh^SkROmeh3e}-Z4 zC|n_Ak74NCl2PVp$W5O%`jqiFJiNShRi|a#LXc*m9phLw93QZ6i;P>SQ{6@YX9YNJ zA*ST`x6x?}g_$a9{4A4oGF(%8;=trgZ2A;rI-%ng6s65Yjwp?xJTf64ot-tS>^tHk zB!=Ngu6dX8Pe{aL-k1Gme{Tz__3aPpvCEqe%VQI9sijU4$ILI7S za*TE+E>ukt+L< zE%^BjJK|?9lpHJ`@GX6siKCPf>|FG+^;@j~ow+bOU0eoyO3Xcsi0-ZLe{oCyV`1BI z4vZ4}@_gK=EjoDNpmaF?A24`fHM_Qg&*Ye!nqq1817oik$Y;05JwXa*c3&KRgr zsZ0Kvt{8TyqM~e=MZ1GQV~7K%?6qwS_7!qVRQ}yDTaHMHYBYFvRm2^ipbj=P+hWEi zocPP;?dU=RGCpDac35qLf6hvTD(po}VDi zh$wKzWQ<7>+DPW8taaVa8X&||^ApmF{QocZ_=Iu&K)n-YWP-oeXVc^^-qhJsE~GJf zsz&RC0P%p{&?a;`YxrT`9(NW(q^3UK20IJkYF#C_{}7&sqOy-je?05<;D?}K>_uMY zw{Gvgk^GTaI?nphTN8Xy37`87mMi&dY4~etI8z#qUoIACw(Xap;$fuW2T3L6d{i2~ znsyB*SuwQVG2R1zft7wA5A@DEbEMx_)f*vVj`{dVW%V^=9fIqGfWhsV3#eTYMYsLs zPB>I}HeWVx$3TU$f1oa@6M_n}6B|{azKGL72Oo!FsWo?qy2#*g*yyIsc8T-y>pn1% ziT}ctL>BRy>EE!XXZCJvYPUi4%z+mp(-^by@I^y940+&wpHOC@zaVmIdSW2+=Aru| zVEytKz^l%aoOB0NYFs_HF30j`-y_s}>F17SsqyIajoyK6e@x!FeGzJTt?(*mDl0A} zYMQ*Y)OCFq>5R`9gO#obbyWiquz9}z{`_DYdn~Ex~9p$q%z1h_P!D5!CH}7=4 zQiNCV`pXZZ>`(>oUfkLc^v|K6l_yw>Q%P&CYys~|O@Oz+47!Iml(n!7=JALqSyzrq z@Bm!8mS@$_f4n7BaS655j6Frj4hL_Ytf^sB_S%jex#&GJbY%`NVvX9Gy>ej7QfE%| z7dV%5eMs;WK~3vLY+zU*SmZRpq5!h1q>bGG65ay|e{U1sd+!t8dzbLuCVayCeeb@P zna|u;BhCKMc0~Q?h-!ia67OAezVn^)9h7x+Wji1S?lU-92rfHvp0u|vH(8EykS>P> zC8|+&(TUa*cR052J4X;Z?q5qFVEk3!bP&kdGsP%b{?D<|vpguPAx0bFZcI24+jTns z!iLM?f7z29JcrB>xC4xfCHu%h!*_u5&e?{D?a4g#-P}^rJel=V+A5^@{x%2;jGYl6 zJej^_fd?`Umu0o9OQ?)eNfm`h#`0J`%EO4scrnd2ITlb?C__3S5A*|JGI}i*oR;i{ zw7cI0Vfu|25w3`0o~79H{sxEQxGBH2G*3>qe@5mN5A@ksb}yv3vE5rR+@*(Ie%R8+ zPDJ<($u)Bk-+Upl=-Vi#>s5E8ler@7Yg-M0S#8vhgU{rh8ZfL25x&Ht){+Yu%UZa5 zF@G%LvKFRajaMSuZrE{NI&`RH=QNkB*9%bA!l7Q@cEt4ZjmxW3Ion29Hk*>mXW0mg zf4kdv`9JIjyqroMHcw-ry8hzbn|Fn5dtZKURH2-5F+k(|(D1+^k7eNN3Je*Ma~Svn zh6YY~=3NSRKbUVG50E0JoELAjR6v0#W#oMI=K7+7X}`<9Y(5k)SKD*?Y8#wf$dt1T zc!@cEK|=E!^x^dWSP84B-#hU47SJ4Yf92_>V-EY%U9eK@*~4)N-vaYP*Cnt!g#a-? z&cA<9{9a#7+`8l#cwRo~cLbe##6EcS1|-%sM2p~*0$RnLZo2w2wCk*^T;rocWry4Y zz6Yi|C>vR-Yj!u6No>0?HpvEI5=%~Xkl0$=$KjX13C28q`cz0~ z*MI7Yw?A_Hd1ygR8*Th~Wre3kVR3eKmxp)Cxr|yzh1Xl~@}r;v?164(tZ%SJpLf@v zdLUoP9gtfwU4R@m{}EWyws!EC;1SNW_o~QiwLv(ct`b};)l65@k+Ck2W8&dmu)Dfi zCqi46&ZBxXDwJhut+zK^&LofGvN|`prhl6kc^D4#jsD3$2O(m>!ZQ;H)NRv-Wm(CL&mzIkh-}#27rD+Aq$di3h)yq zYO09kf;l@{jDw9Z^1c1;^>a|16C1{(jYB`oNG?;dh`zLq$ud65lu{KPS7)8(1)o5h ze(R96a*1QEWIFMZ1LocVomc6z9Dn1`^@nRKECiDw z?W6Cw>5RwW=kPY9t0{hti?*>qA;O4}(KkA#rWrA&JytS^Nsv_B3t|&YTYR*`qGhbV zP04msG#$hiKr=Db2hrJ?cb{#8NNKBU;xn1mjDGJP$_Vq0`jZPBqcR;0et!|6e`hTZ z@g0p}v5UUsbuq(>FfcfEC1g7f@(wSjtTsxD^Pof%TqvW@FW(MNh?diQ2c};C1~sSe z;B3)7#fEAvI2x;VGtVziTWQ%=n~S5D@G$;+P#nG03Jn+x2uOhlnL152F7raW3H1Jl zALBcfqWcdh+4)C{q`$abvwtOKOZs!R*TNK5s@TPUG!=P;+yiYZJ3+|g-lVez6SpFN zbuKPZi4fuMMejFA*xGoXzT^|G!R71gfk{aH?`@D0Ru&{@)6^@gCY>6kP|sWUna2-h zszTlWqUjDppgx#%oGqja)E8#68|7?)dc<5!tQ;*+&x>fiqz)->pMOsHLE zuFIEr%qitLjZwX7QuG{IT685tiJsd_x;*itl_`48+SUHf3?$xs|BfI9Dwg&xk=OAp z;JT|BghhhGGbdc^OCZ_7vz-Ao+3nak97vJTkPiN?^?u>uHh&kRid2gv;`pB!Ve@-Et z%-L|ev&~Y5K!12NX17;K6ZHmmHyo<0@?A|*aIpE{XWQ=@5{@jEZUbY)Put%L~oQfdASWo{9vZ0+_q8W1v-t-JnS^)iHpb#y4(C?Feg@x|VK z9P`Ny6UpUDrY6-dJfe_u2Kj}L)}Lb_;0@p!G&;-URE~P2dHL8-m80>EyQMaWhF`aL zT_fL|Xn$xGc6tQ6{0Uvx+3!9{KtPMG^GH`RCPAn#4_vR^c?k8TQNO9dL(~P>jsr=t zA@*cynDp?3>pSrI@!MljloOj5`VPvaBNBwO;;Z77F~HFG4fq-sX5FR zv1fq$Y|r|OX)V&c88^aNWK|J*m8az(2lm_m-lnM|8BMj|1nZ>)aszy08wwDwlF!z(J4 zh~8>#7w#~i4biUk9gjzjx9+;`^rLn9&t!7m_rSHk#!o~<%{%?} zCMY~~jkU4#17mzwLge&5+QP~~gn-#IJAb+8*;810X5mqzF??j7tjDdxh>)eZZu=DR z5uK|21^;#)W6J4J;C6!zqQg=jps$cnx+r~(3j;iQ4YFUWJl>yBY*m` z)|%E)389&FM`a2Ymwj@$zagP<+2`rStrGZ2?}CGUQ>u(DSgQ{7UsG^U!WVNzMjI+A zJ2Z3EkLy-=4j^oCx?RYm9*?~SBVZWMclSVfWbKlYN(oK}7Y4}9>*fXspRdj2(}}&~ zLOi{iPU5+yN2SYXOx=F<`IrFvK7VGStTZ7=0q5P)IkB*i6u_djnnU}Sh!1!Zm=c@L z73R-#7mS3qR>4@0@9u!+u1FIrZZG>{!iCBW6?sH0OK2R>kp4JI$Yyl5g0hYkOqyoJ zKRQccrD;+OH7P4}c(4WOxrH&8`t-X1l53Wh;@JE@>mj;MooTB3JUlu9+%V?Ui| z^$YieE)iTeyKqcODDI>S*A~ZXy2ZoQMK%~u#kEewZ zFYPvHZQb|blghFb-OLv>pjFWn*`WHFRL=WHa9I=gB@I9&mUskNc7MYykQ}_}qr{tT zctwc+k9uB_WnoQKekR+r;O~2pApaQNO7i#zq&5hvC1FkZ^6L+L9?->S)-QcHD6)#r zDF5O|@!5|UiA#K@<8iGQ0wI0!FZ~JsI9?lDEMhaYhT)cM4%hJwkkdc138$4=6eKHk z=RZf3kn;wUs|wK&YJacZIFQp7SW|21ZJc90Q#*B|3L^EG6gue-SG^?6iobrl&Qr{o zOfsTbY2vX6gSG19bp@UJJ#e4%g+JpvNBpPQzX6SLOT&!bURg?FC*Q)ldzKtTLy@k8Ufeix3`#1{@eh<^iL*|u-rLB4r7-}y*MLcidq zg$f=O7j_-Q&T-1vb}MTNgjSd}?^C8zGa0r*eMd4!AZgW}Prry(_8rFTYG6Hu8*s6Y ziMNn_bFTuR8o}xH@8Cv;O?B*Z=gveXOU%kUbM`K_A-aZE$~a^Xw}5`je-b(WqZ zjq{OOR)5!bj^Hf2dSA>YNe|DOi=~JPI6rr`Lqz^LAzC10O*!kOu?q9v##pQ^cQsOKBAsvLNdbA6f zT6+iRk_H!Sn2MWxk9xiRGU=P0*?T@ghh5s=mwVuMt(glqcB zl+0#eoqy`6h{pOA=A~8H(C%hP_BLGg)rb*I*ZKU?N~Cz`F34^uY=FOt(>rX-JJ`bE zMt{$Ndtqe(N6&RtpX{dO|;b4TA zNsP`G4Q48+9Xi;k*k~JchRqHFIN)bcSbx~uF0Cc!8Gn_)u@mlrsnxM130WkLhx<(m zNzPiUzdnLD^J_c~#;iRB#(|4*WDD^QNbc~NP;%-Pe2#O&q;v+UsCm>|fzr|R^tBwx zSsK*9d5<)f)0}AHY{xV#v*`Km2f(Nq>S8 zr|Mj4Q#eGw72fRzrA3gpnBy~~qIEyoLh?=!{8DCB$391AUz@y^C!t^)__A{%gD2If z4w-lDK!(&xf)u0&&x);!0zbyvs-{XU;!|ujV^`AowKj%uauRnHAziC>&(uj!O7wz| zxm4sh>B8=*E24>O#gt&7%d-wCfq$9rzP=WsGpv{ElK*d3&pINqwOh^du`IiGjtM9n zN@KRaQHmx_Oz9U_JW>JeVChL_A?OF9-q2cg!Xt^Mx}M$>5wlxP{2<+8=OemTXmj$U zPhnQR5fvK#L_)&5H)yHPMV&Q~NQLP~Ahy%D6BiRux(30n6}Qkm!Toig0zR7si(efq zrF!`DXT$cT2z^bc{wxcbqIeTz>IV%Rfb0%PYM#BtkOp1)O?Rsi^nZL{$XtTZd=L>D z61T%94^(18Pg$b}(k6BbxUD(I37E~t#u)ce5`5!lCNZJpt)o5YIUOO6Ut+bIbxJdB zNd?UCE5ZS^JyZ}PW(y@c@(nsMjruIB3?qc8__D?@&ECMmiJ0V@@iWZ4(n0CCvsQ&_ zFKrAPcjR16;YX6-LUj9;EAtak=6_ULGE z(hJUOr1m1(a$4Ww51e=&_-A**kIJL?&~zos(_jo8%i){(4|oslMvWK4KKWnb)WKg_ zoE0}g)%;*Mr7Xqo)6v6gEi@;Q=ns2JK@$nJDukSV*i_*or+*4oCWp3a;E)&cNWs6K zwxFa)%8{>HkW{@xYs$==o-c6ez5Vv~d?j@M|8PJWE}~`hC}JC${+cZoSd3ad=MY@n z0TVmzeJZvnwa!0+7#u)6c#^V7oGh$SaS8ZiJkmoE7RKPQt68b_0EXD?EGRt&8A@^w zL@u8+;k^h}AAh7^p!+*6Ur*m1T1jJY8`O!Iy-aOLg;q#7SuDTr z^hQpMf~^-_J^wVD7krnMU)F0bN2 zYkvyViM@cGsPHLMBE5|k7@lpEFjFBqe7aZFX5>U58SIkDY>3~3V&iv?-@hT?K$Y)m zJB$Q^|F38!U{c1GWt`-XPoV}5rh3futF5O7JVo((Bg0Y_6t*%hAdS3gRVMh}475SYbFeJL^ z1Wb2redt~x3;z%j#8IE3U3NdeD!%bb#nR|U<&8Js&y4PZFt!~19nI^R=hl@hW%a+W z$A9~J)hx}QpI+N2u~L?#SG>q`c1dNv6X6>iuW!tuzE=3I&l-p4U`Vi4iWnI%{5!On zHonb24wh(U^!~S?&Z{g^PM6l1>ii;vl=V&2GPSyjQjP$-TcF?2P$p$rV0%*+v!x6< zNcKVLnh*|mrbutY2B-e3neu}YUF>WL9e>m>h&YrHtpx$eB1^$#c6(qSvaWidQ)%WF z@ue4+Gt$DRs7#j9ciNF-_C5kJod#m4GNdEm;>Et>u1i8Jhl6C#cfN{GQJd!*eVdTc zR%>zTeR3-x#cN>izNJNrPn%k>fX&05btQ#_AtSX<6XQgjVGNT=`YJ2wZ8qlD?tiB0 z<;+Nr`Jy3%jPmV?md2$qBTCGG0JuK9IHrTg?$6KHIp@g`#@@Yi_eK#?+*oMn?c)f# z`3Phk)c8u60*#TQEN3B2h)|d~zmMF&cR|c#TqoZQ0q}wqTR+RK`dA)^Pd53eu@;$B zdJn8mYEJ~z=@6L#(}60K3uDS7^nXB2lVH1DWpoIbQx^6%jyuI1GLWs4xlJ+4LcbOo z?5w8jKhi)S*LR>~3ixBrA_8rG*oizp6VwieRhm~CwPpxzJO4G8BXT0W=!`bKz%uxw ztE1)wGP!X3;ScF>GH#J)mD6Zy!?u)Yi&s{VpI(NP(N6BVdD<}KN2i5d*MA~QGk`D_ zfu-xBAR(!zCPt{^^ix$_?+B0Wtj;~H*yFRT$tC04dMRT(MPhY}KaV1~DVmOn6Va!m zBz6a!Nl`NP8(^U`{f3nf!>fq&>zr+Y>#3E@ksl7LU5w*fOkO%>xQe7%vf`$coL4 zo>;0D)~(hnPC;vmwwLGj*l<+my4c1=r05*GoDxd{RA}SNZLqd>`G0ddw)Ri0(IJZB zS=WMIC7pn4YRF=zz7h9Q#N~R7)Iy#N&g)KC9h!iv=_thDw12OMkXeEc^!P2y zEp=FjA#NWnCvGoj8-L~y!Q29=p*jO+)oO?gY7??m91*(e3ThU!N(zN2 znL}XC(7Fi!S+8Ea2bzV+Y!wR>jHkDpLV^*u)!Mytd~J)DKz|@J?{Hgy=1lK_Zts6Tz#OtfY|NsNoe;)^(}bl^cRsqoR<*Zd64UGB zS41l(7lOkFXvw5P^^2vr8#3uj^Yu|f~vz+9QAZ=`K(5YV9R@U42c{y|4lIH zrL9sU#eZN8UBP)$l!Bzuv@=B`&zG+~D}Y_%HYSpW2t^!os zeQw*Ogdq^^8OmG~Gm5nNuDN_3t;?~uEa@z)=Cjy5(`j)Q zGJiQZ^(1dsO-}}{=?eG=J)@;bpWMiyD7*vOlR6`iBC?xc?7F5=%rwc&Z;y2fSTkCj zy$#`VHvPRHlDW^4h7lLsa{j3Y64QPJ4l6zTY$*C#@BXE55kgq79<}7bqcs+KF2`e# z+}mxiRMopAV_SDTLj31gp|lsZB?}^U<9}7f6xtc-7%S?8si;a(s)Axqrt@ z>*e)l#~-OVrOzvC##JdoxYv3Vly0e|tQ!2ijkFyF2QxP9(qcrOY_~zdWJj!+EwElq zIhaRIOUXFUMDiG_`NQSQb!MLDltc0EOwyI3#X(s!9HOdK|FIGq3W?+& z5$UExj7zB--uAK9g5>udUR*5XP=D&)1inMzv&?`_qyI&dh@pxw1tshVaBOP-{d-VZ zeNH5%GnC`a1!X}J3Uyr{*SC#4ckhADl#zZpLda1WyynA^XE~8RzJTkX&C;n1_g<-0 z7TunWhe}hlyfL8_n+TD2<5tlm@-uw|wo{v8S^OgLag+P1efVgZR)BlLlz)ImDK{VO z2B?^v>bYlShJY0HojFgoNKivj3euLRQAv>T-r7pm2qL1GPHb*#Q<5@BXVavC7RG2? zT&+|vCz8acgyeHfBr$k-cuHBSE2Dp9tQ30TzWxUIv`%%aaWC5A&%uacgyRHT@uKM2&35do?UqB3lYKz)ZB~rWh?V8{F9URHEdTE=>^z34fys$3C}DKOR%a zZk)GzdbHxVhv2!r9jJ^uvZW6vsT((qvFZf^Mi>VxT4b!2N|>b#UP zO-T(cTQ&G)!|ZP__6m!_1O5;gg}~*s zb@j*)9ym2>Rp`+p3E_d)Vy03ctTn1l&Q=rUmg|Q2$nM-? zUS6k!sclXfJMdO=sm2!P)1suLjN7Z##lR9W5SwB+YIs~mQ-8S@O^hxhN3`7qBV)T2 z3buf{X}qbCp2x7%+k6O-CH7iuT6#>nNU@YG}SFmIS zHC{U~_?0PMNyuH0F{SfYg$L0pnsw}KFS|wgF(lyS5kHlyU%jz={r z^`{miF5^odj(-Z}1w!_}_%W{Q_otQfFA&%DCtNGW+74<7(#(og@t2}fxx!BhiE&%_FNa4Ql5?`3JqHQS*fg7FshEvL#@Vspl@~`M6t5LM}#dWeohzlHav; z(%Fm1@9Oh9TBGN8)$}bD8q z#Y|#`o0Z%;%Uo|3(gM7Co2N@vG-_){7ne>o!GB%F7!&0P5~;A<@BRU_y6x{Wg1e@Ym&GM}&|W{1vLzcF6E${9pbH*wCH*H*~Jo zwSR|)x4=q2EcPu-%TXM|W`1Lunx5+w-&mU`VVC^<)pH)yVtcH>Gh0E=@w(Vds8amX zbG!;CqRB*F?Abi0pHAzU-A&Z-pOa0^TKt@`mXlYV2Y1;KUl*H(OsF!YM0^b!n&WNA zT5!(2^+G_c1?Lljx7itAp_g&zcop#h?SE_`cJhAH=kzGmC(Zi3H&(3%dm zl54!=v(mv%wwEHrWICAJesd#gILne}m^+V>4mP@+83PA{!gR1{|D+ZPGak%y<9~Wx zfQSd%I4^BikSP4>{Nmi~Q7p=CNundzhL{e6iiSsh0z8J;gIHS2bB9I9e6a3T*K^*- z@Gh3G{KR@|L#mtk;895a! zX4R~mNSS~zYH++o$^?Y1>lMXNdI>-8caBgn9aDDgF5y6lI|nVP3MxuGxjLIwT2NxZ ze1DV(i4wgp3x*Xmlvo{n+D1E7$%ky7dNMfBCuW1l^uww!J_RxHf$S%5HX+qX%?0)(DPXDv|JOui!0ZxP>eYK?Ub${r4tuwh|F*ZGEm1`Bg0 zYhrn3SQzdzk%b@06j)f*lz;Fan5gh@TH6L+Ir)A-SxAIQK!u41OO=xfI!tUUZVZ;w zVWQXJew&;I6I=Wai_|oj*uRwGBA~#;{Q*xss@E8l-&mw(!NkfY-!usg3`S15M5?S{ zFsEj!!TKy6pA*k=YrdmjPKStb`SY7RCPWN999UJMAfj(UTcUyv0)L0wO0I+q5V&|5 zGc9IVzoS6lVsG$~oDKrJCMTOzY!KL6 zS{Fit2Z`t)FurOg`=1f!YPgL>shNH!GB-I_#Tl?O}^I3>+-ls1M!QcnhB98(!e9*-_h;O8SA%OzxDuc31lq^_x znX?xzAYt9f(MCH8*7>wm2dXHrZt}dk$;JZf3i6t|cuZKg*?*E4EJ47!rLZQQ4GHT) zBZl30me=?l_9MZW=Rts(#&n%>yOh$9UTE>im4t@$Mvgu9*!H9FvPl@8!$x}5J{j_l z;ngai{)zl2=1Uky@UNY48Q<#_2my|gz^$0`d|JC`hEm`KLGmv?1xs375Fu! zxD<#ez;CD4cYhkc6Rjw(zcg_n*5+E77W zvggQwfC=(6opn2SXPXA{GUMCda=^Kgf|dpwDxBN*%&W6Xk_Y>iHU&sHr%RqcRMX&G zLsV0ofQ{EY`fKNvD7@C^+?l{)_DrpVr)mv-B*J3l)uBA-87FyS>VTUC)%%1kLv-t+YFLG@x$joE! zypGRCW>te}6%raU8!es6R+y35UQPKFK4!-TUxE(y4&+FoSzWFjoyHsYs2$)j0bB9H z%&C-$&wrdfr$$tCd{$=C>V$NB7M|J}E27~uUBt+i4Go_a`!DZHDEKT@SCAz|;Io>E zynGo8pY?aNjX`aY<=28VOz~_4gTouy9MXxcux;M0KSM|dXr(PRsUjvot4g^F7oz}L zhDUNWoYrFg!A}1Ol3dG!#B|VhzO?AZXMnbx6Mt=~gbCV8%Xj?+BxpOE^|<5!Z6TLg zd6Wsr(}smqB?`5z_%_dp=%{V9+O+~kLz#;s%3(wnNYU+~+iEtj(`0y7C@H|M+O_sl zP6u{gUaO1Ze>$-1>(vy?D8MdZy0L>tLvbUqfyqiXiresYB_i)AD9+g{xKB=^xYq6H zSby5+g3UKAdAiN>h#~=-h|?up8&O1D>&VFv4~5wDE&fSx z^_rIpgqJ-ty`xGxj`JQpu2YaWuID<^ptRz+=B42nHa(YZ)MuLMIo(!Qy%>SxhT`M% zBorJM-Io!eu;94hgV_Zk6URAwyRY&PIDf9_WO2=m+aQ$z2+JPvJmU3zAzK&HP6ekW0!qs}h+X7umY`dl0x?kf25qdHdH<7mAPJ4JwcO z39hUr?psIucTTo%fBVk%-3J~Pc!&7vhf_j%u@L$1egt~fUUkjK;^mqg6*Mg96?|&?Mh_T+Bu7|I}9sLV-NGF z8EQ$BvmjuvF1LipX~o_iwo+e%;`EFjp#>D~EFH!p=(@4gnlm~m3^bgX)PH7B7@Zc_ z%%j7!q?{x&wVzyaa{mqFZ-r z`E*RTS76#vumPJ-a$qwaj9~_Bu_rCJfA+_6U`Bq{y+H!MI?vpG6&=wvde5ix|LKS> zFg`h$+LPV#>+Y1(5M9jT-Z=u%o%OpOF%ey5t*(+!BD&x=nz;bJQ`wvJcH$5JiYECeVDH@u_pEw1z)n5E38vW*PtgWeFct6FDz{7#NX*zGMmJbJ`MVK*849K+KVBQ{F0vq^CT-<08W9Bt zS1ha8_{Wg2U1Ni=#kc9Bl0*zA{MKSXtOEb&Z-D>y_#ocwWIDf_v|jf3)j-0Xy`1#F zq@$hq^vOm6k$?3yxIDHiCbGW#=K_jEWY$+r!{&wB41Br{S98QH6tmg8;lndOxI5se zqHTnS7#o*(<{BkK7>K)%ySr5^5H##_d8DMdg3GT?Po-q8*ScwAOvHvj#m%$pTyERz zZEY2f{VXon%Io%Th*+yX)Sg*O7lz%;%t#iG-xmj(E^@MTy|*b%X+=;= z@vFTmYcAN3SAhu$s9Hiir!Bkt9*Eq^OyzO7;4)$&TWr`st9#2cM^46s^{zM7Q)9xa z3f&uR7&ftnqRUGG!Ufhlm}L}G(9`@}r%_-vYJVLMHABT2!b+98oK!|<&U%&)_GQ$V zutJyKX%%UsT8U2eP?5;0II?t;hOFvS`X<=T%?-bUcBOd^qyJhrf;T&e$-EHKK-Ea* zMY7Q9IdZ8hD-n`FXw_xPOKOe@J2REP} zTz||tVHpP_>1wu%W5{EqO-1H}X|g@KWF+v3NZ6fG)99+;fVnMr7XBmfo;h7n&_Gb; z(0QKN9B6n8l+KR+KX5xzA<>-g)Sr9|uPwRDzmorfnJRF2|C(e9HZ0}?_7^{#5Xy^$ zsDJe%DfRnaF0>LR1$DYvum=UkcCWGD$Pp|r`?aY# zD5<#OHNBEbNtL;UpW9df*zTfl8*WcV*bX#>4`__kSyx9Tyu&R|5%|t*1S{Aet$#JC zO9QWQJ4d_Qx9n`c10kmo1tO$1VK{i3r7CkbF4RC4>irw1moHv}s@U^m9!XgZgj|i% zx|Z6Ux_!v%I6B?3#cOjATWBg2P)ICS-=h+SMM2+MQH&t5!cB#zN;8%-X=c{ANvyt; zkU3&+JV`--Vt6x_I9FP6LHKQE&^ke6UJ+4Gpu_RMN)Z4r|I@yKO#f``wn zG1HZn)0eD1LVA4;QOKiYBmpNPVE@rF!ZnOzkdgsPluC;L1|7miPJi4sOnfj zX43{NYu;GywUR{CALza{qfhD|f4C-;w+iWhlZrS^|J>}^fBTDnBYzh@`07h1>KIh)yZ;zW z`}+f9|7Wr2AEDNf6@~hlOx`L4rRTgkmWk&_|K!OhUp#qa`{?iB^eWq@_y6Y6XQ0_7 z#n;CA#fP}l{K?@Ghx9XvwPgLDaAN9AP^Mg(9-tp*}%7$o@2hQQqho>Ht z>%WDk9vLV=1b=;kBzOH3pEXm}`!H~du%+5PvwMjrdXdA!Yswpfc_>6Z=izs*CPuM5 ze(=SEr@$q2vQb7%c=!tY2u~k<@%RzYULD0iOzB|v4|cEZUt>k{`*-*t=Hb1qGuSjF zKHf9pDry>=4uZD9Cr|NF05`F31ALo{KWO`L}Z9e=uS`X~B9DC+Rs$^MxgxSnZE zhjvE?_|0!&AXt~_oCI;Z!^^i0c6YH)VCM)Xrw#Eq|E4nkwEGX9;$ta1d#C;!ha?Zb zcmS&1&Z5K|6Jtj`(D#MoWm$#!^EIlOrO(#iIX6R3%c$yHfC!5!igtc|r5!;9|8 zgU^5U*?-f=pMCNed!ryaccK_d?cUitytRFUJ+n9OZh(zxV;aXax!a)FqiukA2H3Z^ zzXLJ`+LNr24RAK>VT*G*b5J`zkD+8L0`~ccrAhvtPac2u6(~!}seqB_4)3tlU~Bj6 z?YsAm_HcSAwvuLpM*kF6J&v~Uhu+5i%+%UG0Dm7B`OWZ8`tZ?%&mTT|2v)WVcHuA> z^IE{3ikG`{GQs#^&*>fTXbtzYPPB!K+jqEq9}-ZoFtC03?Dac*a08exEGXv~o!ivk z=*6>;-UC}zkq}ou`Rc*_Uw!omWcKGrv1aMUCKu#ery9fO74GA6sAkU6)$M5An6q^E z(|-exIl|L!fXndA z%Nk%q%o$2EYP_M5348tbfnVe31#FNzynnL84rBa%HKwOj!$XL+SU$nlZ1RPdyM*3b z7+AfShf^ruIK09ZjqP*$uit-T>wqJIma_6gRvOQA+|?QM)Zj<2)3bMA!!)pJ&1muW zS}0Z_`w*d{lOt-b*}y@gBlQI7g+Fc3(cSWIEsy%P`BBgLmqp9W+sE!MC?C%|f`8{B z@q7LPJEtFfV*BI^un;nYJz$PW!z)7zdGHr_h&`BxH$DM-^YK1>cI|z-wz(=qjvnq9 z(O~7yAIkxK$Gx?)ef#=7Ry#X_%K2Dgj1WGF@g4q{-sAi#_LYRxYa$mAKaqd+1#bSJfyL4U5p!5;f@*t-rJNIQYE4;-*Z0;03GhVdJT+Pqnw zF}}4vq(^`EfV}ONs49XJBX0Y6+E?cZT<1L9^A$~{LI@&(J2@VE( zKjlh}UO$^Emf#c!2`#W|oqss->WSTrd8wsL$y0Cr=(fwY_=o7r+o=`U`wXQNDWr(_evvnV}pJ?Z$!gpzHQ7dLgmn z@SOTm!X^{v)U+|t6JsT{$cbpTL3hMpf*dg>I(Vf~BjFemZHPbKRDV%8r{%3kUo~q? zbiw*H5uRfi6TRqr=_W;viSE@-%n0eM)uDcJ86vkQkH4^e^5D_qFTna?$`G90{T$m^ z<}Ll^tsR(5PCbWgzj@Wa#9ujR@sA#YP~p{!_xS2~_TI@JR3vN#$%&K*TkNvL76vdR z8C}KbiPRVM%|0UYS%2{OA$As2#K%U6sMqy9>^tlvo&-Qf%K>7HS8rav`WicFXEuYK z74&)58T#rbsdbZ(2O_cE6N4@fVFcfk#~5(<3WI%d6Nf(VAbbL6zI_6MG?Be{yGR1> zr8}R1sLX}m61GdbXU}iod)Rx>^@aIfgrLb0tS2U%K({LVg@5rQDQBqCIt85q#g5$K zmKD?|`5Hb+L%Hd~#>^F-4%VC!+$eGMW8beVWpzP?NTVqa?aP3fGgyge>+s4R4o!IR z9Yh~spewbS_~qao`w1|{9BJT9j4OGFTt^INuNkKxZox{Z7k1c5jsIKw=U}QJuw22K z?Y(`JTFB>`?SDO;v6iT^+*xIL^Ap*%1j7X57TCU0pdJ zH3@tz@GKoh$dV`T=NKL?$Z7bm?BU?C;jtT##lxNz*bNLbA|{15R3~o;i1aJUOz^S% zmQPai${!WP@Apy+hQnTU8Y-&puIiN9Pg7Ftl{ zU2rw0kA?&hzI(rWW#ychadJ=^ho{3eNe!V1svuhon;TIez-R%5` z#k=>#?9WSSx{0fU9W8E#RbVL2?$z_RuzE;}ynlGhcmcnB^ci;Mf{d)Q3y$gIcR_2Q z!QF;y__|-WXQ_aCPTs+uY;s@fh8&hI@X5Z!fB4o)W;ScaIx&~opi66q#jW*R$Ns@# zM@T75FmQk;x-E_caAb^AZn^a}nF{lQvHJ#JFsuFA8N`?)pY-Y|*tfyny*)e>-Q3F} zkbfz~x4>3lz?91Rp5b4B3p6XEIuagP=F<1k-#r4ID{h0x$(HwkM{s5$d;mnb{`%Wz z&%t3p={65FF8(U@WJAUwU}@T~jTgYg1Nyl5N)OF{wAP03d380F&RI*^+n{wX{#XTp zJPxD4Vrs!tuKDuS>BeZ-;~{TPTb%j@mwyu{I)w$~-vKcf*(nm_6#A6w=m{b&k1SR3 z%fxxGKZb`IO+V`yvI~vcWSxZn<*Vrxy@)!lzAvG^LTnjVe}36p1sgR_@S6-DYwjC9 zUj|Qe28hHEaDc`zX~Q+!zHQo=Wb?ECDE|-d9AAC&jXieSy?SSh!4cc%7_77&p;+k2{VyMUf)%;ThL{2|W3v$`0POi( z7Qy4dyf;CEyZeOPe3`>D$`LfD&JGHhL->bxiw4y=b8e3_b7X}GYkUk5+P=02Q3u&; z0tPt0|LNnu{_N31&=F<0U@d%Ngn#{3YF-w+GR^Dz$^EC;C-vM+$wrQl{0Iyr9GL{x zy8L%|T^=|&8Uq*1lZRhDy8i&wrVg+2ETNv(A=Np#F+aDQ4tL?=_45lXQ#11b+%*O5 zu+o6LoPxNppLMw~9xmp}3Ybi-Ek|DUw?LvakKb^PpeJMCO3Dxs z&X(nLDrjwKUA?wl^+UN2J`+h^IK)@s-`aAoI)drxSUU?Ezx@d??7Ny2h zg}Sn!1#l2nlZDJzxql0WM&oLsZsf`1CtqMsq`5pc*oKiLQF`b*uOde5GLj@FGg8K3 z$_t&%!Dq-gg7VAALdzDhx5X}V&{Gg}rm}Vkh%@%_(o!&Y8w;f1%vdI0|tJN-Yw z4wc_L`VwSU?CX`3mcG}~?uCtIG2MF!ruy>6mCOu_;O@Rrv43Tc-oy9fz(rcJ450kDXrS$S$ULORvu;Qxa98Jy0g_}R9mTGd@U^+ocBcNOb%_s zbgI~bm2U&1SKtWz{{$xpF1?nLC0v;uo7q)GBI|R;4=S97vc@10)a6bQZ#j{Q&JXCl}j5CEjp4aOmY_FDGf6aR$m;|D#^-HaZ9Ixn*sCY_nomXeupXK43|)s?;kmut&&mEF zsQ@@S8J`rJ8$$3~`e&~%LWMb%6?$t2w)VaHi9`Dp<#~i0_mrp*Q7I{nVJ-Mpw@Q|~ zOvlghe@@aBSj~t1u-JgSHG;E%c<2^b^lqq;!i{79>g9j4w?LEB?2bf6w?S!JY6K1W zJtbZ=w)cmE5BBi!Wq$~-XaZBdfBTK&v*-3uIi@db`+%*Uj@%qbf}R7*^@Ts-#I#lT z*q@L!Hhz_N6y|0rm?16`8=;jpL|f$7_df-D1yQZUN_q77llxx)*UJ4i) z&lD0B1y_G9n`T;y+t(+&zd;F5NN=aQi~kaub{!wHe{e69Vgl;Klq{H6j)! zWFt9LVZNo!FVYd54JY*p8EG-iD{hw}ONZz#*vMP=RS^v<_$0vYTFwIBa)jIjnzjgM zxpnKoW-`%9p7cE25L+&M@@faVw%TM^snV$^yeNMd)2tZr#l}dgiL0;u3sg`|t)`?$ zWUe8wm-iO_Qrfm9Un#+ulJPa&8cmFfGrwl&;5D5e7(3XVp%aP~G z!d$1^dOLpc<)g3ukIx>EU)*YqzLXHO>mx|JJ_0op27exB6i(LpbhEWDcMnXhF6ToL zx|x4)jWR|z;T=J0R*rkn?B7_Ta!^|)r!#%o zH)dn)et-KGa$%+JQ9*pGmaKGgrxrgkselFVOZ*1Z)CRtWAC)*@!P3RVm}P_4M;E zAA#k7@f^9OioIj0VprD|M-=AuO5R3}pflJviqFmzYAf)|r}VCgwl%rD3QOv1xblC7 z@&-;bku>~m(CImx0NYQD;lp8uzqeM$_V`0>OUiN+kTvvMct3O{*X;|e$L{@4|N65p z$xqWhTD6MI72gYQUV(F;v9s{kPl2<`e1w=0U)18;+$d+n7Y%3TRN@<$5?>U#*8R7Z zgM?%yK~g~TtdN~)w35}6Eea!5iRkwZe(Kh#oxvSFxULQtKUi6YLz1gu^=khdh7w-@hjT zPtVFwuEJV>k&6|arv&Ll41$HI%)w;Zbv56-pUuooYe{FV8sW@YK5D;|nI#oYz&SW1 zL_vvb^2+d8RWk?`Y_Y;^q(9B}RN@)s>?o)G-Ls!jx-x8Qm2uL zmvXZX<0;r*^&gQk%juh-envmY5kWPVU33CF7jXZZd3Hyz5xv~cN`!wT9{=HS@;X%H zJ|}wft_$@W(3odgjWIK&%b^38ry$5Upv}A`>icxO0aLS7jspmBCdMu(PCBpCm=RutwBy2#!Raj z)jU-0R%g@2kkHzt{>7KJkG}l!^DluWXLnJJ$m3caKS@)WuRgpT9$o%8{@qbg?j*?~4{T+O(A9{u9WNB92*tOc}G2pKT+(E~{K^(Fk88kjb+m=Vci z2c|7XRaLVR_lVN6C8MC8Xo)f9PL3dTvor%g&ZGp7h}Vs}(BE;TtCfUIcUUh~a~}f) z?}lmx$k2baMZK#x4;{MZ=YLkMw$Nv{UxK59niVO$7g;H6#q$le=#=)yo5do=&syjt?A=% z?G_!Hv{DOijcZVXODlCq#_A>*ogB>*Fw{Fwe)WGTC<&j~P*JzaOJb{-yxq%4Cgram zfFNB*Dmw(OtE2x!ML2B8`xbkDdB%orF>z@TJOq(%AUoe~JBd5I_z-qHii_2hQpQA>*c{G_aA=-e8*ghiFDOZ z?tl3dtAupBt9xePY}udK@iuclLpFa!9^i;2ZNGWVhU7Nw;19?utIrjX-lCeK{0kx5 z(%#>lR?VlPxKF`_cMCDe%e<~};xqry*J`@J-WQ*L z^_0R3wXdC?sF3-GqwQn!HdL*m zW+Hm6PcF;3G7%eh=S=uXFLSV*cYfpbACfNrlfmm=J~}0FNq?Hcv$o@i%F1dx={R!F zm5*6_up z{l+1vT42CYs2yo+cTd8@BZPnW$qNn^!v5Uasy6SK;l8dUKFvoqwb)!QvC3KCDGS+F zO?*r+F}wxe=fEpz1PT%;nT}eQ-S22u&rRT*oxUKUAHT5Kg1=7?)ET?YGnd?itius3 zyGPCNEY;9mOEq+v9#o)a+@KF1{~AokcxA98LIlR>UvVDh(Sjs3=keMvhiOcjIkNr!^>%ZoG>6uo(!5X>Po= z&Xg%J%XW9RnQB1JtMGA)Sd~yrZ@uvY(@L7@EoP>u19}TyIbnal$a8!97ca2);biLo zJPldST$Z&8L$f>54sa8sE}sm*FF|>Nz0-S~hWpkLSKjOy_PzOB&a;7-^&lbLX_m5A zIH+Jd%}RTEdqi}1*Qs0AiVgVy9C0NieEYV~LD_PzGoQoWwdq$bve>(7@+M3|rkiUc zrO*d*Kwsm`BUXR3z>pj4+3Y4SBr54PFU|E-f`n=F3Yzomli~L&ZTP&c)5}*c@WzE# zbUtBaqqx9=bL%rWOh_20UC=4v^^oYj_abgDp`v!{ZTC7(W4(w}S=3c1Wvakc4M9Mr-C0$d89$&#I;%_}jrB677wTwh*aV*e5zm8RY4buE%%AX;B3x1{ zM^#2>-GYCt8u7Q^V*lX)Hj4U|AxyOY-p(ShbO6oAZCNt*3U>fQ$xB^4#x%Tud3}W# zWt?h@(l$y^#;J+5))E2A`P6;rQw6CtLTlk*`~EG^woO(FS@xw(?PUv`kYWGkIU$&L z1pa}sRUDSadtg}~9WOP%k#AqTz)cd*L4D_54vT*qCEPnDrADXeHWkr@1@VJcLgjYkudsyq6{=cn(0Bygv8X=+Xsu2toNUnN?10R%Y&H;v3cd-e;I}fB<7YbiOheBZ3YJ@h)oG@ z%8+nbVg?2x4_Oa}xW`h8T<2k=CS`{{2d95?tHmmoBgQT3*jI|Oz9h8H5mp(x&m}av z*O|w*wp7-o`|_zrlmmW59U1m!cvd2cG`!3Ox1Onf*zwZ59G)UWI9^(7uewBRXUmdq zwTUz(Qk*R=6Qh-44(G~VMXz3p@S-H|H+RUHUXjqEay=h%w6C17BIKJl*jL~u#h!m^ zuqQ5R{|UqP(ODmL^he`R7lf9d|k0dhVnNQuQgn%sa}Rq|H=TR#mk^i2-fnMm%Rh%XxJX* zak&;;4#tUh5fgVff||0d6FyQ}-!ibAkJPK&0df1| z^AMq1uojpJnMzks1@Gsf(cXV(!F$Vg(3l)0S#PWCCL#bI=o9 zSjfm#Z(58GXHKAPUo^}KXyWm$pr$_F4~fU!Le>iT2vKMqY1Ayls z+NiIWF|^>Jd!CbOq!xTlr)^i`oD5}`ym(`-uwt$%GnJMr@D_G~;QD|4+5LkuYSL6^ z<8;1?DdR4!uh|tLG~4IFJ4PN&YHi4Ctz%Dg3-StS6Qgv`J;!Al1zq=i6ns3293623 zgh$NfauEJ^K-c!L4@-MSbf31a%cd1fow6Y#kH}7->6E<+iUQlOlnWnT zz(|8N!T{NPeu#vr$31GA9TQteD4&M+#|tdG;wHH(ib87U zRpz4CS}03W{9LTE3+r`$GS$*9rgin;F_zSP*em2vbB>gsXCq6l+aPr9Vp_tU&$zd|l_o){ zF*|~1vjq(KrR!pRzl0&b%w8MuBHM~)`DI^#Mg|(8(a4RwH*~n?-g7l?cFP&%ag{#kg9tRb``&ZU`Tu|6|65Cs zDj?bxm+o-_)_+YG8#*OQh&Spv(n{`Au;4CfZIB!xPh14g+O-T8tzBhVq{=oE{%XKI zN=lW%ogJPo^6}+{6^z(7!Vf#_3jPT(qxw&-gE{SBwvsMtTW>RG^3bBT@y)d+5v4M7 zCC;EZlZKk3q%VmFMiEq<5Sk;yY3H8RjbT$61tksqDI_w= zD1R+#=OGk8X5XWE4nhH>ODUS-QXBhMz~tFnNN{9CRZb~8cTCkWQmc5Uw4?M$&J^#o zmuXj>kg6JW$5^V2uAm7#ohGyXUO8Xqv(*!tpWTDsiL;XCkLQiVvx529JBY z8L$G$UZ!@K8YN7%%+RBgd3}Y_L?A{eK^&cszsqS*R{v$NdlSrg<=s!G+wHEG21nDmI`yEG?gMaJu zudP`Q`|mMQL+n4Kr-nFQ^)xmrD%^IW|OuitKd$MSpvF9}x?L&r~Rm-{T;u{rsVnBQBH}l8~NRCT9}q zg{GM`4k`>}wzwgakzwmo+*ro5hJX0a3`WbS;UAU5>R2K^$@R?*tSqW)5mH#wS+}%Q zF-&?+#a^moOSjIsHik03!Kmi2=6;x(hlV-y+>eROiChVT0j$qjOMk=L0hp}X z4k`L0d+ditLctJ^$q+>pgl`L>*pJ+q_7l`Z%3kUJya3_SH#f3oD!Yp;U2QOBX(G>gZirL zkil!GC*7wKIKCrr;i^c=M1wRT;pIXK4pX}tvm$}>Iozg}&7$8tpMRq(RDDXt8FDk1 z3i*)tu5)eIpkStPOvIbh#k4ez;$>~NEvR;BeKi)BR@+BrAZO-8y)kyGGr`mZ(zAm42NwT?s|Ceti`|kz+R8Uk)@HU zYZ>M;vNZHPD$=X*?|=Rh9BR6fIf(K*c6VfwN6FF%>5j-#+Oss$Jt7Yj^em0Zwxtm< zB1;e^Q9*Vd}>sPeW6?7u#t(9W}GW?6oQpUMV~V2 zHE-=;mJ>3$Heuy(Q~VKe>PhGSh4jCB_Ugq?u>V}X!`c>X$$#T;GcGA@wFlR-{05#| z+rwjh{g1J=|NMlrfBIjzn~tJs#eGYLkk1YmRwO#XLfD#BQz|kb!mBpNNX&$N`|Jfs zuMXc=QYxuZZAZBRs-!~GBXS%=`$yCETX?Y6vA%t{LQ0o3o|%i29W_wIR8yxES@)Ey zXNslFDyJx_cYhX@RBMPa<@0IUyqM*-bK+=v`|Mk1(hil;)iy6BzT&GlAY;UWb#Q+o z{eA56{sr?ATOaSCk{)+BKbEArBATWTsd;FYqMBg|To=X89Rv~f99I(k0c|5k>q=Wi zR2grI6*0=2y~CzVE<#G=TRLS>*v?tfZqaNQG4K$gAb)k!b`+l>=Q%y`KNCQ5p1khW zG@{F9es{2ENr>SvOQ?qV5FJr2SU&~vt;*ZeR=F%vnBqX4yMn4jNe^sUV!h8ys@;-#>EM+fgiY7LQ>{wiq(ZmL+z4~M!R8|eIMr?5@WtIDILlYNKR-Gpu z<}k~u%zsEv6>%5;BMw|)H_p$^vz1FHz(SICNF{N9X&R`p+G8%U<$|rY?Z$Z1U zuYt=fv7%}>Mya{A2^anwA{s$89lS#e-YbdwCKx3(-}l~M;DAACHVP6j#>0N2Z3g1*iTGZ+6H*v)9FSpDx= zaZKG*sFn-)CdYFxQBk#M3 z64!VrZ9ZSl^yv?G_a$>sKK;0y?rKuOY`xLXKuu16xd^uAZ|678$RHcOW~lT?$ZEsS z%{4`ds2Z8*Ufj4$GCF6f=S^c+rLi6ZJOZl^{ zdmNh8-<0Bgu7Iun^-F$QG1Kbr;XTkJL|gr5kLEoD6rygoxsx=^)2#l5`Gw_Dy464D z@+yJ_#JUcS^d6afnv%*-6|57}tp3S+HSG$7x=Oe0<|Ck3^e4K!l8_-dx4~}u326eS ze;MxUw<2B;S#|g*62hQw+xteQ4x$L?9KRdSvB$7^XsA?z_U1Q+^~CTfL}NzP;s)2@ z&9_vA%!;YreD}lHEfLe3KN(nUU> zAtG=(=ZDoq`wc4pdd4crrM>K8&Zut)4hk&NcnkDOW3J3(gqn)s_e zLh$*O>vIy_U35FhmpSBqt?R*Y3WWXMGcY|`#Ad(uZWzj80XJ>}fA`@W4zs9teGbgp zlng?0L^a;`?HT@W2LXUA2kKIjf0q?-wQOC-J3S#D9I87%#d6xLWV-M3uSzzhq&C%F z()z^=cOm`rH-WmN`$E9x$e)|54VEDt`6oSLi7Z(#DJe&(3bZ5tGOl4%i89`+OO`t2 zGyoaBfvu*|4N|%jtIgwM~r{W!G1Q9!yHC0tC5Q zklBVfp4uJ0NDA+5kc(C8)EyRciT76sXMg49&_lYhe|I!dFJ?3LPuFQHSx&lZz_%kV zk=@wev~4_BQU$Tc=D}{1e}%tneDK5$%Q`Il#W*V^jybI ze^@yk$3r^q`)aPpq#vr|-XlJ`o5SX+&(D~x5L@*gtsT29e6*`Re=^qHOgvsRyaHsN z2Bb?MjYxrEI1V}0{1({U8zYBcz;^p|L&YR)w_mJEHOgVTy?Wh$pB$`eWe|z)wryI@ z68zc!Ed3wfK7aMvPMMGTYr0fA#qBtpPnSwpS&l}Oe=Jhz{{4m>$d(8RQ!dcZJwcWmbY1lF^oBp5?#N$j*dLHvh0zBG zgI)@%BVV22moB0(%1hdyJwD6_CmKr|I80@9VQqev-Go1tXUXQXDx)(SBhQ@Z%IKb* zl6dAA?$o*wf4!K&&0Q|wy^>Ag+!7JBf*{1=mTIawkiyx&XCsko(=^A89@h}(GnC^1 z^p=4@DJ*r?xP`4S%=w-dJ`G$dx3Jzg*vp5t&dH6D({h*xnAR5ciy^IZ{`f((459(% zye7{06rg-X*P1LxVB)Jic_&P*^F_hVu>|^F1k$;fe^o|$ByInUl~ACy4j*ntRX?v5 z%w0(x>gQyGN<+}N_^VzJ1Cp^4!*Fh{CW0OuBIv5+ijV0U==I=`Wf?J_l%|1>-Yol! z2%EEhlsEqgbQSGR(G34_-X+yeG+A^+!N!DuE{k5#lr1?~LA3Fb?p=IxI6@Y!N?G|= z4#>;Ue{tC@qh1eudeB)_VwMyIT&*w7xG7+%bhIuzgwF(yk~~T^N(us_%L*e4b%#_s zt~q9s_nS+lO@V<%5e2Y_txxk%KtRD%Lv0PhQ$4d4*52Fy#BY^H?WTtc z3p{e{J20+eQ1lWAB{*w!3= zX9!QGZ}xhp6jm}%GzZmkt&K!!T5C2jJKyG&pxjn#1JTr77sYpoo%_S;^h%NxqKKV~ zR9W|NU);a@ORzVX{HKVzzF8eQ%cV%2PcmyXe7n@yBVpo#N0&NpwT6VFq|P20#d)?Y zf4tm;i)5SB*|%+KQ2~{)-jSK4_}N~RPL8xqN*Pt*h~J1W2Pt(9Sl^x$engz9(xTfA zuM)945Z&E-v9}qKNPoo6~R#LH{@DbcW1Z*jR`k7pgm3e}&w z)e#w`{LHUgI)%&6g`CS$F1`HJcwCG~S;Wi7mK*Ymhd8imxwdbokV9838;146gp7isTGnJ<{WhCuIV#9!Q9u_hk7x$#xiryo zO?*r+BZ->Cs{;&U1yYvU{yem#T12hwHzTL@j;Rv|h4n>3WNn||wS3MZmAV0PW7eB^ z@F*_xStZNl)DOXaO<@sE%+tC8f9?3pGo*$%I&ic}fod1p;;Re-y12Mf6>uq__YhNK zWrKW_sP`myZPf`S>UB@vToa>2y(_CZClYHrGJ3k%L59Xqg}im6o}W;A6gN#!D{BIk zdTME$K|GM^-KMegjeOv>TLOtt8fBVsmY@#}sENG|La zC{f`FT9AIX-RRdKK#6UO#`aWp=j++*Zh#aaoK4zKZ4xqsvyBbaQ*zdBu%)nniO(jJ z-E1gLl+s(p{H#1TBq)C!e>5x(Hpm%WWRP*oUxd=mVwc9GplycOyC%A)#UQk^YXf0g zJ|nhCS9;#4_+jyE`iM`Ij46_x-I<<~Geoj+sa0ATi%2#%RZ~R-91^|i)xw4cm#%R& zi~}e-svJCY1K({4}tx zOT=u>W0w}ak>}YBaNv>Ngw)^P2EKYtDN?OE7Lwb*M<`O``{vXV2m`oC9PVJTaa;#y zXS*o^r1~^PUsfnXsZa9<4bfb?*tD_CT&-jilTP&{Wb$nALvPehtP_kgsCEoj9J)pn zJ4xpl05&Oo96t-`e{(`>M32?_bSH#HGJ}CYSq_(5pr-xk3h7b00qUZ> z%eW|wXjXsoiii%FESvXtg)AD;ymrqn>Ne*PetK#9n~~n14}gDG1jaMXsNCBira zDL$u1yAHTW#b?`);Y7^b-i%-#JZtO&Th}XIdY36iO z!9P%7r9w}AmYlA??ATTBiV#@Se*XNV2ohB`CKnvZ80b^Aw{Hd>w`8AL;J7)~Hr!Z# zbr+?d%*dZAe|3cG5?}meJvIy}q__r5KDC7cHo@azNL2(f5bQdr@>^M#GDM7e&0HCs zV#Xc}!(^n21Hoe*yNjKeE>^ zrU~)#=H~olFmC4`(sd=I;dX9)W^x!V6}Ow6)cPZ`bE1Ri_mP^sg>Zb{K2>dJbkC_& zva0QdYdYh3R$Q=b3%|o`;=2BTHI~qEke7*?lQHefWws7&83u` zNF8`%f0l=b8M#^C27?oZD&#aQ)mdpaF4#cJ<@THEe-DNvn>$a-_z1mRN^-~*JWZZ> zyn36Pbz*d$jlU^X#bbh-3sI9%e3Zy-Y_qpQK@+((Oa=!kXd*YG|HQHo7P+lmcpIfm zk(=-Ff-j56?W|z)n3`u3)|up|K#1ISd#hHte{_-C;QaCcZRl$MbX=a2P4_l2V43@` zn8>Q1sKovjEQza&o4w#sq;FMj)&7hCNm3tnV2RrlBeG_^0|z!3ZZT4AmQ;-!ARO@U zg2}kVp$gcte1bC+Oaa^RY@Qi;s(c9IDv!&N?{*suOkLzjA@Di0a%@XRSE=O;Oq_6- ze~7fdNB^Y&r7|n*T*%`iRAzmxMwNs%r`FdL7mxhlH$XyeaW2OSZ>O|o7t5&dw)^nW zqKq!~YCZ27m!QR7+4aYx1V9YI;q51V-{J=@KSK2=&(JmUoemO}#Y~e^MNyU}Ep_4b2g(qOQ2pjXnhen6EzSY~|6j zRHpq-djwQf*5YRSloL~xwXPCx50F~C0Kw|U^SGkm9r?Yvdxwn z9$JAll)BZ*Ln*NO4tK~*Ojv;zwpi|MMV?8W0WmVEaQLGO0-28T^G)m_j#$f2+++Vue`W0XvLfTthdMz5UbeUG45 z4AC8@GU%)#WK+7xp>QRfd~z_Pe|`?BrZNk?;-wU3#;?EZm}t%3ymkE&oDO9LId~fX zfgKGWp|BcUZ;lrr6jtjaF*PzeuQQUJ*q}u4I(^Gm^buTBJ;wRN`t_0go<|s$CpB=0Gy3iOZ{g=|=>?AdUZB>F?Xv2%Gf! z`S)*$uqyn0{??BQsvn85A0Wnl@*6E52SujtC{L&IVe8ES zF?@*1irn*A5u&K9p(d}F6e>$y)8wllV*#y9#(cNx5uM5M-yh3VP|2j=v56}lolHur z8?EEf$)xRtdOZgR$$okl+epL8dqgbM%XVdcBaceGbZPo)9MsEte`a_gI_N}ulDEo- z*p>5@i%0=eR;9^JY2l)Hl5w}Icp;q<@$W1s5ma1IuSFCFFg$e-8Y7bVX7-q+6KRFr{EZy8eyrJ#gwtU|VgkeTL*h+*Ozi20Ra9 zOHBegPI#{nLAm0I0U7qYJ*SFe@^ANHxr4xs7DlR7LR3% zVDM%-*ixXNqcm~L6`4*bl%~6A){mx`T8*Bm=FyOs)4E>LL_|eiPBddiD)w1-=X(-ggGsvoZ4=CbCeP z=sic3O_gbx0yMA_Gi*Rwjsi($H9sX9|l}5Gm=#X zPPHvW)9~;;SqtvA#k3e(d3zQ)G$ev**pzC+s1mA3^(%gdgnIDf zMSp1FiXCS~$ zcOiEC@MFBNzkkQ&@xwcxJpyO-(}7YFkGgmFf5F|yVAL2f$|0NCM-N>dJ$8O@&lwzs z)wmHr&;7@E4{{y*cTiP5+=`FhwbdST$yK?mi}bM*6{R;*|OL!CkNzR=i9+ zI`{8>{>5jH?|t^kJ*;m4dVN6-0rQZb(Aw?XzxU`7*c;VN6Y>u0kMJhsW9Pe{f9(7* ze~3>ujFMQ|qq}#$c;pPs{*k!^lt=vXO>i+kwMu}z_a8rU{uJcyEv*uf0r&sv<4+!e z<;9)9#y>y)%Xe>sq@7%6>~r$5x4i@WJI4MLFHfKk^a=J++Xp=3CH6ALFS&A;&}xvs z_fzbW-u=Yo{sUmn>KY?1>&Mt@Kfd+}f0)+nPjZ+=%!OXpLTC!`%m2m02cLrO&>eE* zkL_08wcf^lztlZ0iFH_S42ZEDCK98h?_=Kpcr?^32x*rJ`_+fecRmK^tw$$B0@(eJ zo$q`BG%F`N#NlUM64-Je5frR7{1g;aZ-jDi-zN1BeSZJ`y~i%sZ~qhw_at-@e+)9d z6oJ01m`skP{PLYozW|Ff<=p~GO{EWL?;w=6_wPM$xqsLB-UBc?U3e_BeffJIV_!a~ zzHyr8_zKqRNq+w@kI)uEc60w8wl*GNt8(7G{g4oO-zU(zPke{nEduk7d8N(~H3FTz{;e?j?L_wNuyOWfYB!oJ8p z0IS;(!vu1Ht*X1ABXPmZbKJg1pE^4~aKSzv=o@rP;o4qy_ZG;_o>4Q$TCJa^cZeuY z0{*st<@_0Txqz-Z&fSSw*KHT56GV&! z-#D%56E$=}0I~P)JiPk}*6=rn_s(%>-<-S=;ADCE|D-LjJD=YB*=N|ZSlSg#Qd_on zyMN~~_KL2S{VDQdef-Gz&RtMD(pJT#ecJmEogah!+NMGwdd=Ou@l(*2)Hg@$BG}Cb z`iS-hA-sC;Iacr9_TC!#e@NjA?J>5_hWqq&L^*%|=gyyf{P{!dOAd^zHPT4p;M$`p zzT*>EY1d!;)cR*jh6>UJ_O*5M6EJnU*e9aw5%yaJl7mZ%$!+M~{Rh~aRW0>5a&QSC z^@`qmeE-g8*oW&^8Gh}!;eYk%7mq%{?%yw*9|B{=N;sE#xv`xOf7_dW`YCp~o7AHT zV#mv@56WksKYjrAypzl_`*ZRbyIv6;jXDXVLiQ_g%aA)h|J_gjhS;)y?hO3&!_!19 zhV82liQ8D_k*X2d7w=6lH{Vo>*V00AdjoOC_GgYyir)}?*KxtGTa{&`Uq-o1_~}Dl z(apr|V`3`xeRB8qe~Z|yB6z#|*g*tL1@SF}c8^?8H$Zq`)q$M)XgntW4t6zygUWVr zPE&T@s>Z=rN&VRB_vGIH^E;mc&1QWUu^nN1%_nyrfQfQTr@*%RJKq9RKI)&5M+xy4 zKtOnk2l2QP-|#xvt|%xFI=%*Rh>|C0&1sOyHuilaKW*NLfA(ACm(6eYC>1yk;Rg?$ zAN}2Dcdb9v8fX2by z8FjP8>y16X*&PLWTd_}o?Q)-h#gg7GrQ@{x=|dOihYvr02x6MrqQuq?Ca1VLkwacQ z>mFU@F?%FpEGzumbeYH3$%|mycCC>A$6$GKs|0Rk8nVJ>#MC|du`{u4^_1mJNN8_K zzHBn6GeG2cneEvlUJ7~|#(Ig`=)NMck#thU*q9vo5HPz?y;nIP;=fta4HY2pWkBDYU zy7H0K>G}8XeuDky^5o?^!UH?_k*#l}|LFZ;zBHfDd<89q;J+?Q;QzZ-=f@O+e+DT8 zf5f(29DV(4TQA`c!M=eDNvqCpxo@H33C<=pQx2C0p-pX1E(jIDX#^uJ`N`1ae{#Fi zW-4Xmn8&c%?5Og+1PrT9RovVz(%7BYJDX3Gh2%^HkhXZ z<*2}(7f`gGwL|1#Q9U-^y4`ogjI3{d?eZU=yaX8s$^Cc{PVwAymT3NgZn+7r8`+Z6 zf&!MaGt*c^)Ym|M-f#&~D^oo;=gHfDNweH6nCAx>!VXf$Q|wt6(VN$x5QNL z@@RwjhbNY@aEO@U%bC~@f0LqYIr-_Ms{)iQ$7si>GgaDs3V{ z#2mNZy%@maXu1v5!CAR16%RLD{OTkZ@|UF%G%rKLAP7#uy<@%7b06 z{@%%031r&Yz6k3?nia4qbq zc@}dos`)lranGx*S%Gl#$UP5sR25Sw$^4IGrOXTj4LP2Xa% zx9;5O50Nr`J+->51`)&8)0bnx9>yQ^^_(rIj|vb&@izjlPH>|T&F|A}3H)=?-A1wd z zpvrcSLFSN+d6O?O<-suke=l@11)sHzvhkTWeb@jfx;U`}o~A;nszedKH=q_JaD4xcOy93~@eO5sn?9;3CWxCNx<>q9WD zAFW5tp`YI_j+E0qMk7J9<1E_2TfkB=G0);L>S~J%f8(J%MzQ08myDq-m#x}mC5y)> z+v_rrXxYDh4s;pG71R^h;Wx@NcqB0NtK_9<3yIHDl0&hd;vtf+vGWxquV!G?GDV(n zP~#geK^l(S*8LiJC_j-w+n7nrvH0>8@C=#URoDu0;%Nb!kwtVQdBnVaDxjs2>!?mQr;N-6!gzb!l+B&wZkQ{SAru-J#&vR( zrrn)nJdNs9Fc9gW&D=R5%6zmKW$G2f=A%5ffBYt-%=a3oHS8r4b5yZa@Z>d^+&qpI zvU!nmHUKd|&cB(xqN{0QeQUy(IjXhO!lR2X-cBF1| zoP|**kPq&ap`_iGQjhN*Rn-=i9N|Z*><_5qLPOAFr^iQG#+&O-t2g+}A?B++sxuDV zxHRc@P=D#fbSaH>c7==S)5kSFYyaLcX*@iyvxJailP4lRkg`*gq*5T+4X&5T;}kXe+d#|eEu&-b^M*VCfji$~t!+hC+(GJ$ybp1yH)p?EGErY(DXloZHs zD6B}%I_CvYQ6k2BpKL61 zQGZ6JW9`rq-N>XZJ=&p9>#hmxZsWm5rq$|`N~+Jsy4dI43P*WpBU8q{`;v@8Su`al zlq(TNro`ZMcM;vl)HI$N&oa&TIw*^^#K>sVx~EbH!syl{-EQ6$YFhWXX-CDSSeE*; za#SqSy7i`ZGM|ZNS;|T3J{O=yu73zJHh%|xLQ!U2dHSA+9TT`$`d2+wtkeNM1Omi z$^#~RWDH5#c!_tW&^oAkEycJ_07g&o!g%=TxQ{-z`fz0{hszYOX-D%& z$5yu-XAawy`@{nrF|}#pI)+r2d-k-65jLmf@scnOdYa7#z_TO#6`P%@+Hb(fr`wk5 z=cd*n+fuK`aFUd1TWU3(_aaAw+esSp`J|Ug>y{NhxBa%q&6}kIMZ5%Sl}_dw=FQp;N}7x3}w6S_y;R_Fk^3fG3%U=XUgnP=xo~esDK+4_l6EkCQ_T zx3<7{T0uA9m1OwMD_Mx{xB^uaGPvtHFzna#i`a>7|N4j-J{!>;eBPAGgNbf)Kw%AH z)MRCF<&uEv4vahY9dM$%15eY9TeuAeatG#grGE=^;(xxA{zgVwOCItYZLJ}Ww%J;o zHg|R;(G{Tx-58xubxV@~}=B8wZ|KrOsgB6@Mg??e3cw$`U!>J6nG!W0Krw<+-bH z)+#MPNp6q9-<1wgiuZkcq5vvV1plx$j$wq!>Zx_xp z=2-?F2I?7e&d42k!y{vkS%0Rd9`57BBZK$e^ay&$q`eFF*W#2++B;+GvPH3b+MY)!u6?6LW3=RcU-JF%S$s>Ij*JE2s|B#~^16T>}y2CF4XFL3p9Vw*vkX zv*e%M*jZsbizK0G+&DsyiMtJg=V$$tC=U8`bh}rA%3IG`sIn0>q~m&fd^M6~1An>> z@=tZuN+k7MZ}8fZqo`+1xLc0EPApG^`}FhKh~=xbe6mxRjX;Zp$S6 z-{pQ~zlQDK1LKYL3i`au^1zrdIhu?1Xb8Rd`RBsKX+!Z9KS;pvdQpF0U*F+?~c(_bw?Q?|jsGkDD0c zmUCJHiX}h9CI@=Y%s3&~jTi5bD=?Asq3KeP#eo*ki@|v`9Sl zHn`B8`63gacl$TC`K%nUe?w`VkT%2ebR-v*`Mj}mSRrQ8!ny6G!7S8oY{hP^gh~B2 z%q?^(P}J{uSVEbIMt}X9%wr@kMxlOBhSSGrGc5O})%ytQx2C3ahL5Ix-MrR!h%&&j ze>`_}{TA=CQ{6XuB@|Y8-K{8$k6?wz+cOM8IxDPh_U}-@b2CCJG!0@lqIlVC^x*vF zLp9Qe-AOSxg+uPlNH~|kUj=&>pMQ^imBr+%O%a7g_BmA5A%73|+h8mrP_IDH$i}Li zZ5f(IPHap5*AyE0Fim3?QhDQx)TSs2lQ%x_o8Dv@d};EW973wUZUa+=e>VsImcM%P z^2IakTdHcOYDExlJhT|MCZO}iJ8G3l!W=g;GP}LWMN!D1?nZ+QK_PdjyhHi4`6R}I zlu?$r<&(~|5`VcB2#)sMAH+pgc3ye2`9Myml{+V@d&Fp3Ic2zXM8p`0ndOuFA86ch zif_@flF1z}Ec*mIK|r*dVYZ1&^nww_cy^%^KcSt zD)EYCzaLF5hn!sQBZbn}K%Qs*iWE&Q2bjusr6_WFd*8Q>$0V0KlUkBR2y%Hjay6Jn zE^DhIhgrzwG;>0j3^kpm{A9C%*y(K@*sJF+{{G2pu&qk%=P}smyl|g9K0M1N`!f7k z&Y+}qs(%Pi4xN(jj}K2pQPPVmTiZ5DI=L~ah$v|tPdHWwy1un<%=@zwUIKIi@x}1Q zJ33{36+OMm;w||QTxcvIEW=Q*fm5?OOX?WRb8?aOnlYHiBV?n)HkjvRYWfPrQ`dUA z9rKwywMo|+&4+nv^-x+i7viany1+TywQL*elYg3cCb!S@$ylG)N)aTsI>}NYv$tUm zwz_xJ_}BEYK7*~E6*9yupNu|Zh{Q@{S5JG*6BQ2(>^vbk=lyB7X(IjIHd)9D!&#jp zeVLwpTqNB+e^DAPq|@CCej^E7Cf)6p;lC_HGTFt;+39>blN~$Uc`jjNvNKm3kNH-5 z&40ToeV<3K!O5q)zF~A+05Na#hguWTn|2Fq*B)#MY>kNZ61{%<0r1Ze zjZ(|$ymt55i6=afbAEH7Q1}tS)JP3?rGHX9zo7By&>+;$;*@vcB1^PJm=2Q^Ji!3I-#YvY$N6WF)iVFFkNMk%N)+ZGUeQ zpa{wCwWxfd?bLqu<<~B*&j=N8Yebfc8oAsxKi4IOh|2zv$XpSfs4NKUEq7ueDvde$ zek_jM6w`7j0kGoN&Z-5+on+W4y<0!s$+cZ)>|6rcq0(NK{^urG8J~;h!mMT6f+>s3 zWG#K$#^<1C%1N04^HVUZjiq`ka;#`0BffYpe)WJ16E z!sY4fcUVn_EhYTjT9U%gI1u}Jk>8)o;`9CxY)4J*@tEvq)>^)=6O#Qb4m+L|qu9@w z(Be)fI{O){P0Ysodg+s=_gUrhM2$np*u5h}TQ-6BsQ~$B68nELv ztbkb5b#u@O!~a0yN%P)2Cju50)#oB0UqWF~^9)fcoDzUpRO52?e}G8TO^+@&F_J`$ z%N;GI4G3P@A0mot8i~4i?uP9oe<%gE>xvbCzkKoXC27(465A2Wn^OmswjB|tsn<>x z0+B4kEpWO&=S52d+<%*m$rC_t@bneF{#R8TQvs1~6(R@0Kj(~$|UnNO40Dph>T zv$|S$eaG7HdnK}-D4-=W4_D^Vx!A6roc~O;^VpXE)e9?L25Q|^y?h2kdwHTErsB(O zzf5FE_cnyR!apYd0VtCNK<(mo9s5}2^@27pCpJDerM|5|Nq^^ao8n8VCHMt@@zp#0 z5d_KFT0P@}lPB3}bJ&vH`dIu0xmvCLi(5@f7x&SZsqHTrW7gB7j{@@pq>ZgQ8jZOIeu4LQ;uCW}LN^r=E7S=?5IkNBXI z#rana;Z7`M@qfwIShW*%9e(-l4ZIHPtI~>PbUN8{f9yicpp#oYx7s)iIypFLY!-T! z@Z|%&#kuEHJx&4=mlOZ#w_kmQpHa`i!sW@oVPm2_H`cRQD90Nh+%0$#35s3=Zs7&d z68qe3%lbhZpGh{C5B3xz^~g6s)vCul3)wt=nwWyuYkwlwH*a0AI}L_cw!T7<&uZ0P zfdD~1mz9|h;Aq9DKK(vRn&frRn0v4*MUu~}#Upc0DDt_m{~|(UJ563cdH3cy4#>R4 z4!^I#(zaW*(oRg*`-dfR*@)?Z($#RHZ20EY3*wt*`|K}DZDYyDa~cwGc8tPHs{@k1 z#xL5pj(>sxf8Td+fZk^*M@eU_o0HQsi2BmDB&@jblkc!qk&+v>i8LvF0G8IvPLUCq zSTV7;Rpx;F9VEzPxb{Rw=d%+x%yBHLyjvjeBJl{h^V|T(vxz}U6rUZL(L)9$QTgl= zzf3b5pIs8O&SIyo%QS5valsEjk>^zffi6-mGk;z-#%+2WLp*oYew#lZ$#V~urFlzX zp1bVe)XiFwxIV{Eo$_vrpAbcS=T{u+ZY`YV?= zUs{jioKmy96N)S^ZjT%m*q%VF^8>YpRBwf2^bnzxjjBKn1G)x=7muU)Y$Ul>WX*>lc-EtY!lCbVb`9dY!+VZC)h5&7iKKsLpp$jql_yNs}3Nh zqN!G7)dBR(Z0_UF%6sIB`O@{5uk9yPa*^*BtP+9Mj{Pes%_F{|O70S%H3C;>Y3)+G zM!+{BwNlK~2&h-|9s-9(pvE)16nUuK2Gt!NQG}WRzxA&{Tw1!D3?&&jw|@*q@mM7T zElsN}Tv#$NW!N4gGzP>Ue)SF)equ-P*WX|@;44rcx1J+H`OGtuqxnohLF1g87jh%I z2^OOh3W$N)wyu^~M*%H;WDp)KGnfpys>P!O6yMqlW_*htuF`yg5+5YZYP_6i;)BqU zo-P4Re4uI!j+epWgUbs2E`Nt9J_y^wQ!SC=gSJESnv|k2h@T#IL;22AbqRG0g~5eo zYFG*@3{?Atdn`2Ubr2U2i#71SvBF?3?j(jwQy4@v=$m8|8Norb&p089rJ#SWK<;!? zG8Ze}{|7tx@RZ)Dj%bGdC0c&4?|Gb$k{?)(Hj9x3%!goR^Ei%A(SIMbM5w0_2Q$7# z+_zf&6p%E*G^Ha}2P{pP$jJRyXk|i3LRPvItxPzmI3^QC?DB)K!}Vq-y8K{e_Nais zlHa~~_1u-%@H($5RU%uL`~nyK;|JsVtlw*61}H ztiqH|6nY;&U>NeZmb?o1H0i{Fu|}(4N+){G@B~1rbiza1K7S&iNhe04OLByebfV~R z%#F0gx;`gi!+hO(3rbAUs><+Y4Hzvy_n#Fq#T4yj!B_>(BBtna^Q|J-V49es#HaI* z@XJgqdWhn`D!M{K*I8^Vp5_awI*ZaH&jXyppy@39=VsobWfs2J;$fLSbsKEvwHxF} znMHryk%c9Cw10Chv_p)G1?baBF?d|623DVEw<_{w&t4lB3#)%=du!L5+DXdb9#?^`|Awp_l3d$WIc6fX% z#UZ~v)mEd3sl6!HduXMw_M)h{ycE`6j0g1Q@F{^xgT1R}u3dalUb()fM2IiSvL^CH zh*1IY?tfQhV!HZbK{w=0=uf_->~gjua`~Vrm5-2SRBE#{T-%q#?f}@#%6GE`oes}# zwWH-0d3n8B0bOp9GT?r|W6CYoRuj{?woc{ETfB*Pv~1=1ekl^97Q>WWTPmSzG5owV z<2*Jkh9O8d%CTxO0<#7?Sf&cZFUMtYAT5SxOn<;8a_-*rDmt9y^|xQka>Hhvt?9?(n=Ip^@d3)nuy_vK5rhRiI}SGNR-kw z5zVcGn;fdr;5c9FCZ#J4+Uf%eo#;vfuYbjwE-{ONc=FJpE>m3I0(vT@fe zK+@-xHA%$+hzY-1YRq(ElHJF_nMo29rEMt7>5dh--n8eC2$EWL5N-C4QpQzDs zDTHcm;enSD=0iK$TB^9}55S8v2HKTabMU{yaF(Xmw^Yaklz-~l2KM+A z(586kbW#c9Fq67qFTrmPwG4Gkc}pk&#^g}$Je-hPZ)!1fnOMMOkk=(WA$7UN*denv z(w^H_nNULN`Rrw~kkS2Cgy~3T818fn6Y2usSfPNWutF}g`820qR4EZ+{WC*|UWw{- zl1lP2X&Umqiw1+x+K|*O)ql2f9L+$>LER{y(F~l$`Zh~#y6dnR3lGr@luU=a5uSQ- zw*fuo0V9`E&lgmdwK@V)bBbcUh>6V9`n+yi{cjKz`1Zy2u}vIpm_5#tSS#29lh#WK zm8k_ui-U5gcsxpL_LMQoxP^()O);{J%k3RsQhY=lf6~|wrN8Y+9DjfM%H`GbSMPa$ zXrCcP|JnOt0_i3n@tPmSw?7tg|0sprAG0ntM=579n^q*SoOaMH*C?P=cX=IwXG)}; zwmZbsu4Kq*4GEDtW`509NdK}3su6Vwd%0p%jTo{!y~L-~h`T;VDX?y~Ofx&Bq}Pb4 z`m!S#i*7a{^1`1XD1RZ$n;?EmWmLdgSf7pfB;KDfw6JC8vsustpUsoT zHGBjpRH1gwrHknWYKuzW#Dk@tQ6G+s7h$&7C!e%jlxl`QqIMK5c@}R%g~ek$)WdovX_me6w92kFR13 z@F0=r(3#CF5lclLQn=&6r&i>vC-aR)Gb5@4BP;RQ4zm@s`bJa zje!OI{19jomH%juc|w2^sct50 z9U?`lO`A0og?}MMq*^vvuw|RtGqdpjviIJ>jiu>%Zy|$-t69nS+_5Ful2&0$mb-hV zyXVTPRqg8P`i@E}>8@Os%9h;j>7Z?Gb9%(?@yx2qRUjC^oC!uSftg?ibIt^qNicw5 z1ekLGK!OSP1cYQE|p7F^T+%#%>*2r^L@|vK7YUWdD|j60HoSGe9=#VR1;d$ zLIRj@)3~!c&_f`Y(r#Px9z#fvIjUowUbscy{P}czEsuhi4kz?Z11;2qyEsvtq{f_6 z7+zYD9wGbwFNywze}p=*!g<)474; zJ=$?=sejsHm4U~tW__Ls>X$x5c8(o70gjkGCz8Tj2ByD5WlK}8EX#Q9-7^LTlh`=p zh0N)yjxliuFr`VW-M`^I-le678YGa|o{Yt-GARIshMJR?C5*?t>eTc404B_{E-G^g zi0zq8zfm*9_N+KL;X%idOgF82y|DjDRoJpY~+;9e#(Whkr&#|CVcA% z`ifwiueuut{3Bpg@jYGm1_1*i)BD{2&0Al{Ziu>((_(qjms~>5S<2yeFzB{3zYZfqx*2 z*2)!d76h@hFWXP{1vF%Fq9QYl14R~hBTez-YS8sgY6gqWCEAbriI@voi70k@y_rh^ z6YEw&&$&R+=yITN7O%DiJx4EV=6+KL7sevSPTuv=CcR`vmYgz7db!T4NMU3TOB6>o z=wfsqNo?xt00Vj!t}|0vAY3?;RewClqm;X*n>u?%bVG{R{z4U24YcXyF*3DvnlJMg z$%ZPtr;n&lOf<;Zm`_uqw^6kXEnDxEfOk>Gitn^Mgb&2!HEkR;Cw8 zVX&U2F|(GM(6g%C>yfhDCM zM$`?S)r9atqHcaUq6XMl34hHSK054_fTd1TQT93uM#*Jm-OL8iD7o_!W2S^g$t{L0 zWz!;h)Vk9o2}sH1yX`Z4I3;J;o~Y-L3a$lnRp}xKC0CG?Hz@WETlM_jhz08(QH!&C zea8w0U2`Alb+5$)eE+wTm+WRdn!(+1(eeH-sDm#p9YB)rN?Mx6i95XCT5)jLBf_g^ArrjG(JY$?dHM& zuqvNelRL^F?mt2lwH+!Uq-0;T7+Jxgb6<;%p#>~5_ti07yMH4G^K~k011=y?nb^_N zY)738Wa=YiWcIE?1_i$kZyQsgDUkP&6m?cK1J@O`v8sl0T{Zo-Fiiho^&YWRh9#}PClmj`dd(*j(1-IrvU#472X#Ms%I&jafb*)F4m)E}J(13f z{3{v2d9Afy?SJykv%(>brJ;i)!;;nI(h z%DbX534A=zJ*2TN^(()B({>h6mA^y$iUE7hw%NLT^t-|_$ zM@6@$@8*y3*aRQCWgRN}Ig-;emp0xm_BlPvsqL$<*?%vcnu1vogxso{ol%SFDxvy7%cXKs zX(3^F(=o+hvn_7_3DjSkI=MXMftXZ8%h0u)j!C7YS|$Q0n3VmvTqFDhcQi!q5B$Ro zJpADY`yT+KH#}eZaE>dg;etQ$Eh+V_!S>AtXn#=e7{9-^xR-MqKr+)>gH_iuiVe20 zTeu^E*bmrxvm#WFu<5z}*)>N2T)fyBmQ}mi@Vb zV5V6MH6_c#6k}%a>h?(JGiK(TH8vsCm>GyJ52d0~-MY~;9_X+18oKe88^A@`Zj1eE zx_@7i+Bp-&@|YayO{b+Ca_E`o!|+%EW5(QK3P^QkaJOPb0k1bO5pVy`)WZI3d8}Om z7WU`2%#%KjsyWiMj_D|P={$2O>3`6R<7tL7Ef=VOmo6`s%Yb^YwrE@FFWqX#7E1VF z>9(vqGm{#2Zgqz(`9P^+<*F)xTF5LPZhy=L`j~4Q`x|BC(%g)C+svjG;BL#cqbzEn zEjK==m0D=q8$VJ~3vCm5WqDXDoVU!SZA+Fy3R-2e=anp=eAPbRts-1rBG~}l-CrUh z(RX2NN@b{SBDPTIukozp?4{tE?)kHKuYEsutkq4@N;Vg@<*6KcZDw*XTET|ZW`BzI z%`HM|y`{#v5h0+}TkH{y2`qZOW!E^Y7klamZq~$FW;IeA*dO6+h$4VLcCSPJfn?Pl_A*^C32H@|)6}#6SS4(c^>!%zgKt^4ber zzYCtk^344aa>!w8bGVAg_M)EFc)j({KT~S>hmXtyV&k&1=h<^?pFgp4hM~rOV*1+O2iW;D4d3-OM!aSK8)dscDVHK{uYb+R<-?;sJ35*-)Vl$X1>)O@Tp8x zQ>pQYO_Fh%{wPNPl!+?synl=bhn@}DV+s6#!bDXpmW)!xU_^K03{(uBb!^S?Nn$W_ z#2QWI=FO_bZXsO^?(ClF1q@77uywwPVdzFNERc!HTD&}= zQu~RqHGH}h%~-6;kkF-QVcy}MKjx7b1uF&&Cp%zx-y=2D@k(?!#q zzkAzL)ZTSzTml*trB8~mvfwn)J!Ckp;Cpl?$~xD)N@t?7+P5_Uu$=Y>NWsF;zhw~G z$6ch^6*hliYL6I8M&Vq4P4XXn-36!(y(zQupoYl%!*$vlo1W75( z%>X&8)RyB7Df#4~FV)ZcqOvG~f62w8_m}{zyF+UQU^)|&)qn2jbQYU_ixFQ^WFP!I z$Y13cQueqM{^}wodkC8S{}Iv{m0KZXi1#Z|R9S?iLsWl={VxE%Dy_HAE~M~P8+W}! z@OZzTLbp{wJ%*{ww+E1R7RU6m(${nO^e3Mob7c#`45QJ^rI)1vRKTh!z1hKrMe{W$ zZfb?M)z!Kke31R*0|6;ZHi;WP176BBD) z68aHR72Ts|NX<(*zCDuyr^}iKvt0<~t1dc{CEo}5D&vC7&WC2>9WJGYK(g`9FT&IT z0D09Gt<92v*?7jWx#fQ#8?QT0pTPrxtftJCu>c?&Pk(o_T*fp=Eq312BBdi)l||hP z;2<@VrnePDvO0PUTHN(|9{37<^?!qXo{bmk1cqT^50QbJ)egqtYB#o?0=)4E%ZGaU z^((}Fpqapi?QyY84n#dt4b*B0-lDr_{gN0l9Q5Mp^Pj!?9GRVW&B=VV0bTv2T?*C) zZnv+m8Gq)jEhakRpuEH*WNG`#g_i=n5qN3+#xV|z^GaN(&t^k7uL+g5k4LFV^j~RH z7+TTqBZZ2*2^N&|YSNgDG8pHj2~8;Q4`551x=au;<=mAo7T0pgId?5t`2#|4eWYJi zR>-H;N2c48XTV z=fCQs_Zy&D3m+rR4t+9if4zE!v}IY2q}ZqM_7&y_*){_pp?CVZgfS_(DizifSp^Th zvwy_h#`3B4s-aLvxP%;fS1=N?C4q(B)!X`81k}n_PjLS@p6ld&^6@@CB)i5DETj~? zuF`UdR(M~*%Q4ck_}(FK_VtiB*bunI`l?RoxSEH^lD>6;J_N4m!qqE*l4V+LrU8HP z6gh_&W{Oie@Ql3Pb_`PSvuJhZolqb2?|)^{EK?m_u(5H)#+4N6*tjHh<-Qy!=9R0* zjkpGU@imq@_crJmz9ZB(?GLhGP+ER!RGf$$ke8O2=Jt20;MeT3e5e+h0CW%i9G0&c z9Mg}Hi_zFfhJ-wK)2M<6P-;DMC1paI%Z@&ZV<9?xP50L#J3^1{!y&cUx+W78*MEGB z6iuaM@V(i%*ear`YfO^(E1E5RAysThIt-pR8yBmUKr-sa-+hE6 z)ehcr=&;-1UC648S~)!`$P0zU-gRoDhNSd@s%E@a9{?+;Ufc~6^JQtU+w`HL7CT;& zVYhSl;$Kp0uSR3?0EZG)*Hore1%J@0vBq}wESp}9tv=DW3P?dy9{R0)wD`Zl;J5a} z!6I5xU8?yyPe|e69A$@RY(^eV-*CL^OG3duVB7rMC=tMi-rPTV8uXkv=plw8=RDt2 zD9*jyO_C7NOF*RE{-83R&j{5u6ik)zX;7WJEozcWS<-?qJ+nX9o+g7=qkqR*%ZOHO zoVA-QJWl0;tleaCTAA2ivfhnp%7Bh1c!ccKxE*p>H9LCzFkZ&U+LgNEYJ}8UcUtA* zj1a=wjpvlxA?bCFvra3Zc8K%MUjMaH>diqUsrL4vvmr=+UGQn~JYLzR=GQIuW`@XN zXx^T+O94#J8(G)Ur{}G2&wrQ7sAyhr%&=Qdx<@_F;(pZj{{tuU*1{)xc%(}I?S<8W z^;+Y?1U({M)-C=g2&fmF)wb`S^X~a8weeSLG?zeW-6~@!2;E0&V_GZ$-`qklj+Us1 zE^RD*64jZn5#3bcpo~WERbQqT<0=;;9FVlr%Vidc$YVCb~_ zN67eGOaYf-r(6X)2Ss$SZ>Lflj`!;OgX{KO3I7pvU1IMJMx`*+?^Y2X%7&nR6N7U^ zVG~HSFI!NLvuOs-M1SM{5Ca6bz2<5YdS~o8D^i4HZ|C;5EmKCP0Pp%e@v$HUxN}k; z9sqbjo7!8GkVXNTPC6@Cpg+_e7I`P7P=I~?CwL`^OaUHj*@@Ud9|c%4;dbJOYVWd% zHw?mt4J zX19)D^kDe;`Z$XrQ7)^bErm@d3p;Kq_IYHoFeIVKEum>1^iw*w-W@}y;eLcXX&0aSE^GXSzDEB1hkm#cU$ileMsjpyQ;njBEW&j8hZg)!maeo*JXWvIk`u9(ufpO-Z^LQQr5~jwtbp}w)!xKlFTMB@LTlR@nzwq*G z+3_P-b|VN9W~F4sa6JCwT*N@W#8*{7*LiK#QGx_c7H+R3M{wz6Vf1WDE*qL4mldi+ z&)*Ep;muHbG()-f9$0WRs$cFzRfn~Xn9#J-SH zwOGRQIHxVnwPiWPIgPB(z7r5y>M3~>Z*%t6u_&w(EWKXlxS?O%t+?Z ziN^9mb*Y?*Xfzi0EqXoYs)3dcd{h6)+ZV5qvhu?qeavoQ~}s8G+hW z50TNCk~-`)QA6fdEe(GNGLEj(%UC|ed5vx_l z^_oRS!5?Q$rw0PSK5xBj9)icjtviV2O9MNz8==-9d`|%5OX9;@oL+rHk zV}I3VYiCkI3KeatMMfoQ(5?% z__H@b=c$#t!aYFR6ZDY!4*Si4 zNJC@iVF1a5&&hW>s6=MXKxnX#!EayKNii|_?fMdTGJ`Dq2q_p}i|11P_R5?sD}SGo z_KW-NlgkHxkC)ictNG?j{6&BM3>ntz2;_+LUa)H&7Bw`C7ZH4y5Bs^-Z=M7_dHE8_ zOt~KCQVsZ-sY@5Z(0P7QAd*}^QXz!-j}H;uVa4xY_6BxMk>TA3djlFH+?l04+Yj_?X8a)~ZqR-P? zq{23rjO#ASy^CG=j-D{57`t$|r>{s)c@DModq?#)hAZ#@xtU5lWte~S7=M|IxAkK8 zHqDJUX~O>&YR1ndWCaJ%LrnB>t*f}Gd4g$?Pv3m{`JcT;H(q2XI%GzQUCk%Yywnm> zX-!<`!#wxPi^^0M%yZXWDpH{X_>6JoaWW#8f#kFI?biMl_BUUA{+zH3gOKz3jT8p; z`Uo-45062g#E%h^F1I6q@_)H_ABfU}(n4rU)yGJOy`+?W=0LB}V!mpIMe*8Gx6M1i zC1nQpm}4tRvnlI4;Dj4=Ya25>W1pK&0fv>7S+UGw@3a zXG26xR=mA(>k8L=*bxI?P`>iq8i=(pzYjW|^gc3p5| z{y*SZkg?S|1zkl%pnqSly(^mFfUf+t;iww_E1u=gv%mx*#pDaqXr7& z<_XTa;NMMN9s&`UbL|K^=Hp{zAnG&?dR~2u92d<^<692?+`jtUqm__zMM1v=f+K`1 zZ{_mnIKq5(L>-$6N9YXg3x}?b50KUDW`ek<;|TSOQzargj!-u?a3o>C5l&+5xwwcY zZ`s(zw|`h$qr^~7!MZTl35+8nu9tR3KY>ppz$Yi+bGT9)>&m70)U@Z|Q1T$eRMci> zkwFE0#aTNW3M%X_w2rWRr3UZaLj?J^%ukA8e1h3MZ{}0^gofn(LA?F_33j8t{Q?tS z?wENypBi!#ld~;)ABvE#yH3Q`Fgl8moMZkRZ+~nep9{S5w07|5Kf^JF;PD8DkcKIk zQX)#=n8Hez9Zy2@LI=5>BXI$A=wM{OJ_ZIIr1lg|uqe>M@=5g+7=W{}v$r6D?5Un> zUgqeZ6~N$wBG))ElETj#Y(N+Fg+^LMM4rety9SA+O z7(hp+8y;()rs@QOJrQlHCFS=)#iq6=Sr_l3;X4Cs(L=ZyZ{BBPp_gFH7 zmS~w$wL(KWKSK8IFn9`cWU=qW_JXIcK7W6Ubnhzj0>Cv9--<7lBOO3;Pjl$784}Zz zr71`f)5!&6|D~Dj@wU-R+Gbnr<}w}pf{>doJCQ1Zd;G*9B&plP83)2jnmiCjo*P|6+&oWE`U{|@jtF(qZ@yr7?6jqpxd>GZRu{+Qu^-2KXmwyH# zDIvLAd@A*j?+D4}P^gE^`Y0`P3ef3^`a%MgEyNLF%Bf@lyH6l8KDWM%TN;5s#Y>Gy zVr6ce+yg~4Y?hx27{Q0I6>Fx9w!C_-PeONbp_Il%#7ESR$bE!ELvTYfhob24&s7(5 zqr~>Np9gL+?AOjGC_e+K2+fvJMSlu9Kh-2PGf)v(b9-l8a!Dl!nM-aQlfpoWH0#<3 zn-P?7He_dTAXJ3Wp0g#!ehQEc*z4bnURSX}W+LLOZWN!Bf}i4_zWekI_JscE&6l4) zM@GU|4uFM%IK$qo4B%BW8aFXl+V+buK4QxmsT0u${OvYpa%p@-)`+@HLVx8W?51&x z42&*nY|JOJ5J5nqt!la(8*AhRB*vYKA3}hL?dj$k79EIiD{9jj>4$0iYB39Y#po;Z zly{J@>hWAYjc2Gz-wG2#^;-}!n2=J2FBDWq0J)rtOD9tg+USK|AXkte2b>oP{{aF$ z*fp9PXcp;XBr0m~LNPbdih35dvq zjSdd%#Xd%c6^p+K2OyRc%QA6|jmo7LLFggQ*ZhWL?Vj!nC~U-0kuEHN&PLQX>z5>y zg*Xst9}mvOEz?(T5OsX6iw#2}3Sy3jBoHJ*6@D}WWqKbVN3)Fu0e=_>09A&VT*|48 zX%~4g2ARKG77;UCiIoZBJYsLXAjW7fDNx}))gIqaKzcc z(kT9xKmAkWtUI+y4&6OG%UD}Oh)qHxDYo28%K;!s(VMG}qmCj_o>exO>Te+jPY?`F< zd}m_ZQOEmnjil|GQXY{DNLdZ6DV3ix(Hg3&jbg4B>chfjR@bxA*^FCi5RzEyh-4^9 zeuUU}Qbr_nCSzw%S0W=b8Ii^IQz_%YJrF&-n3u&Mv*A|NyMLu*2f%Y-CVQ8&pu_w= zLdqH&>cq72N+43zlswH)SAK*v#U|bfp@c_Ljso6ra1XI=mBh*5*-;sBvpG^2;&Hib zUKN6f$I?X2tQ3L(U_hV0c=SbDSFm-1gm|nTwf_~I@TeYlso4O?QQMR^#Q~^|*n$m{ zoK9`*sI#_t5Nac*Mq_{Vc8$*}23zHDNMmL!#0o$f1Jk<=47L8pNNVV99pf;+SW8_# zJ_p#dk^k_^cW?e8=;;@P;J&Rc$j6(`v05`$)iSBFW_eIO9fVZn?asr-{N=Z0hD$-t zB69w8ltbk#2D)R4I254bSTS=V#x*syqC)&pMB(To>P9r8B4dBOW=2Rhy3m{R^Jhq? zJ9m)>0WA);HD^NdkvNfPg|t#9ivo0~kK4*KZJKbI~P_N=oczUbjFSUhW~9sfAzxg_LM> zMNIPnQet#)VNnM15aXeC9f!_Cge2CEvnV`7b>eb_>=WFS7MUN2z7zN&@OM5#Kii*v z_U3DR7)JjC-?SF`*FG%aiWFSP&yewmlK+)hf^UI`e+GZR!>=&j0ep3l|yFwq+kb{Yn(IFlaa-gg*7P07L!C;##Y znq+?v*I!*)d&gmL{j*00YgpvbCc2bD3E=uCH$}VI6kGphIjsubdcW1HToF@keZx+x z5nAPWh-l8L#>G?vzdfp{QV4VDv-=wA#a@?Qvy_v=hq(0G-AS_?aOCr5Q)}2vj(kVN z#t@W3zmH^{D-YR#?LKsKmkymXqI0$<@j!oHeI_YA0@CfiGq+-r1KsZHu>(%d`~CCS zm|FuM;-81bkHP%&K}Ay<*zVqzTIiND_~aQ~wkQ$ACr=;M_Oa-WczvEOj|EO986Cgk z0p53PXShO2@xIIKN0~U4jV0>m-?|wNwGR>Je9E}Z1s^bD(s zVZKPgb<`w-Z21^Ta-GIOx6=E_q`NsoNOwo?mhwm0fIC`Yi{7M{$Z5n40mu5lMITv>&pu?E$j30qI zj8*E6A~wY?jF`+;NEq!x!%EmCi|qU94UReQ6p`Y)g*+ak@8^syst|wB%)JJOX@KK1 z_gt=oA}MIpZS9Y0N$tybN{bU}KtDp3&Zf^fFjwyQdcnbAbmaz8ii`meSFWWgAq(QW z-K`Dvvl)E17}xSB^yKgnk~x`Vf~0@eug}@}Fyn2?o}4A28E>(D=LP}IcvJLmnpjlh zEv;`)DFThR)Zo@BDcpZ}+h4G$$(_k%HHDe5nIX%oOQTY{^A<8WJ;bov+((8(RdIZp z^X52Jm5J%jTe3ncg}7^-lX%0w8b1O2Oru-JY0Kn2xWh4A0cCtx3e<1i`G`N zyGadft<%wYDT7yJ7WRu5>xw4eL5j%V8J|VoRC`<^sN#yMGs5ehJ@e*)S3&rP*4_Nc9E> zw6*5K^PAZeTdRMkdN`U(v#BlxE%Gkd-SQX#5-%R<}V>% zO2a-dl!hqko@cJN@!(cYO5;);3ufgc7>tt;E62IEeJp>2SUKj)oGj8fUOYFaGerT7 zcesyCuNUv)1vGMX2&swgQGyPS-d1km0}fBY^i;W!?(me3rw_pfd?b&gm$5t!&+^@v zo1qQYbiEx6I6PU!w>?58hey*Do5;`|bPriL({`~L9iG#wJiV0c@Z=0t3`ij^PSK*- z-|g*paWa4AFQdg&7stAETOp>nIQb2elYEFxvoUhCC5QMi{R2~7Uh~Ciz8VmN=1Zj7 z(!z(DFPjIt0xsQrSzSnKgqSbOW$lA3sQEIlNjj3y&6j4wd^zJ>jv>X>uGf6gtGnaH zaP!3ypPj>^m@l(Q!JE+eV-Jzk%mzmQXujMfgm-^nE2H0hIgf7m3#z$tloHzpZDF~G zG$)!eFk{+pu4L?;e+qajHN&etLeN_=%q^%zbZ08wQ^S;AAC&*R*I|@3ELbop7l$-0hckMsYSF&#;UolBq6=pHrp8;Lg`>A&n}r}Q3Dlg*7iE^ zxBk`BpCKof(?mJ75_aK=@5JCXe>i_)OKkIh!T!Nl28)f3;F5|^lN;^Fd`P|NBDYs3 z1*%IWalNxR?uFg~@7^KWtLs5IWekYMvKb~Kje0GQy~fTtq}aM>*U@j&3nF3FW-|{K zPgi)*MNF9UXU=WewI?iE!d!56Q!kI6Fn7~c-^ukxQ0dUr zDH~Q|Xj~pWlT$O$_98dUkQ0AiM9-3xbk+_(?8#wKlf0TY4GQ)*59^AzEau`7CxNft zet}dBD6%A^L+$n8Ng)^eD)ylug-U0UgmSdZ*jy>)fT>i&t}6}Z`jVrhmdYb=Qw!a5 ze~K=cSEpC#IzyZkug4bmDWiWuP7V@WEa2oN1NvTgY_I$j$^FGLrIsZo~=@b<;Mi;*228w z@aAjttls%^iz5oFgd%1;V(+v(3Kw+St=Ykcs-hC(9nvtowk!pt=2CUZx)>UG(!N+7 z%Kil3phe~1^^eoSNX=I-pM9YIE|&EI8Gqxw*>)C5E_T{$%Bga3zQTkzLj!U#S$)mL zdJH^jaZRa`QN*G%Eb&-G7mJ5uJ@Im~Sd48+4g&_ZsV%1t5nU`AYl}*R3}Uc!^E6yU z7K3q@({7PZ43?i4mP?_c&!rpJ3n-$mO_82114W-^PfLtd1w>!1bx2Ovc)@N*9e>Xw z>k2h>4iQrcU3@B}tGFZ6SvwyD3`}WzGV%~Q&!6*X z)bZhzJqaN4Mx!l50X~suIX6#2Y=7@K_tGSF%3J72Dlx0V`=$efo<7664MtjG)I6{H zJ73&ulTy`Rlj|-{N>_iD&@?xftp2p^U70+p`YTmkbg`*|FJ|}fm`h2+-q|Yer;dM% z7%beDktAQp>_r|IBKg8BLv|q``I1(z91@Dgs}Gyq=KD3?TyJ)nkQz;VQ-5<}20HnM zGu`EKn8+)zsB5`YkyjTSYNU(2zNY$RF20wN?u`jm-48t)@6gdn#GZp1PtlncBJ*p! z4O6&D2-A4+dG2x*m`1>@SAJAu#VcO0FtW9 z9=yw^P8VMazjet$9d~ElS$~()B0=km^Jl%ozmHdK{|7eX*{j>4^Edt@Alr7M=UtG3 ze0D)sj)WrH#-b7~IiPH-%HAgib3E zp&QDC-ilFoFD!U;cFz%p^(1os?hUH6I_~}}WJiwGY0t&5)iXA)!ogw8Y-zfiqT|)E zMZE%&nszxBDr9s^OGxV1GSDOxBW>13T*y>Q;JI zZwcX1<;zUUc{tn0hXf}%FC|bld}7-ymC?!WbXxaR_9?dF^FI4B z2t6E+8*P6Hs_2Aan_5Z(FEkmK4j#pCId7T25iw#Wy{j?G08lE7CGF-(A;#QN)x{h& z12r`JEEuA$V}AxZwk0s{f5Uls2u(MCfP`r-ngmeHxGZZrRsba=)izBpmx7p8#Muu; z^zCsVp>%=`*=ZXV%xX3b*Dp({+u?YQ8_|<-XJQyD?x>C!fn>Mu^cL+y`ywA8>mkZq zybOwJ45TLEy1`rLQw}v0Ghl0vklWdwRvF#%4V}Iv#(x8XXh*hr^xshqj@f`~4YU&q}36(Z`fsO-V8@A^w zXRv3IOkZ8@5lL6DUWt%aMLi2di1v=1$2=&#c%)J};{v3g=QT)+&H_D03Cz<|e=@hO1q zBsif}efy?}4ued%8Ydxa##BLCF9vi1eSaiLZ5zvBc%||{k_3AQpRec z!D48TLuhMf`E;+CUS-U%HLHO16aw&>nQ!?OIA^!%?A@aSk9qaw+fb_Y9$hCS>O0!6Zkq}ktNq7xI7uYc9h5^!#+HpDxUVB|`b4c!CpA+<-gNq;gpey7bv z4rV}G10Eys>f;M2pZyR?(%5h1Bv2#0wA&(p0K2XI(@JO*#eGCK9Wlv(VSbFXEY)ss zXk777W{Jk1H$cisELa(WPFO?b`p1PqcW^NZim`jhy$G`r+@C)07_MC zprZ8<+W9+`+tSBpqS-V{)^oluJ-2l-MnJC$pN7u#;-%Z57k`6oUpv{0N+HmZHg#*a zQUMWTZFn}`SMz&VdGZIoBG!GRVK!@7Nbym2t|O-SkT$E9wBia58E@6cwXKO6dXy(8 zN2)jstwJ-+?k#F4;_5}20e>>@BFSM4VKMQ!`N`LMNN8M3+d{jLsXeRL*nUbMuZv#y zldFPRIle$YMPDIeKGl{&*}zB0{zUMukg5GKe#Tk`9j0a&zHmafAygbs>L+;AV);^M zXcMF>;_TvdfCsdghpBXx99WO%$Z1Kw2yB&XOxK=>XgwsFh1DeqtbZAAH*6wR4h4>9 zj47QAp}CI{eStbn25TEmTr?Ra^aiJ-0lpQBc6X-$HRZ%Vg0&KWwsjZvrJ5?@95bjMjMqCN8HEn}M~9uY(OB{E`d zE*OT~+S1zvz^TM1)qg&5-65m1vja0{Hh&&BUbE@j8Y1GLNOk?>sfz}GPe0MIv6FuR zpy~A^s~sc^XEXZjOb(c3)m_>g5yd-FH``Lh)E=>rwjw>2d=8Bm$Ozf|0knJX@Orct zW(Qnl=5C6BT6d!Ls0?UaH*MccK=+7`kjjF-6VS$+89zN10DoO(W@UG-&}Z&h+wvzd zh{fNJT^G{&L%{RO&+HhM0d%@%XQPbt8R5}6HGN@1(2@xmUYTKNWj`plmg6}{ewKSv zfA#}-J1e?XRo?y*$-U^YhuL{RmwD-a;;?|;vr*HuR7`GAPwc;euX2x(<(BG1hRDyJ zzMFY}(T1e7yMIju_5f1b&is+X5I}dEi|dQ3m>b5L;x!o}f?Im?4w>w~YXZ9J6xmIc zki7K{+hzqHbgNSKY#P|K_?m5A5ps4gRV-xaK%WfROT)AP z$u?GJPko4;;c0f3bEocK(kY0rZdacW)~t3u)w@a^%6C&y)W@e!2}#dSN@M}C5Bi+U z5&=CSFfl^cFQlUsxF!NffSc?a|7xSU6%mqA-*9wXQB+Fl{F z&0+QQ>VHHEbm*_|3>1pNw1DjJh72h!Xk=vNWR*pAIW;8-34CfGP5$m$7`ZvGE-$(T z5Z}b9Bfn>DIeEA$^6*-;GTUAXo8hCrK3o`V(~!8Jq_+1?;v4o0y>g@Jbhz^BO)I zDfDw*11ZKiIn>#zTXcm>DQCB}?%BZr(4ne|Y*cYzhx}FLU=EkQ^WIz?6AZ%d{QybZ z#DAheN#5M-d3&jZBn`69s}3d9s^5kESkDKosGEzdN}l(`dOFrsC1Ump$*9kDD zBu>z9tmWBT1At?>G_~Cn94kIMyMl&ejeqTJo=T~HW{<(tE~QB7oYwsYHs$b}I@GHq z6Zo@r3Fj<``8z)AD&zsubiS*zg-2QI&C}T@T));Yyjj-JCdCi+j;8bII95!|)};i7 zW7XzqOf=?RH&K>LpXz?BceaS>%zfkVgpK7Lot{>)FK6Jf5?u3Ke}y#O(z7A;&VNtE z;K170!FIxTME@c1Mc|WX?_MM4`_36Y?6{qNHnl;UPJT79WtD+eY>_1@SwPxo&mx^l z8MRP8(Uv#B{pJ>xYA?Nu0vvkoI*+bA=(a6$NcGq4L@Ys{EDpoworhxZ1fe-@SMX_v zzpI(;MsMGC)KQ&5K(-1_x591(K!4FR%vkD>fkHWJrgoh}DPSFFj-mzNvAHl~F#|T( zcs9TBRssVPblry~G{IBpa&L1z#^L@U*0SQOywx}xwgiBo>M&lUd$IeN+w(0M#B5F| zt-6(v4o1bPb4NnoAwIDsqKrq!?%h*!e+8ksD(@CmJWq|Z|1_=G>ym7AC4a_J13U*4 z$Jb>P!#*nFYz+kMb1K5rGN`YV;#ghaeS&X#BEv6;zU$o{F?#f~FW-Ll<;#~J)c%53 zhQYr4;R;uz=fZ#TTRHZv9D9!(``-KR8owkfAJmx0;C*#$Z8V!A$((75N-j*2IrRhR z7k*9juds;USAp1DL$9MJ7k?W+C}DZm_2;`?Jd$u5h?|}lQG}be)tHQjL6U`AoU84B zP~6w579xd*tlJXyYl#4FvTmzcD4D}*aWLK1x7+XwWZ9OXJm~fJYGw|e7qg(Ut;Bv> z$D+u#_07^XNKfW@D>alxJyr~T;T%Z zuKRX!L<)#IbGveh=M#4&QAh1OP~0W#7{aJ5SAoN^=tJwLk0K*^R4sR|noQt+|F=Z{ z#>?ctef9jsi10(@=+*p`O^1su=p73}f`jP%3>?3{q4vXQ(K7Z5d;3X>O@7|f#3jMK9v&+NaP7I~?no!~0t|#2MscSMk0lSpe-ZZI&ybSc(f9zE-gHG& zqzI^bb6{py4}a+r*j&jh5P2sBcz<~y`mwx5uOWJOo$q#+vFU&OUC`H`BMawSt1^;O z9n34~=TntxysErX{>_!@-l08SN|K_Zt4nS^MT(jR`&Rg%6m6-+OkAoIZL`}|9FG*O z&mY^y7RjF@zX_t@yFh7VlSOJ})O9;JB%}2pdr%IF)PJRRcPn+q#n91(NeGJ6%if9s zHbkV_*R^*7m`F{zTvPCazLbZZ`jsl9+7%+kbEkD zh}2De?m1bcs%&Gm*y=@yR9nf~cj#>8(8x$c07RsoTZ&Cmk4QDGF2u1tB6YJeJc2_J zsf}?_Cx3E^NKGw0i06O*d!k?TE)!pU_T#(#IiL)id#wcK%@A_UC)j+R6{p3dNt z(r0u1&tOVAzqnUN*2vYPGaYh@MlP=mE()L*H(jckRDv$>jQV+r491-wU@R95+EC05 zzk#Eg>qX8Myd3ZfA3+lM^hwaWr+X#OzOnLL0x#5u_|AZIJ!p`p7egxtd;}KV3 zGJmp^FHa~VA|3F*7WCrj^PeG&w}Tl#R*PY~Fj`EP_uES6BEI_iUn}$$$IccZzY=b`T zoPe)OBMZjNmLIVhofU_w;C{4z~8#mH#`eP6hA`FZW2RS z3_$kg-DoH|)TgF91?S+Yh(d*9Ykx>g3BsRm+R20m;SVk5H}R4#s5H zK*zy8MD`LROt@-7OK&b)9gHD{JWzOmoZP8N5m4di zSDb}XUa!TMYM1seCXPKp_^*G6cBQz{m36IvSP*Oa$oUNlAskTQEv!&X^6L7L59Bs%;Lv{U>wcupXG! zPBcgqC1KGzP>Sk0dvgK?B5PuouVwU(70q~I69Z8B5V3V0=otpKw`4TuuwXpaR?4xO zMK5RF4m3uH7^qys;(x@r6xw~%Y6%X8uBsm+rroVlV(7#(q#$j4TS~5#W!MT=q);4i zXC!KbL#?7^Y|Pj5V9gJ4y$U7Xh4|_jz8b$orW0*=P!*}*rnoe=$SDOkRZ-)lgi>(J zahIXD&o42zh&hbI-^YqG=pTCe?AeRAZ=c}H%M+}Ofc{ytB7dS*3hCx?nLE;;JuDBA z^Zw`>IjzxQe09Ythj!G>1qWvfNfp7^{*Vb^_UB3OoN1n<@IP5VUO=Te1`q#v;2$rG&27rlYh z<(CCqNIOhi+ISsQ#-aP#$v!F%(0h^^r1SdCS1<4w9e>m8YzBu8Nj9FeRS2ksS?zJ! zf((S^cNd)t5_-LMGPu7FEAf2i)l2VI9`xexo_zKaImmWx^I?_T*|gaq*u;WyMd@$= zjW$n>TeC~3Ro|(Q;1mw6>bn-xJ|v)5eaqK6(;?Fix;EEtc(DGcjH8Pr{0Qowmu}v< z_7Z7orC+TpD;TaO~6WQ_D`>&{q~h&Jw^qpK#40q2a;tIPslr~5>T zCLQmcLvNdZ?^mdv>h;F;#t5V%VDo5gnUD3nVjmRI5P~gSo>dF|yf&+YFGyI$b=5*B zu#FL$eN5KiG>;Bg7}~Q8(1Lg2?q(%(+q-KwF@HLMS`IJliYgL71};=9bMyFM#KMg_ z%>tbm^AVC=(MoiRPz&p}&g26=v3BEghKV`Co>9C(LC8f@fkFb~ShaK7a{9FMlCI!< z9ev>ivg1y-XVC|xKhC(74f6BhqZD@6G5@9FUQ|*>iNC( zJAaFHLTbf7ePr!~aRPdcsxSS2qPKduW?(}^Yo!X^YfP46_b7H%5y$XS6Q9^5sQcqf zBtPT$L`s6c$8r{342{|oUG`%!qy?;SudNCgQbaVEwRPSo$xg+Yw&RpC>U?J}e2fnU z1{llr@z9O=F`@~L+=fb;N61W51~DPU=YN9~+U!wWIqVpc_=l0|biYqT8Y6@*ails?0P9pL`AvyU}=c#r7$b#NaW zuwP=DPh#b?cEy~W(_6^c@!P)2dMYMhV)Z6ti{3&m8vXw>exv|VFa)YVq>0F!*!*m6<{rEiB zr$O(K5_jl4q`7eG3L9Yz?R(MXmw%WUPK}R{t*Dqd9<+IDJLJv@odjTp+P#({{556(9^Gwnzf^Lwzodx&wy};jC_YWVIp?k;TGfdL2rwE?ReU+libXX+}LguBp3rV32s~swUqz&dcAS8fxDe34rzq zS++KlW%T%hl#y#K;~;V6=B{1n@o}&F+_!9a;7DuQZHj5r^z)nx|aE(q#565UU&*R;S{xqh$p^7~2C%AhjvV9ko#U8p1 z;}D32mY75^yvYR(;h-xW!u zol<=#<&x`M>YG5(F?A(kbZ(O89WKC}sTy#nfZ@d_%Oep&4Ak**ZM1jjW!pN`lU%@V zD2-1n@jCf~7S{~ojjBa6P14>od+H>-T zT=%U_)#Zw*)!oo5&A5PCvh8ziMX)F(TSZp*3f{`{;_GL4(G{6Y9j}s8in#d^y707`{$ZEa{Xz+c*1x_?|+!1wdF=+S_;n(Wz)-EVO>=Qwx-!SUtynl32i_R&1K)k{claV`9ce1lt!z zm$TNrMt^RfY1EA6aj7MWyOag=nEcJF5Cc6$yTbdIgH!YMdK{+++EmFFfFDX zqeF+(4zA~{yw@`4ru4_S2BWTIz}eR{=deQhV?rWI$HkO$?%rZ(C(%`f6?pNR^`!H* z95TS-POlz;of)e~uCIi!qfK+dZkUXEh-r`CpMMDOoIoZzGIROi5ZJ+ugX`hCH6kPk#Xc5l@$aUr7Hy}lK~@`!H3&cGGN zBV&hRt=A%oR?V8oDU(u^r&X!jl>y4}EPZ}F0LmH8R@(Pbm51${qTDB<570#s-(?9- z_kZQf7eOCHJn`ingJ1p7j5jaCevT~bX8%{1!{7eV_eDSVV{{uqZ|omp%Q8MYmq~{` z{1K{u{usY$h$ZZs3nb~EpdY}iPyf{ObMI4@KYsev`@aj5gQ6cjefz@mZNKrm-xK{R z_1nH9l8GcQzDC!USJ=k&&ruHkV>R8cy?^J9@yofZ(Zx#qbc-z%_t~KM=2YjAi>bLh)j3J-0FY`-FJHaG+jWTF|My5;n|ls# zlfwT0Df%J*?9G>-{|%OvTwhm%*9Gxw@&dgi=%aytE;u*;IZ{)1YLHO5#cj*311}om zmyw_&Zgp{XVnhOV1yKR}lUK;fnzkQmay~@TFI;`N^z(i@qMhn3!&{xO&wmribT*t| zXr;t^uV5G^Qg&Ycw>W0w{YRXvtkQI)v3;N3J9KRb!tUULjIb^L>}XGsttWcaf&*=@ zpX2xI6Z~f|WB>IVWTU2bgOoRfUEQh}g9TTd-q(rUE{3eVH+1MS={kQDuiiaJwGOt+ zzkBuc^^-q98tZyeIW!pabbsuon;{nX9+FnCN@3Gs%r4t_k%R_g>P}JyW!@|P<>#2Z zK`z@`6QMT71Eglha3RGoCiI=Z{OUP=lN0a#2_~P=SBqrFtxe&ZE^*IbYWgc=qwZoA z*8|w+LM$iUQ9W^Z=PBOSgM9>7Ri^x#Dct|?j!DzzvNj)G52$twpPNZVWyimBl_b>?g*{H|haYg}`ca_LiF2-a5qRZ$AGF z%jm_{{qY*Z1|ClP%D+eByIcEe)$hX*-O8E}HJ1WS;)w3SZr>kLh707)jbuX|&X17k z$nitmXMOYE(MJf~-LP5H&wh%ywl%x>E`(nSPvaT()_=P53gg(m&mUI?NJTQbf44-~L-;%{NG>Tif#B=cW9g;8;TrKn6ocPasRwhj zA0lVQxPLP?6%*bpG!^2p2EKaU!2Vl z(&y{SRjhV(680pzTYUNYHNHg#BHOBIVpt%JE)G-nS96Ht8oK*o>pi;lWme9#a48Q+ zAhM(w_;ud}z?ORa2hbN;b^ndDIygjIVMWr$fXNE}&ZV``doqBwuCeVgI`Qcw8tM0== z?|*rKI93rGcxZfCoe1QWPujDqBml3hNpNQ3yu%xhlFZ)=%MHLDOMKD)GVs5n_Xze; zVqLpdm7|8k05@M&lo#NS=O2Fl?31rQLW0W*%>kZOoT^BW{TtmnkFtCOVMkabch_l? z<06K<%He(B_>ZGMx;-#^`X9|^iAuZJ~F3c>R4p2E<` zg8wAG|D#Xnq4w$ydq!l`gD+BSRQ((rDGzB5X~(P9%-!XfRGQR=WH6BDio~2zIYeBb z_g1EL`cMjk(z}+%Ohn%XA*In<0Dsj}M5(X)=E5d}0P9>?bY(l!R1f2K ziT549A?ekylKf3M)0`c5ZJS=;(>H&Q-QNA%`tATI=(`p$O5uQ@Qs znD9XW*b(4URM}6&Z&!$X#C!bRjfIa*w80l1q)?Ile~~tF>`kewEtMDt^M3*9lHxZY zdUMzsoqJqB4CO*Os`2 zjjD(L@BnEoEohg}Nqa|2V>QdWi4r$F_VY^>$w|2O$1qszbMf4sPrrKd9EqI^Q3Cci zfu|?BbXQQh_81A@nbZ0?1b;u07@Kr6%%;=%Lk(le5+*udyH$Au1#})D<_t@i97gAN zOmwUHbUOdMr?gDKK<7_{CYpFySk<@rxEb%QxUTM^u zT6BaxNFeQBIhphWypM;ZR?#0A>FUUbW>|lWY_5hJ2)q?6&xeNX6@RP!M^|_S3l9SL zDJBJCZ&NW|%qQaSBZVPRkI3dxt0jAr2W=V{)@GmJrDOE8^x|u*z#rXx(=5cmGRpde zzr`;qO$$Hm@b8gZwhzbQB7;ICk<1`B1Cm$*qlNZR|z;bQ*2*!#{%C8E>) zt7a*6=5YEj-aGeBE#?Uq1T<)Qa zAu(mPmrq^iKZFkgXTRL~mSP^G(4l$Lmn{Ea6*^R^=d3(e3_`oE!wZMIB3gDYcYD4> zP)P%m(vVQ5Xjrn6>WTeolaaa2j%`Wz@B7-ItOqGy~sm z;-cUNIb&sYsCrHATzIbSb*gzZLCWj~GjOwi?zRoVEq~4ln8-#x!(Ny^=e!emb>K^Z zaQ6ik8g+Q;vZiUy#h$@b$3Yo|pE!ZKbCokjdimWFS$VzIyi( z$l2F;P>I#GB-JBl5#7U5;|;f<8I$|jrcNQd)o+T-U3tHG=0oN19C79-39FQ(;_P-; z^uez(OMgj{%R`RkAN(fS^$4M<$eQ$Qt?%zR4@Zy?RTotR@twyPY2Ojo%Q|oK<+%3L zCq56Ky?lUg;8^zJ@}!6Il%1)XNo2{_r`UllRWG9+5<&|!6owdO@U6omYF+6L*JYQ0 z4;N4zSHg2W2&6ZHU#x+>lzh3<-J&clQ@MtJ|={NIwZ0UiIaVZ<(`i;fg;$KuO57XKQ`2d^TAH;vdOCeZ}3F89jfj* zmb)W<${0>s+&(8HodTptzW?gwTl`wT!NxnZkBO8iHBKjg#{g2MPlzfD=kpSB(^sNk zmVeR&=XK_Y*>y9$x{!XU^O{i_MIErSDrL<$zB-8Fx{^EOu}wVS^V`8X36+^AYVRiJ zia{j@V@GhE@a;!qBLbvrj*H@)(L_Bk(TG=5K3H*JR-pt@krR3`?2t1ma>@eCn{sH| zBueyx$5-7c2GUp5Zp`fQ+~=bg*3eefDSvQz7{m>j8g-b&uG``a{?=A<`c>?@9Y=>{ z7+JSdkd|`^$QyUvPC`y_F-aJammF)&A6k<_l{`a*ML`mel{{g(>R>?2gRAuAGt9Ai z77S|bU;GrLXR!c{ZzkU`Ginr%Fqk{0SxwsZ$E!UY+BzV&K=CqzT`j_hJ1*vM(fRp(j!A!U77$@ zTC{o+e!*pw7VTz+^#d7?Ux){8tBy3oJEtAJB1YYjts!rP3)dYj9!2kP*?)CMRrT!y zB6Qu+#O+*>m^>-nxjgW=W`~bWaj5%CKCHBTWo>dfQ9;9dLWAogRnGVps8DPXu&C4V zX{qa9?%_+YQGJ^&WMqSW@_!8f!AxYIRz!B1A<_*#*jUkOFbCm);j25MIIfrGZ{H*Q z?e!31R11DZfDZjW);S(=23#_jQo)LbEWIjPJ(M9kDjsR^e1aM7`bS(z5i(+HDRo3B z5hYUTDU)}s2`FSeQ=ECxpFS-9DrfgZlwDMH7T7r}VQN48;=aHN7kW-)uG~JkwGdC?;QHaVz@_%dSAg_O z;NjRHvcbrwn7%OE!s=E*Ua;iJ{2uTsx0oW#Awo|D_3VTIJ%xvh-ahyv<>Hz#&GfcT z0fA)6O@#)YYbOLY$$x*ujsh;50W(T3^)gK)`M3gTBJ%{2+eb$|V%O0}_;_cgsy(1b zaTW6fk}>*a{h7p-<)kvjMRjcfTXRokj^KOtBRY^7%QK;tA*82-A zJabdWV`}GnSbm9mOy>Ht)BzA!p&2D;1=iTS^sQIUz~beXZ-2=h$=);_g{cg@w|3rAyF|wpj6X)FJ>YKmT)VJn^7b z&M13JIa@uEvwv~Gv-}#30Kx$)y0fk%PIW@$a{8CrbVA~caTRWZT+>f*nToA<=iP}I zHF9;c^Qj!yL*h=^Vi9SMF)``&)AnwPN!>d315dE1g_a%#RAHBK zTsIClLVWFg{sgPo?9_8ziX8+Dfd#oosERvlY=J@Gsej@wd#IqsL!YY;vFp6_8!od> z?)YvyoY=cub#j}V7jM~haJt~oRpiv153!_$s!9)Nz$e(wWb>rRwJo{y0d`~E-;xt) zS>oc6RfhLTCZWGfiL9IJ57rzbEo(1Ky&4n4Lr;IqOgQ#epbF=7?csVQyKt^UXQ&b( z3gfFxA%LX!Hdr7)fqnBiAK*=?C8=|1zT6WSX`6 zZ&+1&qs=Sr?h#Ow628!NgB^1_&X3Wo`3h3Z+{C^ZT!}!*vee;CaQ>UA&RRGP}J#0NM6H+RSOoqB>lAGRii;Q7f;9qCIq-LGZ z&=lY%M)-v$Mv=B{tAj8r6p%rWRi)OGpf}}@zrZqA0?1R<`|q%! z>EJE$y&yd3YH7Ajf-GD-Fc^keg9L3QL9MRNAMV1%xiZ~~09m+b>^85-n1ze6_S_<_ zr^0{58*ie!uBhz71p{pAGH2eKL=dyKgJZtCWDe%yR`rf5u zuG6e=`U@Gc<0Z&0Odn&(twqg3b_Ha?altCZn2|RMto+Q%(>6J=x43TX%eOuch!ptK z=dZrNVyvcQDFm;l_bheuotYPUZ*k)2&a;0`4NBpfO7;0+KeE+}7iP>4trkNym4g#O z+ahG}jR$81c?KODo6k+jTNERnB>V-l4MWEYgmCx4_7V%06fPf=NHHhft+WW)b(p>V zQFacq4l^Zha9s}7VIJHX1{9wG6k0k)8!SdB&R6f=zSr_AtifW`kC=nSoUi!0-tvDJ z2eALA9V`Z~7&n-|urOV&pw(ICW~401pgK#f`66F|qS~YVVz22#tY_BdHejkf>0~UQ zRcE=^e5WJ&%Dd_;H+6r&EVK08UDGO=WtPzyD^b9xq`nK*pAnHN^3d5e?8FhHq!(UR zX!fh!11m}wZ~D1N=69vi94>+iFS~#2<~tFai;eYfyF|8IJ<9Eh;WE3W8mr2}74-Q> z)R&<0gl)BD;m!`Ix1p;uVtV~$Tu)-9m_`pq2kN33oS%AA?MrOijQY#Wz=JQH;9O;M z^N!GC`Q@T-RX7m^Lgkm67gc}4qRDL6fqq~#(NDk-;)>Cc9VZw!Gik9 zTc3w7-(aPI#2Ygqr1SF7A&ILB^SbPE3elf&y7jnH3RPiNkF|^lom~ob`5A>OxC}ET zsBBZp#0Pd#12#GIRC-L_Neu_C!@S8`C>F!{=ANYC8Ua*?*)VGl=0i#4+3qyEjFy+} zHTi1Ah}7s_zFs3|Rb6Iv8+w1>s>_U=B&*6@by-uO7 zDv(uc={Gw1Yp7aFztqfhC0uK{P(M;DV$@oe1ghJl%v#I9i(r2xW%D=rPWOn>rIm-~ zhz+6JYIjtpUMo?awmR8t6T+pH#^k(s5!*+$Ekq}&Xm0D4{U%)n7gK*;wf05*113D2 z&ZXMv#gx@+Q)d#$0~_5h4^y&>DQ)RBGt5cT#};jh)9;yzI6C6f{T|D#)xcpDQyN-} zi$vfF0t0>h?}AUkrT7W1zu$tq*G5jynu6`Gq}gt}xsbndm=T_&VAob!+B-Kzh}z0H zZT~z6{AFNd^o4In3dnzF7o;NnV@7LasM5=r)!}kETzYBBzVqW!apP=SPP)=vdT9+m zYnHG|FI$I)79>>ZWw<#r0W}E17%;U;FTM1iX&aTe20<)r7hufFOIuz@q5v_pH(}-U z9u+T)Rv2|EN``L_bsX^_-E1zeZIwgXb*iPNkv)4mWn7)6LKc5sW>nQ5OFsh#skH15 zq)L2~e)G@=4`m*{#-E7y(*D3T-~s;0`@?)`5uf$@jAF}wSjpr+zBKdeV#{BEV#{xN zSS@Zncid&45+MpL8*}y!MDXke+hTVvz|h=flTihIUm`0cV@uECRID<{_L=2=5vvUH zbm7JzLkY&Rt^R*J4oxs74Gg6VAi-Fbp^fHvC}JGQGn}xpA|&>n+Eh0KHt@eCjEJ}R z2AZ55V!rk5uYXay3~JP#H`}8CIxPB8P$57d25Xx zyfZH>x_ot1$gI|@Eo@2?F{<@CdJ^V6j7|s}zig7h6?Svk{rLcudhz-(iM~JZdH0fN zoQ174>=+fyQoHbqRRhs$fRx?q1z&(x^N*ep-Csd}rS8r_2~vo6hPNGhC_=iA#V>7y zkmS&V$FG0if%F0R457hG#bQszaAk(LV?JBRN9L}bGYe|S0=J^9<3k~{Zml)B_XIU0 zL6f@Gq(qdhmG@PIav5c7*GZ}CB2?L$IVtTzfvQ3~ukydQSz%fWd)z{? zx+t3qm0X3D+VnhRd6hQ8oFsxq>yan7(v1>Lo{SaqjcO~Z zM5qd)yuS1u6}y5cqBm_+g;-$su;jClOeMRvXra;8BVg4QwFXvYgSA6G<<5;p?WjuP zeRavvZ0x`Vs=lZq?2Rp&US%a6sZz&J9zy;TfV=L9#|`#sR1A7ka&(I*}N{QFpNeY+Oe=GwuxW{AE2l)NNZW3om^oLoEl9L|hC-zW{GE#q0 z(;!7uqa9Yp;i(EA$eYM72zNfN} z=(PGK^0hCXfp6MdV9o$f(ku5lL?8n1@X#zdS}SGNaRk-dwj|6gl<L)hDokUJ`7L zJMRrcm1KnZ?ipyZ=gBL~vU#~DVHSUB47M#6dl*LbF}9wS>R_=mH zhxlK}o$qc`(LJ7(AS-@!qsk=~%O>LFq`l_jO>DT~1*aQQRM|sHdDxi*HiduYsxOQZ zMiD~YtRn%oh0cxP1LCi$HQ1@TtrQ|^4Jyh{Dn+Q}@iDfTvip~eLV~l-sud2hkf3Vl z@>bwfX-~W#z56lPJc%n6l0B9sr&(4-rtDQYvtVG%wrk`fe5|#Lb8;-Z3*_%eE}iGG zoUM#3Ll@|M>;2_hJg|A=^B8|@+{4%Q3E*skTS|D6C3#oKAqtI!RW(xQgNDBYR&tOK z;T}>3F4j@Ke+)9q?}h0P+AVVQfR&H1eZ$^!G&!%CiwsgS`S_u@aU+k#$44IQ2S^z( z2L7zwu5H%Jog)&6=)k@*n5;mf?3u^*aU{6$DK@)%ks+j^?AHU8MWBCGg!_KFByaId znZJmc*vCJLX5hNbITn;W{EWk4i6X?Q?1k0k#2b*EQa{Af$B)|q+YakXtUPzE6x?E% zC@;xgunL*9_()ar5@O0ixMk#8!X(4PyRU<#ZUQ_vbF+zy;I$iS5(jwnLx$B8|f)u3NQhXySTdVmwR)6W1*@>Lob@SSMSj?>vu6 zFk5yVfa7uU)LRR>pHNO}eSKn;oW!AsoBGJ-r>|WH$g%d$tndg=YfplrR16q8IOKR$ z?t-CXwEMRbHVmCQQ`shQJG3MDd(C|G@B@ECPbcW!_`>@Ima%_T9nD2KxtX~Ji)T8y zHa>h*ilCFDvP&;S5Eel`)2D+Iv|IS(88#Z3el76;C7Z9k zm@bRw3k?y7_I+I)9l2mJzj6spVz1n@Ehq9(2(u-=qL#=Y&=Ka2S{ z5G?QQ(NH21aQzf38C>fVItvwuz4dIQtwc%l@moiXbu@pHcd>TCPsu(6i9Iv3CUiwb zhkB>Zu6+4ys5hu^;0if`=TpqEd7j{5Qi66VhAatXpu4I7C0AuHRVtyOQ!DVDUSF>6A~U`cxlV;2yuB`2aL zy5L+#XQbI5WW(M(0=Iw5FVrky;G(I=eI^*^)ShqkDcv|{Xq*2MAHi-;j$fV1Jh7W| z^W{1ZM%#y&wqS3Uk2sr&4J6!=JkMitor@K5xjcVjmnH-#R$96^&7n_2h#m0jMvOb? z3{GuFcK!GeTUw1Q@=!nU36|AAe;`F-o9Fert4J5X3mctV>Y*3l(Xh>v>aqX<3fs(T z4xN!Odh_6)+qbLpQv|iSbQ?D1wnxC7D%?9tXGNH#FiYF*3nMd1S z-kg8Nfcb7PFpqsB*?-4JE7DJ~m8d)q+^`o`Ki0j)_lP-WR%c9tN)Qmtko)y~diHl&r;?X9tY!Jn}8y*1OK}L0UO|(x#-owjbyvR>J~XLZfCaM9U#pH zFDx_gI2??-b>Vi4>GnTor9;EEkAS3SkZ>!x*ZCqQ2{*7jWfejs+-Y3#rrtCFt% ziVKSQ4t^x!XMnROe2#zh>ipaq^-61Z>>ze)YO>XH)|#9sTqX z_cNW=4i!YmIa0&?m8gVgfT3kZPD^-pN0{5dv*k*7+HCfJ%>?91ldAlM^s(=8t2g}u zCL&i9m(a~)B67zO(3b`Nrru5gGNE&n%$~2jxIe;Jt{}0?~3xr?}$I==IC0k2ESxy--aq1%Xlb^ZujseSkA=g z{B2LHFPi})=!o76nEIwCkL%>(OxZbP*LpV>w;g6165=AC^8(w^4o)eU=54k;E&@X2 ztPy9Id>E07kKIpI!ft3r*m9l%LgZp%*V^H}E9NRc9f#&O9+jV;psatz?hwBlHX^q) z-PkN8L%io;)z@u?2q0u`sljneL*{}4t__SCpG|2|D_o|{)O2x~0rKutp$~qbmbBI1 z%23$cku{+jcoonCf`v@4PRbYqJEu;vl?+c%I8_i$=dWq}1IhyOZ5Ucsp<%kA zxY1gX+p6(D$kHQWy3v2Y^d1IGS038DiGb;f{8tyGG&5mmwW&o0!*sJ(1J~l8AEw)C zIXR{W;Q`AfJ#r|*ozQOt$k;Gl^#1OWiUHFd1{WAP6ik>HH+eksiUq=Tn5;z#3WOU_*H}0R5N>WGV_!+1L9n{EBy`Onn6#RGRZJ2t zsxXEeAjTl!qEfUK5=uq)svF}#2>q7e{qAFhg;xi1O08;_)+2fFOoGwKV<99ZM|5qu z9F`We`p4x9kYj(Vwi3<1@fkQkr6r#bSwHf?B^&e*1=jB+e&QNQ!2ap`2?FUZANe}E zx|{r;8A-GUge0TyAbr*t#B|GX-ajquznjin-3f>N8Nq18p=By_4xYu?TO28n;oY#r$N28qpK^TwjY196w# zRAEI%iT5z;#N>W0MywJ2dUuc~>h4VlaS80)BRyk@uD@2SdizXizwh6Q&jne1I@*IcuL!QLhB7>h(` zKgSEZNezEcG10sAtn)kx1HCKP>sOE&%==iEF)v@?LCISaCo?#7C9fMb4+>qH+!57k zM-LSn97@lYdqV1JcJ}MwiDSu{=r)fq-E2qSzJe|1?{@a?q)3>q!(faPpoIR(;90($ zN!j_1P2|X!>fexGVdSE)xr*tW0|}Ux%*4YMPI-EVDaYukicAXd9O*^G*aP1tM_(Cy6H~h0gl^ib6kU3GCBk|f7bcCECw#7G&NntijYHBhH^aY?S|J6t%u0@L!V;y+R|bL zf^D0b7$RGi(n+?7sqP#PiIR`8QvH8OArhy#kImE>VihQo?NA%s!)4VAW>$14JdkX* znL{m_WLr2%i$!LyKEeWeb@6h#yAsgcZC4@Tw2_O-Xcg?c+|_j-5fLg2Puouz{Cn7s zsaxEw-sB|&Gd31JWR*dl zmR+wMk)ok7{ZQ^KQsaJ#mEM1?+{oNcSW)-Il?1_soh+UvivURQ1ly|Fxt5~2uc?mm z6A=^S%8AX-;==A)l_lm#!e+bbPfwHiOw%oYQq#gkIc(|*Q;!Nkd08%fL-`EK>vA#6 z$c1e;|9~q}ThnZ}?(!2ek6Fa3vo!Yb*!0(KT=As}MStx(E=qY2>Q#R~8FMG~hSQ zeI%L>JCc?%4O5lP%_Oo^0Qrs)dh@IYn5w3)xC-`@=hJ)dU}=Dho00A1c`@5$UM!AOBbx5TulGzOb6*4&fWhvdTt}UJJ6fp5AQ|(bA&qFW2 zI!hM2YoBFj`*(jC$W&ayWTKSqc^^mTp7J4NDsXS9OT|E>Hr2jkDhQEkOIteRA(*Jk z=#WDX?c+Ye(z6CE61JZ{(P)hjdqSn=&6}|V+Qc;5lgpAGFe$0NI$OGc&PDkz#76Ph zT-0Iz?jdrN;{xA$43?H=iZduNLXxCSb9S? zA5LDRmNjL`Sq2df!tI{6YQ#)nYEP}n z^Wd0#imiY5UhjKg^1QIk6XT7*-E*LRt9nt)O0`Udn6sr+swFGMuSkhVwVXxyUMQX1 znj3d=MArQtOgjx2^hJD#b_!Tr+2j%%A(f>$56t7ak~FCmRY5#5+`>c6yq2PDIRcD| zX&fF9BfzLu{a87Vnc~^F8quOsJiC#NOI#|&bJl;fy(&c#QEQ>6=?Z2WG{a6^m8+4v z8;NQg9Y5eAk*NCqMKygq4Y&~7k$HNVCnPHGuBTl_C7Fr?7wbH9IveQoO_f9Wr@_K( zjS7uK?Zz~($=L9dV`FfF2W70H7VJrkUSqpyWpgYlnS8#NGK0)YsMnY_uYXX*%6QF9 zwP$~FkhWJ0WUWZ0jb5NmDPypymJV(l}PXE1+$mD$o#E=DE9eA9!=Wgq+&Ijn>^aSa%p zMBDwiO-DA5mNvUt$a#-Mc7_Zll5HBVr=r1B<}t-Rrl`A!5V_>M^C}fr4Fv5q|dg@e6{@rREicCpKHf%v{}Z zN@SamnX8MpALM}i)C=#|k1@x%HmX68;4c)SN9_-9@ZyuW{Z>n?&JIce6Va|OlV<C=c7RK^a2rj$fM95@hc$LG5Oh#V$)uzau z(~8LzLOuztE!mecV%a!v5cz)~5a0CaQ>=aPFhju1VID;}&ZI;F#<{;fAd0HMX9kb_ z)B9NJZON_3)eapL`@FsP;Pt!bZ@e*wDeQ=x{P39AszDF#G{2#FaLtIpB};+vCC z%@>dEVeQ(17%r79y-JNXvzv}oSJo$U>Dkgu-;+~5y%oIk>UclFdTb?Aa%6{+;PLKQ z8SyHjGMKnY)aXpY2TV*{>GaTJ{Sz$Xa<@!|&c8Oc^lJsq(JaJO1p}?{g-qTxAMCOq z!Vho?_+k5;_=iqI&a!`)nYtw3Y%$sVd+;6gA?Ig2!S+m+5*0g{+j1Ca7eUF~qBv6^ zs}BQdlWpzBZ2_M0#WU{*FW+DRqrMKFhvaT->g)uMmfW4GA6*f$lDi9WAvIzsxjWTt zNEQ?6pC|ZsomQzHX3l>nckL;S?>?g;%3w-Xh=|>X(*N53FP(qS4p=*0w58M47nRS- zqLGP(=JJdnazgS`^2U&JbKd+6%U(^m6i^G~4@phpB$fwj$HZ{%_@ZN}5IkkxUy^Tw z|NTck4_-XO1GCGxx;=mnxIWusFva$Pv$F?QQ+(VAY+2`u1FHipxSjGk4Ih=1UN*0l z151TbtQ}+N)d_!{$?9%XdX$p>FcZUX$S#`k+1fl;haO_L@p%FS%M(m*Sj;7CQg(Zo z>E8l&4AHg!nGZDyAu%MgSHjAB4`x}@6&~~6RaQs1)KlJjU|MaId(u?k!VuD6uD+** z&&-aOCzh@u#{}HN>bo;zc&zMr)^eAYkIIe*73i`-V-tVp)gdn2yO)25U!In#m^lEr zzJB)j!RzPPdGkbrfSpM1TE3}3bwIj@jW_h{h}kLju;#8!F)PI$HJ(=TKj=i$6k%8g zxxN=q-grMGdbdCRsrM7&$xYB7R{@HHyfY4|hadJHhuz#&U) zzF>2?2a10~L#F-8B&-~LmtSQp$3u?3c4`M-0<>lCEC6#YdqbyL?NTJfZ@ln+_WCV0 z+TNQZb6&Y;&k6UBI0fIl#Y%=3;(KB2pE_26jAB4qZ&8O<~%%SmDfeKukzUGrBw z7BO(t+_)pA9~!Q$#0R^%H0eo_n*4Wa(E8&SZ?S))m7-W43pB_)$XNt(+o@TIaTou$ zH*wsXOqaXl0fc`n;{C{j3IALLx@$WhKP+5V4oqI5Upd{@@;~#0FRYH`FMIG*?_;A; zXR&-DJn(*mAL&1R@D{3y^TC!vEl0?qk6u{$p6?-mJ;-jdFTjRb_j-VT!u^4OBSSCOKv6`KvDoaey_oM=wa7$_kuL_;vH4wAvFauApoaKzr_2 z63Kk>o(7aH)^Ly*h%IWri-*EMdJW@CG8YCiGP0lpnQCIY#MdwRwvho>gB(wA$jN`i zln&ekG9G+H?1$ussp^;oJSB0=z9fPY>~OPeOyvYgsvWylkobS$16IyOVnCPz21|NM z`poo#OJF3dx28;c7-St6(ck1@koC>9Uo;OvmPB1N#fg|?i6bF@ga5(rNq@t6*XT0~ zUJ)4<*F=_su=R2ettCIkD!X=%klBBT``C5qYKjyEp+v6hnnX+pr7S;aQ{VwY$(>v{ zK+mY|J~KZ>GboAHMWc`|--(4rMNs%6n+@0F4>7*;ptoZzAvtW8M@Lw4Qc~^can#A< zcVVY!U%NE6drE=`476D6pYOX|woLKXdEKEf4-``Y(~gyDzJx25kBdhmaltE&Sw zd={Jo*yUjNIT3NvxEO=2>pwzR%t>YQwh+Q%il$rZr9=pTtF(9C#C$0C?g_>s&DxF( z+^^zhB8xZr!EJPJA3j*xT1o~F0n;S5Zj~T+@{ciFPvnV&0n=#C>~{hrOcS?Naw&BN z_SCrtp1i`8aerdg-!I|+KzDze@ME%E`^z-^rebM13DA0U{3a!)=)WQ88~=;C1)zeU z^KRrKHAM+AIip(xTT&L26Qx`61J5zoI0(`E<`Jb+FIIiq0W9H7tUqRpqy6-pTL}v0 z(HGf`ZYv2~*{YS&ahA#?&&V0=960wp2fr=-CQ6@^2RM=pjfm3 z4YMa^2$7a0z`kmY(6P%cJOofyd~#CV`bZ>HVztGiWyI;6u7~qfVNJxgnl04 zUxJWrq~n4WCNy?|8YVL8!&(n#O+p0cR5(>KCP8+)S~1#Bkfrt?V(qmZ6I|De$mpGz zEB6E|O{zX%@leHiIeikChZ?hvcOWJscQzaH zW&~d==^-?+ivI4^8~ozD{TY^=S{?)z1~5cOOAS0J;I@Nzz9`+E>GLf7o6vW71dy$vd%1HjNch z;+rN#(O5T^2d94$rW^3q=gXJaepTj#67JF!+LxFkfY2@b$elreK zp!Y}KAA>JOn2jej*LmP1cZPX*1d_XFQ8*`jL556GbyyIOesa@Q^gXO`am0jdAC|nX zIZ%2e&Qi8amppoy3icKH;S)Gka>|97G*in(k{t9c!!J$f>~xI^0jTXZs*S+XBX%jG z{8t~awTpikitOKclS$CIxUqFUTe(4=5XM(RY5+1K8$2v^)SpZG887 z^@Uqlk=rBT_KX<^nh+C|`^(DIDir$GQ_wj?jN|%e^t9PapvU^1@%Xl_ZxyuaWP>lkaX^V!T?=U#u&odn&uY$Uh4NJfL^%62afP&1J) z%Oh4<(43>UlR4!>Jv^P|5t=*inMzdr{Lox#<$Sk@0nJq;j^N*rNPf`e67jGd&xIK{ z&MepyI1AR9o4Cz$Zp^@uCp~uZuu8R6mGfyJo^CFsgF|f8uJ!zwX*XUBtlN;%@DnWM zra^xV)*=~P9klm0$`I&Yr#8I@*x9TM3z)3^6tnLQpCcXDPcdEd?Kxp5|BBlV#dQ&` z>xf6B*_!eRt<-zHG2f^p{thaWMqD>bQFsE;y3R!gPPQ06XM=%lBg1BvIY54#+wQ9* zA=~xx0>;u^xqXQRYLQo}>T0Q92K%R!2cLiIH#H6OglDIVCqgDqczb7 z^F1­i+Uv9{>cCL(A04VJe-EP}w*p1%T<_h>r`Df%yq*TWQaU~(<(U<0@~F7uE~ zu$qQOt`tOc>QaPwNKTW4y3qPI*3jhL+tFnq8nnDw>MrGzJ{KeBjV0X%k^Rph2D3QO zZsaiUI%zmZZy%kg7<^`RUs{98xnF;{vUKELzmbtct`eBtEHjw0#8AJ2;OVJvFwo7p z-0dzfPl9-`v2MfQsK}WKBd*mG@3;8&229GztAao|1bG_F38m!Z8!*xrKi<0a!PkRDG6onaQEANf63|oFWx9rS^rla1a!!xubt!o9l@p z4VsS07qThRlGxw|kHeB%wHfDfM9T)h;NpHU6C+(;JGYAI80qlsd9)mAQ%6owR!{sb znj$@q=-UDU1>p?%V3zdRc@KxuC)n(fmh{S5jH#`!Y*dV9OcQ&nHsol=G-H0DK#Clh zoRmDyV?(BQ@i*5T1Y~-5b{Kynfg#gAojR5Efylu1JZxrKh=NR0n<|IFA^O7mOMLx- z*`87JhC?Bl_;O_pe)8}&mR_5f!Uj^Cw=cUPR~i59$M1YzKgZ^$d;27GpZ^Pd#nB<}NBr0v#N z!H9q&Z6^w+JNVAs65M~)xA?aO-_;h_Zbpqz-res@;nPE&*Uw&iKY#X;J_>gLZohatT4qNEtGRfVUs{p+ULGB*s$L;eeayNM(WEOuoOK_I@A+elZVhuw# zhhX#o6?kBIx0VJFW|MIQ*wUja4^9ES0w)`vVm%=Z0URPaW5=$<8QmUX9{}$~4u&QU z0xZh7J+4B6#0lE7VJ;ISZY|z#6|zC%xpVF@=|8!(b7}SUIS)x0XLd#}Ntu-K zdP8xN$6?EJ30wgyS8*%Fgy!5XLC$JdWP!czU!i*h!zoHjomCZ=x?*j%iRnmekL z(A{OmAubbwHtluxiRhSdm+w+LmkA43miyjvn6Q7aZD?;_M!0ux@clVF`?Av$|LX5Z zUl-6J*QCU-XpxI$)yyX)@sTWRwSKr;4l$`i0TYR0Hb2^)ecmfaQKD;;CNqn*90(|> z;e!wE^AKCn@l8=7ujirsHE;`}J?OJ~;G~M}pr^coPYJ=#%-|0{X3TVjQLHTNl z3}%1q<_(vpLUuQm$!U8M=x9h}OrJd_IuwSP+9oCBCwh)G>GUTuCwkUwKRT9rB*1i5 zYonNnZw;KA{e>(>>#909h)9dTU`@)>C76HX8g}hmniQkAV>nt7xR{aN?HZei&5RTu zjGAN+p)sGi-zsv_1dDZhLmZeMXe#c{5;K1@>8HA;d>K7;eG<`TVG22CNXry zESDwhhI4;@^Ek;~7tbSisJGbI_V|CEoMws^OdOT*Ab)YGrzj6JQ+f055muyY&6l%H z!p4#zEwk*{zE`v)by{zQGiDCmDvQw6^a@x$RY^n53Xg3r4b?P~jT~L(($>MQAHsJj z)HRjy5!On!W3C+Zmwkk ztxE7H;|C7b*q7ihqs@HSZDr@|CKrKck|}+nvH|R9&auJkZCd zg?xp_Nljc=RM$McJ|SR6X{SLEC1OOBHqf#(3Z%7bAHz}$>!!q1;MS3uJPAf<;6X(D z@Z>LpW$8-pOoZIz2#9a`9Djf0hs?Td;y$_q2~%*!_aSW`ce|J&V9D0PkjfYVQnpSG zboBB+14q16`wcwIadow(I>qCH;KtQS9rfe)7x>ajKKhkk%g?Xn=U2$j-~Osq;})Pn z^+o@Zra#T;g$=O&Y}u|!;Gp#9QbJUzfTlm|@=gojnbBv{r|GOByvBbe%@}ameg6Kz zGb$o|_8RjKi|ql~O7PEa_aFb!Lc!LNn66l_Hv5uTiuKC4Qz>97){T+5I*A97np3O~ zCqBPRq#AmM{@SHcJhqT4g5+yZYQnLQEnj!ClSWl2 z`Pz_ay<#<24Dt;pcV~ZGq-IrHM)`D+daz=iQP5;*jc;r`50<6os+3U$Tb7nyub+vW zvNN=KELH4Lc5aVuck!6AGkdgXR>YE>mbvH_3Yo$$9IzMhYnFGU8(=qFx36_3NL*sG z{^%OH%h^)j^-xgK zSGzo8ghN33QdIBChS!S86qBquR*sb~g#c5@GL3Fx|Vq^|#Wn6mOxBym_Hv8MeY{s@%s(mWNF28!`PCL(uae_vKlTzA6kd5E(J7w=zrQZDs|~YOMXnB zf~gNnrow-_6$pKp9c8&v5dsij`8U?w`;?)a7k|~tb8S-i*$FoG#tuKL2=bCM6Nf_J zkv;VX-BX~&5pDm#!s08fY(H~2Umc@F3d6gV`4!=3#L+A5`W2$Sn>?o<`H(I%IH-$V z!VvlM_icP>72m^azm|qyOT({_hQITb%f_t@+ZTW5j#M;pxF2%3D1*dd;d#~xa=y;l z{G~=n6Nd)PmR1CbLrvb|2GIZj5{J?8$$vsudPTbaKm}9j-6XC>v6_x&gr<$lJSe^J z*0xZ{jbtI5dm|^?UXtdvlxhLZ&#>w3x@0EOBUDj;I3S zkp6YCV*C1$ge4AR^5Sk(E^&Cbwb(-lNQQqn?CJEo4{5`#VE-PzQyU&{ZQm$iZJ1+8 zyx=p9$T5x93NEBm`SoTs{5M$mooJhPi2jkhFCP93i%AdqPp}BAN>S&?AQ5QtJCEZ* zBCst&J0u|M!=K<=F}B{9v_m%;4Fe^6GE`&Li~bt6C`{Y0UlT&wFR-wsLP_%!d&Ym7 zh%!2w_A6SS{;w?3J^3zPiIRS2cS{WlSo$?olnx3RmZGhM=m)?UqEj4M@m)&#&5kxs zg4T^}>9?9P{GZ&0VrNL|g$gD8QiJE$RIv2ZX+}mRZ0WaR8L|mz(ywFm@J0bkzxCUp zWd6@D{q`Kuv3#2J>(rV2WRUdRyS{&1KuAAdV{M^`A^mJu4P!h?`W5V&ZuoTR7j;qV z%V9~sF#pvu5nK9A26gnyA?c^CJH6turC*1xB1Vajeit1HDM)f?DL+oDfTdsf^|4V( zNxzQKwF`;c4=hoq4s%!=yPG>um=u-C_NX}o`e{wfEb zsqw~RPF7?Hji(+hX;o5&pSI<+ML>x>L!{wM0LeLPvn5#$$+?(BYa5W3_&NU;v&`HW z*g7t@EVNCE)NzX&j&#mv;Fy$N{gU*ToUCeG>wfs~i?9AILX1hj_wbE3^=rTT z2fr=-P59S-Nvb3&bnz$q8F2>uB8stpl%jeso#XK~l49!L{?mTIZ{@9Rs^y52rK`d| zEP)TQow3+ZUiaeWzwt$!xhjI)1;@`<+ghFCH5 z9BLiaS_xuqd0eK!1fJn%Z@y2C%pI<~UI0%xE)SO`%-1}`=2B%H3goyaOPfx7<0aH_ zGtpYgk`;IY7pU-50D$a^!Dm zN6WYqMl=V6sfDz|eWZU(XH$9{797<&(+62kT}h)yB51^#?{x;3Iy>gKIx?JSRItA2 zl#zuF3bNfPsd?l>by>}Pwx?D#xR$PRAHCZb;gd4-SoGe4gAg(8030(-_;I04b@wvx zn9Dx6%)OJ&3Bg+bYAv{458x5Oj-JLaA$=V3wO{9il08cJB>aCog#+q_@vlc-u&|_p z4{6~=R{rK10K1%I7TI+R8^}xuhb@w>pW{+4FnE(m!9x$aR^cm-`8M z;BX>-8(Z95J!DmdZ(NicR0If*ZvuZv{O8;VC^2u_uoywEn|KT2iMdFs+kodWwv-W- zw#36id`0{OfBSzBe~z)d!L(8kC=uV`)r%MSQ~d_3TiyCU!1;(r6h4$F2%Ftc6(C!O zpB2o5OfdePsdvB@FD`;O&=q@3Ac@#ulqpI=(eC7>UbmHkEGpH3sB^99Kte5kaxWo& zE!BS^*FEWIbaSfJIa+?lTz3ZCB=Eidf><*%!|UYG7ifRCC^XK@C|SpEm#KeL$%+mx zb+wfus5MejNLP-MC6iaS*B3#nF7VuuYudM_zKY<9-y?3#Gq8&BrA9tyr}GzI(gLRL ziCB#s>?E&WKmQpPI;yP{vgO#~SW2*rDXfQ+vnJtkmchvd3qWS5J>c_K#HIV*t9SVQ z*w_dg2V8%{17d-^c}=YQi;Amd=TnN`n&alSa}iD5uIx>X3qAvTyR`fp04@Fw!RYg`;} zK1w=Bj7AR3%Q-S6$Y}d}&-TTV96EzT=XdHj%-nyXb#E}5XffsTk3z4){)TBEMUHQh z2o>EvYM5?b1U%LkPrNW|eebcSSto_%kZ07} z9<+r(+pf0M79q+rGR`j-sF;3GV~Mp#N%=viKZcB-lL}pltDnu$RJ2wK8$X>vbtG0oKeaOs9X1JF zcWs|tsgM) z#?$5DPJL)33NTCaJ+zBihgxGnX@v;#epW&>VKnb2Y4@ldKuN^o>HXz9Y&SFA!bk*e zPBnC?n1|MK)IcsW5qLMgyTwQZI#!2{6bv`0H#K5~&&&jxI&Q-`u&B15XHM{(#Ylhd zJp_v_I?Us^mhe5yzBe_iqNIDRwQ_(@-H3abZ{Dhbi%uz?*G|w$wX?mR1rACV)f{z% zDPUviSQER*CHH~*SYKRP8zOqS-9I^{pTh@h%OQN&Wh#s!8uI_#E|dMBrk?IH4c4zZ z#I8kl4;x4hh~Ps~qU`XflFN3Tavgu`smz4q^?+koOhpa@w=?HZ!m&YpFeYb-_l@i6 zZZ2Eq9I2xUl#rU9U!J;^x^CBfZ1*C3QBGA%{2_d6DII(}Q)U)ZH_;2*EZ4`%*sfL9 zs3S{(aIFRd`zDYH%Qn3!Lr&f{d}UY@I+d`6WtdOeaz@@U!Kr^3Og4N3(QYBo%#u$DsH# z^WKcesT7_6^uC5K{a@X`K$m}n`04)MA&>bp^5j3_QhMd}YouNQGEnx%WJ|@*@il?| zt^b$Q(fg|$DgOl?N0FcL+y7PiufF#$iH-nHvGnI;_=7(v$k)I3`|o}5FTPE@!MEQ0 zp{VghNdgA@^+rR(q``@{D?>k=K{=NHOy!ThqA4z}t_=*4Ky&t^y z@1+0yd%rFfeAmb4A^Ow32fiiwNPqRWeE*x@`!y;5FFjqZ@4e3yf$r~x5QzUs-S@4O zuJUXNO_o`&YjQX)?UOj$)`ieBLm46KbU^7&UA7};NrB12L_ZE$n&tiY9kE#d0(7Z9 zGFys8EQzo*92$Ta2)Tc{8*&VeYT|}r0hggMJosqV)NPd$sYCcUH5R7*9r5ttXH48| z^N-ypM_EU%FA#gwLdOF7^NxA6t-txEy#ppe%H~>@!68Mg0W4%q7wg=6YkcoA;oY-q z;%Y@i?Mj-QvLdHnl6F={_Go?LeoC;raLa+1+81W}8}%w^Ul@PS9;|`KYZPbgZz?_m zcTBqR8L0UDj=T62-;>`P{>)YN#QNd;lYD6@AN4BwJ}}Oc{{HtqkpA)y@mq@@X5S_5 z5pdN!MP&c@nHOK%;QxQO!O4;*PYcE!7ABidLqrJo^rmt@hy%~52#pFTQo_#B_2&32 zy{)EuR7L+(n!kUHHLBvY+eXs((5Q-SW6Cbl1?T5JXdk?I_5f2rtiSdV(c7&g zAR>^y>&5Es^a>~#tu+phDm@IA2q`bo0;`LBNBoKq@7We|6M-yJy@%x;ro?#YV)-GK zakFO>!Q&+MGA)Vl>`E|B;?img5yP__`Z_lckVA1l#_kp?Ditn&hci~!Tj=ilLsjQz z9L7g;;mHmsrAt;JbFzc+;K)kIVfti;quVCAr;+UEw()1o5e=q+-9{B-L_=QT+Agv+ z&V8&|y&4Y?Kes{LvUKGNmR+u?V^!D4cb!*()f;v+#~Zm3SmI&!)qPBVJKQ1hGy*{% zaCEI?pMj~->vIZ!znA-~2JQoVOn(K{dYL^xr&GIW3&V zm8e_PGRCQJsLxbAB>>nP4#!3u9d8+98fPuLqBa27IQ`vwGxsYAu7%dSqlg4v4u zbp70f+zUU#)M?r+*B&`I zAq_ZYf9xSk#B)9L*H)L;Bq)(X-k0+02a#QpKElk2u}vbn$vb3N_T@Go(XTp1?H7o=?;m5Py^0hfwefe+Q{(gJYr;J=UtT%22htgvqT|6@ znfq+^a-PlOwL?j+%@|*_#J-EAAFih;5WSakS}W$9E(CeJ4R4iih~0beJ*>We^gN4? zZo(WKIls(hBMQBZM*}iu2j+^xq!~WD-SVZrFOHRVXvioH61p?pF}H)~sG;-uZRdMp z>hXL;e9Oh@z(fwC6Y}-M=p@Je40~a_lOq#C#Ax{gV&EyFnQ`ab%7p6;h_2MFEhAI# ztod@&K(@qV!{WNj-a0;=F**tO%pYK{L!=GbM{fHw{ru|fme#D6rWx--sL*dh^ zFe}K1!3~Ayax?zgZCsUP#?-K;RFQ|`{6e;#Pi(sKN7LCk>Mvb{k()Px>X-0~K)_aL69oKnna6i=P#LF_eD6cY_35 znVot2_Dl9ejMlpyM>*?60*KyvH#xHQKf|4OjVGB4a&~{+gP!OP6}rD}`9^ysokOl) z8QkR}`s*hp;MtC@7?_n{s&Anm<%F}+3)*^9@19FCJ*r~N*T*zpoducJ( zNI}bFA{fEu`%m%Xj{0AJFUSEA!D5F_jl&x5NybgGS5kLh5I zup~e3MxrK7=3Kr+xI@TQQ+lSzxyjtaH<{8o;{w;cAKb&bHft+?m1tb9JTfy`1R281 zvz7}Lvxlu_*A&Wi?zw;VqkEX8tG-P_F?+)yRsKR$_t~b-z(Ee8x9o(YXcXC(_&ydg zHyh-{22bW@R+aSRUv)uyhl1Ih)o`?Tpg=cgtumf##jIpuR8!2VzneGI5kwn!IY2qx+irHa4w!==t~wR@raw71Bn`xI32)cdz_^3A%CVWNKEQ0NuE>b9&`c ziE3QB)gQFYG-2?M9Wl2F&2(@!E?sPH4|e+$;QGg11$U{?jZ3q)19}MI5BD6+D9ZVD z_gMlS#?kq(YbmRH=z=4&TgB`inlus_E=6zwK9cA+_&9WrRLMY*NdouWtDp$0>@}}RJ(=4wt4>T zcYpAsfAhy!TUBqK0EIqR9Yyh-O?2I@I3v%d9>SeCC+c&L1yCo>xK(|p0?|%0L7!k( zBHC$A+_mROsg%|1?OlwVP3~S5%oyazPL(EqziJ+Tvs0y}@T$ethtb`s@@&W2sYEuT zjMSed3YpC)8>>Svq;4PLp3{dYye>_VdumddHri7pr_yW3H(mR3rd%hVvEH$RLO3HI zG}5JEZN8+b-V~dsbqySGx0OuPtalO3wcWY8uoeC96HkN7>LGl>^ysSp9c~(VzEqUX zhf)cD#P5xq?)M^Rrg&kU#j9!|)XXv6IvdEQ1$r*_dzI||iq$#WjWT9`#q5cjJ1Nv( zF>SoPSMnK1>qu9Bg)mjf+R`UPOJ=gNwBJ?CjQFXOLq)vqYYz1nCu#Uk!=ZXyH134s z`d)FBn8u-=rdG!bAr3WCe>_dNV61fDn19NDAJH;@$8i+}Dk$?8XVBfMm>6p3LRA@u zUdG(9*A>WNa;OKJyhuU@CS^z@L$8UVTc=hu6&#;=K>`T|b5biTpTC9r}dcadd znvaILbUd}&T4Zr|UfjMxW*9K*?aMj2qb2E>^RkyM=%o2mdW0CysmM> zstcN6a*Q-=U-!06Z^8W%8&Z-32)z$~Xw{_awz*kj_ z)zKiJ`nydQx8Pwae-CYhX4&Tf2*8``){qZqtVaYli-S$t$ zM)KJl>h5%AC_xL;In?MX&8Ji(I#iH<301dEr#3Gr*fnJF!9`*OhMHd83Ku^Q-u9&v zj0FQDwVF$Q4?&TZH@6RQP!#FKMM*fL?Tuezz!irI9!lDS$yy*19L=|XYmS91aCAAn zxR6hQqqe~55mf)fh{e%FB@G-kT$qPtE^suUv^rVE1V=YALno97aP+2U{(w(`qov2E zFDV5(nUPx#%hbxE$ZIJCh(+}1n%!mgwAS3Ba3SrWOajwp`w4T& z^j_Sh$ChmoQw#g+vu>13Ej%@OF)D-!wx|HxIC6;d$5_x+ zy;{L!)&l0IJKann_?)|^DcKSxv*ze583Ar2jae()&+O-*tv@eJGaR-eU{Y&3OGN^c zT2n`@EF-56-pA^H^g3KUp>=$2{mi_auH#pY27kAX_cQ7}+vu znh%Nm$exoFk1$(%YHmIPW{dSLsgWaLHsiFt9~m2LG#D!3F<|)87_QZhuv)dR+GkQk zD4Z>$yDfo-!r3<3j6)n0&X!+x6epr$jmyogWC;oD(9pGiu+0*^gdTxRHnukbi<}&j z`3}n;z8&&_$b5p0$86*vt)Gvu?!7jD0{BDFZGFd=f5e8{V)7=&z?3l;+}2bP^54;H zo8dsc$n(Ik8TOZ_`4Gc)5-MdeZ1uYA6$!+!mEN8BN{|d&fNgYC@&B;*-odS9`F*F5 zGa$FL(owH}$2(S)cgy3gS&}bw?@IQTX4Lk~u38#PW7TfCY^qCMzyK1AV8Y&eF2W7x_R3r3l2z4z^dJ2Qg227!p7ZtQx`jW%|nB36=lv1*#o+jW=E`w zQ7GHo;VfbEjVE|%DbtHm1j=@ETbm+gAZwdjbJ6TRr;)nqH9i~A7G*5(m(X*?hN2d| z0Cl6iL4&21$H*d!d*}0|D9Ej>=*%el0RwW&vS}>>Cge6SYM$USAh)pC`+UW>LvGgQ z#zX{v%yz9A@8&RIw(`1_BqAVVNy$(5}Z4%N6e(^|?h>B}3W9~K9Hs7S(&2O?XsTzrON$XYKevy^UREZT6} zB4f~m5q7poX@D}k+;f^O9)q-@*1 zvOpC=vF)m`D`1`lc7Fnn59U`?sAtOu^j;@FLJ?)L9Yt|Udf_B&KC4r}LX>sQAH<@6 ziL%g<-Xi1-)5l<8dpnUsuDk@E#~6i3qU^3NVURY`;(lt+Dn>D7r^Cfr9BQ#MPe;s2`xBV`rM$1?9J)WAV8s)hYx!W-pJrSGcz4&)$3k)Mv%w zNGMrw;(4kH$&z*SPmRh+EZOjE`o55V&XUDlAKmZ~MWvL9%L_h*63f&b*N7NprtI1N zb_t3S+vwlk<54Lw+jjCk@?Ls@#PPFmmVoIoh_fVJBb9Y;kQ5#`!UV$hb#t_43s$c*`qq!am%~E=q?mQx(kdG|WW$yLz5Lj2^#mu0X zMsej8&(CqGMLc!PQND^+#M@tW95ae|@z+NQVkYo)cwSZ|Mgd>h8DkT23g5L5oiQO| z<-0l#MyjRGBH@i==YqqEbJZPx?+plPI9F}7sgI?Sxat_{k-AB*vCP{*IdgQpHBj5h z@su0WEH%YQH2Nv%4Qt6o))}uGH!}FFOjqaDeFM#J(Vp9Qf~_5NLNZ~c9#;3 zZ3Xm1I|)KcJ#%#<=vwx`~z1$3~gqH!Qpj0UR$D{qtejPiGtsi2XLNOf=Ax9|~v22|#WOa-MRuGKgS zcr-++U9(h89X%a+vwtT+Fj1zZs5(9y6J_f?t5q;f8**AVnnY}<)WG4Eo`l{#>ycROO*nr%D7vWpJEdiKz_LMY}N`8Mt_Z&FRY!q>QmU z6gcHheBE$WaG9ZjM-?}=W_PzZ47e&N)3Ppf2L#ST>*_T0dkD5FTvHa!cgiExn#xfb znyqTFcCE~T&+VGB!L$_vz-hdD?>OzFdal2*YGV&;2Nx?O_?fl5NuWYW%;5I!B*8z^;Zj^t1?LhYTwIwkI;YySFCBS83)$VzF#1DtE0T0P6?g2 zG6yuBD_ucpzYc#|(_l6696FVa`}@@>kC&vQ@w`gHEJH`n zhgf7JJY>se{CA9Uo3SLgnrR;Su-q_$un)POoC)Gm^Y8TS$z!IeWKU#x8PDSix!XOn zz<1_<1Hn_{{-o$UUwu<9B*kdeIWd(~Q^@8F`;5XFNDR!{VkDGf+8tppE_h@sV{BbG$2641Vsf|4j!UlmOgmp5TgP?|De%$6y^5lQM%%oCtha6VOzY*;QbWaI%LI*o zSSuXv(g@vUkrMM|1)5>(Db?;M=%VcMx!ok9>lCKCVm*&invXk4jZ-iWnI_A&MnbDK zWH;XKiztV8Z+~MNhqazke$ch7VwOA%Ysoe)A|wnf%4w%sIoV4}cQ{PBtu{y(C`I)N z?Tyyv%h74;L|U^^iIn*c%F33}J?xHu?cOydr63zsY^!D3rfKWt ztATkg4Ac~x?Jq{@w>eFz1<1~RA8>M)T`OnS9%`x*YL(7|INngc&9eBPg7KJ*I~6@4 zNxR%VV#q>7<>DqLHiHWOHbhLuFq4ju(Y-m`{Q)UkwMMkQB#+*9Z);AzKb23CeAMBV+k*Z)35J@CLb=4MWHj`!N_lo4Jwx?Q3GCz3I#DLKXx)(o}C&%@_0nWdGr~iOWKh1Xehb6qMYiZLm2}$ZQkZE;0O1 zXfJ`~P*a)&Q31Ylq+5~JHIG2?LiRYL9!W^!5!LPWd}?NPGMl?AV<_5xLzB&^QWn%f zcYYJerwfXUmaAwX6R)@*t;!NpCC|f_!d>VI`uYV}%FNo~B9ppGF5jU5 z{*94A#KGng1Q$~~76b^%t#=Nu*-~VU&ASx6g5G6Q9oTB1^lGN3o;z5I$xlH<=>ahT z2e%naS{xNp3(-4s#z|LyvalAiQ!PSkx{cA>v0^Id(Uq~CtUzgOyDUsMN`E`@f6{E4}r+m@h2eNv}2J{ ziZ@$*=Rsm-LKxW9b1p?|1-gpVJTa=~cjU0d2|Wp5!>DzQgTj`7tZ@S&QlzuUVt0Z7 zB~#gtTWdM!0!HuMwYIw3-fwC}`M3u(%+etc_5fG!mlu7*rPvjhBK1WA!cb^)U>UOFBYCPb9* zV@}s16cin=!j@rwQ?b%MjfVV0K0=S2nQV?`G=+rbRHq|}2QM&oG_}G(mxlc(m*@Gk z8vbrp$|N$*@B$;DyLHgV7>`Fb7HSP*l#W`xn;O8{l-xEEMbHIw1}`zAV^!=dAC&af z93yofFAzVPlj%Vw;ljJQPtHdcp+5q%x533ol;#QOj(u2v=8=jz3la58C=DtxdVQ0R zCa8k*7XO@0n(y05JXg>ZF>P`485e<`jB5QS8JivvNp`oCTnRn3-qy%nNZa{DMiyg8p?0HgUdBMQ?gwX# zIJE3RI#*hMAhi64cu@H-++|{K5WO|kOa+nK;>>mx0$i%;D9YrK^_MAA;2@j7WpQ3M zVR(jsYxKwGW~%S~qYhElq{6$V|T4L3YkQ1@RAk*BmwwFko`%L5$LW)oTv7FOU|M-dMDqwW6dW6}9C; zroP|PIA&8YF!HU`hdM5bg={njWeS;qV6USjKty9IbdwD$A{4=Pn{jm_LkeOANrreK z8y|df9?&X8vAS30q6aaZ_o->0tLM;BjlxOYhJaZ!H`Ua&a1aVvNX&htr!X9RH65X1 z3XzF_DUH|2kkkjHtOVW>n1Czy0&{60`<~&_U2VGoV%k%Vt(5+e#xf-W1*otNS>y;^ zEbY2GLxHAyDuaslIdmaru|2YePvz;)mv^G&G(9>qp=U}?gg)=S0{&4=wOlGO+mRJw z71HHY<5^#b$O8>Eq|G%kXD=OU#zN&3&`jHZ60|9&VpOH4RdpU={_-4#px0HcrmOm~Pn=1H zQpp~H!K8IR6nHt`QFVaN+;9mU%^|D*H=XS-H6=|-SXrs*_30oH9YouRFZ}@%ioV;O zaB!FuL_=oEz63#nR~m}K1V}-1Yr`IY%2Fiy2wY5`8t{=^6u7PP;qrSprtj8o+WIa3 z9^H`=GaT8(M}uBt!MW?m<@^*ROERIJ@?HbSS6%Kzq!gH}nJ;?JKtl&fwkkENnB(;#b#+6*2~^ZtU3_7Bl(yxPwuv znAX}es|%bJQi+PpyJR!X!(3P2n)Q^6Se`~ipw&}<*?*3dq83{Z z%N3MPyT+E=I3ZJD8t@-GL8j|VLwWlO`U%<@UY{p*>L%e4mCY0}Ewd?mN=Z84&$?QA zCDeLeM_z_m$W)RpGFk^EuHK*G!j90b5aX$vGzTGjg+F#b#x5RLy&@4aiDvmR>yM{@B`WlqG;pZj$#8gAwWe= zp+mAt|0Dj*TnY^FUQYStwsdz}O0MDVN84s-b{Y$Yh$|W)qK^rlqE34&-tFyCQL^5} zk*szusmdQUnm?;RD7njbl@}c52-Bh6GOC}((Opy=#}m<8%7+YpLTYZAGhQ(vri1xa z8xd*9g3@vO@->HAl`dP)9GB2enRG|@g_xefbuNWx7_^| z{)V6X!Njn2WOt5#Py9cYEdCm#Ee}_af#|@ns}3Or<;jn)?c*@R|9xv%l9*N3naOtAIqnY~Fh7TBo-wp3p9u#y+fND<%n;aCv6IiEl%ui+qBiBsB-s>v zKQBe(WEy>|UWtMUl4Cof=M!-?)nEK#}h^TEnVn~bhJcZPLU@DMTZoz4&;bXGjOI;N61P7UPp za4ADVDTEL7h8!p;ntQS>iGzfC<;U>clb-(s+sLbV~vN*wQ@@G8#oN9$q?VZ2y0BQys(V~44jTn!bFi$!wktO{|puKmF>kF z;q&yzW7ny8E7uu%N zJY=cY8$_OrT!`3;S{ZixpnzJKODia8b4%x*VzOOp{{-$S-q;1eBa}K_)+V1Sq$HcQ zy>P7YJLhEznD&P$C|){!iv{rSa5K=em6~KIhGJXryKmmU^nLZr8&uh|)?h^xzvs`s z^2P3d=zDM9S73Q&@dAlcJON{if%g*kOs6B$Y5j>rPR?-*SAgcGWuw!l)Ab}m}Y$K zg)Jxi{^`_>#}ap~*&a9NP$EP9g5a+V=SXSFdAZq0@ z3qd;H<|W?=kfK!Z!>M1>b!VL8@yjUd-?o12)G?F!&)?UTK?h-;@>t*U4;tTEUNsH?O+Z{ z5I9{)zf_=9@Q~u&E4Z*;d-=Y^?t||uZ|s@{UCL2Fn7-~LR?0B(sBh?LCL#~NuipEC zfNjUQ49)=eg3k!h4+i})8wWOH)tgv<96W56s1@Rhd3mnb`pV2Qa)R1p5PVp_C}M&q zxrY269>v$AEph8Dn(~^+ZzGI$X)~*mM<3E)PzNtRzHwN?b!pIY4z5a|tO;EYAtMR4_`&$t}|{)Y`c1KzGhVqJs}B=?#2;YN9#Z zr;g(jOX#cT_|vo->b2jy_m6)Bk|N6zMeZk*SomeB&HW16L4|$Xwe;M-gi8mW zw5u_3W*JjHyxf_KWBQ92&ujO&u1%b{HQ2Q&w}$!)zTI^suFV)MK#bOZ+Puu(l`*TW zF=>TWJciVLSv}AtVX2G{hVQjXi1mhlc`slaU#^6J->2AbM(9dv1+Dw$GWY|u*BjwhfPK0z{d}=y@&|*h5?FNFBAWaJQr-^y0U@m#@$W=$_n9D3;*cr z=bya-q37yZ1sex{F%Yls#=~SO5r4o(zCrK!H^exLchB+vkJ<<<5)(TDmL-6h$)p;E zCuH#k>#4VSGUwO&r60BzfV}0-NFJSv&Grv3kP;~Xb_M}`{6QI`fsX(UOLXZ;EXrfZ z%|nA2LGT1NsGAw{$ZD6*a#&1)o`CH99yPK#*azrqPCDg(C=qidF=&F}9+RK%fF2+y zz@^P%`)BaVc)fm(7gB&Nx3-;+w3A6{Q1`G5C=1CL$P>9&5`OXQL2;=(;#z+7{4tn0 z%u0iwim$v=tWL{KGQY^WIzr5Yy4$F#&v?FbZtoBZr<3fqMqH@S#)q7qo5W}111u-#k9F- zS0lq#I4k^>*Q;NA?gt_dHf<70DRudwY7CAX{~EVI-rLc|%D#>Bwv1GdbAIrTq`&hT zOGBPN#}X`GcqaMccMIF(ax}{eQUs^l8Qs0eC8b+`@NvdoZ`9b=7;}4xFs8t?vH=4| z(v}TYhgP%c^kd$7XgwDR(MPK<2+e{BII&p$l@~B2B@c^9#bZZ9ttV%4!8HXPi%Ia| z``QN&2p6n%K|(}p22$tJDyFDIGp2q|q3rK(02ft;dsTUUNw6=h&RE?BTo=)g& zIaZ>77~y+$y2j(*2rsK;EmO;-vwp;xa-Nz8SD)Vtd^KxsG-{=16Y>H>J-TfccY(*C zy8Czssx)6am;H{qZ3u2@ue|Zc$y7a?!gq&DSRCJ`IXi<`lk@fxF(IDLVfT2hcJ~z7 zSAcDWiL=29`e?WlTV9=jftqYzwCkYyLVN>%czBn$`rj5#({N8$A=sbrmQE2CiyA+;5a3ckO z{?!snSnCB=%BG`XFz3$7`Yb8y5(LK^x80bnU>@{7AYkpdo=1b@uG{>hU_t2}mhcg$ zcaQDvoWtzd2#T$7NRWW`rtMDQ3X8nJ_Cr%AJgeV5|LhCjw|D>@r}H1>QwZha#FSeZ zD@Y&QsV{)tg7``Ai|@As`FZma@@%<(g8eCfiBo@RTHMsZ-Tw6};v1|kW)%xqiJOb$ zBAEMh@B9caSU;z|vCawrPB;X-k#8j!O3kws(#QF35t*_q;UsxCU@*BKa z06QnZN=(EV@%-TV2FNsrcPUVS&REH5zmnE=8FhQmEq3PEFzdG-UFOi66^E;~3xw1z z%z?tJbn>!xKBn36#RCd-v%^k*R-si!(%OQHx+_uh>?Rxsmr{CTQbJZh0E-oiH?Ub| zuVl1&b}NVF{Tx`q@g@>kQ@HgHXry;yOTvK69S1|b?y_aMMNFXf@W{ZQmhhOPYq4jq zz!K%^sk{!DSh>qOL`bWfQAeqW69c~y4aSB6@~pex zwg%maiGcK(pS#v`dp$Y~^O)DpTMrI~+WVn<{_8Kn_R!deD7&bw9^=muy(Sx@q5en@ zQXepWvvJCCin~+60mEWyWaR~lN6W&9er6x+0FFB!O68OF-;J_=xkDLockwedG|*bh zrNf+ISGG(Qx)*5fc42_S(2Lcr>K(CjAm4#);zCJlO6i)IzCs|Cwd&kjsw0JQqq|Vy zDj494n7EJFLN>(J)Dw@EH|5c)&5YdO(7KF{s`YzvBG89P>|tN4LEw(iu&Xj`wv7vD zdWRSOv`l3dswkv?;@N$813YDjF@HPh?SF&lfDH_;C}Zs~7}I2ranNJl9)XLaz~7`J z)scm%lg@%G5tJA@jw>bPmWlo3D7zARx#KAte%SlE^8&y3*bX|~rDS%KWUs_8DG7lH zZbPQ{o-w4~`6FORJlW*3H3Xj5A6JTzK!0$g#)NFD#+IdjEyPZaLrHB+9sA}SKC0U- z$&fuEqgB1J@3ELXX5=II{gdj!LTHlo${Xf)TT!hm3L@vkOAm+nbzurBQtj^_IR&+d zuf2%SellaailxUQM!ho2rwV6YV7DUoNZ}44iMmdG?0LMD0d$ubC;g#g6Rh}dMNLJ+ zrpd3pLF%l3Hk~rrdP2Si|rr%!lH);rj;#L}$y5vb3N=~u8>R4i;R z+k~vM3tP_|Yg|uWgP*d|7gOC5@;JkV_-j3fs3_ro$=q`E-MtWjdYtA3Y51h`5Zmpr zC%h^2>XPay30spFBNGNxR8qd<^4P$k^l>)#w`xRAIq8`nJYm6Pd}0Q)Nqi0W7Ld1{ zFn~*PVwfu9mnvpW^EU`1hUT7o8_I{HDroo;Zl6%wAnN@=qpg{)S%?SQnRN>0EX3_z z-K5-qm3w2~7JmTn4Q=}}5w{w}H;O+X)pwj!+E}N>%Tv{HJ_9qS+8iMgYA(~w*D-9q zQv2pZ6wIcp{B(VS6p6(*OwECpUi)tb2-w=!PTJCoRYWDsSvS9^ZP*k#ft$N^ zb2E$c*Ghijk&43NEHqcousDAms-sifU&PaY5nObvpN6fp@CK7k{P4=tQR>heLtxmZ zg4%d)FYZnyN+)=MA80aLvlVEEuvLSZOX;GoPBy8T4J;Z*M-bns#zF1NxP7+)!;G(e z!2I;JFa91-ti*rVA5hmRV|GB56x=SU=w0D8lf}J4it|X>f!3e z;)mFOA0++Mg&Vp4>BAnrw1Dq<#dmnocX$ySUi5qPW#Yk##x)fN4gxD`7>Fs6Qn8}B z!~GH%1iX8OOM6%j<>l-5@)w}4!a&%ZdvK%v;K6($5;wZvKGBI8xKW7zdl9^`#;z5$WrD|AdYaO$?_8L!BD_9sJtCtK|*K`|C7#^hV-~{F}c|r;9Fi zwLKCVU37V~SH&`T#S1j{+z}1;@W#A*Mnu-|235`n?g@x5?fVSBs_(G4!teF_m!No{ z!o;JqMh$&;fgBoKw3;7S2Q@{1oL#~P!4P-G7r!;Vb19w3=o8!7OA1C{{oi@H;Sy?8 zHxEgu_|cNJc?gQ=q&xo$aA}TchYIeyXE<*B}>P1X{P$_e!Azy(6 zmBw`G1B?McDfQWfDg=MD5sFqO`@sGAh#KZ;I#=kuL`R*xtpjMn` ztgo5MG&59XuoVq|4=rDB9}qBCStDieP`a|M3TLA4_Y$r+zZbB&nsb-1BxNI@l1D5A z4}fjDU;OGl{^?$Vw2Ep2QoHg7_PFhH{8Ca8DCoDb)5>qCf$~fB*B`W``$c%`@;7X{?z-Aoh`AzJ3Z~4I`mS6tc7!mf+8!?A?Cf zeDMWd&%T)5GD%rrrr^?{6fOd0((T;FvU+@$)!p`gh}e#@M<6vN(k?^NN&XWxl`@o# zU0-B=G>42Q?R4bYIUYPIx+)gZu5g#Zt1a<85v)V0)@>o-upQlV9C`oGzTQv3R&Dzw zR2f~1{2iVo43?~3FlJQMFP81#!J0d6f|{f=ZrUk1_+3~{#U99Cy#`y?adsrU@feF> z^9T-q1pY<*`N9MIH()GmrkR7P27Cls7dHP5E;Z6frNNuE-=%{}+VQ+xmRRZuu%xZz zve;banH_gx6sTmVJg_V2-HraU2}%|to~@V#y%dQh<(c!=iMBZ$8iJ3(LGwx$(m&-f z$Z5(n$;dG-{`!;Dm1PlQUwVN{zFUQr2NYj_yqcAYQaRcrq(5L%={!T=PKECtI~Q4F zNRzbL=z$e@dXXX-FR0CYeSp9Zlz7z!H>ekNYJ&9?#VUM3tEYGxZdN=|vQHsk?qTkN<7B(&=tsyoi#2 z6}mqtXwFUQ6u}Sq_W74s*8b%i;zLGkY?R6o2-EDSp%iH+`UJG>TxH2*gm=U9J-)J$nSe@yvOD8V=^i@Z(F4 z#bv&(Qx9x+g#Q(VKk8_+w<{R@QEYyHXC)WK9~rZTD@X|$vA9E5aeFl9t@ifZ>UvGR zl{Pf2t~@mrN$h!njjk>=OL6@%NG~xG4yUdqLI6PcUtd}Y*C7Y2d4uY*mVZhoi@K}s zaup1+D0JVpfbb{^IBO2$p)sP0^YIJDJSJinySbdN6Ou5ZM*YbOJhn*ZHNLTb0z+Qh z7A%I~4>%D@WNh?ai-|OxdNsX(r7pY;HJNNfze&J5ZW<{!^dTGxgFXVYUHL{8lRv5* zFU;f<2PpYL@cq}os?)dg==f1tX<40&h%?|f!Z#;a5g`1Zy0VNKA(Kv84bzp&=yXz) zzG9w4C$+7gZ{sS;Z&M3g&e}(R)&10mFyMSk6pB(h(nq<(vUgs;oXgul8S(qH2nb&* z*(sqvgbM->Sn+|XSkUU z;SKJz+F9-J!zar3w->9J095-#@d*-u${LtIQ+N`0(&Lp;I-kR3i$orOgY1%qMIIHE z+K=4d5K>U7d3$aRk9{cH!@?^3tezyt%lBhYcou6?l6k4E{?0qOlb6y5Ts5m$@u-&3 zo-Q#BkLqol43$u}e<*R^RYzK7c!>;BQxBb`2w%6B1LJ|*(*d6vQFj3I4_7(~uTw+K zeZPuQEAX6D@C7CNaScd+b>t~X-7ZQ;GE?)#OGiRhW-5B6H(7#UrjCx*pD>vz{pkHH zj4}|+)TO>*6`2e_0=2QGBe5%@!;2VjeFf&*=iE^jd^WNDAN!KA_X$-}CQM~sUJDbT z=%{;pz0+dcLq`o}=|V+x7^>FnJfw(c($@>@PS@T`sC-my(Oj2*ipfV!AEZ@r(0o+Y zX#NO?#770?1(^t#;u*P06&+7gH0#lZgc5Agp8S+dM< zwJk#+Pitj0>ty7ADZ4By6gfinDOe7>fPH5Q&o++70)Y`p{{VqJ>1P`z#LN=27r3?z zGZ6`bL)r>y-jtF2Zv!U#L>~C*&Y>%lkU1x7Y)`D5IQl#V6nx9H)+LO{m~Qin59Py1 zoBfZ#a%Wr@2aQ0D_1vzo=44NRrd^xJN8?bM+?-I3E5vetmX}B7Mnx1RYGGrplEFl6 zE`^Oq*#4#$C@t*UguZNubIc2@Tn9HmgOAr=g4_9tB_V3$K(r$Q+j~%lEdGwb+_!ls zLr_$gi-$!#R0QD-S`K%nSOBZs`Eo*9{>T3uY@cUXRFo>|%V*#Gf;d8kwig~K&KgZ2 zr+1`)g?w6nuP2RX3h9(p!Fgc-3uV=!8#)& zN<*$fXX|Khgd>6ystdJ&4f8Vb9e;{AZZUbPqvp<)Dk)tPM1$5~hsT$NQk`ztg`713MQ zr}FGIuy&f@{bJ8~4 z&WaFV({krd67+R&B~dWbu#cFRML%GGO}3%A93>TO+AnY077|AzDT4&_5!wGI1$W9m zxEzxbq9J4B2CElWdprcz6jpN;ff_k@b{AT$aGn3|3e5OH!GXdFHVqY8(`8Js$-i=c za!E!?8S%Xzri{a#Es^+X!Ninm77zX{ol;8KFZWjwZ{z+lufKSXU5&w(IVBTcsu`?J zS0eGH1joxLKu~%L%JHtaa~| z+WLe(q}j+5V5)CA5Hbm-q_et8 zp_W=ZmM&BbYU%X)z7oofw6%mk`t!ksof0Oa)E6+;C8jeoZ(B6Uo8Hq5;D!WJ)QV65=qoNW8-L$ed@pzwN zT6uGLehys=$)=UYp5_06?pZluovGomcvh~>9@YxUo|RU^bson}is-@?cST52BztP3 zl20+MoINxZNtv8SK}kl93T0Y@R_l$rny$qPk z=4p18$q{W?DyqJbYuuWo+F3drv1KxfjNzEtvhhfQKyP6);nq(p^pEccZAuvR`jNt= zTmi+-@?x^ltnk=b`ZqKYg$$3Kf8|YF)h3@_jh((cSVP)bme;14;PrOjS{w-m{+)HJ zk{|rO^h1~ZC;28h>l#h9CWD;ngxOV587`(dVaD#vR`Tdhm|?x!wIXL_v2LoOL4t*Te#kIvdfvVV&bL>tccFc?J=w{M0#cP$8gNe_`&~^AbgW z`_XEb$J#AIC2?OtxcHL0LMq7~m=ldv$qGvSVdf$+P|ozgyohbI(Weaxq6L2z)-%{7k_>2@qw6R1-u4+AYnJVqF)uAZIYARRLOe;0GR_#eYVR(kre z$3pt`bNtGFu=X*-qn!B3htUG5na_Crcaw*|3m5-OyPH#%nkP`a&x?)}QCau8ja)OA z6gy@Y1h>nPKzrO^Wvq+=wA)52lOznFy*nk_ETTl9SBWNr0)@CA2bK8Xy8(8c-hT5d zLfR}XZQXmSyEKe$t&;!@e`I2@y z3-rD|nxtV+HU#%q5;uIyVFTM89cmkG22M{~r-P38VcGg<+hLoS95%<)r<&jw!$LT` zt3K6WoPiT-@e0yc_`g_($1=EdH~5{FMm?7vS>Hxzdp!QAfAE$i7B!bMs`*4df4LF6 zq@v^SJtb);5~{0a_;w;;)JW#u_p|9`$sCUQjprP4=CG7H93paO4oyYD?J8vE5TDqY!Sbnnz+UIlv6PZl zBwT9?Sxqptf2QPC0W;@V(Wb3%k!<IMTQ5q(W~RDi3eO#)|Q-> ze8pt|*R4IqEiMJP&KaA$Rg!Aw9t~jiZb3=qtuKZ~8dS`@@8qcRPDVEICpI@{{Jyf8 zeu^Ay_yp{)1INIb@+1KP<`qXGs| zy?&fFf5Cx}2P!qa3EUI0QPuI;19~|#~i@st&~?2y7|jDzU25r+jny*K~cmS zfBRSoJZJoVNn}*l4bv8wHB#^E{>0U>*bNt#9`{`F*;wM0v$72V;R1}`(fm?%32d>) zb1Llo-|HJS$z7F|74z*K97e0y-Txe~ zJ$8&%@TkQp^SHJ}Oes#S)K)p{l6BNY$i<+&(#)e^kwjusI~2Dxuyq5F$nprv4{o>Bx!1k3ii>c9@cm zhwASmH&xVXWB18PB*L)ns4cgbM=wIg6^stcQANn~w4O9E%9O4$u|+`RFMIXXaYAa< zabSM*LPe`O_Rkw@CG@IeV#($(pUG&Nx6fj@C`R+7zNwl=;VRAk=euH7f39+%Byqqs z-zVGJA0%SsCBtlYbrKpcd05`96QJv$(}Vd=yA_<7TjnHN?JhX^&F)&@5D@4^-NBe5}1*}wL-1X8vhdPI-tLot&x}AkQ z`+DqHV7i?)k{#&gaW*N7>J@7_|!W*w{wt6`W1y2+v8jn+kb z7oW;97T-QZ2$(EmLS1IN63sG(%$2T6NX6t6W6UN80WIFv#HM)WNw};Y@6P&Y4U-@Yupe6}^ir}2#E3+8+di8xHkNLfH0+E2mVfAnAg%**k0Lliv& zQd{Pg2;8uuwxSRT@O=cTj{O(Kq#AheYSW^a%n?o{I?M;iK-V`{XEmZ!B1yr$X>~ z(J_`$8Le!eU0;=gf4smxz;bkAbvd7n)|g|IQc+FB8lO{lj5n<-uN)a7uGq=PLe}?}qsLkJ!9w4F}w%GMd z@eeI!f!e}1W6dl@m#1L-wmKbc7^cZB?Pr961X|KwuO5}4p*8JEK%5K(t?4rcLm-oh z#py(h-)wPknH1YfW>tj>L9yk`TINXh7x)bueHch%)Io?iC1JfZMu8G`=F<$LDo?`U z`Y<;8VLg*^f19XYHVS;H5)^QyN38vlUR`imyOn5nRPWrYLY`$8SqqzmSQr34jGTcSi&Q>f;%d90^q(*{N4P(Z;?zv3#tjf}rC8MKf%O}GrQVM!jaKCq}{21yr z()u4sfAh_|Z+?jV2QfwL7hipl{Uev^$oScZf3tjPB_HuSH0P}U|H|Wkp6Awg&RKsC zoU?wPb-j=#^Nm%H$q*J==9P{zB~@0e7(NQapC6J{)~a#xA0UOry}e+)fFUf-1qb&k z8N#9=qkCP20@;$=7i!6a_x8ruZv_;Iuxh-%7>Yoz-}%0K{o>_IEa-mz{+;hzaB7Vy zf911?g291VSI7j-8!Veb;`wZ%VAt?=9<(=j^TPMlFQFBQuisa%zk2EW=1Xw6XuRf7 z6~pA^Esc_?7+wupQ{eZ--sah_-@o+r`~3BL{4H(@mWoAGwQo5&BaubzGqw%1DBTnF zv=N(KQo8n+GCE^}e+hEmFFzwHnb_4ye{5b(6;VaO=8L*^C0!J>MRt~nXrkb@<>rcI z4viP+)(*$R)^q$XKKll{9e&_?N2})2g~C|N#Hf@e6b8*+1|#(n9}sXi9uEs#@7}|{ ze*9~^{MCD3KX9O1Tcyp^TT84yP|_W$x~EDE9)~Lbfy4^5dg#b=SXm0~KH#__e|?0Q zU;EP%q)*iLrRiIe@EqKe3T>^x|KT&ZCQx=e?b9K_g2TJ_A{~?=kflvC8XW! zmtSHThjagW2+7yU5&l#4DFqjZS$YpQ+C?!#Xtmm>I{7R@Yqq6%4nCcvGZ)`ou{)cx zxfV_}XCr!P6Kbs%30;7lF&1}A83L>^$DXWo-CEa52ZpGL6gaLA_vwk84vdG!RTMe4 z+S2)Birv(5uw|B|e>Lz4=uLe%WT|%e0CiTUSw{K{Z{e{~n|B&4Ccd|4d%#b;x8Pv- z=z)>q5E4r0U3an=8KGd;OS2HzAa^}z&L3h?$60`h=t!jMzR~KE7^GdO54eojN<-Rn zJ_Wj)gG3pj1W|?Gh^BFG7B{J4>wP{-6E;lN#K7W#>*jn(e>zq}tD25^XqS9%P?)m{ zkm_*V&e1SZ1YRnabm_DZy6($xB?i zl)JfZEQUfC-hhdJIbxuf-)Fz{eE|Z(3%VI%E}?W*L@fs))98=D&QWTf2r;V8zGLs> z(uLmG;GPT?e@oXVAi=zuhO}q#0qs@SgdGcA=&c&-(=vqK#8th8&m#1iPPB^(q|j@L z4l}a&J>|6r*2B{ZzhqziHNFW)9$Zff$=a{lG&YB}bSjIQ%HyDCrNx*zFc~r(@!$RNp4<7Oyx~;Y}g08tg%Qf2cgwsu+O^c3fer>3({@PKXeM z%Zu;Nkjvr;*lbN6P|^Pk_Ez@to)r~++?%Cv9W4}V_B25fl2B}#%bkT0vU_WM@#dxP zXJ7mp^o48}3h5HEZP`$P8uB))DGP?A26-QYL98_ZmnnM}b)RqYe^3DuwoK+i8e)V{S!W2~H9>>lp!IKa z>s6nOI2e9<`D?r)6T-;{TE*nk;mJY zSe}NxyMA;akjpe=8ov$<4z9 zf5&YpJY;T{?~Vz*eThRmNL_j(#&-nTQvC|Xs@O{1%ju}c3zky+1rM%~rS4Ih_CP#*x1b+b~vVH*y&#yD6 z0)1Ys-N<1T=nF?vtoSG$x5LqSzwtjge+B!F^n_#yt6+atYU}1Osv_8KS(MW?C_tUP z*v~fi?41gl%CGBdUgW#&kD>~j{|QscuRgWct2|16R(50-l52emY*TZDrGWF6`n~)b zyV?Vp(WCfD`~j_mgFjClecRtdtO1zX;A`OG<{+MnVgRljxkRM^$pD!3vHMDdf8w8^ zorsb$75}M|=}8`oHBwq=;W!Ho(7)eVBw*42mF9(Nq}fqzmiAIbqXF`!WA^Bi-_-Zz zD;z2fFxR0N(de>IWtiTgD2!7_9FG`h0ooHLn)o71LimD30)rYCZUN8=av zjHv-UCcn_K5je!9@C(CRcC(mlb_9z^&`1UZYO_X^G#8+?uC{(5<=VagN6XQB3fk`a z7BsJC1PZCa#0y}V)@|`AY(#XPu@3^6Zm)~znfMNl$2-VYu8)-(k z@ry)!S05tWFq+EwNKRsQx3CRK>w1BE&F+F2%}E^G-n4U3oP?!%Q6phu5oJyL*+K*s zQD9rn=g@Zu7#`<0e_OX`Gu84(SId!y5w@xiA2OJe(QZ@gj${mzpUItq8ZI4o*qv0D z@e#N~cwPRf*lF_9nme+`GTh9RI^z(~d58A0W_1Opb{4+O3rw7=v+(dA?dQ|k zoAJBwN$?yBZ$Vs8Z9UcG#~RcnAjO@;lU|Zwn}n5o8W>Sle`NoF$weFnwQkZpevW%? zj4Fzo&zj@T&Z8BJu%j|-*?Pc1`S^s56O&A7M8t6NVlkJTMG}Qp->*UGXwH@tRjtR4 z!K18&L;*R|e+x`Sz1KV^EipOTA1Y^B|3ql^a+JtHWEuLN0wtZI@Snyz@EO2F;#STw zE@?1!2b|G za$Y}!#woc!bhm0#fAV1nU%JU-yq*k9MDV^F5cc;UbND{XJ@!BjnzP9q=qgKt9I`q$ z|63~KP=A_zAg7K4v(@DkMx4@9^oY!gAGM9G8kDry&W|{p%-OHYC9`KM^ga;|I|ff2DCqqgEea7%M8n)m;)$5E6U#ALu+m zd6c7D>gEZC#HQ#i9&?sdn_fgk52P~F{PeD#Z zf5iz>S9%N@BK-%D(!*m=QnkIoVJh)CnRePRr1iU z&k0T0Q<5b2w1%5a8AEbEKhGNCBNg_xoAq-!RbjUj?~EWjpq>DmWo%4JmC!Gi%<&3T z6R>%vEESJop@!~AYWsHxt=uu$9U&)}e@WNg2x?Z=O~iN!0v^SwpkI9D2X6OEt5s~W zb#{+djeN4b!KCH>Q-*4tc~IXcM2$~c4R!FDqIIx+`u7ncvNheZ&-Eam(8h7HPfbip zqA1802~)RtYK2meTiW*kzW38q;*I3LY-~2E|<$tqhNeM+j;r_g%)RfZ&NKi z77=!Q;Xu1_T}z)Nt4TFVP~Xeo7O9ci531U;H?}%pUuvWFfRY z_Mt(6k~_P$jAt-tdHu}G*}4Au3t)HDHw&mD=v->jz7%p`9uYJ*xc`5pe`ui1c6@*Q z77f%I-DXDVnWbvS9=l8qN|hHsmDX8 z2G`k2`?!>$IBt|`@1#_PuyJ+i7#S~kfo<*eDhreL7#xi3_aH6jy+P8$#-@y}>iJh% z3Y1L!uAup%Kthkg@LhXBe;Yf)MR%_@4d{Xy(?N-6p;nh}my*=GbiJ%NNSlcxRWwmdoqGQtQJUCR+H{JXRoc32&FYtve}{KG+?=lRoZ42{ zZbPPwRoiL~(M5@9GSysN-6@BuO!Y4<779JeRCap#By!}}Q(&wPd4-aZvby_D`K&V1 zKR7~-kxuOTPz6dx(k`F%(VI$B z_QEsdG#M$n@cLB2f7FbIFY6EFXw4|wKRb*|>LAV9Jma?oj zP%kzP@MywNgS8@8K@*0W$}|H4gr+mLaf+VU@D${(%{2%Sji6~g`U}R%L+B-`O{u9o zl8U35IP2tkRGfBeUn7qx&4gPrCRhZR+fGL@62^WC8d|m8e;l*`W1p#M<5F5e_ZRKM z9J&H?93o=-SCb zcVmbc-P$?suq=xhGD&`CM2AZzS?M{;Q!*uy3FG-PbvD9LM_!(YCVTWmu2(|t`~`kY zk9X*;3W|zxf8Q{6EAVI*kz3KJVuWU~y3;hGVACujY^eq@n`SXlb-E#?YZk%X84f8~ zvuHbBsFyP}i-(Ss2Num@J#smM^>P zDiBdwv!kfS%$|-^JCCX}3^jM^8Dp2zRoZ3sRuowO@{(x zEk0-Ae<6oUuf^wIsY`{-T6|t^^$j1{yr{i77?IG6@%c6JC9KVh-REbCB4;r^KQr9H zVyho#Se&I6<9CNwB%vc8d}An_=-lB zjZ7h(?T?Dm?&YLfdE%Ze8;^Js=sb>^BCCEal9@F69Dk0%mX4xVT~{R!IL zsqHX(nKbP(s;|YucP?wVSU_KWr=E{WrtgmY6Zll$`-0^$f?K5KwuRNVM>0~P88b6q zEuy*)-PawIasKuOLqP)t^-@wwx3?Y{DW>FBZL{H8oK&Vc>s)qt(`<6Ke~NrjY)Z}(;(rzk1Kxw&t0u%0q_x(t1GIg8=d?OW_Axw3rMqH0M|u9kAOFRl`~Kx0 z{mBP&Vpmv4{^t)nInpc+^_BR^EKqQM<2QZ-9?n1cJ6H0*$NYR0f2(uN<-Cl@)38_k z#m_(a*-t+KJGQ|^xK(`mb3Baq#{L~-9OU9b70AO9TB@ygl{v=V&Z zg9mhZ(yG$v``1Geg^ZoS4pZxS^VDJ8+9^UXz zfBK2m3{7NiTpW!ZGQs=w>+0T9q`uB7GlyPJg#mw&@4ymivdZOCx z`evW}AD@7B^)!)|xc>3eKl=HPe*$c&DgMsSgni7PW1sS5`e<89t@_VuBSu8-5B_uP zgI5k$M#&jRM)y%&D2yyVb!u(c>w#vVXHM*_h&l$1t4iXce|!Qj$|oSCD)~_A*@hF- zFKU$TKZU;_zHnt&PTE5&b@A;`>xZBCr`SjK0^5TbXF|%qd4q+MnP4SlXYABBX9&pG z+!Qlj(IVdFFR-_1?MnLv`Op3MCx7(QKLzU5rG6I@SHEj$hadC+&JHRlWSxH$TMw z^L_ix8%WwzJa%n1^dEgV&6ig2nZNO!Va<0W7u){-kJ*-s=L}fxKJHN={Av=b^~at` z2~Z~v->%fjseU!P_WmCsQLf^F^H3fGg)}|3)d@IM#4S?s437)=cdcGim=Xd+#0GT7KPm z7IGp0Z%eNIRgbq;UTZz&_Kf`aVr#U!WjRcFe`gddsXbFWo+)m>WWCXQ-A{boYI)03 z%M6fU00@FPlVAWd3FaKY1cC`9m@`O%IcE~s8xU^z-8Y(k?=dGC{@DRtd#I=J+y}ZaIjH ze+J`&Lu!gq%-;HKIg1K*oi>;5a=lsmY^Zex4t6z99~?4tbKVCPmFeTyxlCBgpz|U> zf}vvm1k`r!2g&HP*KWKEKxwa)n86aVgC%lD+hd*090=_-tDK7$QfRMfQ!#E1L^XAB zp2wWZVHjA!T0(;#3f806-Kj+6l)m&$UIwL;>DeAFX=v0A+pla|u z3o=T=kw4iWC1GH7uCsH7Q4$uLa=;IQfz`~U4oDap; z*x1P8noR`5#?I=~npvJQ%t+7hJQu=^0aK=k@~DJ-Nq)4u;Bmk{k|siYc*9; z2y#}J-l!4MeM^E5VwUODS;_L=f0CTRw`9L)b%(sla3MA+GCv+mSI{E`ZQVtV6>GD0 zkw-NwS(s@^;L`xL*{+^)87(=;X`PE=kpQ(M+e|d09Z6B-Qal6QbRSG-o}@vEm3yFE z*IFx~=O6=d>8lJ*A&JF`1L&%F464ezqoE@rw0H4^Vg^cW_Ao>%g-~j3fAQu03{6}9 zAX{^oBY{$C$?@kF7K~Ec*$MCC5h=BS+KN`69Z2%!@-pM_hlc3>Vj-1T>j;`GhZ24d zfo4FJ4|S}30-TxiQEbTchScGRKcOOPwi@T0mLy zP`ye5rPqe*TK4c}+jsr}zXo};+F${pj5`*diPNG)m@Pgw{J;-Zf7@M~z9AcGoaV*m zNolG3QL^%oi@qm%h`j};!^Rsn%sr#6s@E(cV{oRv&Q$1)ya(1*OW_Ql=tGc{GBw%EU4S^y>W@xm=jgk`!V)fOc#>08>4^xN`)$8s&BdaY*T-6ewQL_IH$1HA6+15F`?sW0u9TFoT*Cs7)vyo!*5eaZJTH`V5kt|T`UztCHv3L2K!J~W%d$(ED2^`1MRiY^V@|m#B$hMU*BTspmg?xRmYX{@D+4KrqZ z4B9%ce-Do9oo`qbEK=b#sBry^PsQ~#MfN?G+X7*y!*mF>K!}`fIuJrE5Huxm`Lq@_ zJS7j>f111Dy9=^e{jNPeQI=AgHaf`@6Om8SWO8J4;2ht0$?Pf5+JnlC;s+`z$kG1Bs$ zG#>nt3FdS4G~F@O6&`@&)xuiTqlX0ZtsK?=e~ifTUEQ74h(DsSd=&|Cy;4{c&jX;? zviuPf$``eE-ob*Re2qDY6VPJOJrF**+|7XUjqIcj@L?_kqcwX=q-@nwV`A57MPve z3+6F$f-CjI(_A7aI9D52C5MQkwNvvp2^|zPmbILV$&LZzF`;@ki5;BkZi`2cS*kU_ zX49@e1SvG8th(5EZPg!5f-NC?I-y(5f0#YiHA?7+;ik6YiVKAb&yT|sJa5keXPC|tWt8eTPeoRoIzV9x>)8W6e9MUd_Lxr1hRk%LoRbNie zD@LJxyN^IwVND&24i#PxSmwnfy=GB=Sj;0sh0{sOLTsLc#{m_ZrLDe|Rav z-&2ZcZiq~i(S+!{qA|6A-oGDVa289ca}O#j+HwS>27YH!p1Ut4c>TfA2Ob>>_Tvv1xnd(1{>rb3#NQrW=pTVd{9oUIPhB|wQ= zhGVsu1SNJYnk;;n%9E9TxF#d0e>{`dF**TB(6FdbVrWoSogWcOY-&@q$f;0b zTbd$7N}UQ`ySx~Thhv|CeBEj#ivdVf)>(VxL?E#?-n!xg5>G2`^Mp`oBky2v7PX!6 zfrlv*VUOu-MEROIR0QJ=qa&_2(cT>rcX(Xf{2e!G*kevIafzhirbW}oeu_+Yngd$PeD7ed3Kn^$Q6c8ALvTtBsTAYL=S8lX0xk!r-8}*@u ztugf~5w#e%Z7En~5o>41e=XK1ENdcsS}<~)xQM0aKHhM)vMH5CE|{$*7RCzE5AgYy zUx0-3&}bHkIBY(@8{&{^X9|a|oj6!D!x_9Kg;b@+(_AAmS`F&T&|;O4sKV5Nt4YE{ z&GgvI84;N(%}#)z)(hZR;gPCaOTY>nTHE8~u%bY^ePWbFh6tOh_LltU5Mg~t<{FET9*3C{6cmUs zGO2cx_g?@JM(bkz2#M#grc6&pWwvwLGx+rJeDgyqxX%UwBa9n9D)jVS*;+!2#Ke3p zOWk%!&%d%8i(+IP_N#IgEKS5b0 zrU5y@V@E{-e^{udX|@~?L$&CT_BkObR7={M824iUa$3d`JD`nV{vb3}5y~*<@BxU; z-*!sKK#pQW7Ys35su?Zo7m|UT)9u53sB-rRtTfqL#9kn$BBY|8O$Bmn&d~-w8OU*% zwPs(Vv%4%iw~dL1_bR$WnV|XM_Mo|-d z*2zFlOW%4JR55)3oH=>fFkhxDRiv89m#Oq1a)wCUH0tV1a+)vGohbvayLgeC&V<8$ z0Tj8Z@2J?~kTZ_!oxyP~9Jx8&=}hI5k(V8vqin1DhoX_a#)S20Q2d&=v z1B<%CDx&2?owps60vNIr)U~aad_+TbPR};01axF4DY17>MniTKrtlxWAK59(scGgx ze~_IeYib?3)+xx&Tv*jJ8nKhp)Hcg!Aa+VBtmi@qv2&Y|ctRT|bT)GAl+x&&8vW>& zfR++EZU$?4Bs!<(Bxajo#@r*I7|jY{06Ff15J!#~lIA>i~-3Oh1{t#3TM$u^~93eQi z-9`C^0QusL8^8kYmd~_k+TAo>LRq)7~Pd61WVzuHq2O4%TyXvjmFl%!MD| zeppmwr!My*#gANp*r=L{l2DKxUA$e#C6yhH^ks>>_aQq&X|ru&2(qI)Puhn*IgdbW zQGJ7$g6#BEW>j;b$WGy=J%>doe|%`uuk}(Yqhs%iwX-4B5L08gMo40GR@ahGg|zy} zjWVh(TI@s*z78Zfc9tYH7w)@dG1zk&n1p$UjiS zh?{FiZWR@=Y1$6L?JUWNO>Sf53LA#l%um{Gg!oKHH&1i9u=H;kahkH|p&bqpr&;XG zaZg z>64vZ8H}FkOUXN>(lcdK*NbclJrk|6#>vR^%;I+Q8kbJbq-hPKe_S{{qpESXa|z|a zbHh*u7edb*2G>;ijC0+8_ax)Y%#iH(gSp*`e5#pMXI4v{ABCP7S-R4)A@q!W=%|=T z&(w?+=F{xNaT+DQ_|OicXR2rK>Y)=qo`9U_q6#*lh#5Cq)XnqJGZAW~1Kp9m^h|bp z)4!p^GaWHGhtL!Re|dx2c{7yUc?=4ynshdto*CRdH^@n~)XmJJMe^B?#4ATm^)`0$ zxDQcxLh$Jqp91sI+y?f*dy)mT9UUDKG@x_z`?-mm;j0Ua3`X4KMw&w;5aOnOJ=Fni zez*_X=9dQL?h0;bbIy%`Siw!{v{ZAb#Eoia4mZ@L6E_pde>dk6TE%zMu-Ybb6E}qy z$0n@3mGJ2f8Y{j@F9=(wjiC}!K{%$~5raxVMBpafnD)mc-e$~NP%ZcIHbsi+W){Rf z%e)^m&A?7P1e=ZZg$#9r2S8h?T$fVavr3i=3MEA1#=1Tj%%c-GGmRl@&@mhjK>lds zpo|H*QO4I*e~Ab&u@AY)&JBi+kG%)>3c|u<4CYyvv3*h)aTC;97cQg|H}##i0vK_l z++MB`k%^nhqr6$DFQz}}bJ@bUG~#9}sPK^O%l_PiO>wa8*Hpl!#@<~dg8()qu~$_r zcQrq2G;vf22W$#7yG?v5U}J8pY+x`7e*)@fYVsLwf5|;Cl~&LwVgzhdTLWe(5wMAz z%}AC)WP|OsqFy!~ut_?oU*eMin=*UJK6xa^#K~5t95Q=DbJIP~hY&W(!pl^NTUUrw zrf*8=M9rKwWnKg&YMKn?1{Rg5X`E0tNa;k)_1@VY+@@* zftrQ5xCLkv<~^`n8=A}}0X5-cgZomN7*m=Zb-3gH)d*?EmZd6=3 zf8LF?B$9Nay4?Nm!epcB3~G~UVts>Ve~Oo9^E*b887hPiK&`8zlcF{yH-{~9 z>1tETRmq3|gJnEd488E!V0Yv;g`Q7se@V$&RO~+5zl_dhLl#G%dqh=yi{*+o&H&$d zHTeUFK7pz=VSqexf-I|a84 zg~xlKoh~_5+iJ8ZG6WQDYcnF+fgMopSECo?+2?`if6&18 zrG_?WGIJk9Rj*7+$g{x6rMVB1CI*_g#Q9s#L3DQP5f-?h|AgEGe6&2!*3Hf_I3zy- zO3P{+i!u@uyH`y;S!Dvcw6=P^e{GXPrM0;8l3)Q2wKO zVMhX3=X}k{!j=Rk)EN&P#aP!Ix~`G?jO!Qf`)rKsES2K>4*CJGpP~C=f3&(L7>&)y z>R$J@_8w_^Zy<;%{Z;4s;Kwa54wT9!A6WbHtV5-b031m#o|s0 zY598IFlKe*4%(LY5Dd9mGa>!`?I*|A9Fm-v&=DICHHUrxBCh8`G16!jGsua( zp}Io!K%?vh&%wMtlqfTfe-CBqSu~k3-ZWmqCdrJKcOhjmsLVLInG`Q3%ZxeKch%5p z)FUuIaNQy$%Z!Sm;|mc6e3DirHcz!V)Fb zDHfAHIT&rI!44KA&B5=2RY%7J2PR!kYwOZvbm`J? z5jP>EOP8A4sV3~fCv5oGg`b{L6-s@5BW_JowVSZRdcUaIVMe#yKuKX-@SP88Mw(Y z#S0js8{{tCs!d^Rnnv2xxttE$gOQAPXL*tC)tS1TkM})z)lZTpofrj0x zSDytSM-uXpMlYR>0&>6<2>Nv+m6(?ZJdRPMWM#r|uOSI-4T=C7hENkbXi~{yeKmYP6>HPyiw`w*6N_sp7y_GkSVwfVly`$TcGAP1j zThXZkh$1{yf45nJl|-?lJJ1tO1U$G8?CYj1hTG^5t}ic2;RA=3_AX;YFtzx&Z)8_Q zREt~tmQuuCwYak_H^>jN%OKHt(nd!t*LVD4|BN2L@j8VD^m$e;_cYlyvdmd$51@4umV3C&ZpT z*Slt>=hnvgR0TXQu3`dH^|4w&<6>{rjgY)VTZF1mWRpq~K!Qn1PoIwNX6Ea4wKlXVHXM<7`3Ki`Aq9uL8s z-H?jjXHvN7iObF>B0j{@LCSK&zC&9`Xa#C6f8k9D0zr8MZb(GUegeVWbW9-+-Qr-& zGZ3^)gbnkE072P6=@lFx$TOawW6vOYqW~-6F$p3VSde{~lO}-%F@YeeQ5VM{LkFQP z<#A`O0si3}TO z(=16ET*Xh(_ol=$*q&ln_Qz4Xu+fQ|L;BiQS;}m30RO3ZY-f zonv=5^>s-g*vHket%pt9xsXV1@GMwL!GA$I)*TqVO2I)CC+2?!qd_8qtvLd+;YwUY zXcM25pFIca*xq6x$%JKWu^>UfNR5=oW^Ka*Q*4X7o=dN$pXhHuz9uwPOoUC65=S*W zwGvPI1m~BLOFS64vY0ej?#D>399`SOgb;G2 zK5f1bV*66Kw4-5~xwfBq+zj0aAAqch#T5v-QnHp4&S9wFAVKbCdA6Ph16%A3+2d%_ z8WC)Xo;QA*!nLgS#TSamTuV?`cYnEz&b1sDTWW=HuBEQAH;Y5yTD1D2E0`;c^|bm{ zK;>F2OE-s%t}v^|LsT_R{;90;mwba-q+ zVPP7_^R;{e3)7xk5F)0|@WbJfwd2y?fj$6%pl@R~0fxzBg-63JxSjyTd4DM-kHxB3 zfuQp`ct}p*XIfPA^8|jzaTOjWCc4k;k0}RPbbuyme6|OQP$J=e-O#lN?mlyxR`)N^ z^@jvl$i9N^^hh-JZLG8V+xNkKcWsamj@N`HSysvO4pyd`Fa4-^P1Wj7xR_oL!=l#t zh1Pxcdj%=vex=MDZLmnY`hOeH*xfcJbn`by@e5Z_$D5kk$~-BAzd3I$3V~iHBmuvz zP)V60N$m8kwny}H-Gok0!r%<4$MW*5C{sWsblQW54@7W6=R~)uloR4Uy!PKeldO=_ zxSbhekW%92cE&VEMk&Q9N83DJ3>gR!6z(ixk-!~w;ez=sN17Gu^# z5L9R=+`7a#XfGko9KnbRC5^QvNT{e#!QHS+Mmsg1gHBi1j);g5#kQ)ELxQO^^9Ho; zc4@eD#3&|Ybd@&N4u229M&`1+SWJjf-`Qa@+p|}^41DndT^{J-SQ;K}B9>Tu?2+nX z9$p-}2UcUZl8CV>0Y2(I3RAFP@X_4XvtcTR%GeVSs=9PB!b^8Wjm3V9@Y4B8eWV`| zUb5`lcn2#a;2sO%I$SuCw8Hd;Vsv42wXdaH?^&LkEqT6cKDal=?) ziA!e^IE)p2QkO-Bv9>q%w`d0-&ICl3I5T-PmLd=|^p6#=>5x{X!%Bg)vah<4&>eo? zUw;m|cI)b~m>T`@n-_1e2kQ+`=N{H$L$`cr*SV$hb9%`41|+rhHbX~T-vdrf>NJmp zd|7+ySAW6x*Mj6b&pv(j z^B3-s?f7Utn|FdE^Dlk4%@sFs-}hI(c2N55p}PJN9F+d}KfiW-WY|SWSR2ttDfhPU z5T8tlzc%oBz^{-!;yGx|YseCk43>hkTTd~9lYjOuV69`tA%c-vjskVIgg{`WIGTs# zBmzraa5KT7dM~wiolS^|-b?M}I~8K8_mZmDZkAKMm!|dBayEnCQe9K`G@IzR6jyYo z5O_hIV4E==jfCi+&emZyAkBFkj*c4eqXRN`3nnCmhWIUAWp)o^v6TBVe}zTeU~;`H zntx3l|9IA1mdSVfFeR--?vs3&`np{P421ChA@}{VhM)NpKnUmgY6E5NW8X$%1Do#8 z6uxLLkbU$|#s9O%Nr`rQ-4(&g#NZ(>qYxESn=EHEa*Amz&Js|KoN6zZF6d3mtwc_^uq>Y=|M#m`&)$I;)4Y-8wSRBQ)K^m>Gd0}yRsy9z0!Wo*};6Ni(9Sw>n}6mO=o{CM$i?#*;tuR7rn$};iS zHEKUn!DDcBzko;gW^x?#?6D}`On-OTH{qVn9!uKotdv+cI1LM35z#XKtO{K&#GA=b zmD?gDW%P%Atz&Y!H&cGyWQqjh&6ImlQIF=lG;bzH{_3BQt(i0@85^E_$kNj_O0Z@+ ztIRtV(k49a)t5E+xxJarR>!M(kS2?aN=G}7;LS9equ=#;GxgWEgbJzNOn*lW$xU2{ zH&a%|!YbdL1Qe97>`2hMHWCgOs89S!A+NGy-$o8pr_(IU^lpHo`q&;ns5Miqdg_Gz zF?N88_rELt=Bt-qeh2vaIE>5i+OM6Oej6jc|Jtza z58t~Ad=XosDk_@;Vfj;|U4Jev8Pm5nCmwRiJigl66UT+}__e$3dvY3hUr~A4j};kT zKLCZ5b3OEFYHL};lQLMW7rYphD8^pu4-)!HMu>5rEx9V&k8I?$KG+~)K#QG@QD}JM!kU%A>B%w~cYqyqU%qLr3`wu}? z`}&a(!ln6>J+qiMniVgb+eE#B>{-9t`Rewf=-@M%U%|!4a3&x!* zF3zesG=r#?`1}+`6ud!or)FTiO{Z7ssCg*&IqDvIa-#ZUINm+gTd*KRKlZz)fge8y zGgZ164xRRHPU#*MkZAAd^NA*?pz;9ZpW3r|5Zc?Y=bC4l=61CgZbq-3Cz;7Ui+e?b zx^4Q4G_rS;_J3s8Nkp-HnnC}S@>6m$w0BSv#K3ef40UG6$V_)hjV(ov-%jKK1JiSH zl`{N4-v&MhH7bQl3ZuFgYho|Co{Y(v+_cDnP~8gU*cF>bX&3G!bYKP=U%vhdc`hC! zH{~+I(xT^@E_+Y3tJ)QaWnguzylPANf`_bGgc7AQgEW zb;yPg)rkW^XEG{L-7w||M+b`WUi%05`-9+i<$s`|(sM(Hkz88tx|pyrARz(Kj=JeM zMmwpdY=4cKq4oVfP@FVnLdTNb1JMPiHi3KFdf*SjMlJZ*B62F+W{;hKj&ZyXQfo6R zp#EZy!Rpf8tQ^J?2VEUyOWm`QkPUcvc=VFPfEIV>jcH(`;7T{f_GC=_@cz(Nh8$`( zm6#Rzw=jG-C0#$tA>zY%O%bIWFFssd7_Sj9L4UuO)rx)$U4QoG8)AeoKHwqH*X4Z&&evY8ZB>dP?o$mbtH0-M>p+W{=_H?f+UIKNW3Ox=V5_pcX`@pQ(jugV-)y9^zD2!Ub zE`KN3v4o9a>Ei4A=mK?YCnu9!sp{=z{ah$iYE*aT@nP_2xv3{iL@u{f?DS8tJigdF z)B0tqMOCzVu2D>?z9feyD<$t^L2s9P%HREqNb651+%c)?Hjeov1-}#=U{oUGD$#&J*%=TxwMW_d{ZjBxmmyp ze_y`)>fKx9V?II7kNL65X$D>NA&BeTjD;G}_=E6^kYq8H^V|<#8xYY4N!l@_Qj{{%Fu5?9#-By-x7QHS|Rq1BbwAnEQ-BZlCYmh_E8Aql@+ z&(vSww;A7Gz<6m?F733KjWY?}X7Q-OWkNWw`mw(8)=#LzHpdq0w2S4JT2IBwxG4ijvlG0-#g^}F1 z_BIgRlH82sY{zbl1O`bqoY&v7N%Z5;ar`=ZGQjCa)8O2v?or=p&}9o=>?Ki+eGNes zEH~8{q#FKXMyk=?UE<&dZ$j-O0c$p%D)i$&C?5UWsD6%zy(cSHNE+e9-$|;KxK@i3GMVkVGD4s9P z?lPHcC7H95pd+{+fbF35Fc!VCnmA|J#Z(*Qsm6oRg{8xNk^39^KLmR<;R_NNq!@1L zS>;jOw{|PeH~17vv9!o^&VMFTilx&xp=>&(n0y+X&x2EnQ~fn_ME9-Gpz$&hgi>s7 zj9c)HJa_-ylIh|l1_MZBy?1U6`cWuFSM*X4i{ieOw4uxrxsN>m?}D_8O9NVKpaO`? zqmzF~;18oJN0(`d8_wo!7=l)W5XjIpQI!R?^?8Dvfx*2zLeW}L+xzpyJ&V+KgoJ#z4ZDAeWhn1F8A|4qz8kw2-ec!E$X6FndS>FS zSdX*)V1!_O=T5#9tAAPD2P@&h9V`efI5=B&3~gn;5Bj=>*JSRR{$TEI1R98Nk*oC_ zjGT=BKC!^x)>EP4Qo+E|zF55s4hAY}OA5F&L7=bd+=01kP^*Sus>c|_b;E&oQ$>3M z3LJPiSaHjzO9>@Mu~Sl#l#n^U6ON7fd-obG20aI5x~&8;g@69r+fSat><+!~-f?^N zzw)tr?G{^vpQont-RHm@nH=N?Vfl)xCMy}x;D?|mDLzf&PH>P6OtW*Fi+XWD(Z1@v z(5KX?d))&afA6VjA)jF)?#3j-OGn7@5ff?-BjJ6E{iX`pM>Kp-QDW0TJ2xHxdtyvG z!;bM7>|Tve%YUJiUPAe(8XC^u16AE=XABl$QCUtW2S({-Z&q|r4Ze}jt=$gWkwGZE zBx_@sj7;fmo>i?v&jWw3mF5g*xwrZI$Q>E%&l1z1J=&?$M zp~p|arfMjeVfEbu`Hn>=Gm>W-J00K=k-Yxh)(b8~>I%Qvo#lN*1L*P%IhhhNK-bun z)5azFiFMhx$9ND#&Ze{^NIi$|cfs|cs!I$Z=2CM^DlCWa?!CxP*^$zGiW{Y3kGKC5 zH0yPy)_+`h2Ap*4S1)ksMr0?^n;AmSP5v(OhtgHcD)_9w+_{xvHkFgxawT?)=rr8c zOzJ3{hHKtV>SR%AxX_r%0X7p2H<>kV<+*9Nf?dNF!>qrak^D^|Ng6Dxw(dcld>(v#8?s;Kut{QK@P7RgM)0F0!FT?kz<*%qlYjKTh(APj>hDo^Yd27#$;vWg zDgtsJAA_M5MXiWzZnm?K*vY0A9`LQArF#E`X9LEz($X&LBbt~Qmz0K;=qQ|9Y4So6 zkIK1?#ox~HNu1lnNa~T`V@!V%Pkcix_fSTtP3GHI&pznz(rwfqeQ4u~E4lPnd`+_b z_J8P_{|F@8?=!6!pBmb}(?5qnIqqKon)&KbE&*t}N?wZ+khry&u_YCB+Dv5qK)#e9 zQa0D;MRQ0Z<i4FafkoR_IV7diW(Ru*Cp?cNq8vFn zK2vN7X=+AhM@%M`^Pn9kZ(oD?8G9d=J7Oa@UVjhW=@Jx&FH-Bf)7Vg5+ zi40m?=DM2Pbf?eG>z2_Ov?$$aE|0>Xh1;VGJPca3HQX*Erl@77?b-B{^Ps1(3z9gR z4#Sefq{PvXGk%O!AJJzJxlXU%AWwM2)My%?4yLuN)Ex>SU|PtlNN>jB{*p z`_Vm88ppO=)4CyWb8HRa1tUU8a9=q%wjdyIY+XCe^(-&PmKcmap4Rip^qfoxt=ZI+Z2ttKZ<)pAL(=jx{UkdIaOiLqa~Yk&QBp&Z*y`R<f8pLG`+x+4o=v_D8c>V+)+aMe(0u%9`mU>*0kQ3ueoknDQ1lM5`Pc0xWf%G=4&jEi$WtQ za?Gc-C;cuhmP?zA+ZTFcxwVxdorE6CDIF?@oD|C`hPoVlPb{~Q)p&^p)Pz`W_4e?u zuq%Un-KTHCUi4)gtzBTI>->OZnlw`%q2-f9JAM1zA!V+!M!ghC9_75Q8p{xpLOWwZ zvZ>ZM3ZG#O_FK-G1H5&@K>mRWr!=~;!El-iKCpaC&DW@*ne&JhH69OLx298M{2W^qqoE% zD1%8yY6&S)Ti&;yqW6aqsU21CKcxg}n{~P^kFZeCxf3KI25Q=_s3i_9P+QYa?}_Mv zT7PYZ5*;Gq3)FVZ6p0jLUNFmI=5TQaR*uD=Pk)x zUyyY@nSVVapaog=#`XcOJIESoP3ea<3z*H2cS#8}6_hal_ z6W{Q}vgc3#?mO?E1|YBf;zht0A2@iPAdB*o4}ZH^;&0J@ofu&u=j8{PEQVjfkJP{V z$EMrA)mE6iK&-7;QpToy#f;{jd=&)TttoSL5z7kmriR}ZYW7_xuvL_t1p(!PTR%4+}Z1mLh@E!5R_@AZ?D5$v4a?u zgMWUrfWYTqG-@IS)vfsNp1wjtU=TE|{>r_<-KNYhp=_F`=_zdb+n9SP`X3n~Jr`Wk zb`h*m=!Ccg`xgC3+i6#4BKM}S8oa0Gkn*pl>7G4~=eLoMaXmBN%kg|6apa+3P}^uI+PV|OqxJF+b&)s-#Is5L)WO44TQpJEgCzIJo_E{l|s6n|t@ zB%%sEDJ6-D)c(K3jHIt(pwN$+k!V9B;>6U9B(Jt(0&8#mvp>HN)|xaqGKTczs(x*o zMNCi13eDHP(cQTR1=&34q=MYg?g=?5Kj}YgD1l7h-l-^@m%;Lp$k|<$h?j_hcXak@)vLNebjBIs#(UEnMAKOH3_MiNqN=fF1@AMmZvSlLfW7G1(>m_Lg}8_V*`alXf+DC zVg6ur|Ma0ZWr?wu+r;$KX{$fS%q68P^)1J`5Y{%7}2sxDND*?ZXTJjExK_m5mT1= z)pDx{lCtC}3nJ-fs`{=OGqd%jEb6Z0I6}%|2v4q(kyDl($L$51nzHmypU*6p|tN`2`| z--Iy{I$`s!CoW7vPG3x2y*f5}qksCtzXZi&M`Ktg0c1^s#rT{kNMH0xL#L707kzhA z-$m(*t{9v;k^knIPSeQDEYYs+uBm;4PYPYGD;kbC^qTZ+i8WV5Nq=?J-6bQQRHrqn zqMDTIj47sOg|t*Bbzm?~NKbW?X$vLfzUZ;qQyaGPqU$~2r$723D4MXCIP~mizBIK* z3dw%@PnQk^uVH^pZ*YRoE>DzAeoT$qA{4|4ggJh z#hu)p2?dvJUW!Sv-TXxU5sy5DEu>M4WqE(`GZ44$)bVIpk)hO(DTHQ4Ly@5x{>SLa zD$e;tEb}zLK7EU|zQ%syO^V1r`EZOYF6GjGpE4%xziimq*MIHRzZG%fe{Hb$Er!+N zi|=NfZ3b9l^>RYm8l|ziB(YD6$)ADmUVMgyGr8BTcS3Tjb?m~8jR$SDPQ0uR@}sp{ z*Dr>JiD~WChUmFE%*q`3)L(p#+_HeDfyj0Lc>qq|2fPHe^WnqLxHIA+Z=6erJGUG5 zQpr5S`C85{c7ME}h0Hf^1ODTuU}Vs;g4LN{qCnqg??8TO-p|qIn5RESvsqum-HYb| zZ~c+CUr$fk=AmJdhit+xuREeDx+}@&GPHG{*tDI{?gxKR>A)1jLg_5@pPzpE*)Q&S z4WPgJ^zZ!x&|aec`He(A;ibqje2u)c%z2r~AvcOUrpEht_?hzQFOc}=MZn8|=l)=K zYa|IfQ`{E`Sz=$H7jWcsSj#YwZFjNi7Cr0nzVgIN$4{tj<;^WCF$C{*^s67Ys)vNs zw(_|UMSr-E(pIk08RHnIt#uqtXJ7>s_oofS)>_AHx7F)7?;$L+x3@Q_qt?CxC?nR4LBlyhYJYi9PUwJdklN@~d@&!Io>+n>YZ=(! z`=ER?^xGb26}gXZpFKoA!RC<4h1o=T#N(%d_kYlPAMn*vQ0W}1VCcwv0)m~{sZwlW z^3Ty~Nx+NG?t`+`_3zSCp@bUc8hlz>X>NJ~pW23g8Ck!Gwd{ZT4BhH5SH`I9nKUk> z7kx4!)ykptqQ@N#PGKOh``Jbo^DmGrQlGk~XTw_5V;dcpm@O~*`!8RAi9)sLp^q-z ziho_#CI{B99@LQBiH(VJzX|R=br_py^NqD4bg@^b^{KIJ=F4|4&|gO{@LPY7cRkpF zu}s802HRYgcVoYY=0!jK%TK^+WWrBq9q_A_O;J*&4)`S3(zF3H(+k@$is}I)99lTfWgMdr>RIj7!shiU+&`tx3NX{!T=O8MV+Lq8&Jf`4Bzu4BSIgd zdtf{DY7Oc|uv=K$h-Fe(?-;V!e(>WT|20@uD(x&!0pzwPD3e8axY4KeC4V?Q%WvU9 zd<%3bCM%YEpzrVbZbj=Gxn5geubDxg!%&L#^HR1N&>g?k?rkVQFV)j~$RFu+IxhW~ zoDMWC#Zxl4(?RLV5DxN?w&AML@HP?5>7Z|+`UEywuCzamN4GVoJKP(@qD9Z`cOMp; z2Zzh_$#Nan&SvTR?G6?bB7f>Qc&jWDFnk*D7P&5yCts%)IY;DL~*950YSJP-(fY1!21AoaAYr#IlA$a9KDa!Z?8LOZKl?WzerwQ-O@G^B`0&^h@6g*0 zgr4&eUxY}+3rd#~Z?I*IF8Dxv4T9ag(O_)N;M<4qd`dYjykPKSP^-!|uun^jD6m%| ztE9O8APw7B(1++pKgL({55ND(pZ^s&sJwCFlq3FpBTo$|)fsO5=v6>PqC)|7zP%5Y zO2*;iwjP57*Md!g4}bU}n>nn;t^9ii^M>Np^a5-U3ARU}m(<^TNs&uAls_PZSUWf! z$HAyWB=^sL{4f6{=pMcO102~y4xZ=O#a0I2)-asj{-B|&%f|7n@n4~LA`snDnyO}( z#n6#*){TN&A=GCp=`(S4J=T3>=~+oX%-Nrcd@ zAJCL|kk9()+v4A2iY%~&85@~>$fg=sXjW&{G6J`cFI0AJ+ zcnI#&T8GiOF0!X zRJ7WbhTUp>2lMW1Z81xASY`dyGc3wL&c}UShcO zsNwqF>Szwn{p9`}ZOuIDxHK|OeT%7!O2nonBWEuD6~X@g*e-{VrGN2v=r!5v-RQ@> zg4`>GkjK0PS@oAz3CxzqA6!PP|3B!LAufJoT_EefKh0dvqk4+0<~r^qG*6L+T!l+S z_kR@W*JXvu(Ea#5iPKAvez|#zW$5l$8rl7Ut6yyrVf5t7X93>G0?EeS2EO_{5afqx zlzgo6=6RrBcpqqNFP&*N$6^f4pMU=cKL8zNtN45Y;(~bzj<$x&#c<0Lle4Lk3%5Lp zTI$|s5iC#A%oBM6LPCO;_wfntNJ4114S!~%gHSxD$UXAMjEc#QL}!YTSK9yiqaXbx z7Qn}yg?@lO{BK@8fByPKz~?WqzX6t3YJ%9lr z^}e43zW612Dr;gRP#)46~L*Fz_Xomh1jS=IQSSbnyT?NljDG%9?8sbwh zF&A_5_~iRP{R9}Cr5zIVl6wLdJbr!s`ByKn(50d#Q7fZtOZUK4URo`W>K#)PWE_{! zy<@bqE9*SpBZEGH$kUG9&$gz!5q}nT&J!0rC7*UQ=`v}+sL3`o$?|#6Skp2W(fXq= z@*xJ5(=&>1p^rL!RlNi!+Hxlc;#!k^+*K&TnWuoapT7mwrl=DM#g}Hft!SA;_ocaL z>s|LbFA{ud`u1`!ScE4R*@?h#_;j(9@NaLQJp{!oXGt9LkUvvr!!dcFUw?PuV4a+C zpkG->j9y0cuo-VIXy>_=Wn1c8qL5J3LCeQrappFf%jj%l2u?N%h$RX%zWo)tKrHFO zxmX7uTKx?C^eeEEe>EZZtQb!y2(FukGuaetoQ9a)Wa9Nei)v{-DGG_#E~jWXIZBGY zEwRXst%}f2<%XC(?5}w84u9Dky=r(vhE9()_k8x{8$1|z2ed2IhcvqpJfFF~+rGsP zH}s0!!@kXVc1SeTVaIYid@f=%)#+U;$r3@+80^61RO-4+)4=)hNHSL+|Ot9bGjCqE+88H zXzqdwF%uT|gZva%6v0rUdO|`NZt6Aw8qPWVHr($g>EiMXYJUOv7%av1nz3}ryRCQ% z-7$xGGa}|OgE{Fb?brY({QN;e=0Nlyt_;fg9>eg#t`NM>+sm9(Cac!v)Zv49m-We5 z{|zc{f`*E`a2{#bLoPlz?bh##J%tDNX^-6HrTh*fR^5JzoL*=lcD=t(>NXT=?u<2x zVTM9+q1O|bOMfe+N`P&Lxh{Pu)??%jG_Ze6%bUYzX;N&5hMHFEu)+bZ%KRKm%_KxX zo$~I3RYg}ko}8hw9l9g#g(Zi0sG8lL_+TNam_s~Utu4!X5_414Z4CCKS{3D9hb1vs z6>0KnoopJTbKVoVz;YWBMcidCW4qF?yb;z;;(iErs(<(tWRPPvm$NZx&36?RY&T!h zNuP!JMg^B3rC^A1RC@TdoLYcG&j|F{H>E`n_>tJ4_O;VY?=j+!U9{VYjcuvNUI~4U zy_)-aSjrZMVuF<0aat^*=(||PejTR6=A``fpFafK31KD{Y0Tk%fpc8!vr4MlGuMe} z=2#Px~CtmpVe`(vJX6@Ml#>o@e3BsYRNd)d5NXs z#3hdJmEJO@Wgt&2q`5Ecc8#CWMkLNyqf@z_ngg~SdbS0$+&@^ep@%A=Km(dWLbeEdpIiW)Ji zr;hI64$7>=J)24?Bw0O$^|l|&X(FFL$bY+yTH$#_dXL_Ymb$)p0d{iJM}-i3D67$= zgH2Fe%u|i=vCR9ew^)W&%G#Tf%tAK{SZJ+U!#Lv~{Pb_!Gd!1$lQ?ugs5(dK0Y=BT zFAnw`zXbKlyDT{|1Hn}?WAl9JPxpm(>bOn*dm znhFgaQ$pr0wyvMdz@4Vj(}(6oRHrGGy`znX;YSdssi2a%05>ps(4Z}l6H86L>so1< zD`l9-7}2pbB_d&#(dE%KwAv>Q1;wrpBtj-HF7GPGIV3Q%sv&ZjO?HsVNsCQl(ZUh8 zB6bm0bO0@8+yiwD+iE^VT)q!Bl`j1Ua?*Iknwh##4$XQXq;m65>8?|SChaJT>N+)h zSF}!coidM`PW(Q`;5>2WmoOIu8-HU`Zz^#5Z@^dF&{=vxd+%?FqU zFBwbOWRTP~rPLGMsv5S!>O@dxRNZ9m;M17V&8gIE5sewm>R8rrNWDo1t{Wu_#)vi? zG~#wLZbtO*Y{NiiL_1U^3fe%%-kA7yAp_Q#b99*v-A4RDQ@JXK&or&4r+=_>M@FVN zudlBQsFNm;{nk7awZni7h5DGwsMV$&^kmE*M(3l*CGqztVOqj*^|Mq`?OGASnjekzGBqVQ0iJltH zgOFo}Aoej(M(65iq#sTh_9-)aX(bl?M|tTxK_WVU7^cbAOGqH$aaM^DDyKdG1xaWBS&EyVgV`rmwmB%0#7I@#ihpQ4mf6_6`?PtUP+>-m>Z- z2wj8_`fe`7@f|W^H-CIOnZbgQZk@vi!`?Ziw~om>5rke-518jM&mEUE2mrj{EOdSppjWSao95gdgW`A>c26wY3ffT#iN;(wL zNU`iGLb)SUROJBuamEG{o|&oOxGvJg zRMe;-)V3;sBSe`E2{X`b?g@yFuT1rW&_PL|ifcKQ4yp_r+7dItK%>f-ROqn2`ykq` zFUF>wQemKlz1fFU7HIz}-Nk2Mff6U8b?_NEeXj6%=zlb^haj$O{QxV(zIp~0(yKRF zL@p>er~2PPxuAu@Qj>t<9poCm5W+y9th=aXE*annYED#%NFBOIJDL^rWb)xVEyoxC z^nLWsX#T>C6hiMPM@u_sj|9F+hmE#OQz@ORkkc*>l*0)xu32IcI2?tsVu4TPaEcO7 zmZgxs;eXxZMYE8E+yvLzHdr*|#vZ>n&W9l~wY9_9d@>@FmtQdpg}?8CiR0V}hM`pt zL6&x<2|6IaAH>DiwuxzEiDvS+1~RRtqIPdcLh5=x8(gauz+sNVtD{Wl_VWasT^h|| zU$1n>+{Kar0z&LsI{Tm!>jMziZP>*|r1-KM(0{$Q6D_CG1e(zWt$^HzJ$7cE643#J z9@D6XMFj+u-S$uptUY_&d~wZ!2>v}GQ=6Ecj-+1S^L;pX^I2wbTB9FD{-1N5*Kx>= z-c>eBz5vr1e2v}{6?fmD_IYQnuT=5qkHSu7Qy2BVgiZyZ(B!Bg#kgR{8DC$Fo^f^DWqP|&T(LpBD49B!; zBydIjuD2zRO;FV5Ggdbj0gRn%i=SJLI=e*Lt}<~@ca%OA81k8k_^=FR+D zK^IGGipn1D9RD@CSYDwq)OBgpwjV+5?lb0WGK{O~K6EAUAmeI6>q|-*RO}RIY=1kK zpkmuEPi|#I+4?+mEJa42Pg5{knIwVh(;JbSp|lAb_y>%-DJT|^RO6nYtw|wOHC8Rp z$Fm_uZGD}e!$jYlMvI9Jk#G;z^Fz?=^>d0iLD{kaA%ECdCI~IlN5)9k6pB z%%m=Md5oVHs}3SbqvJ>0LT_l3Wq^zgxGE~k1oD; zwP)Y*NK)$Y`BEZ_qK?MzYC^aq;d5i_D2t2Fj{G9vHCWGCDwd#Se~(n~3^_mouoV5( zJ1oa4?oyML|Gz^7`Y zhLNCDAyFe8zDQEBNvRp8k#*r_JL-zRG??f>DB2YmlSNu&DZL zxJ+qGY_6oukhzF$a54;ReFE|`tELzv?t4g-p4-6p8GmeAojMlH_@g$hzuMz1-QSpF zf*F65+P8W{bT!ds*X@hRYGTTMWgLg3CWf~~?#c+JAu*S#XtCEcWPdEb>P!OB4I{I+ z&iN4CaAl(Zl1nui*-2|n7ekCiZn~AbB9D*tZbSteD*5Gg8E@rO;VwF5EJi{X?kbZ* z!dOt@u6}1!MH>;*+CRHZ9Ft5E?jna0`($qAE+J!OiVv6PR<>e7WF&d6AltSB9rpJK zbe-L9LgcxIjD~iyCx2dU$Iu+c@1T_l@^KKhvOO*!D|gLhu2BYYZqI7GbhZuM$4LsEUZejpNuZmqHKegW#>5SA$;aYvdPjCV z`{FeckH35R<;#~J#DDCO>7YOVFqJ1h;4-}SYsv0w$?m_4WPkUE?_F>{;ZB*nXP1+O zyQribhXAdfzJB**z-OO-hBAS_2zdSZr_bF?#v5>16FtKsXnU&;+o>N^+cRp*qS-WU z&#CI$kkhoi@cEi?K1ti#kLfo_VA|fMMvwc2y0yK5^rC4wN!x3yoK5wkYJ26qXLn4N zEe#Q-IOzCre}52VxX5O3$#?(`LV_~oWNk0EwKz{q*Y++l_BW+OZO^cCnJ1-cd(qa$ zOgUBCb7^7}VzRb(v9Fkvp@0D0Rvv-={PJ_uwVI^=>BcwzC$jwK zI8UDDQ{}&#!-PIAMgB|6&1hukg1QIl9CwF2s^WKeb${K#qbPpe&BMpg>f(K{Xf6q3 zF(`h8L&k8@Kq2c`oL1yj{F25NE(K7=#PiB4Azc$J3X2Z)BWZ%8c7u{Z6Ku*@SMcy{fTjti@6DHp38J7e z`Y4k_69wl?nmjg16tsrcHp4~1?4_XvAz2hO_J1~K2}(CXUbQ^mt>wL*u@fFOy7 z=S_3pplOG>TQNp99D9BQj)L-k&LkZU&jkfRAC7yVqOxh(HzUkm+7k(}XHcH2J82Cc zxCYglWnO_kyN^LvPg}15CKjH~Tg-kCv43#<*i|GYiG_Dfvr7!s4S#T{-B=bt#lnS9 zOEpw1ocsUSd(YrTw(QO`U*N%H=5a2#Euj_8!=ip z+fDXbCM>2Jri5=ibeCJNZFuy8LoiK7hZF|Z~l6kvz?J^;Zn zU2SgrLECCs{xK#on-=cebk@r`Xn$d3S&5N{rG@>r&;x8X^bxQahK?8=dDCiZmsD6p zSfDTMRWlKxY1Ded!y&?^lo=~)q}=Re