From efa066a687a21b0c1c1726bc9d78fc1c33cb8a67 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Tue, 6 Oct 2020 11:07:39 +0500 Subject: [PATCH] Predictor hash check --- el2_ifu_bp_ctl.anno.json | 29 +++--- el2_ifu_bp_ctl.fir | 5 +- el2_ifu_bp_ctl.v | 85 +++++++++--------- src/main/scala/ifu/el2_ifu_bp_ctl.scala | 6 +- .../classes/ifu/el2_ifu_bp_ctl.class | Bin 176665 -> 175822 bytes 5 files changed, 63 insertions(+), 62 deletions(-) diff --git a/el2_ifu_bp_ctl.anno.json b/el2_ifu_bp_ctl.anno.json index 1c3ad08d..80e77372 100644 --- a/el2_ifu_bp_ctl.anno.json +++ b/el2_ifu_bp_ctl.anno.json @@ -89,6 +89,22 @@ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_test2", + "sources":[ + "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", + "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", + "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_index", + "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", + "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb", + "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_btag", + "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_pkt_misp", + "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_start_error", + "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_br0_r_pkt_br_error", + "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" + ] + }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_hit_taken_f", @@ -130,19 +146,6 @@ "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_i0_br_index_r" ] }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_test2", - "sources":[ - "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_addr_f", - "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifc_fetch_req_f", - "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_index", - "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_btag", - "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_exu_mp_pkt_misp", - "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_leak_one_wb", - "~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_dec_tlu_flush_lower_wb" - ] - }, { "class":"firrtl.transforms.CombinationalPath", "sink":"~el2_ifu_bp_ctl|el2_ifu_bp_ctl>io_ifu_bp_inst_mask_f", diff --git a/el2_ifu_bp_ctl.fir b/el2_ifu_bp_ctl.fir index 33ab392c..c4876c7a 100644 --- a/el2_ifu_bp_ctl.fir +++ b/el2_ifu_bp_ctl.fir @@ -230,7 +230,6 @@ circuit el2_ifu_bp_ctl : node mp_wrindex_dec = dshl(UInt<1>("h01"), io.exu_mp_index) @[el2_ifu_bp_ctl.scala 183:28] node fetch_wrindex_dec = dshl(UInt<1>("h01"), btb_rd_addr_f) @[el2_ifu_bp_ctl.scala 185:31] node fetch_wrindex_p1_dec = dshl(UInt<1>("h01"), btb_rd_addr_p1_f) @[el2_ifu_bp_ctl.scala 187:34] - io.test2 <= fetch_wrindex_p1_dec @[el2_ifu_bp_ctl.scala 188:12] node _T_148 = bits(exu_mp_valid, 0, 0) @[Bitwise.scala 72:15] node _T_149 = mux(_T_148, UInt<256>("h0ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff"), UInt<256>("h00")) @[Bitwise.scala 72:12] node mp_wrlru_b0 = and(mp_wrindex_dec, _T_149) @[el2_ifu_bp_ctl.scala 189:36] @@ -312,10 +311,10 @@ circuit el2_ifu_bp_ctl : node _T_212 = or(_T_210, _T_211) @[Mux.scala 27:72] wire tag_match_vway1_expanded_f : UInt<2> @[Mux.scala 27:72] tag_match_vway1_expanded_f <= _T_212 @[Mux.scala 27:72] - node _T_213 = eq(vwayhit_f, UInt<1>("h00")) @[el2_ifu_bp_ctl.scala 217:47] + node _T_213 = not(vwayhit_f) @[el2_ifu_bp_ctl.scala 217:47] node _T_214 = and(_T_213, btb_vlru_rd_f) @[el2_ifu_bp_ctl.scala 217:58] node way_raw = or(tag_match_vway1_expanded_f, _T_214) @[el2_ifu_bp_ctl.scala 217:44] - io.test2 <= btb_vlru_rd_f @[el2_ifu_bp_ctl.scala 220:12] + io.test2 <= way_raw @[el2_ifu_bp_ctl.scala 220:12] node _T_215 = or(io.ifc_fetch_req_f, exu_mp_valid) @[el2_ifu_bp_ctl.scala 222:75] node _T_216 = bits(_T_215, 0, 0) @[el2_ifu_bp_ctl.scala 222:90] reg _T_217 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] diff --git a/el2_ifu_bp_ctl.v b/el2_ifu_bp_ctl.v index f2121e0a..dbdbf2b1 100644 --- a/el2_ifu_bp_ctl.v +++ b/el2_ifu_bp_ctl.v @@ -47,7 +47,7 @@ module el2_ifu_bp_ctl( output [1:0] io_ifu_bp_valid_f, output [11:0] io_ifu_bp_poffset_f, output [255:0] io_test1, - output [255:0] io_test2 + output [1:0] io_test2 ); `ifdef RANDOMIZE_REG_INIT reg [31:0] _RAND_0; @@ -6881,9 +6881,8 @@ module el2_ifu_bp_ctl( wire [1:0] _T_210 = _T_143 ? tag_match_way1_expanded_f : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_211 = io_ifc_fetch_addr_f[0] ? _T_209 : 2'h0; // @[Mux.scala 27:72] wire [1:0] tag_match_vway1_expanded_f = _T_210 | _T_211; // @[Mux.scala 27:72] - wire _T_213 = vwayhit_f == 2'h0; // @[el2_ifu_bp_ctl.scala 217:47] - wire [1:0] _GEN_1036 = {{1'd0}, _T_213}; // @[el2_ifu_bp_ctl.scala 217:58] - wire [1:0] _T_214 = _GEN_1036 & btb_vlru_rd_f; // @[el2_ifu_bp_ctl.scala 217:58] + wire [1:0] _T_213 = ~vwayhit_f; // @[el2_ifu_bp_ctl.scala 217:47] + wire [1:0] _T_214 = _T_213 & btb_vlru_rd_f; // @[el2_ifu_bp_ctl.scala 217:58] wire _T_215 = io_ifc_fetch_req_f | exu_mp_valid; // @[el2_ifu_bp_ctl.scala 222:75] wire [15:0] _T_230 = btb_sel_f[1] ? btb_vbank1_rd_data_f[16:1] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_231 = btb_sel_f[0] ? btb_vbank1_rd_data_f[16:1] : 16'h0; // @[Mux.scala 27:72] @@ -6962,10 +6961,10 @@ module el2_ifu_bp_ctl( wire [29:0] _T_384 = use_fa_plus ? fetch_addr_p1_f : 30'h0; // @[Mux.scala 27:72] wire [30:0] _T_385 = btb_fg_crossing_f ? ifc_fetch_adder_prior : 31'h0; // @[Mux.scala 27:72] wire [29:0] _T_386 = _T_381 ? io_ifc_fetch_addr_f[30:1] : 30'h0; // @[Mux.scala 27:72] - wire [30:0] _GEN_1037 = {{1'd0}, _T_384}; // @[Mux.scala 27:72] - wire [30:0] _T_387 = _GEN_1037 | _T_385; // @[Mux.scala 27:72] - wire [30:0] _GEN_1038 = {{1'd0}, _T_386}; // @[Mux.scala 27:72] - wire [30:0] adder_pc_in_f = _T_387 | _GEN_1038; // @[Mux.scala 27:72] + wire [30:0] _GEN_1036 = {{1'd0}, _T_384}; // @[Mux.scala 27:72] + wire [30:0] _T_387 = _GEN_1036 | _T_385; // @[Mux.scala 27:72] + wire [30:0] _GEN_1037 = {{1'd0}, _T_386}; // @[Mux.scala 27:72] + wire [30:0] adder_pc_in_f = _T_387 | _GEN_1037; // @[Mux.scala 27:72] wire [31:0] _T_391 = {adder_pc_in_f[29:0],bp_total_branch_offset_f,1'h0}; // @[Cat.scala 29:58] wire [12:0] _T_392 = {btb_rd_tgt_f,1'h0}; // @[Cat.scala 29:58] wire [12:0] _T_395 = _T_391[12:1] + _T_392[12:1]; // @[el2_lib.scala 200:31] @@ -7905,8 +7904,8 @@ module el2_ifu_bp_ctl( wire _T_6473 = _T_6326 & br0_hashed_wb[4]; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6482 = _T_6335 & br0_hashed_wb[4]; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6491 = _T_6344 & br0_hashed_wb[4]; // @[el2_ifu_bp_ctl.scala 380:86] - wire [1:0] _GEN_1039 = {{1'd0}, br0_hashed_wb[4]}; // @[el2_ifu_bp_ctl.scala 380:171] - wire _T_6499 = _GEN_1039 == 2'h2; // @[el2_ifu_bp_ctl.scala 380:171] + wire [1:0] _GEN_1038 = {{1'd0}, br0_hashed_wb[4]}; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_6499 = _GEN_1038 == 2'h2; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_6500 = _T_6209 & _T_6499; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6509 = _T_6218 & _T_6499; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6518 = _T_6227 & _T_6499; // @[el2_ifu_bp_ctl.scala 380:86] @@ -7923,7 +7922,7 @@ module el2_ifu_bp_ctl( wire _T_6617 = _T_6326 & _T_6499; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6626 = _T_6335 & _T_6499; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6635 = _T_6344 & _T_6499; // @[el2_ifu_bp_ctl.scala 380:86] - wire _T_6643 = _GEN_1039 == 2'h3; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_6643 = _GEN_1038 == 2'h3; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_6644 = _T_6209 & _T_6643; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6653 = _T_6218 & _T_6643; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6662 = _T_6227 & _T_6643; // @[el2_ifu_bp_ctl.scala 380:86] @@ -7940,8 +7939,8 @@ module el2_ifu_bp_ctl( wire _T_6761 = _T_6326 & _T_6643; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6770 = _T_6335 & _T_6643; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6779 = _T_6344 & _T_6643; // @[el2_ifu_bp_ctl.scala 380:86] - wire [2:0] _GEN_1071 = {{2'd0}, br0_hashed_wb[4]}; // @[el2_ifu_bp_ctl.scala 380:171] - wire _T_6787 = _GEN_1071 == 3'h4; // @[el2_ifu_bp_ctl.scala 380:171] + wire [2:0] _GEN_1070 = {{2'd0}, br0_hashed_wb[4]}; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_6787 = _GEN_1070 == 3'h4; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_6788 = _T_6209 & _T_6787; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6797 = _T_6218 & _T_6787; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6806 = _T_6227 & _T_6787; // @[el2_ifu_bp_ctl.scala 380:86] @@ -7958,7 +7957,7 @@ module el2_ifu_bp_ctl( wire _T_6905 = _T_6326 & _T_6787; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6914 = _T_6335 & _T_6787; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6923 = _T_6344 & _T_6787; // @[el2_ifu_bp_ctl.scala 380:86] - wire _T_6931 = _GEN_1071 == 3'h5; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_6931 = _GEN_1070 == 3'h5; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_6932 = _T_6209 & _T_6931; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6941 = _T_6218 & _T_6931; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_6950 = _T_6227 & _T_6931; // @[el2_ifu_bp_ctl.scala 380:86] @@ -7975,7 +7974,7 @@ module el2_ifu_bp_ctl( wire _T_7049 = _T_6326 & _T_6931; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7058 = _T_6335 & _T_6931; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7067 = _T_6344 & _T_6931; // @[el2_ifu_bp_ctl.scala 380:86] - wire _T_7075 = _GEN_1071 == 3'h6; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_7075 = _GEN_1070 == 3'h6; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_7076 = _T_6209 & _T_7075; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7085 = _T_6218 & _T_7075; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7094 = _T_6227 & _T_7075; // @[el2_ifu_bp_ctl.scala 380:86] @@ -7992,7 +7991,7 @@ module el2_ifu_bp_ctl( wire _T_7193 = _T_6326 & _T_7075; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7202 = _T_6335 & _T_7075; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7211 = _T_6344 & _T_7075; // @[el2_ifu_bp_ctl.scala 380:86] - wire _T_7219 = _GEN_1071 == 3'h7; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_7219 = _GEN_1070 == 3'h7; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_7220 = _T_6209 & _T_7219; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7229 = _T_6218 & _T_7219; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7238 = _T_6227 & _T_7219; // @[el2_ifu_bp_ctl.scala 380:86] @@ -8009,8 +8008,8 @@ module el2_ifu_bp_ctl( wire _T_7337 = _T_6326 & _T_7219; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7346 = _T_6335 & _T_7219; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7355 = _T_6344 & _T_7219; // @[el2_ifu_bp_ctl.scala 380:86] - wire [3:0] _GEN_1135 = {{3'd0}, br0_hashed_wb[4]}; // @[el2_ifu_bp_ctl.scala 380:171] - wire _T_7363 = _GEN_1135 == 4'h8; // @[el2_ifu_bp_ctl.scala 380:171] + wire [3:0] _GEN_1134 = {{3'd0}, br0_hashed_wb[4]}; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_7363 = _GEN_1134 == 4'h8; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_7364 = _T_6209 & _T_7363; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7373 = _T_6218 & _T_7363; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7382 = _T_6227 & _T_7363; // @[el2_ifu_bp_ctl.scala 380:86] @@ -8027,7 +8026,7 @@ module el2_ifu_bp_ctl( wire _T_7481 = _T_6326 & _T_7363; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7490 = _T_6335 & _T_7363; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7499 = _T_6344 & _T_7363; // @[el2_ifu_bp_ctl.scala 380:86] - wire _T_7507 = _GEN_1135 == 4'h9; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_7507 = _GEN_1134 == 4'h9; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_7508 = _T_6209 & _T_7507; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7517 = _T_6218 & _T_7507; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7526 = _T_6227 & _T_7507; // @[el2_ifu_bp_ctl.scala 380:86] @@ -8044,7 +8043,7 @@ module el2_ifu_bp_ctl( wire _T_7625 = _T_6326 & _T_7507; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7634 = _T_6335 & _T_7507; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7643 = _T_6344 & _T_7507; // @[el2_ifu_bp_ctl.scala 380:86] - wire _T_7651 = _GEN_1135 == 4'ha; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_7651 = _GEN_1134 == 4'ha; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_7652 = _T_6209 & _T_7651; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7661 = _T_6218 & _T_7651; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7670 = _T_6227 & _T_7651; // @[el2_ifu_bp_ctl.scala 380:86] @@ -8061,7 +8060,7 @@ module el2_ifu_bp_ctl( wire _T_7769 = _T_6326 & _T_7651; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7778 = _T_6335 & _T_7651; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7787 = _T_6344 & _T_7651; // @[el2_ifu_bp_ctl.scala 380:86] - wire _T_7795 = _GEN_1135 == 4'hb; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_7795 = _GEN_1134 == 4'hb; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_7796 = _T_6209 & _T_7795; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7805 = _T_6218 & _T_7795; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7814 = _T_6227 & _T_7795; // @[el2_ifu_bp_ctl.scala 380:86] @@ -8078,7 +8077,7 @@ module el2_ifu_bp_ctl( wire _T_7913 = _T_6326 & _T_7795; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7922 = _T_6335 & _T_7795; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7931 = _T_6344 & _T_7795; // @[el2_ifu_bp_ctl.scala 380:86] - wire _T_7939 = _GEN_1135 == 4'hc; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_7939 = _GEN_1134 == 4'hc; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_7940 = _T_6209 & _T_7939; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7949 = _T_6218 & _T_7939; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_7958 = _T_6227 & _T_7939; // @[el2_ifu_bp_ctl.scala 380:86] @@ -8095,7 +8094,7 @@ module el2_ifu_bp_ctl( wire _T_8057 = _T_6326 & _T_7939; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8066 = _T_6335 & _T_7939; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8075 = _T_6344 & _T_7939; // @[el2_ifu_bp_ctl.scala 380:86] - wire _T_8083 = _GEN_1135 == 4'hd; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_8083 = _GEN_1134 == 4'hd; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_8084 = _T_6209 & _T_8083; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8093 = _T_6218 & _T_8083; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8102 = _T_6227 & _T_8083; // @[el2_ifu_bp_ctl.scala 380:86] @@ -8112,7 +8111,7 @@ module el2_ifu_bp_ctl( wire _T_8201 = _T_6326 & _T_8083; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8210 = _T_6335 & _T_8083; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8219 = _T_6344 & _T_8083; // @[el2_ifu_bp_ctl.scala 380:86] - wire _T_8227 = _GEN_1135 == 4'he; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_8227 = _GEN_1134 == 4'he; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_8228 = _T_6209 & _T_8227; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8237 = _T_6218 & _T_8227; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8246 = _T_6227 & _T_8227; // @[el2_ifu_bp_ctl.scala 380:86] @@ -8129,7 +8128,7 @@ module el2_ifu_bp_ctl( wire _T_8345 = _T_6326 & _T_8227; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8354 = _T_6335 & _T_8227; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8363 = _T_6344 & _T_8227; // @[el2_ifu_bp_ctl.scala 380:86] - wire _T_8371 = _GEN_1135 == 4'hf; // @[el2_ifu_bp_ctl.scala 380:171] + wire _T_8371 = _GEN_1134 == 4'hf; // @[el2_ifu_bp_ctl.scala 380:171] wire _T_8372 = _T_6209 & _T_8371; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8381 = _T_6218 & _T_8371; // @[el2_ifu_bp_ctl.scala 380:86] wire _T_8390 = _T_6227 & _T_8371; // @[el2_ifu_bp_ctl.scala 380:86] @@ -8515,8 +8514,8 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_1_14 = _T_11300 | _T_6482; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_11316 = _T_11057 & mp_hashed[4]; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_1_15 = _T_11316 | _T_6491; // @[el2_ifu_bp_ctl.scala 383:204] - wire [1:0] _GEN_1487 = {{1'd0}, mp_hashed[4]}; // @[el2_ifu_bp_ctl.scala 383:169] - wire _T_11331 = _GEN_1487 == 2'h2; // @[el2_ifu_bp_ctl.scala 383:169] + wire [1:0] _GEN_1486 = {{1'd0}, mp_hashed[4]}; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_11331 = _GEN_1486 == 2'h2; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_11332 = _T_10817 & _T_11331; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_2_0 = _T_11332 | _T_6500; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_11348 = _T_10833 & _T_11331; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8549,7 +8548,7 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_2_14 = _T_11556 | _T_6626; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_11572 = _T_11057 & _T_11331; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_2_15 = _T_11572 | _T_6635; // @[el2_ifu_bp_ctl.scala 383:204] - wire _T_11587 = _GEN_1487 == 2'h3; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_11587 = _GEN_1486 == 2'h3; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_11588 = _T_10817 & _T_11587; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_3_0 = _T_11588 | _T_6644; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_11604 = _T_10833 & _T_11587; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8582,8 +8581,8 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_3_14 = _T_11812 | _T_6770; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_11828 = _T_11057 & _T_11587; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_3_15 = _T_11828 | _T_6779; // @[el2_ifu_bp_ctl.scala 383:204] - wire [2:0] _GEN_1551 = {{2'd0}, mp_hashed[4]}; // @[el2_ifu_bp_ctl.scala 383:169] - wire _T_11843 = _GEN_1551 == 3'h4; // @[el2_ifu_bp_ctl.scala 383:169] + wire [2:0] _GEN_1550 = {{2'd0}, mp_hashed[4]}; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_11843 = _GEN_1550 == 3'h4; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_11844 = _T_10817 & _T_11843; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_4_0 = _T_11844 | _T_6788; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_11860 = _T_10833 & _T_11843; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8616,7 +8615,7 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_4_14 = _T_12068 | _T_6914; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_12084 = _T_11057 & _T_11843; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_4_15 = _T_12084 | _T_6923; // @[el2_ifu_bp_ctl.scala 383:204] - wire _T_12099 = _GEN_1551 == 3'h5; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_12099 = _GEN_1550 == 3'h5; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_12100 = _T_10817 & _T_12099; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_5_0 = _T_12100 | _T_6932; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_12116 = _T_10833 & _T_12099; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8649,7 +8648,7 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_5_14 = _T_12324 | _T_7058; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_12340 = _T_11057 & _T_12099; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_5_15 = _T_12340 | _T_7067; // @[el2_ifu_bp_ctl.scala 383:204] - wire _T_12355 = _GEN_1551 == 3'h6; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_12355 = _GEN_1550 == 3'h6; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_12356 = _T_10817 & _T_12355; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_6_0 = _T_12356 | _T_7076; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_12372 = _T_10833 & _T_12355; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8682,7 +8681,7 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_6_14 = _T_12580 | _T_7202; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_12596 = _T_11057 & _T_12355; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_6_15 = _T_12596 | _T_7211; // @[el2_ifu_bp_ctl.scala 383:204] - wire _T_12611 = _GEN_1551 == 3'h7; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_12611 = _GEN_1550 == 3'h7; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_12612 = _T_10817 & _T_12611; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_7_0 = _T_12612 | _T_7220; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_12628 = _T_10833 & _T_12611; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8715,8 +8714,8 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_7_14 = _T_12836 | _T_7346; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_12852 = _T_11057 & _T_12611; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_7_15 = _T_12852 | _T_7355; // @[el2_ifu_bp_ctl.scala 383:204] - wire [3:0] _GEN_1679 = {{3'd0}, mp_hashed[4]}; // @[el2_ifu_bp_ctl.scala 383:169] - wire _T_12867 = _GEN_1679 == 4'h8; // @[el2_ifu_bp_ctl.scala 383:169] + wire [3:0] _GEN_1678 = {{3'd0}, mp_hashed[4]}; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_12867 = _GEN_1678 == 4'h8; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_12868 = _T_10817 & _T_12867; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_8_0 = _T_12868 | _T_7364; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_12884 = _T_10833 & _T_12867; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8749,7 +8748,7 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_8_14 = _T_13092 | _T_7490; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_13108 = _T_11057 & _T_12867; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_8_15 = _T_13108 | _T_7499; // @[el2_ifu_bp_ctl.scala 383:204] - wire _T_13123 = _GEN_1679 == 4'h9; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_13123 = _GEN_1678 == 4'h9; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_13124 = _T_10817 & _T_13123; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_9_0 = _T_13124 | _T_7508; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_13140 = _T_10833 & _T_13123; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8782,7 +8781,7 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_9_14 = _T_13348 | _T_7634; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_13364 = _T_11057 & _T_13123; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_9_15 = _T_13364 | _T_7643; // @[el2_ifu_bp_ctl.scala 383:204] - wire _T_13379 = _GEN_1679 == 4'ha; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_13379 = _GEN_1678 == 4'ha; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_13380 = _T_10817 & _T_13379; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_10_0 = _T_13380 | _T_7652; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_13396 = _T_10833 & _T_13379; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8815,7 +8814,7 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_10_14 = _T_13604 | _T_7778; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_13620 = _T_11057 & _T_13379; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_10_15 = _T_13620 | _T_7787; // @[el2_ifu_bp_ctl.scala 383:204] - wire _T_13635 = _GEN_1679 == 4'hb; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_13635 = _GEN_1678 == 4'hb; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_13636 = _T_10817 & _T_13635; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_11_0 = _T_13636 | _T_7796; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_13652 = _T_10833 & _T_13635; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8848,7 +8847,7 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_11_14 = _T_13860 | _T_7922; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_13876 = _T_11057 & _T_13635; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_11_15 = _T_13876 | _T_7931; // @[el2_ifu_bp_ctl.scala 383:204] - wire _T_13891 = _GEN_1679 == 4'hc; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_13891 = _GEN_1678 == 4'hc; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_13892 = _T_10817 & _T_13891; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_12_0 = _T_13892 | _T_7940; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_13908 = _T_10833 & _T_13891; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8881,7 +8880,7 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_12_14 = _T_14116 | _T_8066; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_14132 = _T_11057 & _T_13891; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_12_15 = _T_14132 | _T_8075; // @[el2_ifu_bp_ctl.scala 383:204] - wire _T_14147 = _GEN_1679 == 4'hd; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_14147 = _GEN_1678 == 4'hd; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_14148 = _T_10817 & _T_14147; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_13_0 = _T_14148 | _T_8084; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_14164 = _T_10833 & _T_14147; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8914,7 +8913,7 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_13_14 = _T_14372 | _T_8210; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_14388 = _T_11057 & _T_14147; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_13_15 = _T_14388 | _T_8219; // @[el2_ifu_bp_ctl.scala 383:204] - wire _T_14403 = _GEN_1679 == 4'he; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_14403 = _GEN_1678 == 4'he; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_14404 = _T_10817 & _T_14403; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_14_0 = _T_14404 | _T_8228; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_14420 = _T_10833 & _T_14403; // @[el2_ifu_bp_ctl.scala 383:82] @@ -8947,7 +8946,7 @@ module el2_ifu_bp_ctl( wire bht_bank_sel_0_14_14 = _T_14628 | _T_8354; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_14644 = _T_11057 & _T_14403; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_14_15 = _T_14644 | _T_8363; // @[el2_ifu_bp_ctl.scala 383:204] - wire _T_14659 = _GEN_1679 == 4'hf; // @[el2_ifu_bp_ctl.scala 383:169] + wire _T_14659 = _GEN_1678 == 4'hf; // @[el2_ifu_bp_ctl.scala 383:169] wire _T_14660 = _T_10817 & _T_14659; // @[el2_ifu_bp_ctl.scala 383:82] wire bht_bank_sel_0_15_0 = _T_14660 | _T_8372; // @[el2_ifu_bp_ctl.scala 383:204] wire _T_14676 = _T_10833 & _T_14659; // @[el2_ifu_bp_ctl.scala 383:82] @@ -9520,7 +9519,7 @@ module el2_ifu_bp_ctl( assign io_ifu_bp_valid_f = vwayhit_f & _T_344; // @[el2_ifu_bp_ctl.scala 297:21] assign io_ifu_bp_poffset_f = btb_sel_data_f[15:4]; // @[el2_ifu_bp_ctl.scala 310:23] assign io_test1 = _T_172 & _T_173; // @[el2_ifu_bp_ctl.scala 199:12] - assign io_test2 = {{254'd0}, btb_vlru_rd_f}; // @[el2_ifu_bp_ctl.scala 188:12 el2_ifu_bp_ctl.scala 220:12] + assign io_test2 = tag_match_vway1_expanded_f | _T_214; // @[el2_ifu_bp_ctl.scala 220:12] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif diff --git a/src/main/scala/ifu/el2_ifu_bp_ctl.scala b/src/main/scala/ifu/el2_ifu_bp_ctl.scala index 9741695c..0d633a25 100644 --- a/src/main/scala/ifu/el2_ifu_bp_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_bp_ctl.scala @@ -185,7 +185,7 @@ class el2_ifu_bp_ctl extends Module with el2_lib { val fetch_wrindex_dec = 1.U << btb_rd_addr_f //io.test1 := fetch_wrindex_dec val fetch_wrindex_p1_dec = 1.U << btb_rd_addr_p1_f - io.test2 := fetch_wrindex_p1_dec + //io.test2 := fetch_wrindex_p1_dec val mp_wrlru_b0 = mp_wrindex_dec & Fill(LRU_SIZE, exu_mp_valid) val vwayhit_f = Mux1H(Seq(~io.ifc_fetch_addr_f(0).asBool->wayhit_f, io.ifc_fetch_addr_f(0).asBool->Cat(wayhit_p1_f(0), wayhit_f(1)))) & Cat(eoc_mask, 1.U(1.W)) @@ -214,10 +214,10 @@ class el2_ifu_bp_ctl extends Module with el2_lib { val tag_match_vway1_expanded_f = Mux1H(Seq(~io.ifc_fetch_addr_f(0).asBool->tag_match_way1_expanded_f, io.ifc_fetch_addr_f(0).asBool->Cat(tag_match_way1_expanded_p1_f(0),tag_match_way1_expanded_f(1)))) - val way_raw = tag_match_vway1_expanded_f | (!vwayhit_f & btb_vlru_rd_f) + val way_raw = tag_match_vway1_expanded_f | (~vwayhit_f & btb_vlru_rd_f) //io.test1 := tag_match_vway1_expanded_f - io.test2 := btb_vlru_rd_f + io.test2 := way_raw btb_lru_b0_f := RegEnable(btb_lru_b0_ns, init = 0.U, (io.ifc_fetch_req_f|exu_mp_valid).asBool) diff --git a/target/scala-2.12/classes/ifu/el2_ifu_bp_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_bp_ctl.class index 2f4e85a38863fc3b255fa1dcf725cb21611789fe..b3b81bc427907f28d1d08552c186cee8b348b9ee 100644 GIT binary patch literal 175822 zcmc${2V7K1wm)9?Hr@AjQ>Y*+7zf3Gq9hR&N2dv5E1&`fOxQq!tt1JC8OO~z=bUrS zv$Hwp9NxZtoAcY8bNqi#og14=+mBs;|IbIMQ|DIr)~QpcLRa|YKi~OYA;fk$rir5T z&`ecoq_z)kU;jkk!1RbAG)=4;xR9Pojnq}8$EH)0W66=K_SA54;CjdS;LJ$M5Ft(E zkEHumRJeu+Yogen&bFz6Lwj^Bzff;F4t`oP z|GEVK0P~ZD>q|>b#V@TeL*cwU;x{$z0ly;Z;hQc$;pI!0pZ4+>x%_C%lTNYAuki9q zTziDJXQF+-0;x?mb2owxcmw)-;%#d-^*`z!>7IcBQ8I>z>|KD%dhbAPrCesmw(3P zr@j31Ey!>k}KjG!yaQSI3|NRei zK9of@H-m7VW>;icg_m!-{DhY;U4GijU*z(mx+nc&mtW!Km$>|dm%q~Gr@j0;Tz)j< zNx$6XS9tlGTzUj9y(pYZbcxcs!2f0xUThCS)Gxcmw) z|A5O+c=_!vKkeloarx1RC;c9mU*YASbomJ{|BTB|d->;Ge$?=!Kj`u+y!;C;KjGz% zxcs!2KjHGDY;X&sFf`$5=1&%`FRSqKuetn$mw&_Mr@j35KhXUUWlLO07AUMNy0T3_5cyL2dMD&01>wbsIYo~ie3Zy zrYw3>a|7v{Wueoghn7XhkgvjP(Ic)!ukc#*h{vK=L@kT13T9b!Rbb{*aU4{OewRm&-5pjEN)1UH&dF|CGz` z_43cT{OewRzsoQ6jEN&dE`OJof6?Xldii56|GJky>GDh2f^xlIA%0Uc)%z|l|8AGx zYx5U{!iF-GP0jCrVBz{2%Tm_t((qw{8d|i3!N1OywI-^Azm)m0@UDus&W`Gf9mj8M zC^%a^n6B+9i^BaaAtWd8ePA>rb{!Ek(CYGdbbZPq3@wk zXi=jrRrj{hNhKsEm%F2!wH?@aXDV(F- zF`3kk)Q@*}O}8{_OpS~TZEvIMJc!h4wGi;MWVTCn|@xuGl$rdg*lA;UijJ_g)B7ZyE}( z7(uz$A>TVU))eVR`1o{N!I`?~l4!@qno_kWP_a#m^tW}j4_BS49_-y#xi+5MfqL1x zvG7bd9BwG?O~gYjy?a+SEC@wH=VJvMOUs(IaI@6bo~}KAbVK>I_EVTPx8*g(lXamX z$o2B7rv2fEs#e`SyOUvs3m43u!wOvbZ26Cw6}WrP}fRhtYr7lz|A_NDb#cmawsTV ztA%bxqD@;*)t*n+R$gl_-CeWq;El-mipHhk=)GpBsYx>yrpuB^Ek9haq%hf3Ki*bb zd0^`)h;eagXdIu`kH;Q zVw87#I(2ig+0@(=weuf~M7s+58qaq(sdO%$EvhsoN_Iz=6dWtF${(%LWa`j@8~YcY zX*}OiTe)-L>FUwGbCny%Pwp8gtSC)1C2N}X#o@BTv)b~+(v?@`>V_-(mJgm7UsZp; zcd2X`JGpcG(9On81))gZ>Znr>M?KnFb8PSgg@Bb^rogIhEZ6!Zh1r9zNX@n1*hr<(>Fsq7o4k} z0j_kjh3n`!O&;p6yjHn#*ZD)MTQ)94`n5KW)3U}+U&)8$XSnh!Rq|`Nyl=yF+t%fG zLN2Mbj$XtgLzj==IKJR)^*}nZ$ADbd*mCWUTt7;3wd6J2y<4_H5A&Mre4Az2x)s~6 z?2DmXr|W0-b;*Wp%Xgmdp!}n5{u{~eKtB6o<(o!J8v9eLE8E6Pwgbodb#DE{YN~b#v}jgfE@kbi88eVhX2k%}8&}@pZ?MUdI|eR@h89cHc8oS-7rs{mn~DqQ}=J z&>++KXpnao+_@ADve&p;bUA)>=L8!5@HQ>hT-bHb(8ZqWrfAXPE0sNE$BeZocc@{g zdE1REooDLKcSL&Q+fLPt?AtqiVzgv?S!jKsfd-uFJ_v)WMFx&`-Ppf%1q`kp(;S0w zvTiE1xA@w~>RNqWVPyjv#^|M+TQ(j(yK`#axng5|JUWn$-8kN{YWGCSGT7rWS=LeEkQF=aAgmRWP_U}6B>apa_x{`u3^{G(3 z-yaWOR)+F)(~S+I+<;4xEp^u~-Mj>YQajPH90RA-h58cP5I3!C+vpnJ%WYly;$*WG z{v_IIt&H0SeWbT$v{#Qg9Z37xx|z^cJO9Nh-Nkmgo8_{&oleP){&@JPjq6HP@16QG zI~>(d!tGEnuscwt8FojI?(Qr%*K1D4Fmt%e?O^1&?KAOkubuAFg5`Bnu6)$MqRf>~ zep^-Vj-j&=M?OoTmm`OE=PlO4bwh18*B#gfgPnpNQb!zWEjxjM1`Px@bgU{pKS~{L zLMwJU6m zS|b(yfsNJf#$$Pl%OXDf$G5GhOZ6^QxR&{ForJ+J8a#paHV_XN`qM)_Oi;Ny{P{b2 zm-h3~0c>1&u6ihS@P=ylq0#K$<6+;xX!!72mcx3b2i^gdlJf%94q+dZT|v8BrP|%@ z)V@f08wO}-XV;V70VK8Gty+`^h9_%M2@L$WJ!;Ax!5%36*w=&(^^{iRPk&eKzU7c( zi0s1(Of0I0LluQ>hkL8157pivyR@KVY5C5A)78`INbvy-81z-87&w&HpSR>d?S5^? z*_!dTwYHsHadpAUhKs`+ZVZnpyH{M&ai%tH+sn4SgKgVR@4nc#Tcy9U^&spP(zEJ& zu&;J-+1T0oiL*CtyEA8etDMP<{FR+N-?n#p7nHO>)M7bq0D!`P7hJYhjY> zCG^A4KeY2|^i!~JB>%Bynn+Q9!~I|JtoYnsmIU&lFB^}_tD`3y`l+6|pJsin2`Z&8~h-l-e*}(d#qfCGXv0EJC|VU_wLsS(ovB!2A7_wju*(?Y^ZgW>x9V z)S)8P&Q@i7ukn0W?I<*p4Ik@;X3mo5(B&pMyx>$_%F?UV8Toi|SnV}_8TFIy?!ve% z9!CAOEW=K}JmrqBIwBRGaaWx?{-OGh zxZ|F_o7B$g`qL50H=o9J8@87297*1J!x?XpoU7b%PS0ow>~4`n|7ne*JmauKZhBU^ z$`p>#%yHOqc~p%TPZej3-|CF@n!+)3n8tnk-EklEd8x9~t7*IlyKRpbNe;WxXwQ45 zx_6hKDCn!kI7wb!iwWuY!FH;L>-&l-8(_DKtoD5^#S_l+=nt30!)%vER`0eZ=;%N7 zSiJdEooY9S&(=fVS};K_RpHAbnc<-aoW6v;xpH__!xT-}Hfjd!QsbtDD|gdGG;e)8 ze3iz%+g4!W8abLA$2e9klt8}gYl^Xu(0Cc+-;<*yd-{7fK)+9E#WX&wT)hk9-pZ@( z=W0f~S#K9Q<)}rxlG>Wkoi!)8Jr^J7JWCT%eQ}vvuF(`0Fwp-EB*TT4{j+dUdoC?4 z3*D*oh{A0s=%`Nj)s`>Q!lWky8>?vIdT%rOue!2OMa51m2vNB(k=5j-&dRGa@uzaF zj~iEpm#PUn**WB=E%baR`C zgE}JDRr!aP4qEdU!X2h@F536*{_)6B4da5DfqOaKQhVIfwFmv`c7284kNJR-1LPLk zS9+m5ZJ4Ws*(%VZPHlOP{8p2q^fdQHiV+W*ucWsm%RYDcYWX@PI& zRNGSOk1>u$J;lSdX^bnbcAl*rau!@L4{IvL0?aAdhH)tL9`hdP|7c`z*#gzym#!s0 zjVrc!=7${-*o`1Q(rc#lX4{i^Fh6f`JVLlK=ZV}eRYhAM$Bg->HC}<8r1ET1_V8Z6 zoy5GE?UQF-N_MhBrMHLd@iNq(Z6}9A$JD(0>a22N-mjmopH3s4s@^^NlCt)Og{v`N zjqE{t%ESDN`GxV7ZAu?7PuExN9zuCaM$c)nXfz|3v&C%S2m)&i#MX* z#{%;`!yTtceuN|6zwmsjZVC&XC1Wdh>s!}vNBds6spWHP#SeNw9&YKtVws+KoS@7r5>U?Jw8l+QSR zNNKKx{Ww=Mb(j|DPH9U5`OwdWiIu?FI1N20L%hqEI$NLzc~iqTL)R9Z)yz~U7P?pN zDhsVD+=&IpiQXcqHJ#mk{wU@rX!p@nXC?HgcJ$Ek!lQ*nQ6qoZmWqSPT?>GBz24M( zAb(wc;o8#;W0x==#19Jj(Ip-AHAl33HNQDkJJY>)dKtpC=dV~?wstYbVg1mzV|9~Q zm)cpid}n`aZ6)d@4|ZqewaOJcA%}az%}vew^S3O%UZsU?KCNTXd`#&#tz(g$R|}Zb z4#=LJLw%g9xe(f_!d*+z`~u})M)nfp1RlR=1=Js)-&gBSDBogxA=|P~7$=mU+!~MB z>kr(XXq?dnoSN!~t{gsF4f}`wa80Sc#!i3fv>N{=(L`-Ll~{mAKUuPo`!6?MANoZH zr&_1bm7OP?G{2>OqrM$Kj5G}G+q<3D!>|Bu&+9u+RjctI)@MVhe6f^# zRN39}gP4!5Tn;(F?os+nr#Bi{2PJ#%$e}}9;PYc%Q)-8&abM|j^gC93_M<*9{wk^O zA6{G8hWfzxpn2Kos`{Y>+DjAt@Um7mEIf_z@VVk;@x0n8j3@XzucItc{fRTb=X7ZN zzeU+oXP%#K?rc&0GWuQBKREMvJAIy?lm@3~jo0(Yt~YKPSL*_K#TZ|B{rEx6u;obW zGn+PI9AU{-`5`YQ9~ytU>k-tCI5;tG$2tT0F9)B!&OrU96OZx4J>zHJIwsa3`;M2F zB(s0Vde{PY-0t>MG~cTwJ5d$S{vCFtP|1tN+awn?AE;bg)_+%F!IG`VjxKJ|%1SGG zE7op^+!2X7{W9i>+>WrWrS!v^_g5`%SQ;)}uW`Gr>(#<}5xMl(vBEA(ZYm$FtE{y9 zOX`2!`NqhZM*I*}Q>usaLhVcM>^a(raX-mT#dG>$vXf=lR$Xgvt8TqEF_IogPdAT` zPNYXthbN}f<6~3hesA+ga%##D`Pf69J)R*7G_g1!vL=?;F(;A(my*M&@}}|ek#a*U z(!|1yPlhPMW^DC6Al|XW*fioTK@de^zjsFb^5dxigecKO9#NVo&kQg$IX+71r;=km zc4si|?2rR|%1^CWm9eqs) zdivTA<0pNw#Ov)#bau9O^&LQhe*WQOJ>a(=)xlO>9{_+;nutMz zF7=I%rTT_Yj}BncOM=^fVY+WHJxL!I4WK%qt;Y ze{$?nb>HM*-(Ye&*>^R0y_!-8{!~MsR?HD=BFopBKK^Q^#s~UFlT()Q1$A^ZdQqz!z#h4gCt>jxC9I_a3KTT4&sP*Y0 z4rSPJkcS%wM?O5sO%KCkCr6UfM1hq8_`zNdWt|+jJZS=&V1ZQ&{sTX_0 zx)rp<%N|V+LJ3tOMP9xwoaJ8T)O2!k+FR9xH(lM=4_z3(z*Sv9(ncjK6sW|7L#gS3 z3+&~pS>z4DtSGT?9)@bYfFp2TNl+v! z8$!P@O_A4R`OFC{U?eEabaI%Y6#5t(sF-=sA0M=30c?&?;5M$lK^PLJl3C=GkFAl! zfwzdnJTx*hb)j!4jk7`=jWCTSNwx^an(eey>IBeI7x}`dR0I$!BRd_%uJNX`05yAcGL3Ry>qA>`l)%Tcl)&a89~CrT#bwh2`*6iASrIX3 zCSVCuYtk}#{itHCsN9%Dts9X3w!N;aN z#t>SKES=QgW$CC0S11DxvD~dK!tbdrL^r_cn@nCsr$qL4ER}>6TR>S-V^($^p`v7` zAXEycj4`#SVO7Jn7q*q)*v5mbEBWouu&?ATq@HJJd~zU#76}VUynNy*(@nm`Qqw86o6va0|YGj-5;< zYHu_(IgB=?JgNiWmahDUGOJW?k^T_~n955PJe2I4fIYA}+o9n;3`(Y^FifEkB{*j| zJw6RPVOcot$mr9O^biuU4RUI-Z(=e%P8|=dHTeem(n_dHkOb8t?ENr`!Cw*IM4_ho z#%IuT6*$HQgHhO;RbKxd$D_s!d+~iSlAN6CQ#ETPiv$g@CScf5K%&Mcn8k{1PEMu9 zlKmqoO>DFdIg*XVAxGH%s`TjS%rt$iN>JlW4R)t4BcF!cLb?c*tt5noDqI@O4qI*+Xr@vgl~CthX>5(MU z9v@N~4^<+CRtX@o>#3!7#9t_PeDiwoH3}pbl2aE_FeU|RT%kN_P*6-U(Oy+|&Vfjg zmIbi6@z`lo1W#7vMOFpgct~fF*Ut$oCrSAmABlstF8E^&e^iN4OcDYM`cb)R1J43( zpu!1Q@jhefuXqKNca{aX# z-)q&J&i!7O@x4xcHz`|2u%PK!qP?XrexL~z)`JR*S&Wm3?P!{gr>`^KlQ@p=V0HH$ z>FOcj?T;U8??DHo>O9`u+}ho(WP>(8iwCLB;=vfq;?)K4fMiy<`T*XJ0A2&S9WTDt z&UjOMD-Z^7fiZv!lmT4e4B!H302f#TxIi1g1zulSJL27kGUZ9UOnDM7Q=Y`jlqc~r z1V1}X>GTqzL+IJ$+LamEAstzm;C(zp5 zYzN6#k+^E0V#>GQ8-vr}Ho|#w8fvivKmdZ%=xS|C9PYFsb;nz}99pfGa6$@)1-_uA zBi`3^Y`<*`V(jnkOQ69@+d~>pd8n}6eQ46?0Qbkcd(d#Pl+tzVNKao^YfGZ5wHYm< zAkiFeKG51%OF{y+MV8+qvjtuj87Ut_t;~2sSd#N5)ZKR?4or*fV6AbF$#Vh{mnBwc z1ypjiEMKvg$Kv&7?X7~C6%^Ix7SOJ;BI~2S(kv#YOJ$T6r@gbU8*5B9NL7mf3P~-r zLJ$kZqk6SChdbL*T02TNR{s0iA%8aj8#EgU*3x=lskrENEV9H_$!)O_%PqKFal|D- z*p&h(N8-(g6vMCkD3Z;f5+Zxs>!uoIrfMH34g%P6V;`4L6&~L>o~7j~v`5Q1DV7CC zb~IiEWsTPkLhISDv_6*De+)Wq>9p;!blUbRoi0ptLZ>rqc_xo+PbQCSPbRM}fJe3` zGaT8TOdi>uOdi>CFVD5*v$PGswV`~AW>Lf}A4{Sq(LrnLt!+rZ6 z8Rx1Wp&BbZ9Qz_44v(>I=oB*S^-2Iar zX~r^2H|Caxb`NYq|M>Xy)bwO>LK9E)BFOS%i+4bdWU;{D<@h4@T%Sl~|LBEpMYRDo zO6^|lW=y-GJz!x(dmw%TOHNFTplIbN^sP#V$Pd;YQY3`-P+C>NQk~Quu3e?n9)TYb zGNNMvBH1-F)&ohE8``6w@g0X-jFP(@g5;abQgYsHf!rmy}<&cn$59L2VfJ*^3ZfD|1k@TRbecH#EFfXi&35!=`&f!)t{GH9It{y*D(xR%lSO zL!%$?hKAP)4Qh61^bp?A@LHik%?`cO9~xdOG^p93clkrZYlQ~2nCgI=fo(I%x46Uej zwSvfi2&j7dSL%K&Wt-YRGO~2lq&84ZYM~1YUFlDzE<^abo{@^HI}|JY^YNDqp#G$Xz7tN5{o5?hv$n5$oPf%;XKp;OB1MHbs73aemg>-e${2@7y0c7 zf%;Vko$(3SRVVrsAP}gBFM_K%b%B~wm!Uc2w;=^;PF;rPkl&6Fs5#rA30_F#w;~WZ zyA0b=)xHR>7SN}F2&hh91h=NQ2iElVjG89D4Jojuw`bHe`RxdSHN73x8OFt;(>11k zR|Yz_(kWCxaWX1>yIbi*21LlH^zCk?6B!U8qtds#l}=xuX zP5r39{Pf9^9gzWXGwQ$It$!i|B4pHmy<7i821LlH|9ZFni42H6TjBeqsH< zZt8s*WpnkSK2R^}QR7}!CBH3$jk|l2@VhyFf=W-(FT19WUrv2#xq3pe0!opg zC-ttL5E&35Lr>~mJs~n6LWZ8yyLv+8>! z3y}d4NCnaZN=G4+$7JN^D#(sN1=*3IAmq1;7^omSG8BaTc7#9$*#RwxC9y~0N~&*Q z8e{ppt|iOb@NQ6$#0d4$k{pUgu3`5xSB+t0wO>)d=Xr&*%_!c zJD~=cuc^Lh!rr}P>YvX@#?>2&5Rfla!I!VAB|8JPWG7X-m9J;}6IAxA87aA{qTD=136gp*o| zQv1FS)4u(}Oco-PvY3`5@yRRLm`NKhvFp(}WZ*Cnb~Puy2EE7R6>MT3PFV==*mQ7K zbK>7Dlm^zKne4qq5O*7w+5zTB%-IHM(r?uKQ!?Es9 z+Z;Y6nv5)Es5(?bML`FKN=gk3VBhAz_+SdUJ4k8+Nx4ka=?<=c*m8h!zx2_tm{iC~ z02~D0&B0NjR&XolHsz^xjbx}`#Y!KRj+9)TpLN0cVPvbYVzH+tq#NJ5QSDw0zHnqvOd9bnKxF$~f zdH+G9PpOv$LbLZ7GOD~yiHhS=e1pq#Sc-2lbySLPG1aZgd`gOMi@T`I2>l)Ya#D)# zGKH_-W9p0)-)HKa6hC0I3w_!(1U zQv95$2`PTT)T9)@WNKQ9UvYX@r1&*c*QEFjQ+G@8TMlGWAp`{>9YO zr6!nqChlBk>e*7$nR>3&LY(sRrIyFk3#Arj>cvuvF!fTY8BD!gY9>>!lvVtEn+P9ORbQ3@03~*Q}34AVy50JwPL2; zFSR91eNbvknfkEQmNE5Fsg*GGajBJZ%Ab_la^`(nYAcxftkhOA^?9kSV(N=hTg}v$ zrM8BtuSo3#yorM6vBc|vOSOzBeFp;F1qlUf7wB2wGQlqt1cOvR+On<*)^Mvk#SYI~SkB(*#F zD=s|P%T%${_A#|oYIiYJqFVMrsl~M>wCubUQfuZftEAS#)EcR^GF2wE{YZEpRn7> z2SYbgcS@~?seMvA##CHt$C<*t%_o>@mD)+B+N9RY6rQy>#nd6Goo1>-YG;@_EVZ*t z9hKTSrn;rp$J8;YooDKV)RIimW$sL!mf8SQXQejCRG-vROeLi@#MFS)hM7u9?E+K7 zQcE+1=QS=ebxCTMm>QMZ2vg%y8)fRU)W(>alG->^Gg6yi>Z;T(Glfg#Cz-lOYEw+z zE468+9w4DS;6IHu4X`6md!R#W>1yQ9&oBDG|jrRKI5R8rhF@YI2*5n?vHus=)xd*jGl}oYe zb5C_g?m-(k=;m9<)!L8ye+g{KHn)^5>E;&Ll5cK-EeYoq*phK>fh{TL7TA*Wtty)K z?pEhkdlWQB{iUEe>MsS&QGY3Dj`~YMbJSl7nxpLG6~q~&%vlNU`5sOysJrE(Jl3%v4i?m3*(ZJyEgU9j_+*En-QXN5 zu#()fLmX&ZfSS#5q=+K~6~j6mQ>#uIaj>Auw}LqbkT_(J&Ur)HBZpgsvxg2rhRd5C z*Na-qxdljdxQRgqnNB-V&zYxNm)$-J#UZm`RZUZ!6XKvjBSi~=3d&6-2O(s(`5=X} z5~fzK1eK-Iy)C{XK$)zha%%HdST2fP??K9L1yo14ZYgtR8|Y*ghYV`4R(ceY4t#OI zp!Q=0q_a=A%-1;{cFW}4QEe6pdJdD_GHW_I=Fy!j+Djq*s*|OzDX^_!S(>ADP>oRr zhogSX-`@pSvmMS>hG6;Fq5K62vhV6xkK2O=S22?FYW19fEM-tFBv`AE5@T|+oKqed zUD%xHUEP}#*sXdw=ae2-QQoG5G%24mM^`-qZH05Jk5db*NGnYC&dGBKkdq2DkC|r! za}Szj4C*}%M~eqp#-N!&vy4GAgJv0nW(LhN2F(nbWel1bG|L>y8}ycQsM&$D%%Nrn z&N7FZ9oUj@pbhir0%OiHhnk&omO0eyz**){vjb?kIDl=$~`b(+isJ|36 zNByOsIqEM3%~5|TXpZ_zL9@tp))`W^3#$W$BslO%M@u?;QhGP|>m#B$Ie z>A5FmIZV)i!gqj{g9R=5+2?ArC*&Nr&5p_2E6$af+ttm5+C8JI>NChJ1svsNWI>hh zKjF*af_h@-;4cRWs&UVG;JGE?9uuA$lmGNEhpWZTCVLA_jyH`;Q7vlli&PjJNQyks ztqVt`s1~pEI7#2At??q)geVYQ}}hhgDLzv-^oim6LdoMviN ziZe`&OL3N|%Tkr#&(u{Zl1yEfqMxaIq!?i8UMU8ddVmxurXD245K|A4 zVwkCiNpXRxM@W%o>QPc$Wa=?eTw>~RQj9S51Sv+DdXf}lOg%-4ai*Ro#ROB&@K?0j zJtM_sF-aBuY$>Lgdae}HOg&$U8Kzz+#T8EE#Zp{l>ZMX#W9sEnTxaT)QrykdtEISy zsn<$zgQ?d`aW7MEl;S2+ZZejXhpC@S@m!{UDaG@c`n43#XX>|7ynw0SOYuUc{wT$ZnEJC6FJ|hm zQoMwzzf18_&i9{EyiD;TLW-9&rAzS&rt+kCB~uY8Ud5Ct#j91^NKA^?FeRmUEmI4m zcpZmZB*p8QDw5(2Oci6xl*i5-SGNZ03Z%)K?{0Bz95!+7$~CNu%-WDA#oNXGgbZ7==8=k1zISpe8>M(R zm#;#K_b|0ZiuW?LRf_j9RVBsynW~ZE15DLP@j<5QrT7q24N`oVsa;Zhgege#qfEh= ze~c*@^N%wHWBv)IV9Y^()*=&iF?b zUD%vBIf&1-;j$QX6=%j4sH@$FX2#0LQdjBzlJZSK`?@m*1lUDh&bz=D*#m{H)Nm)= zylzAWk*PC&u-Vwv@|eg_G?I#-pM7O`H)Wqj4`fHTZ>TpS*x~C&x7jNCXf!es!EVU) z*v;o;V25z7v9~VNM=9@!OvDfayAriyGBQP(u8B-zGoziUGf9L{ls%}NL zr^ix8SUTJ`%LCFmgR|cPw%>au4?5+iB17w1E-17h4t` z^mBw##eG0Dax?Nkwy6y@?`WtR?lGZl-QpdjXWjtG#m~~kMiRb zbivIAZ`E6u`gpHju~;(ljL0)%i2tmdw2XvlM4p3<{yfE?-Y_JNokpN$YewIb~3iPu~y^1#D zj}r>)IX~xuP-!^2@SlS8n#gMj((7O!T#cZMj?;LWA_s-ARBEv;>zV2FNL9zowVDGk zT5rNB3Sr{n5zVBkw>>a&$feU_{(pPZ>Q8`{2nVBjdo=o9R_{nngc(}6(_gt&A-TR5G!gww*a*T#o z2>|YEk*~*4%a|s-F|w2z+P852%dSFn??&W18K%*(t>249z8m?zI%^Z8m?+0Ac3Wz! zXEJ#uHK`76LjfKf`C$y&i8E%UvjQhjLOS1VM1HK!Hq9BpBQXcuPh)5^Kg%@pH~_fJ zE}Uv*7$3BbQ6|vRUjl@qZ5dycuKqe2`BmgMQoJ*=mL&B%H2PVXg6RrS4@` z4Uq)?lppzHUONB5Zm?OQNGy1#dTNCugi2O4a`BwyO&~wH^mE3{v_bG!oyLU9S zSmaj*u2@;`7__->)h@>f|Glb_8exp^nZocyk_CpTQU82qSZS|==-+O>+%p(aBZlT{ zIIUc6Sp&aZi~i3V-b1*qmWDpD&Q89Q&*0Yy~w7 z3+pU-CVh0R3K|73bCkF8C#;pW}_0*LdLgE6GuIVN&iD? z6Zwo$O=^Rx_zdTRY3iM7XkkEX-((7+h$N?|Fw)pX!PXeNrLjJ8)#*XsXLTo;AC(dvj~d5}6Etcbo96BpLqa-sm5@fSaSHvfaT+VoTx(}B z@uOQXN8J9in#S0q(OC?77ag%|lt!O%K8DQIeQq~!KR z)-{{$$Vh$18Rl0v>47Y8JkJZqq!?;o;G~+5NJxhHjoCiaofEWha z#|Uq+j>lH*o~UuRaf3`?a;#}4Ju=tsGWhTW=~M)*-hgCF(iU1xOH`F zV8%)-4dcPEyQ6ezYr758E%0om4$LuVcw#)%cv#GMi1F~O?H}fTZ?O1n=?6l01q_S$ zEpD5S&f27E-6n-eXTSvj36Iic40&$}!Fa6kIBIH-heAC;6OCRy|39X+$aoU;B^aSC z61RRxA)iu&oS%x}>1=y~cR+INhSd;Bb;Gd8=!R>t?8@E9v$0^x)N|*|198^Ok-IDQ z`B*Y*znvy4mL69fL3|~>RB;!q3w&kJLThKGjG9s9Jx2G?q4C8J2#(fd| z?(t;~d_N}Bh+uaePszQyQ-` zUQhM&0SM}Y)Om!kW~CYYyyd|B_OO5@kz)iLmYgG~ycP>;WZ5eTeoF7h8@V!iQ4 zJbjwLNY!(LifUHwn2HCr#-EM9P?~==1uAHpJ$iDWW__X6F#ds&C4%4%2N*-Q?q;vK zSfif6e)V6b$T$9JVt}^5&Yw447-k6P_f=@eLFveduIVDTHJ88u@u(=_um>&hLSTQw6JJyFgId;Wp0AjII3t*$tW{5NHaG_ zMWKlU)DNclSE0ETw+h*n;Z4slt72w#g^K33j&5FGT9` zV~lv#nL7w0CY>5)E@hs=IGQ+=o}8Q>sj_02yG+bU*P56tRk?vkQ=CF$i? zT;km~ZJ7ON>Z9qY326?(Xqy9O3VV^1`8J1X1?VNTrch`K9BqF!7jguvQP!Kl(j4PR zgHsl9_gVq6`<6@SuvEPs5QDRAQc-Td*1NS9vU zl;)H9>r+gDdV3##eL9LX%{LH9^O+prS*E}-{SR`0=bGZU)IP=@{6G}J`Xw&bk>-my z@QY1>ll-6L?=M5U^-3JC0@KUTmcU;D0jQhzr1>g}{7UoHrZ_3J&vE3}5eMLK9l#fv z^CnZAlG=Z>=dGxGq^I5hmgaq&z}ro6T54b85bp#!eh^Wb@8<9CF~u3FeUrbxpE7`K zaJRsBnDb#+DWu5{4O=PG+6=;d%oOLO_I(cbNmF2}R|~#C)jxx+SxkKnTez6|f{eU| z77rh7eu=c^-PpIrAFzSv6jRv1)6Ue_u*-`n+_mvz&f!}!6w&`q$$!Tb=cV=wW`Cd7 zf@H zNLR?CVX6Ivd4|;g#=NL0(x$j5wZHS10_-$X$we1pFCJ5cQrGzFV(g-0-V#$>l6r*M z*pYLQ*~_IKW!_3tjF@876l2(z#~;f~F)ncd2pObkxhW>3UdWz}QeVmxw!DmT=q*xT z!Mv?l^fkYP4Tk)o8ao`7J&ac2FqZ_8U z*AzFUzM0`&#Ev@tJ|gw4%!9!1W!{7-9w7B?!l(Oj>HFXcmyu8J8AVJ&HnVrNVyTk0!Xpb)w>^Pj2V4sH2RR}!_d#` zKZ}8aVSK36cQBGiW0N4K{8*{)V&3DiU66TC#9mRRo{TLV)P=5zKGhTt!(KmTKSSz! zI1)_a!?8h-+0VrmKo;@yP4Niq7G(B|Oz}u;7-Y{&|FgfGb3bqXD*AGmmneQEe*|aq zDpNd4>iZbvYq9x|*{{dmO{U&x3J76@J#R4uc6C0IJ@;XMEK~QJ;<4DR$ewp$J10}` zVa*$#?2^&x#?UOdLk9jMQ#=_PD7n^tBK6Z8>1U=uhx1fysN{ryWs0Yn;_0S%hAEzj z{j|*e1GbAY^(U#HNBsmyJx@7)gOjnX z>L;?;HdDOB6fea^W?Z;hQ@jk@J~?sx=JGP8ur=_dO6IX$rg*v3AI$0RF$Gk3g2P~2 z;47GlOZ^cXpjqmVW(uR$m-E*)sXu{v38_DsDU4QM=`k5s)6*B4@kll%sxjD2*JQXK z$YjKhL}Q0zN2UHWPNf?r%C~xVZVi>M^HU+4Z?Og$GXzv)-9`7Q7b1^{dllrq6Vp8faU}`|>FJ&qv^;a>4KKg|$ zq_osu&%8_6najLUsq+vshTidh{(4#J?_vsl)r&bC%r*^#QD&I!S4sW-8~~lg)42}O zIbgu{8f?+!U=K9KYo-1n4)zeKf0U_*N&OQ{JwocAX6jLR;yQXpt~!uV?nNunU-jK1YUDhVlvV^GxvuPc6~}4?6HdjD(zS zL-ADgzc?CuQS2p{#ya2ZX&m-MsL5XJWl>QYdpZ5&oNxmDXIAl?(`&LQa71h`qj5G=KjZphH@$8QmDQt~ZKe5E#QY6e6 zM&!jotD{EjK27x6>wTW3d3v7RDZewdowY$S`#wNg294d1?J2Q$NS)^fF?3qbWR-Xi zHoY_TK5PePh53Lf-XisHuqu7n6mOOKx7mY1{@Y9ewC?Yp?mj?wT^tXk1+0vEro~pn zb?4=@4ACfKpNf5&g!vf=^Ru}c5Ek&~i$pYr{_*WtC333DdY!(!=HG$FLy6dzWB*0a zz5;0fT_j?Z7v@iXwWzc6k+H9H@NXdaH;Y6*1%J2HzsF+yt|=h*_pr2a*x(pbKf=ix zrhbgmHcb5#XKa}IIZoCv^-G+#Vd~e|n$Fa3P4QkFq+!n=Oo7^bAAA063JgO~rys|Z2c7O2rqJnr1m||xW10d3mR|N?+3aId|Dh^M{sI|_ zDU*_qwXu)m=naQiY>H2q0@J`xVG}#EN=)%->|Di-40HB zfOA!IZuR!=mHg`b8t77fE%K?G>&G8oltuaVMPfm07MOY)jLhH3Y3@RryNkra*Z^WJ^I$p6;yi;a&lZU#B+iV$Zr_rBo&zTlxW7m&4G8R7oct6A z#@>kh;Uck&0!KztW5d%IWd22rI*p&_V^fJR^YP1jjF#-N(RwJ2MK<3uv%O4zT;`AG zPheF(|1wr8C&sY@6z`*sS-(kW;&{M9`RuML>yHQ6sW@waQ|3?QPZQJ`ECkn}1$%+eyLNCLykFd}SZ^}o6PVcj^kFd}KYwRN|^pG0+2n)TE#y-MAPoS}n zu+XDs>?17nVj24g3%yLnKEguJj>?17nSQGmQ3%$g|KEguJEU}NU&>Kq1M}$rfA+e9J(1S

?17nNDTW33%vltKEguJyReV2(AzD_ zM}$rfv#^h_(5ox#BP{fI3i}8Py^O*>!a}c`u#d3ND<J7}LJx?r z4@9AB8S#S}{hVpY&6u5?c5JK~F&nZ{F@1vtLvE8sxv?Hyn?ym1JSNnhFdIjWF8W+2 zji(#WAgW#(&u3nPj65dtSmN!Hk;g}#Kos`IzajEQqVANDUof>#8hK2`rBTdOvow|& zB^0hz8mpLUlg1rPVf*1arVdHtk;bDaT!%DX!PH@C{1<;cDvhr))h&(xVd@y>R^@}K zDQo9miudQ?C(s6OM`Z25v-kI<202(zPlJI2OU>@sIcSTUg&})2@sxn%u>MCXm4 z0P~vFa|;D(cS1*UqKAF}q)9)H*mcf~_Tw>b<`lJK%f-lXJoQ0-i@Aske#CybdkU*v zi7{-~Q@?W38{RQKv4>S?q*{uf=IM3y<7xGNc6@AXd|GYeK)^*%Uw&Dg(*$c_H*!oS z#zugJX0-SbM{xjOlnGtr3A7JfTV6z0HDE_h5#7n4T-?Z@T-?W?T-?T>T-?Q=T-?N< zT-?K;T-?H-T-?E+T-?B*T-?8)T-?5(+_-2`ZnNd$k_F{&wcP!d+h(~3EH`1f2Q3%Z zDyVqvmfK;uotAspa&ei03Wuu{l#7cLl#6Q=l#5Fgl#44Al#2@#lzYN*ae0FBrZ&;=%;w;<^Oo;<5zgCM_2iB`E)Z<>HbAw$7{=Yeu@pj_N=pj_NJG+El@6QEl@7*EKn|PEKn}) zD^Mq+hR$sX|sjpm|(^oD|=_~gG zmWvbm%KstD#p!(I|A^&&)N(&&xgWROPgw3JEf?qURlHAI?q@9bvzGfg%f%^tA6}fr z_xW)K-tm74<-yr=$NyjO<3PFN|8My5RE*>Q8vI|k?ASLf_nVgcEzAA3xJ%*0qbkb9 zlPb!^gDT4XzUBVFa`BXk;{V9<;~5p@$0I7r#S<#Z{i)^t%yNHjxxcX7Us^7nO;O?T zXo_<2WQuZsYkmKn<^JAs|6sX)wA?>g?w>99FP8gP%f<63Dm^@oqFg+UqFg+TqTGM6 zOOK+EAKT8@)h#!~>Cj^+>U-F7BbIAeE*?Qq-|+;Ba$}a8Z@JQP3oLhm<>J{B6&{bC zC>KwjD7VOR7h7(zFZr6&{b8C>Kwf zC>IZ!D0iLZmRs(6%iUnP8!Z=)n5gi0!bG{7E&dkEt+d>&mb=Yz@ob3-k4HmTUFv^i+xZj)zK=YxVE+NQv@W{X0ESqWpNCM7emJM7dV~P7jkPKb|E~E*>RO zE*>LMZnNcYvD{Y6-EX;VmWu~SRCqi;qTGX)d&qLzEw{sRJ1rMaj;Qc>a74LyZbZ3V zmfLN)J(hdSa*tc?3ClfcxxJQq%5qOz?itHHYq{qv7f*_){P3WNa`Bvqa`BjmatADT z&~j6jJ7l@TmWu~ORCqieqTGv?d&zP~EO*p$$1E35hN$p(Fhsd{E=0MLmOEv+)0R6! zu0ANDv}q1=g#m=VfpH-1$KLu*?O{T+Z`pjG_Q;OPkBV^R8{e!we)D4OiCgZ|o_e45 z?EAFmcWl{Qd7t*;6P0g7gpeG>-+ZC{Llha>4MTgCOo0)>s8WanatMF#K+0jUNqdR* zQgHLdTJ2@p%h4wxH|?7C3L)e$=&+_;6N>=q)wdZ`!UyU#4ye~=1BH!sw^dRHeV|_N zfOdo0e;Wysf3aZ@)>a7l_w`Bu$-)#of;RE${2h{!9Kw;P9 zZIx7~57avyQ18kH>fN^))L|c}_c);5n+??aZZoJOK2Yy>Kz$$^C~Qp4wfa6hALDt{ z2kIjZsE=j?g$=T~f|{2_@A85AxC81F*+6|VcTk_6kED+IKz+sm_1SEoun8nrNzDt? zaUZD9JD|Rh4HPyU=L+h}^O4jEAE^IwKz$_}C~R)X71X>Wbik>U-HhVMBYapnf$3dd1$1f|VOQulf#r5g??GaD$JhshDt z{3PWE6>~u4X9I;pIJtsaFrVssuuoD89Z-w1fx=;+TtO|K52%OvKovWnmSh99G$t4!qq~P*3)O+T?($$OdY2&Y-k;jXdAv1GU8gRhbPG z&e`QCsri9=vk%lZ2UJxyP&nmxTS2|W2dc&aRhtbI4jkTAP;d2t+U|g=&jxD8Z3gvT zAE*Wg)Xr?6aQN}IO6q++P`e#ajoCo$$r;rAhAtoQfx6QHwKp56eYt~*&!AE;Ib)c$OsaLn_zg8H%#)By)nA{(fKw;9w|e4q|FpxU#6!g<%* zDyjeWf$DTX9nJ;{2W)c$rFYFo(ZAsX)$M@l$p#ANdUFLeuR-3oe4vgypiX21g(Jo} zf|_54{2d>tUI)~vY@l$2`L=@ko)6R+2h`bYpl~`mM^O6t`RLRyeV~#KsQzrAa6CI# zQ1j9$Kd3dT-s0TWr9+V9fu58G)`W`x;>RaxszK1!W9-a*p zu8GJM)O;+uw#oyY zdq=LI<|QdVsHZrfo|+95E(6IG)HCK&eRudI^-KrUv$BE0^&h!{dhUEct@VL=o&)On z*+9J@cTg{y52$hzQZJtmsP#TjuW&%UG8-t|&yp*s*USgh1|O)` zI-p*c4HPbj$raQa=L2e^50tZeQO~w}k#4BT71Z121FFIY>OMzOZ_g$vT$Yn7sQHZ1 zH9x3#IH2B{4HWL}$raRl<|C=iK1sdT0rkFYpm0}Ej-ci>anP!Kpg!P$`d~ItxS8m- zg4*r_^-Fxq_P4aM%y(lMbj)Wdnt)qjCi` zuTg>@l(TzL&$fG!uCU4#)EDN{`gZtq>Whw~zLZT;xFst`Q1fejyL_OW-HUp*-HUWJ zQ;wkY|Cx`Z?(%{9nj@*NXOq-7atAdpMc?lO^-TxVx3Yoy_H72$<^%N|2h?}7fx^{J zIac4i_PS`kZMypR9Z)~W1`3xn?dpW|E*o!T+BLtBvvWo(AFQQ;I-h4b1WJHxHWY#aVpsSLLV*U!%2>}J4DAgTOG|K~TpwPs>~q9Ih*>OmfpWyM4&4UBv`x5#)UBOvFqxkbjq8Uc~}a*K>tRstd?bBp}LKxq%;78#Gv1mv8` zE%N^c<~*ER-7Wrp^k;ik3{PV!bmvf8!i@?ZJxkdhE zVC0$HBL6C|p0DN>`PYGwujdx|H-VAw$u0741Iv7GZjpZ%82JIYMgDzY%oF!IxKi~O&^$j`_rGM*L+>fE1|TVyRT@^f;FtOrJZUT%>?flBg% z+#=@%Mt)Ilk;8$JUy@toNMPibfssFyTjZsIkw21KV&LXnaFiIh1=nnk7`P=DTucnyiVH3w22S9DsTjC*M5#bkh3N}Yj@U;d%Ix8; zUIeXuNNu?}mlMmm9T!|d4BVazt|SKTzy((k19#+ttBHX-alzHaz&CTjv0~uPTyUHi zxC<9tOAOqV3$85&?#2bz6$5wYg6oTcdvL)G#lSte;KpL$UR-cfF>r4#xVacOkqd4q z22SFF6U4xMxZpNo;J#dNJ27xSF1UjjxIY)%NerCK1$Pz$58#5kih)zO;O=7Jfn2cI z60$Ui3l>{KmQuN3u_a__Fc;ictjuX#aDOrI5H5It7Zo;6X4>Ed569fk-@hzS)4L>PgukfK4nS> zluP-PgF>KO#-|({0_Ac(<&Y34SMY0mm^fvw8ehq`a)dZ#t{Pv(xAGQo%3N07#kX>_ zIAty?SMw>yhCsQ7PdP3G%DefL6GEW8hfg^v1j@C1$|)gGuH#cq3xRSyKQ3<-r_2?X z8~BtnL!jKqr<@%E<-L4g&K0N3)q3vZQ_c^8auc8Owh$;c^C@o+f%1Mn>HAy6LRQ|=CdGK){SCj`oae9CxFRYaviR z$ESQF1j^_6ly8PW`2wHv?GPwmg<){3Dd&DVo)%a(8%3>iJ{Crn9lRnJ#^$_gP+e$A(>6awWpe99^zP=3p& ztQG?0cYMm~Ay8i8Q^tls`8}U9E(FRS_>{Fmp!|_fSvv&EpZJt@L!kVbPgy?%%FBGp zh9OY?!l!H;0_CrK%BCSuUg1+V4}tP3pR#2Ll-Kx_2_aDa#;0r(0_E>~%61`8UguNh zOIDLegfD;*M_vU3QOVSLK2Ay9_%DZ7V28NsIvVY8&e!KVyiv!p}jQ--iv z(xLDv`-b34l~36}1WG5LazF@_E?0_oWJscrkD@uEXkxftz!|^~Av3mnt|Kh=I8;Rd6&C1GnP63&PPv4BXn$ zloQ()@5T;p7;U-WmSPQ~9T%J+25!#7`PV~JU|TG zn+qN&22SLHQ^mkZTyUBgxDOXRR1Dmg3mz^8?#Bg>6a)9?f=7vgleyqAV&DN>aJm>c zg$o`p1|G--PZR?W;({lOfm6BQsbb*4T<~-;a2gjpLkv8G3!Wtg9?AvJ5d#n7g6D~W zhjYOT#K0rC;Dut~kzDX1G4L&1@SS4dQCx6_7Fc(WLIDi^#(3_Ohsen1R7 zo$IizV&Gf3;O%1I8C>uVG4M<-_+c^dEG~Gr7vxZq=A;5)eB6Jp>yxt+l?V&KKx zoSzc|XK=wUh=G@I!6(JQOS#}vV&G+5@XKQ0fG4Lub_)RhJ zU0m?nV&K(W@HsK?8g6XAD+a!soAdi(;Cr~>55&N0x!{k)!0WhW{#XpWo(uj|47`C0 z{#*>akz3{qV&HqZ;EQ75`?%n*#lV}m;BUpio4H_%Z=43-eY~Fw{y{9~EnM(VV&F_J z__7%I0WSDgG4O+2@KrJJRxbEAG4M8SY+n}xZ|CJKTYM!pxSk*4g2Tmf-oXVs#K1eb zU_}i4Fc)m`&Dr2G@8Wq|c8le_n+q-^27ZJKE+Pir!!5JLH*tf@yq631h~>PG3oa%G z-Y>&9I)gt3DpLWl_lvP|30TiK$hDxf011E}<$ArW82AtuTwV;E%>`E!10R+vaU54w z0PJ&IWhnbmE=hH9l4?Nm1lRi(p9Bt$yeGNfH~|YF=cl;fT4La1TySkM@Nq7m%t8ByVwt=0W0?q-d>4T{$(^UFvLEWI0x& z@(_8bZ;b0lRIrGUnpyIw*lc-BmOLRmTb`UPPkUUxHQ6K2h>|L3afjtOS@Lb!@*UZ7 z#&J1#$wR6TIT9$m-C9j~SlAEnhxcu-@-R(<{Fcefefc$jZ=qv;ZX=_ha!V~4Hn+S2 z9uW($tsuy@QeNd_djhP-Z>!HL9iB<*gZ-%2E;=V!!wBsPIm4f4e$W}c9~gjwPx9i#)n4^2dR?qhT0@W&Ws!Zf5})%sk2@b z@5j<-c^!k+>V@(?O6Lqi7l8r`wol&gEm&9?5{(6up2mXxk|iI?l8=H>jwj_OlEbs) zV{nePvUYaUN?6wc^&aXbqV10Wf}oB!0%vkINUb<*y*Zc953>mE*yrr6YzzV*Qu> zLhk6PmC4%xFRNWSdTFIaXwoc8VgyJe3BO9N(97fzMP8~0Nht^uB6TGWsT&` zSsP((U?i{O6nv*u!Hb4e@F{r}yf|B&DVk`(r)c>v z_>}w=yo6HH*IDoJh2~6ukD-*}SMxNjn{{>B1$ME>M#4mL-{STtNVwp1UI+>Bb zl2p!rNoER{WTrq#tm|ZE{z_6ssp=d1J44SHK}c9wmQoY?wD{6&#Lv-+T6$Nh3&~*? zq%?$ojfcaSZOnz{XjLt7G7R$*%3^n`G&5s5TVl+0KF4P6p|tQ>)e4TShexF0K{wEx z*Ko_cbonU+MmVbuXW{xRJSqaGn2<<=pEdXa zrkYAGrMItJ9u9QjFe|N=rNE?NU3j)K5DZPtR))rwE^}0wK}eP|H(R+aTUmTu{@L7z z5^1(>QUx!A-y~AaZxWm(qDi_Tg~9`dBVnB_$ZTj(S#XU7*VG?rTA5L0@eQKopdqg=5SC2|| z8SjbtGEzBWoiG!wngTas7HKgM4!-*@O7PoyrA0wXaD@a*&WoEn>ah&0*!E*vFL5;op}qxBNC+`TmfjLM(XY$Job7)#TX2%H?e3 zsz`JaniiX_%4v_Oksh^>{^Mh6)FDSz{YOPtM;q!GT`lWskD;!i zs~x(!sG+_|S4ZgTVure=t`67L#SL}5t_EvL>c3FqmpZ;*lx3Q>jkq-p670a6*~&6K zX(=OVJyX&mkfgC*IB)V+dh*gn@&>t+E9eVv^2U1dGDh-7O!BQniP%9RpcoZAYDHg~ zs)rm+^fb`JWUDo@)VOT57Mz>c8nkBmOj1OneJwTu3i4=5`yVE8+0A=PuE(jX|}G5LDwn&bZvs^x*BwyO?3R)Y^#+r zo72s}cQxUCwb{eQ^X;^9X0o0JS$7i|-l)Oi!f-7YApI5kGj@Cry1xd8l|Jx z(AmwU6dBp(ehG{dcT1iZs3a1VmdC97GrS5X>m5! ztsZrofgWj~OVAQJ`XO3^L6@W@z383C)t%YuZjbtifgWX`DWy7kFQp8+6fMP~_j%O) z26~KvE=^18=qy^AL6@Or0wq3Z;L;6TSz1=d9in9!Tsc~f&Gj*ldc;7FH_+v2c^&;Y zEzh7U&oDY||JTqZi==8p9N!I<4+2 z0?azH)sH;td7~gR3&W_c~s*Z9?oz!6xs_f4?^i|pt0LVaaG=jc!`@*8H+Kugyu zT4t}*W$aA1x&5zR@*0zw91gAt;}+IfbxP=_~B?=blzHO5JI(>UrK zDfL=lOEkf5jQoi)6sT)b?~r$yvSVH?TFarYI%dFWeGi|b6Ji*BStC8Wt;0$41Fp%LCt&3QOQ?!Pb3027Oijml}OkQC!o36F8<@n9uxYN&ZJ&5C0o4e~^hm#l_89okY*hW$p&LI-wX*@P{X9SIB zntE+oI}iy=vz_t|f){F#ybi6SCs%15CV5?2mrd^SINe63OPNe-!IkSvV1FKs7&E*{ zFezjfX=JwCml>E^kJi&oElle%rq-wR4O3&Yof=acb8K3W0$VVg%*G0Bj@HJq>Xc~R zSq*3d-GZXD0b@Z!+R$r3CiJAH+qPfb`9I>zb3SaZb4bvgt4G0ZOZlE zT&L7@s$% za3=z59b)z>hkqe^{g1X)Gx#?^d&ljUX-!+u7CLuz+JfP3Nm~ZEGxc<>Xe&KkEN#W4 zOP~oxI-}i0kvp__%j&@edS{%@+M2f3S!>bO3~L+OCcw(HMr0ji$*PVq=ik*br8C|) zG$LVPqYl(Jb+3bQb>Lq;mM+6)48^5CM5vv#C^N=-SyG0XDcj9OkqnzPQa120Wh2X? z>8^!crOrmIB};7fMw;EWM7E@6FX_<;n|==J7b2@IZL8O96WW%k+jg{Fpl&nGMp_Tu zSSwj6k z>wmd${Vx}-|7CgCe|y?qum6^`JyZW3Xa|1%FSnVx<*xtb!u7vAkNR(A)cwl%)ZZ2&9%rG5AR*Ek8KuVjf0ob+XYX7TjHXxYW|0?SZLgrSr z62>pft^%tII)IL}qaFZlX-6giI?+!209a+`<6IrUDw{<$v#G0WLPdcNpq&u_Tl@jg zAvge5+Zl%g03d<`V0FF%V6|`ntj>1;tPu`?HKGBqS|9*!rZ?*W(23s61VCrnnI8aa z?0lRn0M-Zxz?wV)z`u|IBftZC0O;34PS`%DPXyR4bY!jFmUZ7mz}bTx*Vfwgl*~lWq59YL=Tc>z%^pX1PggX&KRqsabMM zu{qzR*eqU(&BCSFtR+~M!rU%5+m@HD%w(f7cQBQi0u_lvt*J7%Lg!)K@e`#!={h;0I!W zh(WU3PcjThMzAE?Y%aT0AQ@?p?D2)wA>M~d5htOefDl()`z0VE@3z9`Xg>I z<+WSb4ZHua8%7y!IAH6BVWt||qjeOFhCMcylKvWM9TW{COm5gKxWWbdneCpR@jhwh z?7)qN(S{oi+PML)(7Mnr`UHI}?ZQmZyV9=S2|BJRnp}0j<^gAR%_)i;(5hQHRoZcdQNNFi}up(nNE8#_VlK`E$n&J)*i2uW^kP}({R#ZpOgHH z#?Vu@!V9*VnP&o+@>!$WWCop3GR15Tr}of&L>J*ic(v<%lAmKb!$e3o&CBZ%}wny6ReGMdO#ViHZV zsKlpimT}B2?diUzRE?;fxl8)IdG3(=N;8J*nsV6>WGcjWK0&p6JzC`#E#K+y>&A_$? z+)V+iFt9^S-y`Z=8yKh0u}(i`GumJbtutcigg+!Vm`Z#?t7cij`o+!$Q$bJI#DjSP zazfvd$&Uwo9ty&?AMK}C{=KvxQ~CXAe{bc(N|foI{t27w!rb?CPiQuat;~kPD00H) z-Vff=^KUYPJ37z!ow(U(*IRf|{;-GkP2&uX9(^m z^1MbZ37qi05a%v)YU`oaxzn7|I&#pk-G*T&_3BQhuoD!9zCARVsqO)EK%lK(1#9*N zmeXF)BEfLqlBN>qQV)2XSqAkff0-ZkI1d@>mvy!N2r@30`uBcBkyCc@?lBfV18?b& zO)o78CbM}K4anGRtuN;Nz2kOs@96Bp5}dZ_nf$ZGT{?lo{}7E6ABYWj^JSYA5kJ9U ze*c8E0{@Pf>8$T#m{$Q_wpqDS&$67S^nK3!E<=B!*yB8ERPh<6il1O<&e%+L6?h$s z^C|eZIk-S)wCDA=LxeV)=ke>pr?%jy~Y&iS(fHC?Iz0%0|k08xInMj z?v^m~-(tycF3@W>gQ~AU&lv@J!&@MW*Z-t7O`$1zhwuVTVLF6?bYP%EFkdjdVKexc zr!8;TTp8&X4Bo;2BzVC)t}FOgj4JSMLI`wbL2qD6YdV+?)(iG39n2Igjl#1V{(YdP>B3t!d!o!$@RruW zGN{Zm$G2=YW%>I?c)Zi}wx+bEL+B9Q^4IAQ#`2+bsD%=4I2%Y#t zI)Wh{Nk@8#aTgw-qS<2R*xYeUIJwxySj1uO?su`z~a3H~jmO#h=%T zSQb&|Af!WcTnC_;p(J)F0jwwkOzuQjpE-8}l7w`-W&%q6lOc;&r; z8avNMm~X=t#FqYuUjsPN;3*XS`it|7UKIHx=t(H&*G9y@?;H9IE_jH@n(EV_=lz`D z7*rqlTlRNa5rr+}XErww3|bqJh~0}Q6_>kFG8 zMTQ@Y(EBvNU<#BAdD!ry!SFc*?spbrne%6pT^DU`hMBKZFWPit{(12)nij-;Q3Y*&QA(m1oF z)~^#VZ~OVicGrh)=>!Umz0YGVLMJemPNWk9mYQ~-exs#XmOVIc>+21rMW6=1(XaE( zm&@L1?k};~t}wRT-`V(Gzv+VrQ^bE~b2r7@p1#wXS(ZzG=al~znoABg>rx(O=?Ifq zmxRr_WNVf&_4l|GquadT@3J;{T&khIsH@q5!nSk&N$=tO^MX1am(!s7I)79@2UEEW zs&Dg0b(wvu2|TRsVjk$Y-#m#<(nGxvoy3ItWIEZH4VXH;Uu_o+*vS0V=0>Xd zs^M3gj?}j%0q% z|0ZntZ?=|u9bby;c<&n{bjSY$i!|Tpy``(HsfW34cfSy}=($YqBPQ2vj4}6<*KKYS zKKjHx3G}%B(?i6T_7<^awNQOg%8G(wR(P&Z4utfr*d9=;>zD z*?PJdI-5y1ht3J4gGXD;vrs9_ZWj!@EeujxKP&6o06mw^)eWdY=Q0M&qw@j=u=5LG zv*oR$4W24Jq zvns3lpTdp;lkjbbM%ClRxzBV{^eJ}tTCvIu(}Qq!_kWC7^tfV;A^WngyMGC%(Wchr zwB6wX$zg_O+O{S+?Pk8FSaaHV%+x_RO-qH6D-WA+dSD zHmm-D2`)P&mLlyI7ftSqw7KLp?Pvr}d}j{7lrqb;*3zbs)thWs4HoCd8?wS6VPn- zRhX+!vvGhc-s7rmnD(2`H0RIWIZEL6jTCKjKg@KY4Job1cIOF?tBx-_xY2Xn*Qks; zSKt+R&f46 z$j0i4t1%WqHosE3xJ{VgYf9I_T8a0|5&lAKRzOu7u3VWeXtq@2zAr#|?boL5e>e#5)jdF5=}Y<6Bb zyY{%vY^&Y#_2!h;TLt_T(ikfwSNk;HStl#dI2vcUdh2doLYL@nO`=N}w=Shib+@|u z9(VPPJ?a_+FPwFy!L?W*G%Dz?pZAYC-fOvKbeYaIlrCeqmeb|lDuNyauH{^f100pu zcQe5bBfK75L09M;!|4i!V2WdEykSnNVY32c+HwXd@wJxx3AJjt zq6Wtm8|g;ftf_P(W7fU&UbYyn=>b>72Du_|y=Zy~RFo8#*G16`(+n}r<{1?83^C5e zE9T3LI2*5EPm{}Hn_k^?r4wf}^T%~zS8&-xr|5CEH*VPeCeG&d1g6!5phDKPS<=>T zW|>W|Y3D+dPipFM!4E->vuoNeX|N@&X?H&`x3g>7xiH9L>m42DK&okXxsKPAf!0ye zW{FSU8|eJcd0fV>f7$7qmIYN+yzMm>n`^w@uKB+A?Nhjq-lsS7+4Mf9nQx+-0?pjC zrA&&qDY9ul6Qs1in0L)Jn`*t^)9mzkyL)9VJTCtO3Ymvwx6gq=?XHf^4SD@$hiL^` zs$=8rMRYS0Blpw$12Mw907*w|p<8ssopcL>$fTKpG0pp0qX*~% zdb$ky0F&-P`d}cP=@xB$n=fUUCw=wp?tVZ`K&iIUt$N-|=~gE1ZFE~8Z`0L6eY^Le zo7#GPo3E_FlurrwxRx2$P*J)u+v#@Qm=$z8W6VSJA;ZNGKC1%#Nkjb=jK;lX?`X@v zX<+(DW+S_`1@D7x>cybzFnrJ=kaJ_38}I%J?b@I#3==^UyU*odziqO&iOm*PbHC8U z#xV0dzlq)bd#?{5K6cO@dX=oFJD4ijNp}XS#Qet3D5;6fK4(%UfxwumEKz$a3{%spT>XbaW30+zsI%3FsYcg z8*pvQa_xXHeJD%SH+fYE+}sL8MGN+g+pb-VzPK^jckS`G_WIaAIwZrpQ{@lXPiDvu z!MC4|x(>0QLUA1qaJ6JNpSh0e?dDZXv z^K>qN^*h7$dwqdQNw8_n`UZCf3Pl9)`HPFdsiNIXcPIeF9fMG8ghE??Tqs#~Q z^sWwW)4a~~bsm?0d-~6Z-`$C(Z_F{nfJ?!R_M!xb>| zM&2l?kIhWpJniaZ(;L7eZmth~)-F9H$NDQPt?53xPmhT6bRQED`{{mPC+=~5Y~bR& zj*(x2R)*W6HCQ5nWe51{b7-h=xR96(H&$F16O(bj+}H5$TegDx+sz@*CMM&(woAD| zHXQ_jQ~>;7jI|z@e-kbgw3e@+=vUXztSQMhD+TDS%SNed`$^bm^Q07;5X5`pu3y>g zQf#h4@%6msN>8zS4>S8XC|F_r-F1A+top9m3hSF5XmhX?qbwV*5^d(S{$ee>ti)x! zds@c#Ji`ZpvYnNJT{O_<9+F-ZQ@a>wS8$Vy2HI@d_qr&!-~+XxmIXI2RSwj8TBn49 zUp1nrzFu&BLS%Mxs?EI=d|(b=!EbuwfRt+UbddMKHka=kOR!B(Zs_y4>jHQj-*g?A z6%4lNTU@_;T-Ocj8+)zS@0;q4>1&oK&F1RX+)1U`Hajp7Utu3GFwJJ>9n5R}S~#p^ zb3c@3b3ZDW*ZRRQb&Ni*c_}vAErHekRZIO3rU=#_baU=Bx-E0DZ5F4-c-&z|y)(Eq_4N=*d*Ek+ zkGiWfQyY)FhA#t{4E6Q~B{SvnLu#2I@dhLb`nWpyvJ7DfJYo&w|8am9=Cs`65QS| z$nC!Ly-n%;uZe{8-j6S3>30|$`dtQPnfES(@q|FY(+kYMltM0a{bCV}~#@}tK+{)l^W7}-I>;Rn5{vfb1B9U#dO zCn8>rctgo?^mGh%429!z$7V++9A9$0>&Q`ZWEH;ORR)e7D~pw-aC}sGPI(cI->c!OtmLS*)z)e|IF3?hs&nA@ka}1> z3dc{>pVVKJ9A_nGJ!eBWra31$rz$zF%C7pZMsOVBn(UgUu*3+-3!nvxSeFnV0{ zL^wVceKPtq96yY{6#b);<7w#W=;^HF6pJoaxmYzNr$ls#$|c}PJ+umqQF2NbEnT&A zbtR|Tp=!@pJE`Qvw2$cvg}>|FRqug%+mxL8qwCMAKUc|VP`N?<22jq1Z#Mj_ zA*5?mt5K^)ZQ%GyqYoOLS8^J!Zv0^5?MhDbJDaa>ey@_#!quX5i*j&$w8e8Rz`qGw z680w?RB~D;w;t1aoRZU~WSbgo;^6ptn~&RkrsT9A+kQ^_`Eb0u{kHZyl$;JlJ5=oe z<>_&Aj{!XfDLFkIJ&X6GaO~G}RL`+WPOtP{b9*g-<2}8$_u8rC^uE~pTJP&hPGY0P zPKjOMI6HB9BKSM;SmLXR5I0HTNkx-Nz_DXe|D+T+E=$^!v;~fjC!J0@qvZ7I-Dg;z zkxEW-ljP3H-ISb^K`G-?Cc$x4N@mJdI37-UG3At!GqB#kb^|-Y@%X^k2A)-NQWH~$ zr{1FE4E`m}nHH(!4E=KG)uF#DIm31jdt%rzC1=EwBVHNtnv#<~HT{nC3?+wzM?wpm zok5OWCs&E2z*pwOKq$)Z*NN=?JKX!X5_!{g67#1dDIdfF-?+w%eHlsD!+Uv+P$S?6 zCFeSE1pf9Lqb6S`86*NtgH-s>n-V_W%;?zE4T#MA!Xkq8W|SI)Vv)Sx%xD(}%`#a1 zZf1$JU}2f;(wNx_+pw{Wd8IS6YI(4-%pz&c?9l@3Y!0I7&AAj6%!SPfRxNF$tzs?vGq}vwRr(XGV=er%_{>&T`ID?mtB;cEf3nrA zsG@grU8k)0R>`iLjzyh&_ zy3zSKu^bR@P%t@Dk?&R_Yr=mLmNHBtwQq1K*^>S*^72Ob^f9sH!>b=b&()fQ^V7Acz>wL}C-1L83YPRJ6kG##*E{!G4{|^peo$&w4 zKj4TFdi*Dnmj5S5u+I2@+7PDrx`Of~;s59g)*b(EJHpW=F!RI_p&M!QfA$LNnZJT9 z5kBvD!$x|8wEGJLHI_3gmHnRiW8%Wo`paWSa{#EUBqu=n>O_m}b)^FX!E0OWARvL$Y;DEZAbpMO` zmi6yn*~Ywmyqxs>3;US$^IzT0ynVfx^!|(cn)UbJ!PY!|E^c`<>qrCtndN8TdD}-(QC7 zMETp=pJ$^c50KQqY2C2(^LH*o-gWdUN&6eu5nE4x_j2T2R|m+@zj* z^AD>vw&wncWn)%a!@nZw|G?Vw*PwSSm`%q2L(AwdCyovOYT0je@l7uuKR2<{a;e|Z2kY6%A9u$ z93V6PMKQp}!N0BCdB#H6U1Zk3EEfFn;JrpEPv-m!%kTFAUcdZX%MV+@uj+fWW{`RR z(hy+7;NR>6=7Pu>M;82xqk)Zxf4d*po2>`Q!hd;Gu#r*ViwF{l@nE_4*Y`Zl&16wQ z*qEM*F7SulX!n%RSpd z|5*Kl{lBoMYpLSAU4O?QSxN3Kh&)SgS$PrPX0FfF!gI)`0{`XPe{2gb@Sk;SwTeH~ zn;+K>~0| zLB#$k5$lgy{bEyEN_H0b^-uGw-?w-hslcy)QjdVW0{pYtdafqp<$<(`>?(-mKQ)&9 z@vQe1VHe0F1^)fh{ps6 z@+2Hzg)d9K4aYA@Ln(}?QZdpM1l&yp?<;FH5hR~gDBCy;LPSUAoj-DSYZPmmt+ z=Wx6NZ(XlSRHZTLr3`}OM0hj!eQ?}M`Y7k%_!a4^mLRGcOZurj;5d}@SHUhdlO(IB z;Fv=OIGsdwmLVz5j&K}620G`%aRo_ro+E>uzmPN+;9X_NFjpryrjU`Y^Kkr$jCPkL zs=GExcLR?*hfIh(LDa~zWOAXpL@m^wOewS#j_{&`LSSd1E9BO~HxadPOER-C_^a?i zGOI`-q86z@=4joBs-=;+QD9e8CYc}gA{^f(3!)>48eM|i7M%dcM6xg%aM63o9UiC$ z&qH=t`UxfsiCVE1*;4T`$*lARQ7c^~4_2;8)XE8DTjj}cyo2nh5>9qjnMu?t%gL^)F0#Ao z0-{#Eo9w9;MfO%(Ow?-kk^?c#Nmk4wM2$H{4ptvR9DsNx%e60%Q+0|HwN4FkrVi9k zoh#(Ey5NVpr^p-i7L&8}n-R5sck)()QsnK1RfyWK5joco_#2)f?=|v}oJP+Owb6^@ z!^T~R+Blhz?FF>o1Ag`Zsc|%}MfG+hIg)JBeIxH&i0+yOHbdM@V5E9+Vs% z(}>z}grsx?pLP67a(231a@|~#s5jpvMRx8a73u=GF4HBg3)tN?N{a3}4vq_?qFo<{ z<113}ZhNE>-N#8Kd(p}uYbhq_4yi_;u2O6tC`+HUQe3}ysb>Edh}!=@Qv3k$!+_FKodFOB13r=J zrnDw%N|IE6AjHVPqf*0xV9%g%snMXmQsY7Er6#F|h?@GM)NF7kq7F`xS`3E1Z1AH} z%fa7E2}8oA)r*9Y^mK)sFR@?Qiov;i8}0NspGKAL>=yuIt>RqhHsTR4~IG& z{+85r_|HTg5g{dxXbZxLTN-3kt6LnNw zY2aw^-{_m9)X}TqxJ?>7`YkwKkkZDEC+gVSq#b$oGY%6KT(_;%8?@k^xX<6n_xOz=oEC-f)kghA5m3A5n1LYg;W7aX6I7EY{8 z)QNSZ+b4FC7EfFuWlVfsS~3axwaAh1{YOF$M!Z2}_pR<3BrM`MDdV0Aql4ra3jNwF z&_y_UkaF(XpbK|EALO0`I@z(5RCLb;ox_m>x_O{e9WR1zKIjw~+Mas>=v=Z4y4yhK zlm~!rA?PCIcA&c*bZ&VA=oW#lu)Gj-cYv;t41RRq2|7(a4!XslE20zyT?Xi)<=;WK z1awhKZ_q6TT~Vbu=$3)bqd?!_UJknA%2d#;09`TVMbNDTT}dSibgMvDLX|;x7wAeU z-+^v5=&0HbbZbCYMy&<9yFpi4odddiKvzy33A(kQE2|y_-8#@!P`80@J?P4-zkqH7 z=qjn_LAMcf6`fE&?t4L3#aRJ#_kpgm6YAK#33Sz*si4~ox~i^5pt~P*)m;@qw*_=D zE{I)sCg@^agF*KI=xVsHg6=`k#koHP-B!@u6xkPa+dx+h>~x@SPwB_{&Amx?hNSq)w%$>S3x(R)*GOE4RpzMG2QE+ z8(0_9y#czEde9fS&w?(s-b&ED3A#b`=YsAn(52PC1$1wNZg2yPp?5$xv;oG@InWJh zhJejr8i#$x{pCOwnaJ6eFD1iEnp4`aaoUyYk}?UGtfNx|bQeK4r496v?yo>My-jh@eGR&4Z9W6tH=vu* z=2g&r3%Xm|!yL{19q4AY9}T)opqtr#2k5>B-JJHTLH7gbW_Q3i_z`sTI$#|91iHCB zV4mpy8FUMJbOhaH(9Q2jLH7&j7WNDW-LIg#t>;+KT>;&qo_#=f6?C`vf;o-*8tCrq zH3oFQf$ol8J3;q5=rVe(0o`@bE$)3C`c?wErM)kZijflNmL$SlAu~4gDx{=1?WnEZcEB3(3J$;gDHnVM?v?% zz>c6R1-fkm>wvB_=(Y|#3%W9(duZTOpeqZy?Wwnbt{mugruG6|dC=`hiv(Q-(Cr%h zGw3RU?%|;@o<>#z-6KQ409|F!?H+avbX7pNci6+As|vb3BVaBOSq*giM?4O?7|`uY z&j4L@&}F4h23-x%9Ux>v!zPLKCX2=TQ;zFrq;s z4Sv>?>O_Nlmn$oL`F0}V@P9&Fv8ChmZ0qy0t>8@+$kr9e)}jG|o!fgx`aHn+v8}qZxT|j@foC0EIdI4Sgl+nHd)`d#I zYEWZ!KQ;}{rlnrGNN*Z>1^A5UK66O&3iOrhl{)}u4_CY`H!Fjr@ttGG8& zpiZ1RtE96!!>}fN%x7J1S}?Z^X6~9l=65Zb6M~r&^Tq70V#(Yln0a#km}4!O+XXXE z$scoVcO45qcL-*lmOtjkmdu@knWyKExrHTj=V0a;`D1Ql$=o%Vd1n5YJGwhr__=#9 zv$xX}Z7J^VmdrhanZ3Q0FmsBfH+ly%FV3Gg(kz*if|)b&$2`K4xodq2qDM|1774PkiFsVVk9X{ioo5*DB>YS;aD7wCE!TmSPG71;8+%p z<>6QXj+Nk88IDzTo+uJSYQT_5NgUjvj3>^>(V!0_O5_+gx*=;7{yC=*SL9e2VXqJ> z-9{v7mI4<-$}nY=GDaDzq$}g$-x#0nE@e$Xx5lU2s%#JFw)=FugVM>$apf8K_pHu0 z4R+-oS6*ZOR;5|4WY+*c51i>XxUzEF;5w5#Ki4~)bUC?o?ih})CP!D_-Oz6foawf> zn{jjr99?^ku1kyC3TlNR!Ip8phF$Vs(+Jqy*I7UCLHvH+cOu@NAar zjO!g&jyuL((_J4*lHhLd?&9v{?gu#xbB~Hlhj{owB4NXn9m*03I|50$U+FC=FqM#$ zb;^24fys=dWZc?Zv+;Y!LmJcEWN$;;&B63!b5DNpoB(IcLNjRyHNxt8D~+NI zin1swp(ux2q6&(tD5{}|K~Wv4YM_Y4KW{=2hoUBmS}5Z2*V=ei2Sr^J z^-$DD(Evq5OoEjsp^K#^DA40lGyI`BiWc~1OBAh8B%o*=QHn@y@vI$+_9!}_=!l{d zikne%M$rXDR}|e)bVtzxMNbsHQ1nKTh$0C^9~6C2^h41fMKX#3C{j=iL@@|ODvH4% zNHaW3Loo!!P!z*Z3`a2n#YhylfC&2$YjqTyk>)6{lmtsj8iJ5UqZor?EQ)j#<4}x8 zF#*Ly5JY#_B>ZzSiYX|j;t#rur{USVDE2y<60!-!W)%0KcuOu$$XU4(A+Muoi@J>{ zUP18^ic=`gptu*s{V2AeIE~_E6wjl00mZW@o!qIkryk&u&kb_1d1n73_y{BA{oU%6saisqZovu9g40f#)Al# z!>*BVSGb#mUB$C75U^ASzpg=BCgRx+6oXOpMbQsMcN9HQB%$byq6dmzDEgr2hT<5C zL=+QH3_&p#MLLSnD8`@|j$$E-+fgh=k%3}6ik&F7p+T8=b`Od@DC#;Yf{;@QX^nr5 z0}T-qiaSu;8L^l|WZ>Bn6iZPoL$Msi3jB2?o~^>OyYOr^iZv+i zMsW{{wJ6r1SdZy8pxB7wUew)(ViStZDDFqG1w|%`2T(kSVk;uHq1cY%Arw1M>_qV} zid`snW8z0p>_M>?#Xc1KQ5-;#h2kKJM^PL?k&WUoipP-U2%a59@i>YnP&|p^DHO+0 z97k~i6F-e-&!Bh~#d9cLLh(F`7f`&2;v|YwC{Ckz8O19o&Y*Y|sa`|zI{x_vinA!* zMDZ4ixAE6^@a!Cl|Dbpm#d|2;N0Ea`KESgNQGA5rJc^I;hfh#^ihq8F;&T*Vptun6 zC5gC*XJ4WC8pSs#zD4mJic2WINAUxSA5r{-;%5|>QT&49R}@!JTt#sW#cwEnM{ym5 zgP@R5grNvW5rM*iLPnvWP*FHhxKOxJM4~8!qA-dgC^QsND56n#P!vT`3`KDiB~X+^ zK~a=KQ5r=V6lFn>JF&SugstKk6fdH<4aFi9wH@UM*@|Zmpm-33G+nxtNKd190mVxw z&Y*Z5#oH+UgW`P@AENje#V!UR4Dx#=@A_he)ikcv#{diUzMLiS^Q8Yo(97QV>?ND??(HTWI5YjxrTdivB24 zP^6+5hGHa&u_(r)m;^$~#9{ph2JnNFWBLB@r4V0g?zJiI&t74N52w#tgQ{ zIp>`7I%j*FbGFy^+UpGt>%8mrI(cbf=DqiQpgMJKg}+XnIu*LYXaDlv z4+@AiuPfeBs10cGNO7_-5{>NA zwZdY(^*Hz$$^7dQ`~%ES6|bwPuoS3bpFZcLKKYxYC&-nRwdHficm+@D5{AxdcqsLF${65I1U@P-aP+-C9zWyfAMyAx!VXZ-y09zSOK(jW5p z)qeg3kDv7OM?HSV&!6=8F*dlxF&LWY4D+Xo*Hu>g`PV#t($Bx)@iTt@hacvBh_NLu zCJPi*7F}7gO536{Us-hK+ZLVq%Azyhw&=`P7M=OFMQ6UU=yv*Sp_#8Nx}CnCuPnNq zzMrowx}Cn=gDL#VqTA{F`O2c(>HGOLo*~Hi`E?#Y=CkNg)dSe+`}tlEQ0eahqFxVB z>F)ueUJp>|^Z=@Y?H)i?u+O4Ly&j-4>F0YrK&8J2hp zsMiBj`g?$=*8^1gdw{5~2Y{Y)3#JSVy9Yo9)lLtf_;wFKe5VIce7grAzP|@BydI$1 z-vbz44^Zvz0SvDPsP^{&hSvjB`+ESx>jA3$J%Hi$0M-5;!0>v2YJU%4cs)S1zXve9 z9-!La0~lTpQ0?yl46g^Mc6tCMAG-%o^6~WmhSvjB`+ESx>jA3$J%Hi$0M-5;!0>v2 zYJU%4cs)S1(*r0xb`PNH*S6@2Z}$MIe*JvA2T=HZJ%Hi$0M-5;!0>v2YJU%4cs)S1 z-2+tjnb0?7(OcV^NZ)J=ogqE6EjosL)qaa^cox0dZ_y2(MX!$87F`w0w&<$B%&*=N zLk7#JCAGHgMFxFrS*tT~@YgW^2>2B~i)gHOEn>AZXHY=z_V|7N@LN3obw9ts<5&1B zsIlGS@9^_?di*{=f49fK?&r69{0g6?H1>J?9e(~nkKgC#cX|Bletx&dukekDjpH7F zho67SEJJ6emuIPdVg1E z-Nnx1H`W)OtsBZT^j0P+SL)`vttTclt*lTh-f}qS31*JCX&F$jw;M zu~x11-o?emv1DqcUUa$S+W3l=J*BbY>gq(n(9!iPP8OY67LUc&t*h_Wtdy~$X-nVM z;l=bl5{WE|?_HN@-7uLvK6IiJ{&;+IG@`d2iZ)%UOr+KqMq}y4DXr<^-u0E0N6T6} zqAL~7v7We0X-BqA^mNa(w`r~2l|{wXg$cCX{`k?hGxg&=J9jl@Ry5BZ8mKz3?o9nq zdUsXn21Ae3v?84)t!I|Uimx9+ehu-?B?-NG;Pm>LtCaut_~yl0+qQ|!lCle1Dw=>h zz5enNed$VLbZOU_t?m6gt41aA_7|OLj4h6JZm6$NivrbKG-F_YcgINWsk))QEj4Qr zsqLtj%^Ql(M5EEBvc6;@(%!dwMbmKd?T)m^%_J5vE=TT3j*RuyjU4J;VUCyY93H&cXtqXL zZ$b`5#cQ<4O(WL2`BcOCOhe7Jj*6Z2dk)?(CYHA>iN@}?BCV~OxiC|iN@<1BqQ%9j z)@>8}8)^=0J_RwBR#YUk*l^#$`_^}!t()yTuHv1~tT|eLRBs)K7OaaUDtGCXi`!35 zoZMEwCtil~Zq1}`mRhZCtuZJ6aU<4U)ZcQxr&XnM@oY(rIa$6lwz%k6rCt75ttQil z7Tnmk@J!43&W4)ig{SMr`p?yDm^is>u(-M+*_x_v(@Ue3#b>o;$t5eU%2iEQ_ADDZ zF|l&n`MxExY5ZjK#G#ul8;c@F!K#>B4@V=X8i)3DBV2jI<@A!OtMb&gnYQ@Q2@1Ey z4rlI=QMd}pypc5iXG<GGcS zGy69$y9aVfuW|JvVGLhBe&hIpvvq?RW0wiJu6E=)U|c^+a<%0((z8?UhaMKRI{CKA z%C*b4UfB~zxlV7J-P0|bwk&Ht-%0t$y!(+Yp z6L;*@#j{;i*J{qx&zvAVYir%$;2AeE#a*Xb&hKr#F+8?x+w`7g?Ue(Siyb|^8mUi? zY(7^vn7Y|gzPZ0{1oEri=gF_AXBp||j`OIeYaPp?g=S+(MQ42?s>imKC)&4-T)J6m zPLyxEIMOX=)c2NKeJ|keZQggyjlW|kbHBpf=;6NX;;yY|x|rm0ZS%fsC3d-ti4|K% zMjU*nz4R;fmSNy3fIN11@v0NGr*_V!qshdkqgCfObQi8GJYE5(G5Zp12z53Xp@7auL0&78dZSmfYZV_iZ&YIesH+Oaj; z64A=aOj*_b$l~JJgC$j!k>ZNQv9b+%VNv1Ucv-P-CO7Tfq(yoPyCbCuZOw^DQE|F3 zsl_S|Zn`phY~ATXBbhjOY}5G->wAvJl3LNqZE#L?zSH2je*TD+~e`ys;@d+SXN4szA+q@hG zSC4D1!8qACo!(t`ZFE(GzP7lg2@PZH(#=g94xeqF-gB;Vs?i!eGy>GZEsa5RlF1y;%S3hwA26W-6x^!E&ygGWW z{=UKc8<$p^4YPZ1mM-l)vmJ(=3|#$en`&ScRG#{F!V{Mx|8m3^>UH27YtGaytshkLsr~QeSP>ovRy9-E1r` zIF}{^-DJ|!Jsrub}qxfX;rbl*fGSdEB0^j4DaRr z-Fj)N%?^JO?X*EA9D_dES3lOL$K4L3<80$>WV4fhsYF5oCJ0s;qUI+cC@@?)Ewud2Z`$BHHJqyQFAY=EpN(vLl@=ul5-CBgJ} zH0)UhIY!7nEXTy6ZX{A&y#H`t-OQne8)TOjbS|lCE;?N|lQGH;V8EcStiZsbV%vFJ z4%F_~be^rB*uTcHlgqCzSkZKGWc`hiab@?)$~(_AWE^|BfA`S-EvI*0?BA)=x3q>wBobVQA_2*=>_&Z#s78mhtU!rn2%^cJloG-7_Pw6Bx+Bep(IFZ96e=w(Y&L zvp1Jt?OL&QtY-lYM2hu2v0y%A$Hz}LOrBi^JQXd?u>%aQtp^08A?kFf!+$I=5dAUkZAcbzR?vg5UV zTOIqn*p3hT7nJWNJ3Q9%7v(7U#mcY zu&ZlnU~UieJJ-)Fg&w8{qZMjG;UD;pmp3*fqFO7-<+P#n68WG%go>>J5{yp1MO)ZcLbS2ia;x0l7CeCW%Dqw?z5$)*9SXYQw2 zU#r9Um63E-zODBiFIv50028JC7_aEtvf`!g-()UAyGmk0L;Bg6^?lI){gk#m3unWg zCGA#iMRWR4iE3vnv%c5+zN>Z=nazcd^+L1e$aDB|s~lNysxfWr)vBy~{5b6Pnz)Sm z$@Fw%T$YHU{@RyfoCZDVbNGy>enJH3j=i?G|yc#-6= zBZKz5Yr1D=)rq41I*gO#`?2 zdxDPsQ;#RwPBp4_bNK8w=vzA`$Q3GlrI8&Tdcf&R*qbYdS2j)4gl&Un!Y;LJT)1K< zO+*XUC8Aep+`DBtCa%WO)C9({YM})3T~}X*g@l&N82_FeE8jKHw;uX^N-LxBVa=)? z828p(?KoFI*28+c&@D#;;*~elNA9UV!R@*1K-XECi0Y-4Ub)87SinI4H<*eR+xE}K zMeVtwqB3%i(jyADzNoV<)8A0FREv_H3~s2UiR=As=)W2(Bh}T-SP-IeVIr%^OICQ6Nvr%Ix9yuNn#T4llc`pkCqX`HJdXu{b()eJwg2fu${q#%)QHSNnKgKv3^^}M3oxhTevCt*_n7xU|Hq7>r3+Ml zU$KV#G_Kgup41~q}N92&9x_qaDG8)!XRAP^F;2KYGdt?W7hoB9)Z+P+=-;>wPu zg{v@MHFlvr6=43w{Nlul{YoD&PuExO97cJ{$IfZ-)InOXf}UW!(0Zn2+?Ml9Wb@?{ zwP)(Cwl#F@AA{a4tmqiQLi72fMk0j;;eo!JZK&r6jl0V$^{p3<9)z6QnucisZ0sZ! zGL62YTbAv-fPV5y(ejOqG@tJ=~u!aJ2AW&{Rh%3*V=<^Q^n>)dCO$R7`loD-hs0;?r;{WPHD@qAh&N~MGMMX zx&i$@7MLG0(s_#HM>qO z|3qKiMWy%cm|rX@gMDi_-^1hgCCGO@&F?WkxQ6j8&09{;JSEacc*(x4E$=*w^lANs z@}+(`0$g1;u54L_1?t7y3fizxU)W!Ay*3gtred4drL>yi{YJ8~c3I2Jp4~ME7GnNM z`Ap!4l(q)gk8}0YhiQTCl(smO5B*%2TmhUdGth%d#JhZ{s~vh!Fg!Zk6*MR>JQNGt92)ouhdz{w(S$f2~{UI zC*scf1Ggs{XLJLnruv~PhtJl*{-HlyU7@db(qA&8#=j{vQ3p>A7NF5jmT%zx%Zt~K ze$mCL)+uyl=LsjxZ>itd)`1^Jnuho6-pcD?SO9nC^9*Q-7ji(p zSV}&s?C!)t%tu!&gB)P@DE%cf8%(T&l0A3j(5Wp5_%W}kaKh8LuVNYc9XmezQ6Ct8 zm2VpuSyQtg^?~t0+tRU>+lG^9FRk>$%Np6V@HEE5=gO8Q3L2&{p5X7ij5Te>kR0>Tzt+t1NED3JjRpojh_SSm{^DG zKVDUy%KaVdVGF!*yVp_g^#L@+wU%qR4)(PhL)`-5@72Lo*jlQK^XqL7pa- z&D{%MRh1f_7_X`~1&XuoV(Ln&b~H6Uf?e@fCN8CGJJT~4CWa2A#)n4J(^VZ46PIQu zO|c&N=MHL$jhZkxye7(dfBccD^iX=ZDls)RaWyeLJwdYEqzUQ&YKqO+c<&2>iW*Oi zWyVL^Ca_We+Dz40YH(_zs$LUy9ggtaE&Lp^w#y#@a!XGQrv_;wzMh$YG~IZuvs5nd zW4(#}{XM;j?p{r3NliqKv~5Ktw;tZN4^CU6qXWF|z4(ef`I@jgx{vkuBv0)H_^xA} z{jCRj`#TQfCw;Nl@9j@^b?xo$KY#>-{KLn3!S6V%iDHV{b+|v#-rn8cndogh005^n z5r+m{>Yo@-_Yb2UUBIN51b5)VO#e`3iastHN)PtWjL!C_A=at>s{=@MU}nJfriO-? zS5CZv)cB>k{;8qRE^r_NfdVYk*Jk_2Ci}0XMl(Ywuk9U}7#^NZ&p;YBV{#C+QfPZ{$YRv{G)3WJ z_NR+Dl;Old9$p+A`S2w-GXjs397#$OMRp31&!zOZPr3tBb^TWq*ir{Qu_(d0TvzI4 z{;*yJE%vj=GDA>8l}L%7?+9m^pE*5~nws%fHQ~+F^$$Q7MlNtw7m>74$qEH3ap7=! zX7B=gxoQ^qLoh2wES!g-S})=VoR?CNsnj@9-~tRzjE-ieVa!=U!as4MD+c^uN&ET- zC&q`-FU(Nn)j2+M0t*-k3Nw=$p(w=x1_vr*9`q*wZD|ObBNTa!tA7ZF#BD+>a>~ci zNaDa-LSh~sot?hWKb*l?A&y3v#!@6(1Y^y1TPk$|XsL?=VN|Or4Y2C$))M48ZKxtE zZ2H4AN?;+3p~ zn6s0xglV=rBvV`8u1+~IY<*(}5*?^Rd!lmL*6hN>C=6D7b~;V=3#p^^cplU({J!Ah z(>`Metwxq^YVfjjRD>&(0f$)T)fVCRRTrY0;Pg+WuA);Sdpn*^!HO-QEU7UoJC9H? zvQrQ$g;U0uTGWWDVaE&GN^l(GLDrT0&S%(H@)lFiGdwXhm`00)g(O}f@s#N%Un%*h zY6ErXa=q>cVV5{zJ+7l5lw^p4IP5^;s&q(#N@r3zSRRvvS&{Ma*)c{4`J3E=FQ8*5 zlZo0JOHYlUO(~D+0Jx3&tScCtv&1Zxt84Fx1>Vv<>`*w)l^ zdOS5Sn%2Yy`;a5qSR8VM{jbf8jm^%`*V-gC&h$`E`ZDrq$}gmcP}xdCXsW_x(C_}A z({M|vIx>485hn>=oubZz)RaBcCTxq2Cd+yYvoV7*s&<3$&}%d+H1!|@joC?r`c{0l z`|gQhrSVWDQfQR`B72?&YDfHqa>qBn7hhvQav?Q+Aq`_vq{bD>qXq?K6cg=Lb>|$2 z6lrM)n;ValHbwAdMP6i83 z;5O>DE8oWIc#YeP(Z;KY)o+qUS(A8kuw$JjJre%Vc3| zZ>v9H$Hxhi&!2D+g>I#(-M+&e?U0V^=hVu`PoEkvQ)}OQQUS1oDMr1TyQyflpEC0N z4O!nC)SS-y-k9~hQGK^4TSl;;^;oi_y+3iF6&2Qt3X9u}lgX`Uny#n6E76-gj_+Xg z^dIT&CE@K$9P8*s2c+se(bl%Nr$@;KZGH|9Qk}ztF_^<^4B-LEoN(Jhc-upGP3U&~ z`1W=sT08avVF(u(L%2X0!UfI{E|7+Bfi;8+v>{yJ4V1Mr(Q_zUp2W+RC-Ji7NxW=% z5-(ex#LJc^@v`MfJm6c<-qwaCheX$*{?=q~4>}KKD0(5&eZ718PbAx^bumZPfz9Cr z_O`V-K?+qQt{SMA^6m4-;54|6aGsooTI>K2fZ#N`_wG*~?s6dYB-*=OTCJ9FLJEfs zzNo!3(cgM(pJNQ-?CUtMMu*YrJ+4TF-u^_3`AsW6*J1ryY;2(~ei^baAo^I-O<9vw38DvUy~CvU!am zJhDC6;mG!6^T_sO^T?L_d7dqw%OzVrmrJ&ME*CgMxIh}hC0jl>1+wLHxn#@zT(X~J z%d>ge@}zLt@+4liJc*YrPvT|ElX%(kBp%`GIGkwj?>*dqtY>fkk&a_Mz+!gv9BY83 z!(afEr#Ftr)*HvG^kxD1NKd<3DMc*F1R1WSCw318Mci2^U$4*l7Go)zb)H-@qCjyZ z+1B6C*4NenPZ4=q6F^JDI}G}iU!|r#!5sIWINaS1%c0^q%|nG_6QRQO9_~$aknu*% zQ4+4-k-`G3w$qAy+aY_X6eHMn8!EJE7De3lu_StvowT;TcR$kKi>|1IZsp;hF{;>s zj5lxejdRtHP%YIyj&qR@hsW49a*cj)DBI`{WDl4ZX;|~QizhErv*R@W?Z|WJ#?X=Jq*8rr6wmwQM4))`gWy5P@6CPqXGrZ?s3I)3aII_NUVjzY zvY(rzU#3}lBemyf&y8!()}Du+Gd(_y%b*g2gJ~=isTGy&{`9k==1lbk+6&{5!i%7n znAB@xzds=^sITPzmy}`<%L@}jZK=TvX{i31?24JA;bVZSk<&{?PE+p+U_J4V&%{4X+&<)ZEap_WscD z+Mz+s4UK-l9~xdeG^n|u(L?w{!)u2IH8*r~Ff_b&Xi#%Q?+Av5*A5M;l*@xekTIR zkO)wOKm_=m2p~fuKo-z zEUjqpw1UWx2&j7JSLy*QWn0>xv$FKmq#;yI8lVdcJ?T%SFGKjco|THHI}|{q=@7*XNe_y$BrCm%tN5{o5?h*;n5SQjq59RBrC;QCN)xJIjam9dekVexel=$4 z7x|qCq59Pboe2ooQz!ZqA`qxYAcChkjiH*;n58-7cOZpoPGgqlkl%?AsySPs34TcA zw<8caw+vfR)qx0}7SN}V2&m2|Zt&drz^-p9--0bpu^}jW={739bLFS@lnT zCqiibZ_BEG^4k%JoLh!%S@lnTCqiibZ$r)cr9^%wLTIIL%c^wpI}t)FeOp$gli!ID zTIt(Rvvj>JU29AGHSAyHEggHn0#H3=peP~2Ks5&lkO*1IvE5S+B10lT z1Du-3jAKg}?R%p>7PEf8V7GfpLu5!oSxU3rQyL;eB4jDec28-D42b{@a7Gg}nzQsr zXJPcTWqYW$Y|qjb@;l-T)t2p~0+~Ujqmapyv-0y4gaU>j&Qg%=o`Mh=5+O@LwtEUf z znxHvOVbsndOMhEd5}uk-;1C?pB?pJSyU5btot22EdQG9K*946Ul-^S|`V^8YG|B<4 z_B>hohq99J)UPR2{hG4WkNl4IgsNXNbjlBs{B{H)=Ynh|b@TPuQ*zEJR15_gr!PA=NP_1c(8eoE_`l3l^yOX7VB`XWxWv+lbm6QXTYSi#bLJ8g@ZNDFW7AYc_WH?z(Tu9bM|b z(0^Gd4EE{MiLDnt%v>-@3QLuX+s^P98yIWCCWwHLgn^EkXX-zp;HfJ^4 z#v%1T=zpZb{E5~%@Js+J(TLiPg<}pIgQA-wNqv$wq-IA%wwr?4=1fLLw1^H6kqCxW z*=b?BCG9a?63VZVxbIIzHGMGLtzsCJIMG}lqQp5$p|8l$F1yIkg}~;ipl^-<4BC=L zTlOMqzoaV)Cqal}M=nw1OkPHcBPAr5Qd+{ilD>kSd06Pr%v{H^^dL?am};vOWglZc zwog(^niCYIF6X2wQ0l<<5!&cqoXtXn6)dKeNPOxFc5>1VO>C2NPbIiagstSn*P!>9 zx`JKrBWWAqT^kS1X-@njhtj|XG?U%83F7VhQd`DciMjhGE&5TLe|u(9{p?&oRSpy7 z$Aj9yZ(shlej9W$a(84C)j$n;?wd-9IJ9DZ^5a%4lDd4jq1-wnrARkr4 z!eAxU0O! z|4s$U`_fMXYf>RM0dNrf9uB_S4URP~~%8pRlIlGC^Q$k@`$w+%- zFG*;h6#pvzEhh8`b`V82Rwt$Sy4Xg3Y{FQN+NdJ4LN2zM&)`S5{wlRu#o2k-UzNU$ zHnlOZ+pwkjxF${qd4ETvPpMaiLUT4IGOB`&$?D@$e1pr=EyXvP>XqVKOd%nZ`IHp@ zA?~Fz_et?>{&HH1?=W>%itjSjFU9wmN=fm3rUs?>0mn^C@k8c~Nb#S{%SiEG%)2DT zkC+;h;=h@ikmAQoU6$g1n3|U2Crr&s@l&R*O7SyJ@46H}XX+tR{DP_brT8U>d#Dt@ zV(Q^i{4Z0FkmA=&JxYq-F!dNIe#_M3r1%|EPmtpGOg%}8KQQ$aDgMZLJWYx}G4%{7 z{>;>~r1%R{&ynJmq;zbDZfl=1x&p{YEha|j{n0md`VobeJYH_CCEVV+W-YPZ8)Z3+2#MC>bwt%U3OKl-j?~&Rf#_~R?6*KPx zQY&HVLsBbc>LXGsW9nm4Tg=oaq_%{qPf2YlQ=gGqIa8mLS_P;41*t7#-WR2|oT)EM zZ3RtL!uYMo4Nm0A~5+og7x zsb;AiVQQz;jxx1NYTZojmRb)}_e!mosaB~SW2#+h$C=tEwG&JoklIP6P|7~0I;3`r zsV=FVX6lI4&M?(2wX;n1O6?p|$EDWK)JdtGXX=#HQcRt}E$>X7liDCt=cP8p)PU5| zOko$uFjK=)8)52#)Gjb}QEC~cMx}OjGE+CCHpSFUsZBHWFsaQj^?=l7nR+DlHBg74wvKo`ncARE=W!1txyQ&EsN*mP zQoUkWO5Toi4!G_XS$aF*oqp;Rb!lsJ1g8yE9C-CDLU|!V3qd>PZ(C9)P|lK4pzM-X zg@HmqcS}BF&l$`sz@}TImc4;LccN;0C++LcE0|N{TR~K*(J6pDQlrBGc?6>~19=5= zQVXqmNZFHIsKx~j9&ma#3vA0bzrePH z^9yXtIKRNQl=BO0%lUQ{O`Cn|@~b@xny3C!&^+~*g665e6f{r$rJ#B0F9pq0e<`Rf z*D$-q=Ube^FD%r%!t|V$L};5c2MOz)vyRH#CZKm1g9F|YoO`f_!-e&`zVkL5D9oy6 zAH%r~KJVPZZSc9r8E%7*w{CNwTS}M~oo*Mz9i-f{61)RJoK{$O%V&S=b3z;}tiN)O z2qoJ&OxW*Fda*m#g8<+seyQ_oOa;hqEJ z)IuxL4wJic@|_ywq(aSO_F=;OgXS26`j5}i;z5ovXm-#XW6@p{VCn{Y04gr$A)=`J5|<3mfibh4T$$!+HV=ZJ&ze zB*VHW705d*%K^j8?wm8U95hUN-qBhP6E>g-oVev+VM~6_LEPL4x#x3pWAgWkx5~`x z>TZSFJJhS{Gt4Z7oc(2FVU-^|63pSkdSdsaFb4^%ao+*rTT8+_SA1(s!Q;jpt^qrn zoGmnYUQH@Rov6nzQc-LmDe*9~l;Q|e_^tjZQ)i^;X6l?2JxraKqL--wDULBUB*k&2hNU>c z)CDO{GIddkKBh*cIK|Ys6sMV*l;R9iQ&OB|YDS84OkI(ppQ&q7oM-AjDN;<`kYa$T zn^Fui^)M-hn0i2pG*ge1VwkB%OEJRKW2Lyj)Z?YdF!e+!E;99GDK0VfR4GQ8db$*2 zOg&SIai*Rv#ROB&m12^q=LaiV?Vge1vY4WZevuT@Oua;k8Kzz)#Vk{=km3p_@+v8= zGW8lMt}*pmDXug1dMWN>>Wxx7gsC@6af7M1N^w6^ZNbyvr{!NOfG4*vRp3eDxQ;KIW??0q?CR5*$ z;#o|6Pl{)AxF1OI9H#zLisv%*BPpK8;eIT|^O^dI6fa=vXHvY7sb5I(BBp*N#fzEx zwG=O5>bFw7l&RlK@iM0VD8P>MG&RV>9DIb5j}Z(?e(6mMo~DYi`c>8zCE9h~24Dc;Fcr4;XCYON-!ktT1xyUjgw$bUz^VLfE_ zhCC_WEgmFf8|IaYTfX;lDtAlqelA~)6dzz}ixeMZs!oazG1VZ&hndurZaZ0a}!woUUfB6Adb%!DPkoAE4`|*MeIe=EGqBZ{O^N*?foVJoJ&@fq z*gPfn=fsR>8_&hzu*?|0W&pw0LbgaUic&v_tJ8m=z6x0hYjZd=P zeG1JeP`B+abZ?>dKe|7>(zH{3<(%As&lTUK7rVi=^+&x=I?99 zzfha}S50j7>1bwVx+S#Id}fRh!;JlV98&r^?kuXpvm~?ARU=brTp~YJg&q%=JmF$& zm9}@GkzE+ytw*lW@GAkpebe|>9JP#T!dr|AYG~iV`7fsm(Y>3-_p(f*Yg>O1H@$FEBaF2?TOqgR z=r~xn>?2!P*Wn~qU`nIZ!d#V|*fz{@_)}%}Crru)IDS?3Cmb|g?$tjoe)nIdUWFF3 zA$+KVp{HB9N;uf)o}r#Fa*6(GxRgOUZ4KRAm1))>Yc~q9s8yL;V&-PE7Slq;hp0z= zhe`iVYGZuKY$Ub8H1!Ld4zr18uO^AP62 z46YM%W2SH=?R~yp11WjK-(VvT`u=9QspQaGnn&ZPpYEJWg3LvG}3k zY~>QxdFN8g?#$o?R0dS%1o}R^JIVg2l&CLeo-}d6a2)04?iWKsI(C(m<{9%W`d<@^ zv%9#~&ST<7*Jh4-{U=T`ug72`C)#d0V%Z|i0dp{p+=dnzFTh^;98ISxHI$m1p$8V4 zZy}wz9fGGpw!s-OFOYs^78)j6)SA$VfYZ!Wltt!fL6sLsE}NN{x^9{f;)2vDT~F!oH1TLZgurc}!8hAle1*&B z8qv@()*O)D<)P+`IU6^p%`1=$X4TcV5V2FG`)0>++s4FrJ&iid>m@=n??a{M)qz6+ zZ)n0fMtGZbJho~#W9I$lL&*fD##?7IqeJ`fp5851192J{wKG`T<_iivd${>P9Eo5G zZr}A9nz7PK(|i={?ik(j+Tpj_N`1Sn%nARfm znb4PTgpNqO`XPmUb_sHR4u+?5?Fk+Z$+H_)LnPG=!y=;_#`5gPxf^*A7EGCX$u09h zoYl9;-4pwKESWuWd%5`v67MS^-dEkulBp<*%-58N2sP7NNCkR#3&gIXNb~hvt8YNI zZ!8f7B;9^;Q#OXa}t!(_S&iiqbg>9q1uuV?@J^xns%`2q8TapN!Mhq8xxJ21PsMIoz? zFNz$M=3C6SQvG}!g8Bq?9ucfrsfl>i*x>PG)ijI??k5gwbZ)=t^vz_pn`;lcD(<8J ztM+O0GbJKweir!fs8<@7{Z@?~A5^KA%{5(E-84UMet}F7mIi{W#m$g>I39}i5%Z%| zntwuRzH-aqiPIMI!u`)B!Z5!!M|&{3|3_|G|2F3vnpBpqL504^p}z^0+DPiP$?N2t zi-?nW3Ux3=-Bqu8jBD?;!L)kK)-=BjOYEslaKR48-LV*Kem4#^$Fx0$-8Hr9aFuEP z07Kg?y0?)C04KUYvSa{GDA{F(U+EIQDOVD^Kn()?AlG7df_+jXE&kH3Kt2(4@` z^6%neo%wsbm72tW*>{7AYF6HuiU+mkAI(2ent!$gDrk!{dUB!WeA3ml1V)w!l2*ey zukPlqx!9wguzuCjtw^DzSp}#KCx6~}VOj>x@2k$xvS65kBjVPHYw783zRBFQX!<=c zajkbEi3ev#=%$b*!BqyE-y$t(6~(PWYk?&&<&4jcJJD!iBBakuN~_o^p%|r>*lvj? zOEgf};I5RahGg@oMuy$FPldiEK?OM+Sga`H{!}AF30qfp4 z&?PLlN@8|^mfK7V>{chO zv(~w|_FAjoMwY^hiDWYT$a*i}6=<3OK|xa1K-~O}HHcm@Gd?&vJCs&;NzuKM^i(Wv z{qCPJEhJGemYJTE)(DKYHEdnLUL<9{t&6k*^lDmDD6>ST)LzGhoWN?7{fMx%E_0+Q zOW+jz8#%x%%s#HClh#%Kc+C=rrS=y7_z?WEIf=&#Mx}K>f4*r6oST0;e||XN0O5?Z z9>HH9X^Ep!dl!Gj?`x6Ciz#V6jz2HW7Q!UXWwfFPKXCPgA zu2Wji;;+xP1Wx9Eh`&A$MVjFoh@|xb4)8)t9Fy8dIlxOSfz$h+Vh?^GGO&J$i*=;+ zN)G%gOF#=g%inP~V7Xu7ct)6>lC}l@ItW1ByeF+UP~_KJZ?pt11o$FHehYB`u1{+J z#GH3n0=ESG3wz#;%13(Y(O_x4hZA_OB~DB2-#NqwfR0~Kl-7s%`-d%o+XcSK-#NSH9lOw&`X+XHF@?J}{)=NF0l1+OOFAdrJ&iB5jFb>>A^w{$hy{Y#dXb7%oXc3;BUs5{nf`?Kdh~ z%#e|&LLRfE_Iu{VrT!P@NlRobfx8+0#9tO+rx_<#g1vZ5l}TOWuS>9tj(O#lxFmIh z*~_shjoB-u9%J5WON?4#%o5|+m&YHgEHNQ<$=^3vVp8hG?73U&OPIozmoW~#Me56$ zSBFJk>ucCx$R99@+o|kf3~R3A%)@R*G7>_M?U4E^j)o5MGWH@ed$-gpnSHM%rm-=R z*=FeinK}xkrplk4l(8PQSQ+cJ z#1-tJ<2WZQaTVL>*mKGf*d#d1p0k#?j?HwOBlawkO+o<%EP?HV*VvQBembT`Eb$Oa z+_1#`mbfYPyBXdXcGU5ANOm*xP-wDWNNU;=50!cyv#&_Kktsm9$pP-O#KSPrApE0v zr$qgcvHO(<$6);)Zixph@d&AJ=a`Sc);o^*C~VAQ3YM?L8AYidZuVtHN2|;jRxQfh z?%(@Bck&x4kD0yZtO=Y7~I%hU%f z@kH!fWY34Ov6HEfvJOs6b<5bt$&frL_6bWo3HuuP`=>4OWT_`P@6TatBeTCC^$zBJ z5t|&D_hm~wMe2u{{Z*-VGxaq~JQbTBISgzjb!1SmuUq12Qa{FFzJ=YB%>K62PcrYj zQa{bq_i=3zw;23tNjnE{tsLO~7sLILC7zBAlw3pqBlU9}>8F->h9#bf4V9emFD>yb zOP~*WjwPOp{j|*e9kz=y^#`e^IOdk2`JwTv&*G^m8oS?yodv= zu*6HSzmq+yv0IZV>{xt0Q){u8lc{x5zmKDDkorTJs+Rf#Ol`6Rl>23tK!2}(0;Ha& z3ctb0c%Ax*EM9MkS6JeexX6qPx6Kl-!nRLNycwH4nZktPl}hIEU6y#Y)E~v^@3F*d zuveJFU|Zm8m}-~$<2k@SsXvLS1K2LgUk^(C>CEep`m>novIK@4u6ekcnYqx0SG6%w zjl*tw=E3_w<{{n_i+9I+rT$z_1=I8*$HJ)SX8fcyhKw{+Fn(&G5kI{sde5zXDsxsD zoaK-@)Nb{}|R8?;?Rz91sVj{vw7rB=wgwh0ghDERYLQ ze+~04O8pH?jbdjme;t?lTbVbBJ-W=Bk~$A3<1Yr!oiBjiLUL3agjZ)_U zUL3ZV2Bi@5GqBy4(}pd6JyWp7Z{)AflRA$A<7hH(!j4~NzZm<0Ip|AeWOZZ#CG>Jj zyxCWuG}VJPyb5C?x3f?@r3kNy#a|tV1}$;FITJeUj!@IS`0HY#BK~^%@j2-R`unWs zxyjM~WKx5+ropa(P5@hw3I12t&OS}bT53HAZYpd~hn4=`nccKBji|2v7 zT;b@h`mrVcV2QBe??Gb=TPHQ+SQPDZR{ng8^z^d3TYh(vJ7=q8?j3=&AR7MwHmbxw zD0Q9##L;OzmsR4U*#6Gc$FVV-73Pzcc)Qg9gLU#VmUxHMzssJ_TLK*<%IW=F?(GQl zR><+jTF4ryZ-Q($VQ+>`3lc3d{-yYrNtjr%jAO+z{8#sEQ^Eqq%495#{t@$^Wo}j3 z58GGO|1HpXC=vg+_`eghuLIgQN<^IUdT+K`G&=dn__sOucM$x$C8CgmzhCMU&|-5~%u?yLu zCviB3J&P>yDXH^}tguu@ij+wyM92GS9Kzu+n3zCuK5Ge#b3VIA3V!1<~;Z&LmWW~so8#9>UrRgu zf0T~T?uBlTkcAzEog}s{Ksj6@N~n4;>A%I#UoH|^*u(L95${-uD5ZE`wZzw?`6ZLg zO(6y^g;;|N|NYi6H~IWNQh2sRlog)CqMNI}>en?Nmh&vm6tWyB5sOKjS%JO2r7+Eb zhY@(BL@Wsj>{*<`iyZh80*{u6r4-m0O^=VvT#$tm7=M~SE1blQuvQ_~$m6tlk1f~3 znQK|A-_C;laalN1IE(f9!Yf#_oSeX3P&}UGTCgw3ZGRbR!OGd?+0-cFX$>|FSHmxtiVs)A(!ZkNYPgYF(QvixHVs$9 z^0nf_)zCf_4OiP?({T0rI*32)!QJvk!z`4*=`~q1OWf`v?oY+NXR(==3@t`v?m?y~jSnLhtIakFd~7dF&%B z^b8*R2n#)F$3DVBPt&oFu+Y16>?17ns2uwU3q1~}d_?HW>f3%$+8KEgt8u(6M@ z&;x7iBP{fK8v6(fy@$p=!a|Rov5&COqh{?17ndKUW#3%!S>d_?H?17n7!dmi z3%%^4d_?H!al-6ubZ%s zu+V!Y>?17n7zz6b3q35Nd_)v`b`n3d(a)Tw1gM3lU5l&6&!z+#FatveQ*M*y26H1i zI*Ck6dy_r~n8K{(U-|1v zX?~rlQ_}nfQ#g=US~ZlOws-WUdEXv>*KhK7OZLt@XWw6Xh=YaoI~Yc=)SPafgSLBF zm=b#?pAnKgb_QOU82bBd{0rGn7(i@)Z?oSx$DH_nIS`jAIk?b}*l1Zisx~qDpi4O{ z`%6T*9KnW&p3KO23SBw32RC&tGoX4Li$ z1Y8956bJA{rO-tIwjda|&b)-KaKKKT61uBFxwxr8 zxwxl6xwxf4xwxZ2xwxT0xwxM}xwxG{xwxA_xwx4@xww}>xww@OUO zC>M7jC>J*&C>Qr2DEF%E;tmAm#|;R|#r+4$#q9^m#oY(W#mxuG#k~j0#jOX*#hnMr zeYox7z60fdgze(41Lc2|?c$yT<$sLrf2{33&UPPfySUvzg~Qzj%6*dUKG}AkV!OE0 zKz+xJ2Fk^K2Fk^42Fk@<2Fk@v2Fk@f2Fk@P2Fk@92Fk?^2Fk_#1;DSMgEQ%_|DWN)=*AKHFAucG{T zTt&HfT1C14ZM#3V-T$%OpV;nCZ5Pj}sPK49MY(uNMY+GUzyHd1|JQbZZM(m*-QU{o z?`-$?w)+R$#j`0YJv^GCTs)bgTs)YfTs)UTEzQG7g+qFlpv z@jQz1<8c(_;%OA+#%;IIcBSnW+3o_{#d9bsJRU<)E}lYBZi(%d+HRTcF1Fnzw!74J z%WW4=pQ!lDZ2xlGU17T`ZFiOJ;<*zQ9*>9xYL>-M`a=CCZQIN|cMoN|cL7N|f7X``c|7&yy(rKHJ69 zB+8G6NtBCcNtAogb`RNZhwXOSZkO%iDH0VP50NMr&yXm$+je_wx7T)$+3s=MJz={i zZMV;MPucEi+dX5uXKnYK?c%8sl^-4&Q7)btQ7#@CQSPAa4%sfA7g79S+r`r&%8!Rd zl#6FYlzY*3FWK&>?T*>*xb5O85fvT}i6|G(h$wf;cBgH3#&&1P)zczIo9QrDxQNg< zFb<@B+MD02JxYjT}U}9HfpcXUI}iYSfjm4do}tb zVVe{J^1(ghddc6zk4Y@#J!`&SPbua+xO)jW6=K_U|es>hq zp#Z42x}e^c3lz2&-ce8;0Z{L7LA^5Q1hz3lL1iw>Vo>WT%fT3;*Nsq3xN8%3+fxW zKw;ze9R+nN0P0&VsQ<_X3VYA*D5%o`P~UMueK!{PH+e@8(L2SEMQ1@*IBps=I=j)Iy9fck|C>X*4d;atK0 zi=h731@-G(pnh|QL0u0>>bEYa-{k^@V-t5&QbACEa6$bs7bu+A$QRUK=F|Fa1SIuW z2b3;yfTDvUd4kd-e={h(zy%e}1q#Ph@&u*LOH%jyC8e7#C@U8zoRi5D)chnB1QmBd z73KmZ^9QwHKGpX?KvD}`P>XVb!Xcr2B~>~fP>%|LDsw?C&IM{o{-DbLc2E^AsAai8 z;lNbBlKMM#d6qoAG`0JX*iwKf+h97VgM zpq>%{wax{#J{Kq)guA1lo*n?T(FIkV3lxsO$fX zR8n6HfI8xWI+_a@c)fb#@3KjZn00I1_Gs1vzB;Sh4ZpyoC5 z{8j)|p9|_#E>JiRohPXIwZ3l$K%H?xoy`Rb=dJH3sP6_q^}C?X=K_VJ+BL0H|3P)RkPIaDBlY1@-3usB12$>$yPT zQiMD~={M$~=$ak?b-xShW-d^;pCMmR^RZJ|pdRLedU!5SxGLiRMNp4$K|L}TDBLfR zFQ~`Nr}~x!s_(HbsK@03g*z?s1vMXwuB{A!dV&k;iMc@GdX0QRJ!L+U3W9p73+idP zK;eFmd_m1iQmX=zdWH+?nYlpWs*!v_%}Y{2P|tQjJtr3^++30`sOQh8`tAxy>IE*S z7v=(myGrr}^^*C3S`z^EQWwOHQc-kVEOxX&kFQ1cn1Ye7)&cR_t17bsjUlrN|c&qq>s2PE|o7t}{{fx>l0 zd4ihP#6hbKfcm%#>Jzy@;qs(A3TkTr)TdlfpUwpe_c-MVYF=xeS`gG{T~MFP1?uzp zgPPZHI0(w!y{PBfy-2rPGSywSxW~b zx9@PJr&JO9mqe7OOI-VQSf35!p---Ge4lB`7 zB2)i4j(O_ps8CD?dl49mgr@&i|DByfEdo-|=-m(Me-2MyasW=BkH+f1M4!;-ZAh9q%gb6Aq{Z%7hXj@e0i z^|Ptw!AMznnsk&aP(P7{RC3})7WwK2>_uRZ92Vaqhh_QZu*A(_sXvD$$YH6E=I}i3#tA(Ha+NL?*?{wvB>GrnBN_=+Oe|83+zME+BkNU}iXxE>$aN$B zSF4=2L~8A_9YK~{E zZw-w-r($qk(>)*`Ic!&uI>f0(jzo^y()5yE{?158{z=2^2a==*6GMvHlUGscQO1zS z$MTDe=fpxHpU5xre?(4(L_U>YWL$R~68TJik-rlf`CNXH@uWvc&gb)sj8{NHA`j#j z8BfB5L>|g7GM)Wi(D8Q`EB_{mZ6c~kzeGZ(8%w~FYJ|-0t38fK84z^j-oX^j<>mH3SHuN-v@JA_&r?2?~OMRH=dxAR?lG1-pWv zSP%`Oq9UTd1rX(bZ?Ej^Wp*?1rA% z_@WrN1Q-0N7#O}R#kml@BnB?U1z#2em*#@M5CfOtg0F~y%W}bAi-F5=o8(n7aCt8H znix2SYxZ?9a0M>-2QhF(F8D_=a4Z-6vlzG%7konu9LM$cEirIqZqB#Gz*V^5-^IXH zdEf{l2Cl{hhlzpVE4mpwgNO(*a1Ab476aGhRaJy428J(7a?Ey#f#GX*9B`x<7(Rf< z0p}D0!>2eoU`-5MkL$2#F>rk@IJX$M0oUxjV&H~caDFjxBQCgr7&x8_E+htS98ow> zRbk#6yD^6%itgp!y$HJZAvNXZTwE;YW?XPdF>rG(xU?9!1s7aa4BV0nE-wad#RXRo z1GnaaW5vL2xZpT3a9b|8iWs;Z7hFvY+@1@rAqMWi1=kV-cjSWWh=DtC!S%$zow?u! zV&E=Za3e8r0vFs^4BV9qZYl;&;CL zCHw~(dYT(HdOTuiIuq@7o02x?#~7H76aeI1@{#L z58#6Pi-8An!2`s=gSg;9V&K7C@DMTZ5H5I_7_G@pj^tQ>=y#%GCt)!Ay6*oQw|J)@E(?M3aX#gPAy97Tx1N>alo{Ii z4nF0>AyDq*Q?3qyau=U+O$d~``IL`_K)HucxjqESC-{_)g+RHNPq`@s%6`$3 zP(H<{JQM=u5k6&F2$WCrDUXCed6Z9iGz7|L_&w1vamozC*)hJA&xuoJ=!u@?Q=SNc z@;N@`^C3_k=Tp8I0_6!l<*5)TPx2{W34!u?KILm6P`<#Yd?N(P7x|(7mN;dGP=ASU z0SG@^T22r}>m$gg|+QPkAK-%6IsbUxz?>mfyKu6{pP5xt-%v zUJHTpU4Fr@i&JLkFW=)+{tyD?c|PTjAyB^0r~Ekt$`AOIH$tHNkYD4s#3?fb(MNnM zZ;MlAsPPMY%HKnv{FqA_K|-Ls$fpbof$|eRWkd*+pYkc?5Gd2RK@_2iQ)URFOMEL` z;*=SJ=rcZLWC)a(`II?Bp!}Rqsf9rK1;2Wt#VIpX&zF44+#yh2;TJrwIAw+!|B6qU zKLpCJ`IH4hp!|kUStta`s}bJ@?&5o2za_^MJseR~m=a$xWWI}kjc;XfVM=_VmznZA zK4r-eD6jJ=ONT)DJ)g2{2$VnYDa(gI`5!)Ig%Buz?U}pbXls&8JKbfijX$**gTv9DK^YAyDSzQ}z#m zG8dn6KnRo?pK?$Llu>-jAt6vk^C^dgKJ;N`pUpgH%A432U_Pn3|FyvI=- zF=AluOBEay#lYN`DmW^Mfw?bLa8wopb6=|9s451o$8}hBF>rk@xTYAG`%(o*Z80$S zr3#L^V&F!+cR@Jni-8+E8gOFU;@#N64WlU++*qt(G~}m?1GnLVJBoqZa>1R&!0ou;1Tk=XE;vyP+<^=3E(Y$% z1t*DtJ8{9uV&KkPaBnei7cRK37&w6o?k@)J$^{P)11EC9gT%nyxZojT;O<=TFfnis zE_j3(IEf2R5d-(+f=7#ileyrrV&Gm}@OUwBZ!UPE7`P7?JXs9fmkXXM2JXiNPZtCC z=YnU7f$!mhXNiFaaKZP9fd_KIbH%`exZrtW;K5w*0x|FqE_jg`cqkXVSPVRj3tlP) z9?k_X7Xy#rf>(%vM{>ariGfqN;8kMaQC#pNV&KtS@LDnO7%q677J!i`Nm>76G z7raRfJb??|A_ktw1#c4rPvSakyBK&f7ravpJcSG1Ee4*-1wSDMp2h|569Z4@g7=Go zXK=x(V&Iuv@F6kqyHg0G2z*Kxtu#lY*i;2*@m8@OPLZ=43N zK0d|;|16gCMlSe<7AI4ldZ@W3s_H@8p7AVma^Pf-Sx|8=UiQuD5fF<-CUr*2KV1aKX`H;Jw^3 zTYM8YxXk;w;JjivKgk8>7X$B?sc*M8^D$7lkO0{G#aOuj+|M}3wV;Rq34jlAyN>~mZhDEm<^Nd<9|@<4Ko>wSw)0tZLlvs`eTfCZ5A zb6jv0G4OFNxSAOF1Q%RG41AIct|bP3o(rxc27ZAHw)m=Xa8ysvV>f;V_y+WEiPE_ z2JhQku;2~e)7*|wXh?nDKP2#HOVN5rJbDXH?f@HBa1nmpwh zd0LW3o*pF?(<(kC&q|f=Pm>p>$%{|O!M8l5Vv#98;qBI9<-uV;!yn$ay~=|%4f0zi zFZbnF1HOfh`MHgZg32v5WZ2yDgYbx0fbBtoY%AoIKDJ|EJ$_q#TEXy5q&nFDaH_mE zV0as?J{X=RZ#W@u1iilm@aN_0GTEBt02&`2 zIRd0g!fR?{6?tpqNcc;}Qc7*~qIf@+KF#YGv{o;a_qjJ`7`g})Sg=(2ptoRQg-A3O zOnM#*b|Y0jk}5w7Mme69k0*tv%Fn|&+RED5Udv}~=SykwE2;9EfO%Uh8exXjwuYUB zlo8L$OEJ%J5#G2+I3d5ACV!A7Ur3WbO-KSVpEV}0b1hadXN-=Fdt$E6PBmef(oEp?Joq|Qd*NYDEN2hOlHa02JLKXAwr;?i40 zk5u_5@Y^p1pO$ZjkrVRoMth4%Q;1Kkgt4KJpmnt@s}i23$egC1U>Hhz_zi_KzM+Z| zF!Ta(>xS;s4ON^b`>=N?=nXg4z;W6jYXfsZxuVReQ>;_xF{hkmoiZ=K;NzHr?+g@t zr&Yo8g;enInH8L}#Tl=O7JR&x^@5MjTEPn_1$~|MUSDWV_4gP`VSY7F)Y@BDM=rLc z6SYLkl(M&%QHpwF9U8fLpb3{IG9{TBsKTjMRah+RC7C2#l1Tz3DPdWXNm(mNNt=?C z=GVy-Ey2>$im6VfXvvl-Gp~~=Su07Ite0e}a7m^Ll*GDDre>`qWtDQivA+%Uj1h!{ zg{3N$p--z?kd62mT5e14DlmUhYQeuc@UPxL7_*JJ&tupxswF~!Nl}J*_6$9wV!YAe@36wcl=3($z=%;| z;0)%Ca8?1%!u45rR0K{jA&~|@Yw!b1HI=SPqOV&X2z22vD=m|%B!hJ@&i4gF`==>` zVhR>Is!S&&RhgZp+@GdAa6-Om?n8+*T{o$im%(omDdRT@&bpyVx*<8j1BP_djaaNK z@fpzvjKGO}jLC>)Xvj$2lB3ER5ktIF?U;ZeF;<=VTIEr1*5R*tn_KvB$m}swTbrfj zu&y6$Yn$L7r>)J>ido{U+S)9wxh2LN%Cod6>y+5m&??)uHcRVo$zaviW<`-*mY`q* zyI7~RZflT3nk7y?0EII5gpmGy%k*|#;cP9xCC;jPW@`;BF+rt|A{VTaV*OwbZCgLH zwIoXhtNNK8MK)W4%ofhpY`S%*A7jt}7r$Xiirt?@w+Y7Vv%wjCND-pI1<)+%G5GhE zG&`)WG%IY7G&@|DW`$RgW*gmI_;7!d4j-jT~0X3_3$ zmwhjDuSIv~Gl|#Tp&{PxZg*C?yC+2q5$^8xD+hc%?F-;?m|c+R5QT@~8VjzeFKb$% zp@s1h)_X1Xl>h4R>B3JdN8##GdAgAIBnT(D5s1q(%7fD2#NE?Af~7hJG$!9{))EV8@Ww1|R5+8E2a zHAlfBZHi^eKor=!U{MxaKqPsF@`-mb{7xdtPYx3@_P!Wzck`5TIp&D+HT?S)=9WLC zDL)-{l#Btd{1WpFDV-GalyWOg`Q4-3d0KUZlM||P*ilCRS<_#bv0O1Q2(Wn z@k@2zFUm4a+eX})1_^fHVz#nOPg>YWTGNyi*UIY2SU7L;CVKKBM)KMjlPgB@dV2Dr zM)JB$@~uRP*g+zo7$rSwDPNj$haL6xG|5&dlF8fh_Rx^@O#%dFGI2h+7T=vrr;uCW$twyuLg z*EZ{PO@iq<8g%VVbo| z20lT@!@~W5b`{dp9!J!k6~doYd!?!Ub`WR#ifQV=<0sU?@c%S*7zEr1W45lf(kg*j z{JUN1NK-<*pJ$OU#iNcg%t;KG(;-$jXH0Cs9CzIa8o?Sg-lI-1pxpz|x(*6070NP+ zIvBJ}WfncvqfRr>Nd{V>ijJN^6$Y(Rl||3;sIv`pvVnF|r;eUOoebJVT`YQ@N1bn= zdmCssb?fMb)XktHX(WqY>`|8(=)MLz2hE|Qm(d&yIw#G^qE~p-l?J-MfzCy9>F9@P zE(WbpjYU7=QP&vg0R}pXM(OBBX%vHwrqL{VgGYVLKo2s|9_rE2o2Z9D=cc)Jw7k@# zZZ>d33|tNVL0V8pAEpHvbRk+OP~tQLH`>4zriFFf5n7nR z6`@7gT%Yl%#|-pX16`CB)zQz%j@VbX?X@6Lm_YIqvfS1 zlrXIbbEjP!tZ$>R=ytEbBm!o{xbK4T&tR>ZwST_xs84{n4YZM3am(zL8jPJIZI=JlAHBwGO$rCs1o2ysSV|4UV2}Ef zVeNf}wNQr@sCStBg&O0eyKY759Vzu%U`sUGZj8La7z)&})H~$eqU@MgiB>Wy*i7BR z(pf4}`vY>cUC{r?mikwt)N_4-r#BH4JT|B|af7{4#UT}tR zUoep2c&)8ihU2xGmI+nJ@HZpF1^x{0K$x6iGMl6|vg2?PgJY48!x&QNm1$*vBtS>ubnYN=-sDwi6+O9|R$-D?rB&JF zIXupsMy5-dOe?{aD{R!stPx{|6$Fz)X1R>amisb;l2xPCbW@{fHOAEHw7OwxOq$cf z)W!^(7No#srIXoMq0P{mSXP~qNB3ub~6CjS*-N-$HaVwq0{|IM^( z*k1qDp>=c%iqSfZ1$Aj%uK#9g-7Rwu_>XPrGqvfK0F(b_Y9lQZqW_8;{(DIGpT6G^ zFJOY#0A{h5SMp+yvxEU%#Xv7+fJTwo`iSM5Dj>F`fqlflI&qVOdFdgF+@}?W5-`{@ z26nB1g;rCK!mttOKSF#9*wZ+=b(Ne zvYOJSdfnEiO_{oFMw!BNq#jZU>kwrEeoy?XlvYR`^dz=k?tzzq8$7BBb zZxmeri!-Z#Km^zSVl6B6zgW2b7iYQtmk8JY64Cl!oO%5>r_J^HZ%msr_1}WF;Me~W zo2gsI`d=bk|4TBd|0YKLZ}QhaY!@=O|7Du>re(eT10uNom)Y&+!uHP$(@|uZ=nWr8 zX|pu|yVeZtf4R*D1atdeZoNUs+^Uwr_+{BuV0A$U(2};)1E2+M$pkT;V*V|kd_=jh6Rj#+~ zzD&(>y=J{r*xW4FYYi+EXg*T%Ptj2h8ZOLePMNk_u!(l zKeKWkMRsV7EhC)0&wwedcS-wp7CEb%ALnxpFy*yVUtQwgg)!%~Q{Mr?PwCIA5#|;2 z^rFW(+;Bsxy&IVOLs4Y6up4&&5jU9f+9T|SJ%88@BMdhjvUS5?Qw{CaS_($PUYkov ze+@MYiiV*kH|!I!j&iC(SUNbkyf0|4n1)so6(B$x}8@KRai6oXlpKV;QK}J19}) zX|1Sb=pfa7hE>mHq+)I+M3HA~`Vyp?V^E#Ymn;%!g6`YxuS5D~WK!Sy-&ZV#(&;?HOq&b(fb^feY%CdBL-{L{Tr00F5;|^)iS`ulZ zZtO~$$Qav=cJmt>)Ow$@xfNt?z0YYiERB?3VvF;feiMT~XsJJXgX`f8?Yukfu3NsE zc4sW_L3>zOe%x;Tg1OfnMULCVq25J%ukF{ETF7ym+tm6P9k`r+*wmXJx0zhA`w2LW zOTWzscU_&2f;R(OCvZoGzA?kQ&(yg-FxFpSoqpVA#KIWbV8qai{*c^gD)C9JjAaGu z7fc&X1wCmK59UeCNqt)%=D#`1v_9)#gm$$8-_IWJZvLrwFj^I9{@ z;+kiU156XD^LBUpnVqPdp4sDk->B#h^|l6K3|lmv8`;+Vfz1XSbtI%V%_u*xn`GrN z^V&Sj0ZVcp8^&GG$NxcekX~b-&_PU%4W{r!2{ZotcUQy1>_OwdJ_7v&2B#atv`##P z4$+A}qeB?tp>(L17dr{LMrLkLF-|vm{++lQ> zZr|s07-QdXI^17y1$K=(zl<0NL$@(te`+&~sjzFCO=-PO@BG^1{Ki)kFoA^)>dr^u z-?uFOl9tP|i1H5I#Utnly$IjY5lj(A(ve0HB24Y!lHIh`Wc?+rj%DuV(dUv~!!+F| zyks-yl@A1J>=GMczD-{cTl!;ywc$jACt0kX^NS*vgPx{xUN<8CQ{T{MaKVE})>NMd zJu~S1-k`eVZ`uFRaw%-JePOeJAfNR(e>6BQ2RPtOe@5VZX~Uq@@HqXO-yv|m2r!ts zuPZh|iVQy+p?4*~U<#D4GO^(ogW+ok-2YgNWzL%>yS}kmP%~ereq(cc!apzmRnvml zTU&;#eAYZvId7VZdsW!5tAD_-t6FA;1x*$LP2roMhgGoON}(xwqyLSjFpYi`9Tn(T zaSd!E{JX=PAA88BIr{4K9g}W^5Qk#{_KHYR=nkKLl7B zXHISXN&@rNp=-7~M|4Za(y_Xw;dCrx={O2q01j{F{p3;Pn%2*q*Xmi8OMh>be+kXy3^wcgOw7^| zCbPa5HtTy^vy7>~$K^7*&8z+{Yoo{IHq_U2H9Jt)cJ4pvJ)D1DP~GE-G^nm;jq2xM zsvHK@4_Tx7g?%#$Jn-&f9t!!S;+_QnI-B5&F( zq?)f9ZrXIDzWYk9XmeK#UD`xCQMWudoyb@|iB7Vx{Fbogw`?uf*S}qPd9!$z_w@`g zNj>cNITOcErjvE^DV@xiKZQ=QF#oo&`L}J&XRGM8faCRon(kFirBijw3(~2K<vLn$lxv8W;+{!v-ywRrzhR8emYxVp+@%#F)J)KV11G5~R&IINRI>Q^7Fl@sl z2YQZ~bf%szhR$Tt-AnHcq=Uy}%(GA_%x)(QyR{5bT0d{=+eAH!&e9F2NM|ty%%-yg z2Cz@a0HbvnnC2Id(z+&%izP^gi6ve!a#?%TVhfU+vt1vJJFvpa`=H)=_ zZ8SEz95#1$RsWORQD73jQPHS+yg2unZi+s|Zmkuo%rHF&XSe=i#G=O)YYf@9eBC|X z{xjWYlbp7@WFR@r&`ew30AVxpHN~3K#$%=q!fCoyD7iAR38!afn_R*+xomA>-B-zQ z-)&3xMcV8f2uyIJ+_#GcMYOF-lG#e1sPf42lzDT<* zTc#bR=1RZPvp~7ut~0dTe)|^0=z|vfFxC z4)ZlCW916G0?$NSE?!Bw^@qy*T`OEk)G~~Z@Ui)>B+NHcU3K6Z$`SnTi6|+rjkTsH zAR(poGtI``6IVSff^2>*bzYk=!B?H)7cabDpYXrNA}IoB8W;g&zPQi}li@`gQBpqP z!sN?BVI1bd<`>6*6sHdl^tL63)`PR3-(8t?a!Z?{ozm<{-rDZ>mU9< zd>`)cxEdNkC;M6q%-Zgw_vw?SczPc*X_`alcqdIuJ+8(EPPGlzrmR;A+1#^I;OYlH zGU93;6d)#o!Zu&`z`HVF`&>F#x4k8u%h-NDy+1JF+sc|$*ycWuZwfh&&eIuN(|HWz zd^+EX(PmDA;}^S_CKa}M0L47vENrtQK%ap4C+xs!z01J2IO}SwyV;0ilbefV?&bw_ zf$o&{bOGa(g><3e6umkY(M5W?j&u=|?g9FMk&dm7A~yFw^}*ldyds+QTjI^mD`Mkj zv-67BwMX`LiB!Zkbj+o)-YVd)kb2yUZR5RnvI`nVy;N7C?$*V0vF_IHbTQ-BC3K1I zR#(yqS5nMTS3h`Zt!n^Wiv>cXnEncT|ES}=mRm~Uy-BcGJcur3xR%jn-YS9~1g_;= z^#U9v*!MfZrcG>h%jt5RV+dW&a6CvKG;s_IaFo(K#)XBCV+CEIbBv%X7><>6CClNw z6W}P#zDUZ)@eqAT=SZOsF&q!mhglBS=m19<4#z6GO6M3$S1}x`>1q?l_y9*)PFWtI zkLVl|=_3rs8oGw%fIH64r4HSHYw21&{bah9N&hH))SDic!Oa`yr1Ca*fJ|G?ASJ#U zlRu$WQv$^(&vC^%x=uH1DqY8zwVtkLi{Y9c;D`xwMc{hT^dhM!sbXdqMKisMTu?j{5k zGS=pnw!WBUHa*tPg(jcG>T$sjL5{OyZEtC?C5^RP56tN7SUVR6SuFT;trTl_xsKPA zfz}agbBj+tU`&xcu6vE?RitlP7F1Pnw%1r}u5o(1=KJ2aPhkVypf~f`bOY1OAES@? zo4I*QnG|PJWYc~oNNImD@0w#a)q20Dx%I}`t(C=lTyuR6giEuWJ_iQ1yDByd^7>+j z=?=71#qO;krpT#ca|aq@-CX1Ic*W*!VboT+e$seVoaAJKY}0+jO;1-R_<0 zrnX+)=1XlbgYM9cSxI*=#_Xg!4HrZBJRImxYU;0XG}e~Aqb+~Y zU^Uy$YT4ae@ID-;UJJSoTf-96wpn=hPiP+vy23CK)Uo^I4))t7d+XS2VKw&)b!-eX z&-3fpt>1fn0P(Sl?$WDdJ>A7r$!@yaUnN0r4ULlO*z9vwuW%>%#`7j}UT+)suCZ^_ z3Y(@u_3UOA#^MWjZ?pcLPyZ{^jFH95iyvL}E^WX{l7F4Xzcg?m&9&9z+GY$u`MuqM zYgel42?*0&scLQ95b(Tqp=k6kI_&Ot{2!)Yhk;&-kj3<0ZyDiK)1A)?$smyHM*CH z_&Bp8%wK>EZKb8njMLl+x3qbv(N};c>63Z^-lR`51=vsb^9#_@ zZs8DSNpBeiDB&xB`D4qiY(B!KPlDNpw4_!xw+PHdZDsfH4H)KssW!|*OL_ZI=A(Uj zR|m^9@NJ>MC;L1u|Mv8ohvjmXkc%eBC%)nXqylyT8TwPm}h|Fts@ zTmdt0^^KAeZD#W3X;-36Zvc2^Lmd*AtRtIQ#(r@U_-~Zm5Ud9P)fZk^+FA zGlFb72mq-7xM7U79@kC7;3~d?qF-IVvZf^2+$lhB8Si+OtNBSvvjoXDA&6_@E_k`A zQSxM)YfyZ}ues8b?cU|gJ`O4++gy<0n`+gIW-F{0J<#T0D~4M3%t{_Juk}}N;e{uz zJ4TJv2sks?MZIj+ko2OM+C?wBf}32_%Vx{I*G0hv@1@;iS#a~M%3fM0>y+m`ZsVof zaxHJ$!3mMs&3$avQt*K}e2u^9tp!pao2P@k54O1_!{c>)9(P>>k6&l2t*=er;tuz? zBMg#yUhDOBQ@t_$z!LSdxw2VwHQkT7-k^*&<#~p20@BKoQ_wDR@h?_2t z^!x1@Un!u^#17JfIBdCd(}T>gb%-7cTzf1{bLaKAjqR$k_v2B%SHa_BWMY}QsZM0P z*j)C0Hp)w+zXL>!$W>1#Drgkn`@tw55e_a{A}VAMc|R2e?k(bR7d6!0Pdk!l0Nf}6*S&+1_ zCYhp7(^*SeTadK1CYc&UqR+Mh9&vcx-kHIB++BP%1wQQQ?F)j_cQ>W)VWjtdz$sN< zVQ}cH49YU^DueNaK)};;*iVZwiwtx0EyuocoAk_w;b8H>?SC;MEI#xzYS~w&zWQfA zp_`5la+2N_u3I$b`slN_x(AIeX{q2gm6-m*iZoq~|J= zt5&YMaNL>e>0HN@boi9dWNjK8uV^>5+e&(LujrKMF>pK*{c7|ZaQrm-`{*B)bWcrB zOHUgmJx_F=l6lG~>G`7bmCOf6>Y=4*StY$-?t-NYmQ&Kp94>RJ%&SUz+2&=tl}&=< zhh@Jhdre6%H@w{Ra`!6fQ#fktDUR%d9^D_diA~4pR0aSNv|=a#*`Y9wP4m#hu;)PA@27q!1q((Aug|D*a)FAX|0=-UA5tHF(i&W4dndi-bcKgR!}q&MEs zcwgfKN_vxCO;Vabd7I{KTDED7lHUBu<|mrJ0LSyquQdNgNpI1k#jqA5mGq8FJ3iKN zvy$Gab*G-4dc$#Drzbi+sib$_-}z+c7vcDR=dU_nRnimU65ckz1yOs2$nMuo% zRw(Joo0C(M55w_n^5x_&;rMH>$X>aW^j?#DE$p>eN$(xeJ5TTYN_wA1`t0npM@jEj zzhC=)os{$el?F5#&;*X(4I~4@mGr^V;ON15l=P8DM!q@{>Xn2?jv!>_LUQsB`ISft ze6cs5Dd~>0S+z`9S!R*6X7*?Sb~Xpm^yXZ03+BS+lobq{TO7%oh1}R2 zvx;MLtwHiVp&RXzC@n$Ou{Xr{OOG4pbt%)N=La>IlC{!NSsv4wN zh*q(d{TWq`6y*0C1;S$t+IOZ`b!vX=gtoMvmw{E61G7XR72tkp+Jxj)%z*7Cc- z?VX?Cgh#-Ok6U zmqSvZVDeT)Uadq{hyP1h$}ovkzRRU#OZvaa%U_MY*5$tI)fl*1DnP2|M`_Em%cT{jSG~Ia>Z#`T0wUL)%nR^M6!IwwL)| z=IL)8@=|@!I818)4-3o|`hT6Txti<#k4w##{Qr@+x!R=>r2hZG0jv}LU-<_dF;tKL z1k&*TT#+(7lGV`wKddb>d&uKDX{SSh_i^$Vc_1o0>{wO;|dth=1UKx`1@}i~5%J?_b%* z%zeCsbovYXnDz5t-OkK?y?}K2i~E}O_us+ROnojTkgk6NpZopZQt$V+k#2tzoBej* zAi}!{5#BOzT4Wk@WnV)dgE0e@ENRlU(Tw((7+n0sczRJJkr4 z^!b~X!CwySWdF`($h4u8&7|MoxQ^I*`n#7S^SU}s?)jV76u&G|u>-Yo%-km3KZx?}6_ zpIA0_Yr|18@*h}xYz_WX%g5Yx4!=r9{X=WfUz6T(VmcY~4=$&_tT=A`)5{6b`@4V7 z#AY(?A6~0$&Hfw8%G7SyB{Jb(P`hjm|69tN>GcXMpilaj)ID4O|E4l$9s_A)%D*TE z*f{vNl{@oTI6$WT%VL3zhks+)GmVL`2g!_oVNCer!h2m)l-&C-^?~0Hc+K-~_5p0G z|6SkrF@?4# zCsR1FA(ibLb4a0Ya%48xdB;1c16h(CSs5aWjjn9}WQ;EKkABbH)kU9lHzmumqlgaSp*tLQ-=LzOBLq>`gNC0Ui{!Cv zAO0a9`u&KlIopTUt=29M@wW&){$M#f7k+qZwJZ~gwo3)XDk{)xQ< z_7L#TW?Q`43ojw0_2h}{nEuma+8@_?zY+Eo*_Z9(yW(TNpRxbQ_VJ(Jf1rQypLs!y z93lI&1NyE7G#k{}e!hD_oiVV(oFp|nu$@A==xgRBJn}wrC_B3EdUUf9 zp6&0uAK~b4haT7Zr$F`Zzx*1pF>)j!avNzSA;d|diAstS7m0;qJgG$5z_B-pBmLnx zfm9|_;JBDnA5mHZj5sv3c{ji)w4J%F>gmr*pf6_2)6C4kcMq%F(H9U;Ohc|>{XVN%)DI7PE zCgB(0_#J5)QHZD!aim$qFgVU2%_DZf@fd04s7+KyThiJw9**-#8^-}SzDU|SfKLu1 z?PMsI98cQIBj9*1=^z76K1MpqU&8Sgymh}cd|8$jI;~01|{5m-9BZyU?dZ=KRx``yIufy>o>FIP5)mexnJ6poBC+X#!1;?eNkMjf4*Lj2V za{=B}hzxMGf@3ln?79TUpU5zGVWPS#laX%VabF~(BTo`F@*EkLqbgBzG$-S8Y=vVQ znUn+U%yElM&RKz|IUACxIl*5!50YuQau79FF)~AIPgJcRnHdFkMQtLpqF#aHM`U(% z1W}{&k^7?K;g~??L<25*ADQQYdhonT7Uo_+7UjM~7Uqd0i}IuqHP5SLaoz=FN#09j zalS~hBp>MVy-JqlUqF`UzeJYN9Ar6tim3E8vZBC3va-NuWJST8WM#o4L@oF_SykvE zvbykGq846F))eVO))s~OE!v-~FBU^K6fa2B;+4q8;=hnhC9V*)#IIya$ylP6j3?Vl zj)UVovZGWu*;#5TQA;f$yGy&sp3<|4TKXZfw@eh-S7rfG%REXBl&w!v%RWKWvd786 za{bAna%YHI?lO6*Jj6!%IP!G)A#j{Vo++OS#}njO4EP|%NuG^q4#ytkxeBGo@rsLx zT5&x&8T%GdW7EkCm7pFfRVFW18VSc)@0RC{b%pCm+-T{#qV#p;mWtv9?0g+L7eb+R(OYZy=ZIJWbR(&ymY@ej#dI7x}zy z6F4T4FY3;R<681%U8vi-ACW8dTtux`n0!^Q9UOa-Z|XbA)%s7s@kMg2A*5}%m|SmE znta~~+GwNG|H+-g#Zs7)G^+f8$j z-{Ek(9j zBIRfcxVA?ntsU6iE>VhZ2W_$4i&E}(cZk|PTFTr0dnsRs15*Bu6NuVzfmEO))Mdxx zQlU=KQsK^1r6LKriJDMCDwZ%&Dv|KCR4U;&sdU%IQkkyvq_SOKmC7eRD8(c~SrR{x zDs~?)#U{Clnp8xJ>j{47*;lHPoQJ5%<)o^~^WeBfs^055QG5L^)$9%S^lmBD>iwux zyZ1*@ojx~-+BZ_F*LMjVH%blqehtSPQp0{VrTG3WrN;gD!|^Ag_P-@Hy9eszo;Rcx z1Evvmz*4E@z5a)w_mAVZs zM%2O8q#lDIRtH~}l7{3Z>X7nM^3VZ99Xd(sH4OYWY_!y8*t>ANBJ~|!fT+V`rG6tI zhDW?4^&bhgk4%*A8JPsfkDQoexWO=)DxZ$urXNhzbi$D_(h<3_cFV^3-PC@9ych0?@PZ%UI!M@v&i zcbBG)UQg7~o2BWapM&EW>E6-Th&o1+=8PEv$BEM1F-xQcW6nqm$L5t5jZKDrD+Rs- znwuPsI7?*r4EIbD7IA_Ua^DN1gygsf`nOr2i*R%#MclJN7w&+*$bBE^WXA)fxO)!h z9FB{in+rPC@e1hf2c04p0^K~&xnvo1^Fim7dxCBO=pyB2pj!w!x4Z^)i$IrCo&&lE zK$k;)4|Iz`r^zQkw*+*#z(&=*6m-$@@1R=-x+tX!=$3;nw^AQ;4}#94JOH{Cpv$XF z0NqN^uekB!j4}&hBDuZqn=n5#;LAM%oRBZ;jM?hCdtpvI?pev}(0Nq;9 z6;TI+?orScR*!;i9q5Xw+d#J-bVb!0pxXet66z(;JqEhs&YGax2)a_vVxZdux{}Tb zpxX?(GR{7r+XA}Mu3Dhm3c7Nx;-K3Gy0Wf`pnDv2F|NL#+YY+&?q5N-19TPLpM!2E z=qf~Z1KlprRf>!U-EPpu=7fIFy$5uabG8B96QGOB3H_9NFX*b~oC>;qpsSLrF6f>F zUG-e0K(`-s)p8vJ-2u?m%(WeKsi3Q&L7ce{g08kU5Ojw?S4+DMy2GHWt9=2wG|<(F zhWK_r1-kmtJwbN_boHX&0NvA|YZ#pdx}%_L5d9H*3Un`mu4Tb;pnC~) zZ3;$%?iA=+mw6R*FN3aKnS-Ev1$1r8Lfdq|3c3zun}Y5&(6uiMZN~jN=sJ~sA9Qbk zu4B1-LH8!;x|ACVy0<{rx%`KqdmD6J%fAS^)1XU;fj-2226WwG#)9r0&?Q!A47#(R z>rtTs=+1$zdo0YA-0y;}XKXppy$8CaI2c#m=RwyiE+6RL2VHVCO!ook`c%VoAA+uT zHRzw*AAzo4wRb>w0d#$=bkKbQx`8zYf$me#4XA~Aq=Rm7 zEzIK*=myoA54z7lH?-Cm&|L=IklN_y&p|i5Hv0Js&<(5o73jVM-N@Q!L3agoBkE(@ z{|a=Y>SNpg8gwZQu;2IwbYmK{1Km~7jcynTx^F=@uEEcsy9TH`>p=Gd=q5J7xcU$1rZmC0`Vn-Ko5q0dC(uo6nj3UKgKlc`7eMz5 z=w>wE3%VPio8J5z(A@;xz0KbR-7V0~Y%vmazk+Udi*BI14Z2w!H-qjs(9P+%7<9jb z?!HdFL3amq_jhVZiboRA&F%Cg^qCUq=66~Px-ihq>kRX<$Z*gt?7RbenhQJ`DhbrI;ILAR>wXQ1c|o@}aX0Alfo^@`!=TF#x^+n_Ku1CMSkiRR6#(6aEM%=t_caXYVl3l>*(4K6^k{8g#q+tO8vb(CzBi33O#a_e8(CpeqNuJp*8jjVuqk zeFG|jE(Uaa2Zn>L0_gS+_!e{(LHFd~JfMpOUFx7apsNJB10$htii`u@p^?xxMOFsg zK|;pf7xq)w&xB}H8KMj&VUfe(S}TD>z<-3eV+t0EJ)-755?Omc{27LqV?-trA<}dl zN=b}2nLHRN;D2tVJX~nO?{F3aXJPtTg~&Q2JaQDAM@7hki3W)@_*qjb5DoHOt~}_= zw-pJ8{|Ir#6s)LcTaTY@F>k6swyr?7E~Oh}7N%#GBRr5* z3lwm@bph8w;noKVxW~GHjriGSETBJIP6079y@0Mm%1B=Ut3oAUHK;MV9~%c}(@-y6 zq&JQHAoz^vK66O&gXk;QY1bJaRRgF+tVd@|1)ZyDFjpI$E3Y?EpiZ1RtE96!!>}fN z%pbTuv|w%?%-k+(%%55^w+v=Z$P%-=yd`t%VCHdIV~(?AZX3)zK5NW1+%+xy+&-9j zV%C@&STc7EW}cKa<|dZRor9UDWR1C{C38YB^VF;{w{y3*@N;4?v$xX}Z7J>qOXlvu z%--Hgn7N;&HRX zKfu54Zb3TmiMto;6G$_F!!?wnOJQ}9gGfH8y@!XLu5+%BU7xutx~sbD zKuMar+qgTqySaNo4ny53k>epAZb&3-pt4(8B4I}$DVvo59L?OiEJ9D&-`c%SnnNACz$Dl9YUS21AV`50nQ<%6{d5q`a>bl-$q}NXkce z1`StIF5nq7G)Z12FPCsWA<5SP>w=+GQVQU&Fsw*QF+77|KvGKL8Fbr{QU=eUo0XK) z${9&HsXQ;qsfdLEUy@hIDY}KP;y);UMDY`f zpHcjR;s%PFC~l$n6|uKb{D$Ip6n8*K1cihm3`IC3mLgC%P{=406efsOdQ8d6m8=`20A|6HKh{8l_if7GGG)K__MN1T|P_#zT21Q#G?NGEw z(E&wA6rE6XM$rXD0*bCE5>a$R(H%t(6iFz0qDV&33q@}feNglTLF(aIKNS5@+=F5O zih(Ewp%{!}2#By>uvUk{8L5u~OG&Vlq$UVy7>eO2MxYppA_c`L6r)j$0YP+!jm1C5 zp%{;10{)=8cp{#Cgkqng0U_&AY(TLN#d|U(;&l{nqj(g> zV<CZda zW}{e+;&~L$p*Vr!B#LKI^hA-2A_+w=6n#+iK+zjTGZgJmi~97>HsHin%Bjpje3FaTGgIY(s-K;n~9|_M)ijC5)?~OEJLvz#e?|k3OrkhXAj}o!zfmvSdHQl6l+kdMe!)6 zTZdvjiVdiH48=win^0^xew`!Mm7DE6Z` zfFc#eK@^8j97d6b;wcnIP&|#|D2iv0aT3MzC|*GEA|`$b&rYFu z8O19o-bC>#iq}xQj^YgzZ=rY_#c330P`rcUEK;3A@h<-P9*XlQ-be8PiVyMEkMQgQ zijPrTMDYoVPf?^}l1q5@8H&p&K1cBd{_rJ=EBNPED85GV4T`G~-;#)Hc=jEN>nOfQ z@dJwgp!gBRPbhvy@e7I@C~l&-h2mEfw^96t;&&8xKsX2r2}Kx+a1;?J94KTI3JScH z?r@@Tp>U&!M3DnUP87LNXegpkM5FMa$c-Woio7WDp~#Pdq9}l(Ac{gL3Zp23q9}@D zAjo`dF59tHynx~r6!)RHA4O$HaYDA@*=7`5KuD9M$wYb{#mgvOL-96>cTk*1@ga(j zQGANxGZec~TtV?Iit8x;gW_itH&NUM5hkIKQ8-bz7tbP5M4`xwq9BSQD2k&fg`zBq z7!&gkc4QVFiR?1%!Qq;u4C_K}g5(3@ae)+pued9K}ItKMtB{ z7y^e;976FF{19Fgy87_=@Wv#(7WVh`QRG2gK|HGz9!J7Ug#*EZ7#5RIOhGXg2_~SJ mjDJo=F&_V%h+;R22T->d#XJ-%P)tKH9Yo{=xEu_35b|H~=P}{{