Delete el2_lsu_trigger.scala

This commit is contained in:
Laraib Khan 2020-09-24 09:28:06 +05:00 committed by GitHub
parent 6686380981
commit f399b91153
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 0 additions and 15 deletions

View File

@ -1,15 +0,0 @@
class el2_lsu_trigger extends Module{
val io = IO(new Bundle{
val trigger_pkt_any = Input(Vec (4,(new el2_trigger_pkt_t)))
val lsu_pkt_m = Input(new el2_lsu_pkt_t)
val lsu_addr_m = Input(UInt(32.W))
val store_data_m = Input(UInt(32.W))
val lsu_trigger_m_match = Output(UInt(4.W))
})
val lsu_match_data = Vec(4,UInt(32.W))
val lsu_trigger_data_match = WireInit(0.U(4.W))
val store_data_trigger_m = WireInit(0.U(32.W))
io.lsu_trigger_m_match:=0.U
}