diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index ceb37107..e05f6822 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -1412,181 +1412,189 @@ circuit el2_lsu_bus_buffer : node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 286:45] node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 286:76] node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 286:8] - node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 286:108] - node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 285:46] - node _T_884 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] - node _T_885 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 286:25] - node _T_886 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 286:45] - node _T_887 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:76] - node _T_888 = mux(_T_885, _T_886, _T_887) @[el2_lsu_bus_buffer.scala 286:8] - node _T_889 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:108] - node _T_890 = mux(_T_884, _T_888, _T_889) @[el2_lsu_bus_buffer.scala 285:46] - node _T_891 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] - node _T_892 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 286:25] - node _T_893 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 286:45] - node _T_894 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:76] - node _T_895 = mux(_T_892, _T_893, _T_894) @[el2_lsu_bus_buffer.scala 286:8] - node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:108] - node _T_897 = mux(_T_891, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 285:46] - node _T_898 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] - node _T_899 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 286:25] - node _T_900 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 286:45] - node _T_901 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:76] - node _T_902 = mux(_T_899, _T_900, _T_901) @[el2_lsu_bus_buffer.scala 286:8] - node _T_903 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:108] - node _T_904 = mux(_T_898, _T_902, _T_903) @[el2_lsu_bus_buffer.scala 285:46] - node _T_905 = cat(_T_904, _T_897) @[Cat.scala 29:58] - node _T_906 = cat(_T_905, _T_890) @[Cat.scala 29:58] - node ibuf_data_in = cat(_T_906, _T_883) @[Cat.scala 29:58] - node _T_907 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 287:59] - node _T_908 = bits(_T_907, 0, 0) @[el2_lsu_bus_buffer.scala 287:79] - node _T_909 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 287:93] - node _T_910 = tail(_T_909, 1) @[el2_lsu_bus_buffer.scala 287:93] - node _T_911 = mux(_T_908, _T_910, ibuf_timer) @[el2_lsu_bus_buffer.scala 287:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_911) @[el2_lsu_bus_buffer.scala 287:26] - node _T_912 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 289:36] - node _T_913 = and(_T_912, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 289:54] - node _T_914 = and(_T_913, ibuf_valid) @[el2_lsu_bus_buffer.scala 289:75] - node _T_915 = and(_T_914, ibuf_write) @[el2_lsu_bus_buffer.scala 289:88] - node _T_916 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 289:117] - node _T_917 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 289:137] - node _T_918 = eq(_T_916, _T_917) @[el2_lsu_bus_buffer.scala 289:124] - node _T_919 = and(_T_915, _T_918) @[el2_lsu_bus_buffer.scala 289:101] - node _T_920 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 289:147] - node _T_921 = and(_T_919, _T_920) @[el2_lsu_bus_buffer.scala 289:145] - node _T_922 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 289:170] - node _T_923 = and(_T_921, _T_922) @[el2_lsu_bus_buffer.scala 289:168] - ibuf_merge_en <= _T_923 @[el2_lsu_bus_buffer.scala 289:17] - node _T_924 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 290:20] - ibuf_merge_in <= _T_924 @[el2_lsu_bus_buffer.scala 290:17] - node _T_925 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] - node _T_926 = and(ibuf_merge_en, _T_925) @[el2_lsu_bus_buffer.scala 291:63] - node _T_927 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 291:92] - node _T_928 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 291:114] - node _T_929 = or(_T_927, _T_928) @[el2_lsu_bus_buffer.scala 291:96] - node _T_930 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 291:130] - node _T_931 = mux(_T_926, _T_929, _T_930) @[el2_lsu_bus_buffer.scala 291:48] - node _T_932 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] - node _T_933 = and(ibuf_merge_en, _T_932) @[el2_lsu_bus_buffer.scala 291:63] - node _T_934 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 291:92] - node _T_935 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 291:114] - node _T_936 = or(_T_934, _T_935) @[el2_lsu_bus_buffer.scala 291:96] - node _T_937 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 291:130] - node _T_938 = mux(_T_933, _T_936, _T_937) @[el2_lsu_bus_buffer.scala 291:48] - node _T_939 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] - node _T_940 = and(ibuf_merge_en, _T_939) @[el2_lsu_bus_buffer.scala 291:63] - node _T_941 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 291:92] - node _T_942 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 291:114] - node _T_943 = or(_T_941, _T_942) @[el2_lsu_bus_buffer.scala 291:96] - node _T_944 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 291:130] - node _T_945 = mux(_T_940, _T_943, _T_944) @[el2_lsu_bus_buffer.scala 291:48] - node _T_946 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] - node _T_947 = and(ibuf_merge_en, _T_946) @[el2_lsu_bus_buffer.scala 291:63] - node _T_948 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 291:92] - node _T_949 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 291:114] - node _T_950 = or(_T_948, _T_949) @[el2_lsu_bus_buffer.scala 291:96] - node _T_951 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 291:130] - node _T_952 = mux(_T_947, _T_950, _T_951) @[el2_lsu_bus_buffer.scala 291:48] - node _T_953 = cat(_T_952, _T_945) @[Cat.scala 29:58] - node _T_954 = cat(_T_953, _T_938) @[Cat.scala 29:58] - node ibuf_byteen_out = cat(_T_954, _T_931) @[Cat.scala 29:58] - node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] - node _T_956 = and(ibuf_merge_en, _T_955) @[el2_lsu_bus_buffer.scala 292:60] - node _T_957 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 292:98] - node _T_958 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 292:118] - node _T_959 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 292:143] - node _T_960 = mux(_T_957, _T_958, _T_959) @[el2_lsu_bus_buffer.scala 292:81] - node _T_961 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 292:169] - node _T_962 = mux(_T_956, _T_960, _T_961) @[el2_lsu_bus_buffer.scala 292:45] - node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] - node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 292:60] - node _T_965 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 292:98] - node _T_966 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 292:118] - node _T_967 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 292:143] - node _T_968 = mux(_T_965, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 292:81] - node _T_969 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 292:169] - node _T_970 = mux(_T_964, _T_968, _T_969) @[el2_lsu_bus_buffer.scala 292:45] - node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] - node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 292:60] - node _T_973 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 292:98] - node _T_974 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 292:118] - node _T_975 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 292:143] - node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 292:81] - node _T_977 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 292:169] - node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 292:45] - node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] - node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 292:60] - node _T_981 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 292:98] - node _T_982 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 292:118] - node _T_983 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 292:143] - node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 292:81] - node _T_985 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 292:169] - node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 292:45] - node _T_987 = cat(_T_986, _T_978) @[Cat.scala 29:58] - node _T_988 = cat(_T_987, _T_970) @[Cat.scala 29:58] - node ibuf_data_out = cat(_T_988, _T_962) @[Cat.scala 29:58] - node _T_989 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 294:28] - node _T_990 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:63] - node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 294:61] - reg _T_992 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 294:24] - _T_992 <= _T_991 @[el2_lsu_bus_buffer.scala 294:24] - ibuf_valid <= _T_992 @[el2_lsu_bus_buffer.scala 294:14] - node _T_993 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 295:120] - node _T_994 = bits(_T_993, 0, 0) @[el2_lsu_bus_buffer.scala 295:120] - node _T_995 = and(ibuf_wr_en, _T_994) @[el2_lsu_bus_buffer.scala 295:89] - reg _T_996 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_995 : @[Reg.scala 28:19] - _T_996 <= ibuf_tag_in @[Reg.scala 28:23] + node _T_882 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 287:40] + node _T_883 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 287:77] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[el2_lsu_bus_buffer.scala 287:8] + node _T_885 = mux(_T_877, _T_881, _T_884) @[el2_lsu_bus_buffer.scala 285:46] + node _T_886 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] + node _T_887 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 286:25] + node _T_888 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 286:45] + node _T_889 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:76] + node _T_890 = mux(_T_887, _T_888, _T_889) @[el2_lsu_bus_buffer.scala 286:8] + node _T_891 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 287:40] + node _T_892 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 287:77] + node _T_893 = mux(io.ldst_dual_r, _T_891, _T_892) @[el2_lsu_bus_buffer.scala 287:8] + node _T_894 = mux(_T_886, _T_890, _T_893) @[el2_lsu_bus_buffer.scala 285:46] + node _T_895 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] + node _T_896 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 286:25] + node _T_897 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 286:45] + node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:76] + node _T_899 = mux(_T_896, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 286:8] + node _T_900 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 287:40] + node _T_901 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 287:77] + node _T_902 = mux(io.ldst_dual_r, _T_900, _T_901) @[el2_lsu_bus_buffer.scala 287:8] + node _T_903 = mux(_T_895, _T_899, _T_902) @[el2_lsu_bus_buffer.scala 285:46] + node _T_904 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] + node _T_905 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 286:25] + node _T_906 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 286:45] + node _T_907 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:76] + node _T_908 = mux(_T_905, _T_906, _T_907) @[el2_lsu_bus_buffer.scala 286:8] + node _T_909 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 287:40] + node _T_910 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 287:77] + node _T_911 = mux(io.ldst_dual_r, _T_909, _T_910) @[el2_lsu_bus_buffer.scala 287:8] + node _T_912 = mux(_T_904, _T_908, _T_911) @[el2_lsu_bus_buffer.scala 285:46] + node _T_913 = cat(_T_912, _T_903) @[Cat.scala 29:58] + node _T_914 = cat(_T_913, _T_894) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_914, _T_885) @[Cat.scala 29:58] + node _T_915 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 288:59] + node _T_916 = bits(_T_915, 0, 0) @[el2_lsu_bus_buffer.scala 288:79] + node _T_917 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 288:93] + node _T_918 = tail(_T_917, 1) @[el2_lsu_bus_buffer.scala 288:93] + node _T_919 = mux(_T_916, _T_918, ibuf_timer) @[el2_lsu_bus_buffer.scala 288:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_919) @[el2_lsu_bus_buffer.scala 288:26] + node _T_920 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 290:36] + node _T_921 = and(_T_920, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 290:54] + node _T_922 = and(_T_921, ibuf_valid) @[el2_lsu_bus_buffer.scala 290:75] + node _T_923 = and(_T_922, ibuf_write) @[el2_lsu_bus_buffer.scala 290:88] + node _T_924 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 290:117] + node _T_925 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 290:137] + node _T_926 = eq(_T_924, _T_925) @[el2_lsu_bus_buffer.scala 290:124] + node _T_927 = and(_T_923, _T_926) @[el2_lsu_bus_buffer.scala 290:101] + node _T_928 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 290:147] + node _T_929 = and(_T_927, _T_928) @[el2_lsu_bus_buffer.scala 290:145] + node _T_930 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 290:170] + node _T_931 = and(_T_929, _T_930) @[el2_lsu_bus_buffer.scala 290:168] + ibuf_merge_en <= _T_931 @[el2_lsu_bus_buffer.scala 290:17] + node _T_932 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:20] + ibuf_merge_in <= _T_932 @[el2_lsu_bus_buffer.scala 291:17] + node _T_933 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] + node _T_934 = and(ibuf_merge_en, _T_933) @[el2_lsu_bus_buffer.scala 292:63] + node _T_935 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 292:92] + node _T_936 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 292:114] + node _T_937 = or(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 292:96] + node _T_938 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 292:130] + node _T_939 = mux(_T_934, _T_937, _T_938) @[el2_lsu_bus_buffer.scala 292:48] + node _T_940 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] + node _T_941 = and(ibuf_merge_en, _T_940) @[el2_lsu_bus_buffer.scala 292:63] + node _T_942 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 292:92] + node _T_943 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 292:114] + node _T_944 = or(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 292:96] + node _T_945 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 292:130] + node _T_946 = mux(_T_941, _T_944, _T_945) @[el2_lsu_bus_buffer.scala 292:48] + node _T_947 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] + node _T_948 = and(ibuf_merge_en, _T_947) @[el2_lsu_bus_buffer.scala 292:63] + node _T_949 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 292:92] + node _T_950 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 292:114] + node _T_951 = or(_T_949, _T_950) @[el2_lsu_bus_buffer.scala 292:96] + node _T_952 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 292:130] + node _T_953 = mux(_T_948, _T_951, _T_952) @[el2_lsu_bus_buffer.scala 292:48] + node _T_954 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] + node _T_955 = and(ibuf_merge_en, _T_954) @[el2_lsu_bus_buffer.scala 292:63] + node _T_956 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 292:92] + node _T_957 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 292:114] + node _T_958 = or(_T_956, _T_957) @[el2_lsu_bus_buffer.scala 292:96] + node _T_959 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 292:130] + node _T_960 = mux(_T_955, _T_958, _T_959) @[el2_lsu_bus_buffer.scala 292:48] + node _T_961 = cat(_T_960, _T_953) @[Cat.scala 29:58] + node _T_962 = cat(_T_961, _T_946) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_962, _T_939) @[Cat.scala 29:58] + node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] + node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 293:60] + node _T_965 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 293:98] + node _T_966 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 293:118] + node _T_967 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 293:143] + node _T_968 = mux(_T_965, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 293:81] + node _T_969 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 293:169] + node _T_970 = mux(_T_964, _T_968, _T_969) @[el2_lsu_bus_buffer.scala 293:45] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 293:60] + node _T_973 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 293:98] + node _T_974 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 293:118] + node _T_975 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 293:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 293:81] + node _T_977 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 293:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 293:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 293:60] + node _T_981 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 293:98] + node _T_982 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 293:118] + node _T_983 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 293:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 293:81] + node _T_985 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 293:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 293:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[el2_lsu_bus_buffer.scala 293:60] + node _T_989 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 293:98] + node _T_990 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 293:118] + node _T_991 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 293:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 293:81] + node _T_993 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 293:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[el2_lsu_bus_buffer.scala 293:45] + node _T_995 = cat(_T_994, _T_986) @[Cat.scala 29:58] + node _T_996 = cat(_T_995, _T_978) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_996, _T_970) @[Cat.scala 29:58] + node _T_997 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 295:28] + node _T_998 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:63] + node _T_999 = and(_T_997, _T_998) @[el2_lsu_bus_buffer.scala 295:61] + reg _T_1000 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 295:24] + _T_1000 <= _T_999 @[el2_lsu_bus_buffer.scala 295:24] + ibuf_valid <= _T_1000 @[el2_lsu_bus_buffer.scala 295:14] + node _T_1001 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 296:120] + node _T_1002 = bits(_T_1001, 0, 0) @[el2_lsu_bus_buffer.scala 296:120] + node _T_1003 = and(ibuf_wr_en, _T_1002) @[el2_lsu_bus_buffer.scala 296:89] + reg _T_1004 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1003 : @[Reg.scala 28:19] + _T_1004 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_996 @[el2_lsu_bus_buffer.scala 295:12] - node _T_997 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 296:131] - node _T_998 = bits(_T_997, 0, 0) @[el2_lsu_bus_buffer.scala 296:131] - node _T_999 = and(ibuf_wr_en, _T_998) @[el2_lsu_bus_buffer.scala 296:100] + ibuf_tag <= _T_1004 @[el2_lsu_bus_buffer.scala 296:12] + node _T_1005 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 297:131] + node _T_1006 = bits(_T_1005, 0, 0) @[el2_lsu_bus_buffer.scala 297:131] + node _T_1007 = and(ibuf_wr_en, _T_1006) @[el2_lsu_bus_buffer.scala 297:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_999 : @[Reg.scala 28:19] + when _T_1007 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1000 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 297:127] - node _T_1001 = bits(_T_1000, 0, 0) @[el2_lsu_bus_buffer.scala 297:127] - node _T_1002 = and(ibuf_wr_en, _T_1001) @[el2_lsu_bus_buffer.scala 297:96] + node _T_1008 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 298:127] + node _T_1009 = bits(_T_1008, 0, 0) @[el2_lsu_bus_buffer.scala 298:127] + node _T_1010 = and(ibuf_wr_en, _T_1009) @[el2_lsu_bus_buffer.scala 298:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1002 : @[Reg.scala 28:19] + when _T_1010 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1003 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 298:128] - node _T_1004 = bits(_T_1003, 0, 0) @[el2_lsu_bus_buffer.scala 298:128] - node _T_1005 = and(ibuf_wr_en, _T_1004) @[el2_lsu_bus_buffer.scala 298:97] + node _T_1011 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:128] + node _T_1012 = bits(_T_1011, 0, 0) @[el2_lsu_bus_buffer.scala 299:128] + node _T_1013 = and(ibuf_wr_en, _T_1012) @[el2_lsu_bus_buffer.scala 299:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1005 : @[Reg.scala 28:19] + when _T_1013 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1006 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:135] - node _T_1007 = bits(_T_1006, 0, 0) @[el2_lsu_bus_buffer.scala 299:135] - node _T_1008 = and(ibuf_wr_en, _T_1007) @[el2_lsu_bus_buffer.scala 299:104] + node _T_1014 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:135] + node _T_1015 = bits(_T_1014, 0, 0) @[el2_lsu_bus_buffer.scala 300:135] + node _T_1016 = and(ibuf_wr_en, _T_1015) @[el2_lsu_bus_buffer.scala 300:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1008 : @[Reg.scala 28:19] + when _T_1016 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1009 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:135] - node _T_1010 = bits(_T_1009, 0, 0) @[el2_lsu_bus_buffer.scala 300:135] - node _T_1011 = and(ibuf_wr_en, _T_1010) @[el2_lsu_bus_buffer.scala 300:104] - reg _T_1012 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1011 : @[Reg.scala 28:19] - _T_1012 <= io.is_sideeffects_r @[Reg.scala 28:23] + node _T_1017 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:135] + node _T_1018 = bits(_T_1017, 0, 0) @[el2_lsu_bus_buffer.scala 301:135] + node _T_1019 = and(ibuf_wr_en, _T_1018) @[el2_lsu_bus_buffer.scala 301:104] + reg _T_1020 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1019 : @[Reg.scala 28:19] + _T_1020 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1012 @[el2_lsu_bus_buffer.scala 300:19] - node _T_1013 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:134] - node _T_1014 = bits(_T_1013, 0, 0) @[el2_lsu_bus_buffer.scala 301:134] - node _T_1015 = and(ibuf_wr_en, _T_1014) @[el2_lsu_bus_buffer.scala 301:103] + ibuf_sideeffect <= _T_1020 @[el2_lsu_bus_buffer.scala 301:19] + node _T_1021 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 302:134] + node _T_1022 = bits(_T_1021, 0, 0) @[el2_lsu_bus_buffer.scala 302:134] + node _T_1023 = and(ibuf_wr_en, _T_1022) @[el2_lsu_bus_buffer.scala 302:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1015 : @[Reg.scala 28:19] + when _T_1023 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_1016 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1024 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] - _T_1016 <= io.lsu_pkt_r.store @[Reg.scala 28:23] + _T_1024 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1016 @[el2_lsu_bus_buffer.scala 302:14] + ibuf_write <= _T_1024 @[el2_lsu_bus_buffer.scala 303:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1597,149 +1605,149 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr.io.en <= ibuf_wr_en @[el2_lib.scala 488:17] rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1017 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1017 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_1017 @[el2_lsu_bus_buffer.scala 304:13] - reg _T_1018 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1025 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1025 <= ibuf_addr_in @[el2_lib.scala 491:16] + ibuf_addr <= _T_1025 @[el2_lsu_bus_buffer.scala 305:13] + reg _T_1026 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] - _T_1018 <= ibuf_byteen_in @[Reg.scala 28:23] + _T_1026 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1018 @[el2_lsu_bus_buffer.scala 305:15] + ibuf_byteen <= _T_1026 @[el2_lsu_bus_buffer.scala 306:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_1.io.en <= ibuf_wr_en @[el2_lib.scala 488:17] rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1019 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1019 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_1019 @[el2_lsu_bus_buffer.scala 306:13] - reg _T_1020 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 307:59] - _T_1020 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 307:59] - ibuf_timer <= _T_1020 @[el2_lsu_bus_buffer.scala 307:14] + reg _T_1027 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1027 <= ibuf_data_in @[el2_lib.scala 491:16] + ibuf_data <= _T_1027 @[el2_lsu_bus_buffer.scala 307:13] + reg _T_1028 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 308:59] + _T_1028 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 308:59] + ibuf_timer <= _T_1028 @[el2_lsu_bus_buffer.scala 308:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 311:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 312:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] wire Cmdptr0 : UInt<2> Cmdptr0 <= UInt<1>("h00") - io.Cmdptr0 <= Cmdptr0 @[el2_lsu_bus_buffer.scala 314:14] + io.Cmdptr0 <= Cmdptr0 @[el2_lsu_bus_buffer.scala 315:14] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_1021 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 318:43] - node _T_1022 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 318:72] - node _T_1023 = and(_T_1021, _T_1022) @[el2_lsu_bus_buffer.scala 318:51] - node _T_1024 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 318:97] - node _T_1025 = and(_T_1023, _T_1024) @[el2_lsu_bus_buffer.scala 318:80] - node _T_1026 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:5] - node _T_1027 = and(_T_1025, _T_1026) @[el2_lsu_bus_buffer.scala 318:114] - node _T_1028 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:114] - node _T_1029 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 319:114] - node _T_1030 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 319:114] - node _T_1031 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 319:114] - node _T_1032 = mux(_T_1028, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1033 = mux(_T_1029, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1034 = mux(_T_1030, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1035 = mux(_T_1031, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1036 = or(_T_1032, _T_1033) @[Mux.scala 27:72] - node _T_1037 = or(_T_1036, _T_1034) @[Mux.scala 27:72] - node _T_1038 = or(_T_1037, _T_1035) @[Mux.scala 27:72] - wire _T_1039 : UInt<1> @[Mux.scala 27:72] - _T_1039 <= _T_1038 @[Mux.scala 27:72] - node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:31] - node _T_1041 = and(_T_1027, _T_1040) @[el2_lsu_bus_buffer.scala 319:29] - node _T_1042 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:88] - node _T_1043 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 320:111] - node _T_1044 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:88] - node _T_1045 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 320:111] - node _T_1046 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 320:88] - node _T_1047 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 320:111] - node _T_1048 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 320:88] - node _T_1049 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 320:111] - node _T_1050 = mux(_T_1042, _T_1043, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1051 = mux(_T_1044, _T_1045, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1052 = mux(_T_1046, _T_1047, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1053 = mux(_T_1048, _T_1049, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1054 = or(_T_1050, _T_1051) @[Mux.scala 27:72] - node _T_1055 = or(_T_1054, _T_1052) @[Mux.scala 27:72] - node _T_1056 = or(_T_1055, _T_1053) @[Mux.scala 27:72] - wire _T_1057 : UInt<1> @[Mux.scala 27:72] - _T_1057 <= _T_1056 @[Mux.scala 27:72] - node _T_1058 = eq(_T_1057, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:5] - node _T_1059 = and(_T_1041, _T_1058) @[el2_lsu_bus_buffer.scala 319:140] - node _T_1060 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:119] - node obuf_wr_wait = and(_T_1059, _T_1060) @[el2_lsu_bus_buffer.scala 320:117] - node _T_1061 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 321:75] - node _T_1062 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 321:95] - node _T_1063 = and(_T_1061, _T_1062) @[el2_lsu_bus_buffer.scala 321:79] - node _T_1064 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:121] - node _T_1065 = tail(_T_1064, 1) @[el2_lsu_bus_buffer.scala 321:121] - node _T_1066 = mux(_T_1063, _T_1065, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 321:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1066) @[el2_lsu_bus_buffer.scala 321:29] - node _T_1067 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:41] - node _T_1068 = and(io.lsu_busreq_m, _T_1067) @[el2_lsu_bus_buffer.scala 322:39] - node _T_1069 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:60] - node _T_1070 = and(_T_1068, _T_1069) @[el2_lsu_bus_buffer.scala 322:58] - node _T_1071 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:93] - node _T_1072 = and(_T_1070, _T_1071) @[el2_lsu_bus_buffer.scala 322:72] - node _T_1073 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 322:117] - node _T_1074 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:208] - node _T_1075 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] - node _T_1076 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:208] - node _T_1077 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] - node _T_1078 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 322:208] - node _T_1079 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] - node _T_1080 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 322:208] - node _T_1081 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] - node _T_1082 = mux(_T_1074, _T_1075, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1083 = mux(_T_1076, _T_1077, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1084 = mux(_T_1078, _T_1079, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1085 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1086 = or(_T_1082, _T_1083) @[Mux.scala 27:72] - node _T_1087 = or(_T_1086, _T_1084) @[Mux.scala 27:72] - node _T_1088 = or(_T_1087, _T_1085) @[Mux.scala 27:72] - wire _T_1089 : UInt<30> @[Mux.scala 27:72] - _T_1089 <= _T_1088 @[Mux.scala 27:72] - node _T_1090 = neq(_T_1073, _T_1089) @[el2_lsu_bus_buffer.scala 322:123] - node _T_1091 = and(_T_1072, _T_1090) @[el2_lsu_bus_buffer.scala 322:101] - obuf_force_wr_en <= _T_1091 @[el2_lsu_bus_buffer.scala 322:20] + node _T_1029 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 319:43] + node _T_1030 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 319:72] + node _T_1031 = and(_T_1029, _T_1030) @[el2_lsu_bus_buffer.scala 319:51] + node _T_1032 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 319:97] + node _T_1033 = and(_T_1031, _T_1032) @[el2_lsu_bus_buffer.scala 319:80] + node _T_1034 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:5] + node _T_1035 = and(_T_1033, _T_1034) @[el2_lsu_bus_buffer.scala 319:114] + node _T_1036 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1037 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1038 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1039 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1040 = mux(_T_1036, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1041 = mux(_T_1037, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1042 = mux(_T_1038, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1043 = mux(_T_1039, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1044 = or(_T_1040, _T_1041) @[Mux.scala 27:72] + node _T_1045 = or(_T_1044, _T_1042) @[Mux.scala 27:72] + node _T_1046 = or(_T_1045, _T_1043) @[Mux.scala 27:72] + wire _T_1047 : UInt<1> @[Mux.scala 27:72] + _T_1047 <= _T_1046 @[Mux.scala 27:72] + node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:31] + node _T_1049 = and(_T_1035, _T_1048) @[el2_lsu_bus_buffer.scala 320:29] + node _T_1050 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1051 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 321:111] + node _T_1052 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1053 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 321:111] + node _T_1054 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1055 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 321:111] + node _T_1056 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1057 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 321:111] + node _T_1058 = mux(_T_1050, _T_1051, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1059 = mux(_T_1052, _T_1053, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1060 = mux(_T_1054, _T_1055, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1061 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1062 = or(_T_1058, _T_1059) @[Mux.scala 27:72] + node _T_1063 = or(_T_1062, _T_1060) @[Mux.scala 27:72] + node _T_1064 = or(_T_1063, _T_1061) @[Mux.scala 27:72] + wire _T_1065 : UInt<1> @[Mux.scala 27:72] + _T_1065 <= _T_1064 @[Mux.scala 27:72] + node _T_1066 = eq(_T_1065, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:5] + node _T_1067 = and(_T_1049, _T_1066) @[el2_lsu_bus_buffer.scala 320:140] + node _T_1068 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:119] + node obuf_wr_wait = and(_T_1067, _T_1068) @[el2_lsu_bus_buffer.scala 321:117] + node _T_1069 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 322:75] + node _T_1070 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 322:95] + node _T_1071 = and(_T_1069, _T_1070) @[el2_lsu_bus_buffer.scala 322:79] + node _T_1072 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:121] + node _T_1073 = tail(_T_1072, 1) @[el2_lsu_bus_buffer.scala 322:121] + node _T_1074 = mux(_T_1071, _T_1073, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 322:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1074) @[el2_lsu_bus_buffer.scala 322:29] + node _T_1075 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:41] + node _T_1076 = and(io.lsu_busreq_m, _T_1075) @[el2_lsu_bus_buffer.scala 323:39] + node _T_1077 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:60] + node _T_1078 = and(_T_1076, _T_1077) @[el2_lsu_bus_buffer.scala 323:58] + node _T_1079 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:93] + node _T_1080 = and(_T_1078, _T_1079) @[el2_lsu_bus_buffer.scala 323:72] + node _T_1081 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 323:117] + node _T_1082 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1083 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] + node _T_1084 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1085 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] + node _T_1086 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1087 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] + node _T_1088 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1089 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] + node _T_1090 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1091 = mux(_T_1084, _T_1085, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1092 = mux(_T_1086, _T_1087, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1093 = mux(_T_1088, _T_1089, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1094 = or(_T_1090, _T_1091) @[Mux.scala 27:72] + node _T_1095 = or(_T_1094, _T_1092) @[Mux.scala 27:72] + node _T_1096 = or(_T_1095, _T_1093) @[Mux.scala 27:72] + wire _T_1097 : UInt<30> @[Mux.scala 27:72] + _T_1097 <= _T_1096 @[Mux.scala 27:72] + node _T_1098 = neq(_T_1081, _T_1097) @[el2_lsu_bus_buffer.scala 323:123] + node _T_1099 = and(_T_1080, _T_1098) @[el2_lsu_bus_buffer.scala 323:101] + obuf_force_wr_en <= _T_1099 @[el2_lsu_bus_buffer.scala 323:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1092 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:53] - node _T_1093 = and(ibuf_byp, _T_1092) @[el2_lsu_bus_buffer.scala 324:31] - node _T_1094 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:64] - node _T_1095 = or(_T_1094, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 324:84] - node ibuf_buf_byp = and(_T_1093, _T_1095) @[el2_lsu_bus_buffer.scala 324:61] + node _T_1100 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:53] + node _T_1101 = and(ibuf_byp, _T_1100) @[el2_lsu_bus_buffer.scala 325:31] + node _T_1102 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:64] + node _T_1103 = or(_T_1102, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 325:84] + node ibuf_buf_byp = and(_T_1101, _T_1103) @[el2_lsu_bus_buffer.scala 325:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 327:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 329:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 331:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 328:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 330:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 332:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1752,280 +1760,280 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1096 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 339:32] - node _T_1097 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 339:74] - node _T_1098 = eq(_T_1097, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 339:52] - node _T_1099 = and(_T_1096, _T_1098) @[el2_lsu_bus_buffer.scala 339:50] - node _T_1100 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1101 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1102 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1104 = mux(_T_1100, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1105 = mux(_T_1101, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1106 = mux(_T_1102, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1107 = mux(_T_1103, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1108 = or(_T_1104, _T_1105) @[Mux.scala 27:72] - node _T_1109 = or(_T_1108, _T_1106) @[Mux.scala 27:72] - node _T_1110 = or(_T_1109, _T_1107) @[Mux.scala 27:72] - wire _T_1111 : UInt<3> @[Mux.scala 27:72] - _T_1111 <= _T_1110 @[Mux.scala 27:72] - node _T_1112 = eq(_T_1111, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 340:36] - node _T_1113 = and(_T_1112, found_cmdptr0) @[el2_lsu_bus_buffer.scala 340:47] - node _T_1114 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] - node _T_1115 = cat(_T_1114, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] - node _T_1116 = cat(_T_1115, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1117 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1118 = bits(_T_1116, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1119 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1120 = bits(_T_1116, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1121 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1122 = bits(_T_1116, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1123 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1124 = bits(_T_1116, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1125 = mux(_T_1117, _T_1118, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1126 = mux(_T_1119, _T_1120, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1127 = mux(_T_1121, _T_1122, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1128 = mux(_T_1123, _T_1124, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1129 = or(_T_1125, _T_1126) @[Mux.scala 27:72] - node _T_1130 = or(_T_1129, _T_1127) @[Mux.scala 27:72] - node _T_1131 = or(_T_1130, _T_1128) @[Mux.scala 27:72] - wire _T_1132 : UInt<1> @[Mux.scala 27:72] - _T_1132 <= _T_1131 @[Mux.scala 27:72] - node _T_1133 = eq(_T_1132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:23] - node _T_1134 = and(_T_1113, _T_1133) @[el2_lsu_bus_buffer.scala 341:21] - node _T_1135 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1136 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1137 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1138 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1139 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1140 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1141 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1142 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1143 = mux(_T_1135, _T_1136, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1144 = mux(_T_1137, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1145 = mux(_T_1139, _T_1140, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1146 = mux(_T_1141, _T_1142, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1147 = or(_T_1143, _T_1144) @[Mux.scala 27:72] - node _T_1148 = or(_T_1147, _T_1145) @[Mux.scala 27:72] - node _T_1149 = or(_T_1148, _T_1146) @[Mux.scala 27:72] - wire _T_1150 : UInt<1> @[Mux.scala 27:72] - _T_1150 <= _T_1149 @[Mux.scala 27:72] - node _T_1151 = and(_T_1150, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 341:141] - node _T_1152 = eq(_T_1151, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:105] - node _T_1153 = and(_T_1134, _T_1152) @[el2_lsu_bus_buffer.scala 341:103] - node _T_1154 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_1155 = cat(_T_1154, buf_dual[1]) @[Cat.scala 29:58] - node _T_1156 = cat(_T_1155, buf_dual[0]) @[Cat.scala 29:58] - node _T_1157 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1158 = bits(_T_1156, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1159 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1160 = bits(_T_1156, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1161 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1162 = bits(_T_1156, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1163 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1164 = bits(_T_1156, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1165 = mux(_T_1157, _T_1158, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1166 = mux(_T_1159, _T_1160, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1167 = mux(_T_1161, _T_1162, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1168 = mux(_T_1163, _T_1164, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1169 = or(_T_1165, _T_1166) @[Mux.scala 27:72] - node _T_1170 = or(_T_1169, _T_1167) @[Mux.scala 27:72] - node _T_1171 = or(_T_1170, _T_1168) @[Mux.scala 27:72] - wire _T_1172 : UInt<1> @[Mux.scala 27:72] - _T_1172 <= _T_1171 @[Mux.scala 27:72] - node _T_1173 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] - node _T_1174 = cat(_T_1173, buf_samedw[1]) @[Cat.scala 29:58] - node _T_1175 = cat(_T_1174, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1176 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1177 = bits(_T_1175, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1178 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1179 = bits(_T_1175, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1180 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1181 = bits(_T_1175, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1182 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1183 = bits(_T_1175, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1184 = mux(_T_1176, _T_1177, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1185 = mux(_T_1178, _T_1179, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1186 = mux(_T_1180, _T_1181, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1187 = mux(_T_1182, _T_1183, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1188 = or(_T_1184, _T_1185) @[Mux.scala 27:72] - node _T_1189 = or(_T_1188, _T_1186) @[Mux.scala 27:72] - node _T_1190 = or(_T_1189, _T_1187) @[Mux.scala 27:72] - wire _T_1191 : UInt<1> @[Mux.scala 27:72] - _T_1191 <= _T_1190 @[Mux.scala 27:72] - node _T_1192 = and(_T_1172, _T_1191) @[el2_lsu_bus_buffer.scala 342:77] - node _T_1193 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1194 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1195 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1196 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1197 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1198 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1199 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1200 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1201 = mux(_T_1193, _T_1194, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1202 = mux(_T_1195, _T_1196, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1203 = mux(_T_1197, _T_1198, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1204 = mux(_T_1199, _T_1200, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1205 = or(_T_1201, _T_1202) @[Mux.scala 27:72] - node _T_1206 = or(_T_1205, _T_1203) @[Mux.scala 27:72] - node _T_1207 = or(_T_1206, _T_1204) @[Mux.scala 27:72] - wire _T_1208 : UInt<1> @[Mux.scala 27:72] - _T_1208 <= _T_1207 @[Mux.scala 27:72] - node _T_1209 = eq(_T_1208, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:150] - node _T_1210 = and(_T_1192, _T_1209) @[el2_lsu_bus_buffer.scala 342:148] - node _T_1211 = eq(_T_1210, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:8] - node _T_1212 = or(_T_1211, found_cmdptr1) @[el2_lsu_bus_buffer.scala 342:181] - node _T_1213 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] - node _T_1214 = cat(_T_1213, buf_nomerge[1]) @[Cat.scala 29:58] - node _T_1215 = cat(_T_1214, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1216 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1217 = bits(_T_1215, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1218 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1219 = bits(_T_1215, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1221 = bits(_T_1215, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1222 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1223 = bits(_T_1215, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1224 = mux(_T_1216, _T_1217, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1225 = mux(_T_1218, _T_1219, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1226 = mux(_T_1220, _T_1221, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1227 = mux(_T_1222, _T_1223, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1228 = or(_T_1224, _T_1225) @[Mux.scala 27:72] - node _T_1229 = or(_T_1228, _T_1226) @[Mux.scala 27:72] - node _T_1230 = or(_T_1229, _T_1227) @[Mux.scala 27:72] - wire _T_1231 : UInt<1> @[Mux.scala 27:72] - _T_1231 <= _T_1230 @[Mux.scala 27:72] - node _T_1232 = or(_T_1212, _T_1231) @[el2_lsu_bus_buffer.scala 342:197] - node _T_1233 = or(_T_1232, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 342:269] - node _T_1234 = and(_T_1153, _T_1233) @[el2_lsu_bus_buffer.scala 341:164] - node _T_1235 = or(_T_1099, _T_1234) @[el2_lsu_bus_buffer.scala 339:98] - node _T_1236 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:48] - node _T_1237 = or(bus_cmd_ready, _T_1236) @[el2_lsu_bus_buffer.scala 343:46] - node _T_1238 = or(_T_1237, obuf_nosend) @[el2_lsu_bus_buffer.scala 343:60] - node _T_1239 = and(_T_1235, _T_1238) @[el2_lsu_bus_buffer.scala 343:29] - node _T_1240 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:77] - node _T_1241 = and(_T_1239, _T_1240) @[el2_lsu_bus_buffer.scala 343:75] - node _T_1242 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:93] - node _T_1243 = and(_T_1241, _T_1242) @[el2_lsu_bus_buffer.scala 343:91] - node _T_1244 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:118] - node _T_1245 = and(_T_1243, _T_1244) @[el2_lsu_bus_buffer.scala 343:116] - node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 343:142] - obuf_wr_en <= _T_1246 @[el2_lsu_bus_buffer.scala 339:14] + node _T_1104 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 340:32] + node _T_1105 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 340:74] + node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:52] + node _T_1107 = and(_T_1104, _T_1106) @[el2_lsu_bus_buffer.scala 340:50] + node _T_1108 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1109 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1110 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1111 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1112 = mux(_T_1108, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1113 = mux(_T_1109, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1114 = mux(_T_1110, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1115 = mux(_T_1111, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1116 = or(_T_1112, _T_1113) @[Mux.scala 27:72] + node _T_1117 = or(_T_1116, _T_1114) @[Mux.scala 27:72] + node _T_1118 = or(_T_1117, _T_1115) @[Mux.scala 27:72] + wire _T_1119 : UInt<3> @[Mux.scala 27:72] + _T_1119 <= _T_1118 @[Mux.scala 27:72] + node _T_1120 = eq(_T_1119, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 341:36] + node _T_1121 = and(_T_1120, found_cmdptr0) @[el2_lsu_bus_buffer.scala 341:47] + node _T_1122 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1123 = cat(_T_1122, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1124 = cat(_T_1123, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1125 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1126 = bits(_T_1124, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1127 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1128 = bits(_T_1124, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1129 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1130 = bits(_T_1124, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1131 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1132 = bits(_T_1124, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1133 = mux(_T_1125, _T_1126, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1134 = mux(_T_1127, _T_1128, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1135 = mux(_T_1129, _T_1130, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1136 = mux(_T_1131, _T_1132, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1137 = or(_T_1133, _T_1134) @[Mux.scala 27:72] + node _T_1138 = or(_T_1137, _T_1135) @[Mux.scala 27:72] + node _T_1139 = or(_T_1138, _T_1136) @[Mux.scala 27:72] + wire _T_1140 : UInt<1> @[Mux.scala 27:72] + _T_1140 <= _T_1139 @[Mux.scala 27:72] + node _T_1141 = eq(_T_1140, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:23] + node _T_1142 = and(_T_1121, _T_1141) @[el2_lsu_bus_buffer.scala 342:21] + node _T_1143 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1144 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1145 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1146 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1147 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1148 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1149 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1150 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1151 = mux(_T_1143, _T_1144, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1152 = mux(_T_1145, _T_1146, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1153 = mux(_T_1147, _T_1148, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1154 = mux(_T_1149, _T_1150, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1155 = or(_T_1151, _T_1152) @[Mux.scala 27:72] + node _T_1156 = or(_T_1155, _T_1153) @[Mux.scala 27:72] + node _T_1157 = or(_T_1156, _T_1154) @[Mux.scala 27:72] + wire _T_1158 : UInt<1> @[Mux.scala 27:72] + _T_1158 <= _T_1157 @[Mux.scala 27:72] + node _T_1159 = and(_T_1158, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 342:141] + node _T_1160 = eq(_T_1159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:105] + node _T_1161 = and(_T_1142, _T_1160) @[el2_lsu_bus_buffer.scala 342:103] + node _T_1162 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1163 = cat(_T_1162, buf_dual[1]) @[Cat.scala 29:58] + node _T_1164 = cat(_T_1163, buf_dual[0]) @[Cat.scala 29:58] + node _T_1165 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1166 = bits(_T_1164, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1167 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1168 = bits(_T_1164, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1169 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1170 = bits(_T_1164, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1171 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1172 = bits(_T_1164, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1173 = mux(_T_1165, _T_1166, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1174 = mux(_T_1167, _T_1168, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1175 = mux(_T_1169, _T_1170, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1176 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1177 = or(_T_1173, _T_1174) @[Mux.scala 27:72] + node _T_1178 = or(_T_1177, _T_1175) @[Mux.scala 27:72] + node _T_1179 = or(_T_1178, _T_1176) @[Mux.scala 27:72] + wire _T_1180 : UInt<1> @[Mux.scala 27:72] + _T_1180 <= _T_1179 @[Mux.scala 27:72] + node _T_1181 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1182 = cat(_T_1181, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1183 = cat(_T_1182, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1184 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1185 = bits(_T_1183, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1186 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1187 = bits(_T_1183, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1188 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1189 = bits(_T_1183, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1190 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1191 = bits(_T_1183, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1192 = mux(_T_1184, _T_1185, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1193 = mux(_T_1186, _T_1187, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1194 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1195 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1196 = or(_T_1192, _T_1193) @[Mux.scala 27:72] + node _T_1197 = or(_T_1196, _T_1194) @[Mux.scala 27:72] + node _T_1198 = or(_T_1197, _T_1195) @[Mux.scala 27:72] + wire _T_1199 : UInt<1> @[Mux.scala 27:72] + _T_1199 <= _T_1198 @[Mux.scala 27:72] + node _T_1200 = and(_T_1180, _T_1199) @[el2_lsu_bus_buffer.scala 343:77] + node _T_1201 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1202 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1203 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1204 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1205 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1206 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1207 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1208 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1209 = mux(_T_1201, _T_1202, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1210 = mux(_T_1203, _T_1204, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1211 = mux(_T_1205, _T_1206, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1212 = mux(_T_1207, _T_1208, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1213 = or(_T_1209, _T_1210) @[Mux.scala 27:72] + node _T_1214 = or(_T_1213, _T_1211) @[Mux.scala 27:72] + node _T_1215 = or(_T_1214, _T_1212) @[Mux.scala 27:72] + wire _T_1216 : UInt<1> @[Mux.scala 27:72] + _T_1216 <= _T_1215 @[Mux.scala 27:72] + node _T_1217 = eq(_T_1216, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:150] + node _T_1218 = and(_T_1200, _T_1217) @[el2_lsu_bus_buffer.scala 343:148] + node _T_1219 = eq(_T_1218, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:8] + node _T_1220 = or(_T_1219, found_cmdptr1) @[el2_lsu_bus_buffer.scala 343:181] + node _T_1221 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1222 = cat(_T_1221, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1223 = cat(_T_1222, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1224 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1225 = bits(_T_1223, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1226 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1227 = bits(_T_1223, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1228 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1229 = bits(_T_1223, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1230 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1231 = bits(_T_1223, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1232 = mux(_T_1224, _T_1225, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1233 = mux(_T_1226, _T_1227, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1234 = mux(_T_1228, _T_1229, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1235 = mux(_T_1230, _T_1231, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1236 = or(_T_1232, _T_1233) @[Mux.scala 27:72] + node _T_1237 = or(_T_1236, _T_1234) @[Mux.scala 27:72] + node _T_1238 = or(_T_1237, _T_1235) @[Mux.scala 27:72] + wire _T_1239 : UInt<1> @[Mux.scala 27:72] + _T_1239 <= _T_1238 @[Mux.scala 27:72] + node _T_1240 = or(_T_1220, _T_1239) @[el2_lsu_bus_buffer.scala 343:197] + node _T_1241 = or(_T_1240, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 343:269] + node _T_1242 = and(_T_1161, _T_1241) @[el2_lsu_bus_buffer.scala 342:164] + node _T_1243 = or(_T_1107, _T_1242) @[el2_lsu_bus_buffer.scala 340:98] + node _T_1244 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:48] + node _T_1245 = or(bus_cmd_ready, _T_1244) @[el2_lsu_bus_buffer.scala 344:46] + node _T_1246 = or(_T_1245, obuf_nosend) @[el2_lsu_bus_buffer.scala 344:60] + node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 344:29] + node _T_1248 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:77] + node _T_1249 = and(_T_1247, _T_1248) @[el2_lsu_bus_buffer.scala 344:75] + node _T_1250 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:93] + node _T_1251 = and(_T_1249, _T_1250) @[el2_lsu_bus_buffer.scala 344:91] + node _T_1252 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:118] + node _T_1253 = and(_T_1251, _T_1252) @[el2_lsu_bus_buffer.scala 344:116] + node _T_1254 = and(_T_1253, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 344:142] + obuf_wr_en <= _T_1254 @[el2_lsu_bus_buffer.scala 340:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1247 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 345:47] - node _T_1248 = or(bus_cmd_sent, _T_1247) @[el2_lsu_bus_buffer.scala 345:33] - node _T_1249 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:65] - node _T_1250 = and(_T_1248, _T_1249) @[el2_lsu_bus_buffer.scala 345:63] - node _T_1251 = and(_T_1250, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 345:77] - node obuf_rst = or(_T_1251, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 345:98] - node _T_1252 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1253 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1254 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1255 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1256 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1257 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1258 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1259 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1260 = mux(_T_1252, _T_1253, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1261 = mux(_T_1254, _T_1255, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1262 = mux(_T_1256, _T_1257, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1263 = mux(_T_1258, _T_1259, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1264 = or(_T_1260, _T_1261) @[Mux.scala 27:72] - node _T_1265 = or(_T_1264, _T_1262) @[Mux.scala 27:72] - node _T_1266 = or(_T_1265, _T_1263) @[Mux.scala 27:72] - wire _T_1267 : UInt<1> @[Mux.scala 27:72] - _T_1267 <= _T_1266 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1267) @[el2_lsu_bus_buffer.scala 346:26] - node _T_1268 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1269 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1270 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1271 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1272 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1273 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1274 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1275 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1276 = mux(_T_1268, _T_1269, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1277 = mux(_T_1270, _T_1271, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1278 = mux(_T_1272, _T_1273, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1279 = mux(_T_1274, _T_1275, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1280 = or(_T_1276, _T_1277) @[Mux.scala 27:72] - node _T_1281 = or(_T_1280, _T_1278) @[Mux.scala 27:72] - node _T_1282 = or(_T_1281, _T_1279) @[Mux.scala 27:72] - wire _T_1283 : UInt<1> @[Mux.scala 27:72] - _T_1283 <= _T_1282 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1283) @[el2_lsu_bus_buffer.scala 347:31] - node _T_1284 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1285 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1286 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1287 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1288 = mux(_T_1284, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1289 = mux(_T_1285, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1290 = mux(_T_1286, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1291 = mux(_T_1287, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1292 = or(_T_1288, _T_1289) @[Mux.scala 27:72] - node _T_1293 = or(_T_1292, _T_1290) @[Mux.scala 27:72] - node _T_1294 = or(_T_1293, _T_1291) @[Mux.scala 27:72] - wire _T_1295 : UInt<32> @[Mux.scala 27:72] - _T_1295 <= _T_1294 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1295) @[el2_lsu_bus_buffer.scala 348:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 349:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] - node _T_1296 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1297 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1298 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1299 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1300 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1301 = mux(_T_1297, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1302 = mux(_T_1298, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1303 = mux(_T_1299, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1304 = mux(_T_1300, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1305 = or(_T_1301, _T_1302) @[Mux.scala 27:72] - node _T_1306 = or(_T_1305, _T_1303) @[Mux.scala 27:72] - node _T_1307 = or(_T_1306, _T_1304) @[Mux.scala 27:72] - wire _T_1308 : UInt<2> @[Mux.scala 27:72] - _T_1308 <= _T_1307 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1296, _T_1308) @[el2_lsu_bus_buffer.scala 351:23] + node _T_1255 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 346:47] + node _T_1256 = or(bus_cmd_sent, _T_1255) @[el2_lsu_bus_buffer.scala 346:33] + node _T_1257 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:65] + node _T_1258 = and(_T_1256, _T_1257) @[el2_lsu_bus_buffer.scala 346:63] + node _T_1259 = and(_T_1258, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 346:77] + node obuf_rst = or(_T_1259, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 346:98] + node _T_1260 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1261 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1262 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1263 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1264 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1265 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1266 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1267 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1268 = mux(_T_1260, _T_1261, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1269 = mux(_T_1262, _T_1263, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1270 = mux(_T_1264, _T_1265, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1271 = mux(_T_1266, _T_1267, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1272 = or(_T_1268, _T_1269) @[Mux.scala 27:72] + node _T_1273 = or(_T_1272, _T_1270) @[Mux.scala 27:72] + node _T_1274 = or(_T_1273, _T_1271) @[Mux.scala 27:72] + wire _T_1275 : UInt<1> @[Mux.scala 27:72] + _T_1275 <= _T_1274 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1275) @[el2_lsu_bus_buffer.scala 347:26] + node _T_1276 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1277 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1278 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1279 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1280 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1281 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1282 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1283 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1284 = mux(_T_1276, _T_1277, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1285 = mux(_T_1278, _T_1279, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1286 = mux(_T_1280, _T_1281, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1287 = mux(_T_1282, _T_1283, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1288 = or(_T_1284, _T_1285) @[Mux.scala 27:72] + node _T_1289 = or(_T_1288, _T_1286) @[Mux.scala 27:72] + node _T_1290 = or(_T_1289, _T_1287) @[Mux.scala 27:72] + wire _T_1291 : UInt<1> @[Mux.scala 27:72] + _T_1291 <= _T_1290 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1291) @[el2_lsu_bus_buffer.scala 348:31] + node _T_1292 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1293 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1294 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1295 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1296 = mux(_T_1292, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1297 = mux(_T_1293, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1298 = mux(_T_1294, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1299 = mux(_T_1295, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1300 = or(_T_1296, _T_1297) @[Mux.scala 27:72] + node _T_1301 = or(_T_1300, _T_1298) @[Mux.scala 27:72] + node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72] + wire _T_1303 : UInt<32> @[Mux.scala 27:72] + _T_1303 <= _T_1302 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1303) @[el2_lsu_bus_buffer.scala 349:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 350:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] + node _T_1304 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_1305 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1306 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1307 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1308 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1309 = mux(_T_1305, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1310 = mux(_T_1306, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1311 = mux(_T_1307, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1312 = mux(_T_1308, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1313 = or(_T_1309, _T_1310) @[Mux.scala 27:72] + node _T_1314 = or(_T_1313, _T_1311) @[Mux.scala 27:72] + node _T_1315 = or(_T_1314, _T_1312) @[Mux.scala 27:72] + wire _T_1316 : UInt<2> @[Mux.scala 27:72] + _T_1316 <= _T_1315 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1304, _T_1316) @[el2_lsu_bus_buffer.scala 352:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 354:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 355:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 356:14] - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 357:25] + io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 357:14] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 358:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1309 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 360:39] - node _T_1310 = eq(_T_1309, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:26] - node _T_1311 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 360:68] - node obuf_cmd_done_in = and(_T_1310, _T_1311) @[el2_lsu_bus_buffer.scala 360:51] + node _T_1317 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 361:39] + node _T_1318 = eq(_T_1317, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 361:26] + node _T_1319 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 361:68] + node obuf_cmd_done_in = and(_T_1318, _T_1319) @[el2_lsu_bus_buffer.scala 361:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1312 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 363:40] - node _T_1313 = eq(_T_1312, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 363:27] - node _T_1314 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 363:70] - node obuf_data_done_in = and(_T_1313, _T_1314) @[el2_lsu_bus_buffer.scala 363:52] - node _T_1315 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 364:67] - node _T_1316 = eq(_T_1315, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:72] - node _T_1317 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 364:92] - node _T_1318 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 364:111] - node _T_1319 = eq(_T_1318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:98] - node _T_1320 = and(_T_1317, _T_1319) @[el2_lsu_bus_buffer.scala 364:96] - node _T_1321 = or(_T_1316, _T_1320) @[el2_lsu_bus_buffer.scala 364:79] - node _T_1322 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 364:129] - node _T_1323 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 364:147] - node _T_1324 = orr(_T_1323) @[el2_lsu_bus_buffer.scala 364:153] - node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:134] - node _T_1326 = and(_T_1322, _T_1325) @[el2_lsu_bus_buffer.scala 364:132] - node _T_1327 = or(_T_1321, _T_1326) @[el2_lsu_bus_buffer.scala 364:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1327) @[el2_lsu_bus_buffer.scala 364:28] + node _T_1320 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 364:40] + node _T_1321 = eq(_T_1320, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:27] + node _T_1322 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 364:70] + node obuf_data_done_in = and(_T_1321, _T_1322) @[el2_lsu_bus_buffer.scala 364:52] + node _T_1323 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 365:67] + node _T_1324 = eq(_T_1323, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:72] + node _T_1325 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 365:92] + node _T_1326 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 365:111] + node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:98] + node _T_1328 = and(_T_1325, _T_1327) @[el2_lsu_bus_buffer.scala 365:96] + node _T_1329 = or(_T_1324, _T_1328) @[el2_lsu_bus_buffer.scala 365:79] + node _T_1330 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 365:129] + node _T_1331 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 365:147] + node _T_1332 = orr(_T_1331) @[el2_lsu_bus_buffer.scala 365:153] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:134] + node _T_1334 = and(_T_1330, _T_1333) @[el2_lsu_bus_buffer.scala 365:132] + node _T_1335 = or(_T_1329, _T_1334) @[el2_lsu_bus_buffer.scala 365:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1335) @[el2_lsu_bus_buffer.scala 365:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -2038,598 +2046,598 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1328 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:44] - node _T_1329 = and(obuf_wr_en, _T_1328) @[el2_lsu_bus_buffer.scala 372:42] - node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:29] - node _T_1331 = and(_T_1330, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 372:61] - node _T_1332 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 372:116] - node _T_1333 = and(bus_rsp_read, _T_1332) @[el2_lsu_bus_buffer.scala 372:96] - node _T_1334 = eq(_T_1333, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:81] - node _T_1335 = and(_T_1331, _T_1334) @[el2_lsu_bus_buffer.scala 372:79] - node _T_1336 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:22] - node _T_1337 = and(bus_cmd_sent, _T_1336) @[el2_lsu_bus_buffer.scala 373:20] - node _T_1338 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:37] - node _T_1339 = and(_T_1337, _T_1338) @[el2_lsu_bus_buffer.scala 373:35] - node obuf_rdrsp_pend_in = or(_T_1335, _T_1339) @[el2_lsu_bus_buffer.scala 372:138] + node _T_1336 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:44] + node _T_1337 = and(obuf_wr_en, _T_1336) @[el2_lsu_bus_buffer.scala 373:42] + node _T_1338 = eq(_T_1337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:29] + node _T_1339 = and(_T_1338, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 373:61] + node _T_1340 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 373:116] + node _T_1341 = and(bus_rsp_read, _T_1340) @[el2_lsu_bus_buffer.scala 373:96] + node _T_1342 = eq(_T_1341, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:81] + node _T_1343 = and(_T_1339, _T_1342) @[el2_lsu_bus_buffer.scala 373:79] + node _T_1344 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:22] + node _T_1345 = and(bus_cmd_sent, _T_1344) @[el2_lsu_bus_buffer.scala 374:20] + node _T_1346 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:37] + node _T_1347 = and(_T_1345, _T_1346) @[el2_lsu_bus_buffer.scala 374:35] + node obuf_rdrsp_pend_in = or(_T_1343, _T_1347) @[el2_lsu_bus_buffer.scala 373:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1340 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:46] - node _T_1341 = or(bus_cmd_sent, _T_1340) @[el2_lsu_bus_buffer.scala 375:44] - node obuf_rdrsp_tag_in = mux(_T_1341, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 375:30] + node _T_1348 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:46] + node _T_1349 = or(bus_cmd_sent, _T_1348) @[el2_lsu_bus_buffer.scala 376:44] + node obuf_rdrsp_tag_in = mux(_T_1349, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 376:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1342 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 378:34] - node _T_1343 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 378:52] - node _T_1344 = eq(_T_1342, _T_1343) @[el2_lsu_bus_buffer.scala 378:40] - node _T_1345 = and(_T_1344, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 378:60] - node _T_1346 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:80] - node _T_1347 = and(_T_1345, _T_1346) @[el2_lsu_bus_buffer.scala 378:78] - node _T_1348 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:99] - node _T_1349 = and(_T_1347, _T_1348) @[el2_lsu_bus_buffer.scala 378:97] - node _T_1350 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:113] - node _T_1351 = and(_T_1349, _T_1350) @[el2_lsu_bus_buffer.scala 378:111] - node _T_1352 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:130] - node _T_1353 = and(_T_1351, _T_1352) @[el2_lsu_bus_buffer.scala 378:128] - node _T_1354 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:20] - node _T_1355 = and(obuf_valid, _T_1354) @[el2_lsu_bus_buffer.scala 379:18] - node _T_1356 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 379:90] - node _T_1357 = and(bus_rsp_read, _T_1356) @[el2_lsu_bus_buffer.scala 379:70] - node _T_1358 = eq(_T_1357, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:55] - node _T_1359 = and(obuf_rdrsp_pend, _T_1358) @[el2_lsu_bus_buffer.scala 379:53] - node _T_1360 = or(_T_1355, _T_1359) @[el2_lsu_bus_buffer.scala 379:34] - node _T_1361 = and(_T_1353, _T_1360) @[el2_lsu_bus_buffer.scala 378:165] - obuf_nosend_in <= _T_1361 @[el2_lsu_bus_buffer.scala 378:18] - node _T_1362 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 380:60] - node _T_1363 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1364 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1365 = mux(_T_1362, _T_1363, _T_1364) @[el2_lsu_bus_buffer.scala 380:46] - node _T_1366 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1367 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1368 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1369 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1370 = mux(_T_1366, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1371 = mux(_T_1367, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1372 = mux(_T_1368, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1373 = mux(_T_1369, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1374 = or(_T_1370, _T_1371) @[Mux.scala 27:72] - node _T_1375 = or(_T_1374, _T_1372) @[Mux.scala 27:72] - node _T_1376 = or(_T_1375, _T_1373) @[Mux.scala 27:72] - wire _T_1377 : UInt<32> @[Mux.scala 27:72] - _T_1377 <= _T_1376 @[Mux.scala 27:72] - node _T_1378 = bits(_T_1377, 2, 2) @[el2_lsu_bus_buffer.scala 381:36] - node _T_1379 = bits(_T_1378, 0, 0) @[el2_lsu_bus_buffer.scala 381:46] - node _T_1380 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1381 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1382 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1383 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1384 = mux(_T_1380, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1385 = mux(_T_1381, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1386 = mux(_T_1382, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1387 = mux(_T_1383, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1388 = or(_T_1384, _T_1385) @[Mux.scala 27:72] - node _T_1389 = or(_T_1388, _T_1386) @[Mux.scala 27:72] - node _T_1390 = or(_T_1389, _T_1387) @[Mux.scala 27:72] - wire _T_1391 : UInt<4> @[Mux.scala 27:72] - _T_1391 <= _T_1390 @[Mux.scala 27:72] - node _T_1392 = cat(_T_1391, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1393 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1394 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1395 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1396 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1397 = mux(_T_1393, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1398 = mux(_T_1394, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1399 = mux(_T_1395, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1400 = mux(_T_1396, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1401 = or(_T_1397, _T_1398) @[Mux.scala 27:72] - node _T_1402 = or(_T_1401, _T_1399) @[Mux.scala 27:72] - node _T_1403 = or(_T_1402, _T_1400) @[Mux.scala 27:72] - wire _T_1404 : UInt<4> @[Mux.scala 27:72] - _T_1404 <= _T_1403 @[Mux.scala 27:72] - node _T_1405 = cat(UInt<4>("h00"), _T_1404) @[Cat.scala 29:58] - node _T_1406 = mux(_T_1379, _T_1392, _T_1405) @[el2_lsu_bus_buffer.scala 381:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1365, _T_1406) @[el2_lsu_bus_buffer.scala 380:28] - node _T_1407 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 382:60] - node _T_1408 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1409 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1410 = mux(_T_1407, _T_1408, _T_1409) @[el2_lsu_bus_buffer.scala 382:46] - node _T_1411 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1412 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1413 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1414 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1415 = mux(_T_1411, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1416 = mux(_T_1412, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1417 = mux(_T_1413, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1418 = mux(_T_1414, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1419 = or(_T_1415, _T_1416) @[Mux.scala 27:72] - node _T_1420 = or(_T_1419, _T_1417) @[Mux.scala 27:72] - node _T_1421 = or(_T_1420, _T_1418) @[Mux.scala 27:72] - wire _T_1422 : UInt<32> @[Mux.scala 27:72] - _T_1422 <= _T_1421 @[Mux.scala 27:72] - node _T_1423 = bits(_T_1422, 2, 2) @[el2_lsu_bus_buffer.scala 383:36] - node _T_1424 = bits(_T_1423, 0, 0) @[el2_lsu_bus_buffer.scala 383:46] - node _T_1425 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1426 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1427 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1428 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1429 = mux(_T_1425, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1430 = mux(_T_1426, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1431 = mux(_T_1427, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1432 = mux(_T_1428, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1433 = or(_T_1429, _T_1430) @[Mux.scala 27:72] - node _T_1434 = or(_T_1433, _T_1431) @[Mux.scala 27:72] - node _T_1435 = or(_T_1434, _T_1432) @[Mux.scala 27:72] - wire _T_1436 : UInt<4> @[Mux.scala 27:72] - _T_1436 <= _T_1435 @[Mux.scala 27:72] - node _T_1437 = cat(_T_1436, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1438 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1439 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1440 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1441 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1442 = mux(_T_1438, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1443 = mux(_T_1439, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1444 = mux(_T_1440, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1445 = mux(_T_1441, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1446 = or(_T_1442, _T_1443) @[Mux.scala 27:72] - node _T_1447 = or(_T_1446, _T_1444) @[Mux.scala 27:72] - node _T_1448 = or(_T_1447, _T_1445) @[Mux.scala 27:72] - wire _T_1449 : UInt<4> @[Mux.scala 27:72] - _T_1449 <= _T_1448 @[Mux.scala 27:72] - node _T_1450 = cat(UInt<4>("h00"), _T_1449) @[Cat.scala 29:58] - node _T_1451 = mux(_T_1424, _T_1437, _T_1450) @[el2_lsu_bus_buffer.scala 383:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1410, _T_1451) @[el2_lsu_bus_buffer.scala 382:28] - node _T_1452 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 385:58] - node _T_1453 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1454 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1455 = mux(_T_1452, _T_1453, _T_1454) @[el2_lsu_bus_buffer.scala 385:44] - node _T_1456 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1457 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1458 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1459 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1460 = mux(_T_1456, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1461 = mux(_T_1457, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1462 = mux(_T_1458, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1463 = mux(_T_1459, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1464 = or(_T_1460, _T_1461) @[Mux.scala 27:72] - node _T_1465 = or(_T_1464, _T_1462) @[Mux.scala 27:72] - node _T_1466 = or(_T_1465, _T_1463) @[Mux.scala 27:72] - wire _T_1467 : UInt<32> @[Mux.scala 27:72] - _T_1467 <= _T_1466 @[Mux.scala 27:72] - node _T_1468 = bits(_T_1467, 2, 2) @[el2_lsu_bus_buffer.scala 386:36] - node _T_1469 = bits(_T_1468, 0, 0) @[el2_lsu_bus_buffer.scala 386:46] - node _T_1470 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1471 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1472 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1473 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1474 = mux(_T_1470, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1475 = mux(_T_1471, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1476 = mux(_T_1472, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1477 = mux(_T_1473, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1478 = or(_T_1474, _T_1475) @[Mux.scala 27:72] - node _T_1479 = or(_T_1478, _T_1476) @[Mux.scala 27:72] - node _T_1480 = or(_T_1479, _T_1477) @[Mux.scala 27:72] - wire _T_1481 : UInt<32> @[Mux.scala 27:72] - _T_1481 <= _T_1480 @[Mux.scala 27:72] - node _T_1482 = cat(_T_1481, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1483 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1484 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1485 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1486 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1487 = mux(_T_1483, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1488 = mux(_T_1484, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1489 = mux(_T_1485, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1490 = mux(_T_1486, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1491 = or(_T_1487, _T_1488) @[Mux.scala 27:72] - node _T_1492 = or(_T_1491, _T_1489) @[Mux.scala 27:72] - node _T_1493 = or(_T_1492, _T_1490) @[Mux.scala 27:72] - wire _T_1494 : UInt<32> @[Mux.scala 27:72] - _T_1494 <= _T_1493 @[Mux.scala 27:72] - node _T_1495 = cat(UInt<32>("h00"), _T_1494) @[Cat.scala 29:58] - node _T_1496 = mux(_T_1469, _T_1482, _T_1495) @[el2_lsu_bus_buffer.scala 386:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1455, _T_1496) @[el2_lsu_bus_buffer.scala 385:26] - node _T_1497 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 387:58] - node _T_1498 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1499 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1500 = mux(_T_1497, _T_1498, _T_1499) @[el2_lsu_bus_buffer.scala 387:44] - node _T_1501 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1502 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1503 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1504 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1505 = mux(_T_1501, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1506 = mux(_T_1502, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1507 = mux(_T_1503, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1508 = mux(_T_1504, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1509 = or(_T_1505, _T_1506) @[Mux.scala 27:72] - node _T_1510 = or(_T_1509, _T_1507) @[Mux.scala 27:72] - node _T_1511 = or(_T_1510, _T_1508) @[Mux.scala 27:72] - wire _T_1512 : UInt<32> @[Mux.scala 27:72] - _T_1512 <= _T_1511 @[Mux.scala 27:72] - node _T_1513 = bits(_T_1512, 2, 2) @[el2_lsu_bus_buffer.scala 388:36] - node _T_1514 = bits(_T_1513, 0, 0) @[el2_lsu_bus_buffer.scala 388:46] - node _T_1515 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1516 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1517 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1518 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1519 = mux(_T_1515, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1520 = mux(_T_1516, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1521 = mux(_T_1517, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1522 = mux(_T_1518, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1523 = or(_T_1519, _T_1520) @[Mux.scala 27:72] - node _T_1524 = or(_T_1523, _T_1521) @[Mux.scala 27:72] - node _T_1525 = or(_T_1524, _T_1522) @[Mux.scala 27:72] - wire _T_1526 : UInt<32> @[Mux.scala 27:72] - _T_1526 <= _T_1525 @[Mux.scala 27:72] - node _T_1527 = cat(_T_1526, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1528 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1529 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1530 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1531 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1532 = mux(_T_1528, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1533 = mux(_T_1529, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1534 = mux(_T_1530, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1535 = mux(_T_1531, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1536 = or(_T_1532, _T_1533) @[Mux.scala 27:72] - node _T_1537 = or(_T_1536, _T_1534) @[Mux.scala 27:72] - node _T_1538 = or(_T_1537, _T_1535) @[Mux.scala 27:72] - wire _T_1539 : UInt<32> @[Mux.scala 27:72] - _T_1539 <= _T_1538 @[Mux.scala 27:72] - node _T_1540 = cat(UInt<32>("h00"), _T_1539) @[Cat.scala 29:58] - node _T_1541 = mux(_T_1514, _T_1527, _T_1540) @[el2_lsu_bus_buffer.scala 388:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1500, _T_1541) @[el2_lsu_bus_buffer.scala 387:26] - node _T_1542 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1543 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1544 = and(obuf_merge_en, _T_1543) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1545 = or(_T_1542, _T_1544) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1546 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1547 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1548 = and(obuf_merge_en, _T_1547) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1549 = or(_T_1546, _T_1548) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1550 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1551 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1552 = and(obuf_merge_en, _T_1551) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1553 = or(_T_1550, _T_1552) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1554 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1555 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1556 = and(obuf_merge_en, _T_1555) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1557 = or(_T_1554, _T_1556) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1558 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1559 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1562 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1563 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1566 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1567 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1570 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1571 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1574 = cat(_T_1573, _T_1569) @[Cat.scala 29:58] - node _T_1575 = cat(_T_1574, _T_1565) @[Cat.scala 29:58] - node _T_1576 = cat(_T_1575, _T_1561) @[Cat.scala 29:58] - node _T_1577 = cat(_T_1576, _T_1557) @[Cat.scala 29:58] - node _T_1578 = cat(_T_1577, _T_1553) @[Cat.scala 29:58] - node _T_1579 = cat(_T_1578, _T_1549) @[Cat.scala 29:58] - node obuf_byteen_in = cat(_T_1579, _T_1545) @[Cat.scala 29:58] - node _T_1580 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1581 = and(obuf_merge_en, _T_1580) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1582 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1583 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1585 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1586 = and(obuf_merge_en, _T_1585) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1587 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1588 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1590 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1591 = and(obuf_merge_en, _T_1590) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1592 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1593 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1595 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1596 = and(obuf_merge_en, _T_1595) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1597 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1598 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1600 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1601 = and(obuf_merge_en, _T_1600) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1602 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1603 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1605 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1606 = and(obuf_merge_en, _T_1605) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1607 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1608 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1610 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1611 = and(obuf_merge_en, _T_1610) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1612 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1613 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1615 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1616 = and(obuf_merge_en, _T_1615) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1617 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1618 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1619 = mux(_T_1616, _T_1617, _T_1618) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1620 = cat(_T_1619, _T_1614) @[Cat.scala 29:58] - node _T_1621 = cat(_T_1620, _T_1609) @[Cat.scala 29:58] - node _T_1622 = cat(_T_1621, _T_1604) @[Cat.scala 29:58] - node _T_1623 = cat(_T_1622, _T_1599) @[Cat.scala 29:58] - node _T_1624 = cat(_T_1623, _T_1594) @[Cat.scala 29:58] - node _T_1625 = cat(_T_1624, _T_1589) @[Cat.scala 29:58] - node obuf_data_in = cat(_T_1625, _T_1584) @[Cat.scala 29:58] - io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 391:15] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 392:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] - node _T_1626 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 394:30] - node _T_1627 = and(_T_1626, found_cmdptr0) @[el2_lsu_bus_buffer.scala 394:43] - node _T_1628 = and(_T_1627, found_cmdptr1) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1629 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1630 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1631 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1632 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1633 = mux(_T_1629, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1634 = mux(_T_1630, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1635 = mux(_T_1631, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1636 = mux(_T_1632, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1637 = or(_T_1633, _T_1634) @[Mux.scala 27:72] - node _T_1638 = or(_T_1637, _T_1635) @[Mux.scala 27:72] - node _T_1639 = or(_T_1638, _T_1636) @[Mux.scala 27:72] - wire _T_1640 : UInt<3> @[Mux.scala 27:72] - _T_1640 <= _T_1639 @[Mux.scala 27:72] - node _T_1641 = eq(_T_1640, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 394:107] - node _T_1642 = and(_T_1628, _T_1641) @[el2_lsu_bus_buffer.scala 394:75] - node _T_1643 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1644 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1645 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1646 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1647 = mux(_T_1643, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1648 = mux(_T_1644, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1649 = mux(_T_1645, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1650 = mux(_T_1646, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1651 = or(_T_1647, _T_1648) @[Mux.scala 27:72] - node _T_1652 = or(_T_1651, _T_1649) @[Mux.scala 27:72] - node _T_1653 = or(_T_1652, _T_1650) @[Mux.scala 27:72] - wire _T_1654 : UInt<3> @[Mux.scala 27:72] - _T_1654 <= _T_1653 @[Mux.scala 27:72] - node _T_1655 = eq(_T_1654, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 394:150] - node _T_1656 = and(_T_1642, _T_1655) @[el2_lsu_bus_buffer.scala 394:118] - node _T_1657 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] - node _T_1658 = cat(_T_1657, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] - node _T_1659 = cat(_T_1658, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1660 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1661 = bits(_T_1659, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1662 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1663 = bits(_T_1659, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1664 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1665 = bits(_T_1659, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1666 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1667 = bits(_T_1659, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1668 = mux(_T_1660, _T_1661, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1669 = mux(_T_1662, _T_1663, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1670 = mux(_T_1664, _T_1665, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1671 = mux(_T_1666, _T_1667, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1672 = or(_T_1668, _T_1669) @[Mux.scala 27:72] - node _T_1673 = or(_T_1672, _T_1670) @[Mux.scala 27:72] - node _T_1674 = or(_T_1673, _T_1671) @[Mux.scala 27:72] - wire _T_1675 : UInt<1> @[Mux.scala 27:72] - _T_1675 <= _T_1674 @[Mux.scala 27:72] - node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:3] - node _T_1677 = and(_T_1656, _T_1676) @[el2_lsu_bus_buffer.scala 394:161] - node _T_1678 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1679 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1680 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1681 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1682 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1683 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1684 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1685 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1686 = mux(_T_1678, _T_1679, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1687 = mux(_T_1680, _T_1681, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1688 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1689 = mux(_T_1684, _T_1685, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1690 = or(_T_1686, _T_1687) @[Mux.scala 27:72] - node _T_1691 = or(_T_1690, _T_1688) @[Mux.scala 27:72] - node _T_1692 = or(_T_1691, _T_1689) @[Mux.scala 27:72] - wire _T_1693 : UInt<1> @[Mux.scala 27:72] - _T_1693 <= _T_1692 @[Mux.scala 27:72] - node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:85] - node _T_1695 = and(_T_1677, _T_1694) @[el2_lsu_bus_buffer.scala 395:83] - node _T_1696 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1697 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1698 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1699 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1700 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1701 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1702 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1703 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1704 = mux(_T_1696, _T_1697, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1705 = mux(_T_1698, _T_1699, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1706 = mux(_T_1700, _T_1701, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1707 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1708 = or(_T_1704, _T_1705) @[Mux.scala 27:72] - node _T_1709 = or(_T_1708, _T_1706) @[Mux.scala 27:72] - node _T_1710 = or(_T_1709, _T_1707) @[Mux.scala 27:72] - wire _T_1711 : UInt<1> @[Mux.scala 27:72] - _T_1711 <= _T_1710 @[Mux.scala 27:72] - node _T_1712 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1713 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1714 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1715 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1716 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1717 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1718 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1719 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1720 = mux(_T_1712, _T_1713, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1721 = mux(_T_1714, _T_1715, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1722 = mux(_T_1716, _T_1717, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1723 = mux(_T_1718, _T_1719, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1724 = or(_T_1720, _T_1721) @[Mux.scala 27:72] - node _T_1725 = or(_T_1724, _T_1722) @[Mux.scala 27:72] - node _T_1726 = or(_T_1725, _T_1723) @[Mux.scala 27:72] - wire _T_1727 : UInt<1> @[Mux.scala 27:72] - _T_1727 <= _T_1726 @[Mux.scala 27:72] - node _T_1728 = and(_T_1711, _T_1727) @[el2_lsu_bus_buffer.scala 396:36] - node _T_1729 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1730 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1731 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1732 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1733 = mux(_T_1729, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1734 = mux(_T_1730, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1735 = mux(_T_1731, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1736 = mux(_T_1732, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1737 = or(_T_1733, _T_1734) @[Mux.scala 27:72] - node _T_1738 = or(_T_1737, _T_1735) @[Mux.scala 27:72] - node _T_1739 = or(_T_1738, _T_1736) @[Mux.scala 27:72] - wire _T_1740 : UInt<32> @[Mux.scala 27:72] - _T_1740 <= _T_1739 @[Mux.scala 27:72] - node _T_1741 = bits(_T_1740, 31, 3) @[el2_lsu_bus_buffer.scala 397:33] - node _T_1742 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1743 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1744 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1745 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1746 = mux(_T_1742, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1747 = mux(_T_1743, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1748 = mux(_T_1744, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1749 = mux(_T_1745, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1750 = or(_T_1746, _T_1747) @[Mux.scala 27:72] - node _T_1751 = or(_T_1750, _T_1748) @[Mux.scala 27:72] - node _T_1752 = or(_T_1751, _T_1749) @[Mux.scala 27:72] - wire _T_1753 : UInt<32> @[Mux.scala 27:72] - _T_1753 <= _T_1752 @[Mux.scala 27:72] - node _T_1754 = bits(_T_1753, 31, 3) @[el2_lsu_bus_buffer.scala 397:69] - node _T_1755 = eq(_T_1741, _T_1754) @[el2_lsu_bus_buffer.scala 397:39] - node _T_1756 = and(_T_1728, _T_1755) @[el2_lsu_bus_buffer.scala 396:67] - node _T_1757 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:79] - node _T_1758 = and(_T_1756, _T_1757) @[el2_lsu_bus_buffer.scala 397:77] - node _T_1759 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:105] - node _T_1760 = and(_T_1758, _T_1759) @[el2_lsu_bus_buffer.scala 397:103] - node _T_1761 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1762 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1763 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1764 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1765 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1766 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1767 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1768 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1769 = mux(_T_1761, _T_1762, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1770 = mux(_T_1763, _T_1764, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1771 = mux(_T_1765, _T_1766, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1772 = mux(_T_1767, _T_1768, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1773 = or(_T_1769, _T_1770) @[Mux.scala 27:72] - node _T_1774 = or(_T_1773, _T_1771) @[Mux.scala 27:72] - node _T_1775 = or(_T_1774, _T_1772) @[Mux.scala 27:72] - wire _T_1776 : UInt<1> @[Mux.scala 27:72] - _T_1776 <= _T_1775 @[Mux.scala 27:72] - node _T_1777 = eq(_T_1776, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:6] - node _T_1778 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_1779 = cat(_T_1778, buf_dual[1]) @[Cat.scala 29:58] - node _T_1780 = cat(_T_1779, buf_dual[0]) @[Cat.scala 29:58] - node _T_1781 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1782 = bits(_T_1780, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1783 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1784 = bits(_T_1780, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1785 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1786 = bits(_T_1780, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1787 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1788 = bits(_T_1780, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1789 = mux(_T_1781, _T_1782, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1790 = mux(_T_1783, _T_1784, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1791 = mux(_T_1785, _T_1786, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1792 = mux(_T_1787, _T_1788, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1793 = or(_T_1789, _T_1790) @[Mux.scala 27:72] - node _T_1794 = or(_T_1793, _T_1791) @[Mux.scala 27:72] - node _T_1795 = or(_T_1794, _T_1792) @[Mux.scala 27:72] - wire _T_1796 : UInt<1> @[Mux.scala 27:72] - _T_1796 <= _T_1795 @[Mux.scala 27:72] - node _T_1797 = and(_T_1777, _T_1796) @[el2_lsu_bus_buffer.scala 398:36] - node _T_1798 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] - node _T_1799 = cat(_T_1798, buf_dualhi[1]) @[Cat.scala 29:58] - node _T_1800 = cat(_T_1799, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1801 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1802 = bits(_T_1800, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1803 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1804 = bits(_T_1800, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1805 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1806 = bits(_T_1800, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1807 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1808 = bits(_T_1800, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1809 = mux(_T_1801, _T_1802, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1810 = mux(_T_1803, _T_1804, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1811 = mux(_T_1805, _T_1806, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1812 = mux(_T_1807, _T_1808, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1813 = or(_T_1809, _T_1810) @[Mux.scala 27:72] - node _T_1814 = or(_T_1813, _T_1811) @[Mux.scala 27:72] - node _T_1815 = or(_T_1814, _T_1812) @[Mux.scala 27:72] - wire _T_1816 : UInt<1> @[Mux.scala 27:72] - _T_1816 <= _T_1815 @[Mux.scala 27:72] - node _T_1817 = eq(_T_1816, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:107] - node _T_1818 = and(_T_1797, _T_1817) @[el2_lsu_bus_buffer.scala 398:105] - node _T_1819 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] - node _T_1820 = cat(_T_1819, buf_samedw[1]) @[Cat.scala 29:58] - node _T_1821 = cat(_T_1820, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1822 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1823 = bits(_T_1821, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1824 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1825 = bits(_T_1821, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1826 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1827 = bits(_T_1821, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1828 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1829 = bits(_T_1821, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1830 = mux(_T_1822, _T_1823, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1831 = mux(_T_1824, _T_1825, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1832 = mux(_T_1826, _T_1827, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1833 = mux(_T_1828, _T_1829, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1834 = or(_T_1830, _T_1831) @[Mux.scala 27:72] - node _T_1835 = or(_T_1834, _T_1832) @[Mux.scala 27:72] - node _T_1836 = or(_T_1835, _T_1833) @[Mux.scala 27:72] - wire _T_1837 : UInt<1> @[Mux.scala 27:72] - _T_1837 <= _T_1836 @[Mux.scala 27:72] - node _T_1838 = and(_T_1818, _T_1837) @[el2_lsu_bus_buffer.scala 398:177] - node _T_1839 = or(_T_1760, _T_1838) @[el2_lsu_bus_buffer.scala 397:126] - node _T_1840 = and(_T_1695, _T_1839) @[el2_lsu_bus_buffer.scala 395:120] - node _T_1841 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 399:19] - node _T_1842 = and(_T_1841, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 399:35] - node _T_1843 = or(_T_1840, _T_1842) @[el2_lsu_bus_buffer.scala 398:251] - obuf_merge_en <= _T_1843 @[el2_lsu_bus_buffer.scala 394:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 401:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 401:55] - node _T_1844 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 402:58] - node _T_1845 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:93] - node _T_1846 = and(_T_1844, _T_1845) @[el2_lsu_bus_buffer.scala 402:91] - reg _T_1847 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 402:54] - _T_1847 <= _T_1846 @[el2_lsu_bus_buffer.scala 402:54] - obuf_valid <= _T_1847 @[el2_lsu_bus_buffer.scala 402:14] - reg _T_1848 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_1350 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 379:34] + node _T_1351 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 379:52] + node _T_1352 = eq(_T_1350, _T_1351) @[el2_lsu_bus_buffer.scala 379:40] + node _T_1353 = and(_T_1352, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 379:60] + node _T_1354 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:80] + node _T_1355 = and(_T_1353, _T_1354) @[el2_lsu_bus_buffer.scala 379:78] + node _T_1356 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:99] + node _T_1357 = and(_T_1355, _T_1356) @[el2_lsu_bus_buffer.scala 379:97] + node _T_1358 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:113] + node _T_1359 = and(_T_1357, _T_1358) @[el2_lsu_bus_buffer.scala 379:111] + node _T_1360 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:130] + node _T_1361 = and(_T_1359, _T_1360) @[el2_lsu_bus_buffer.scala 379:128] + node _T_1362 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:20] + node _T_1363 = and(obuf_valid, _T_1362) @[el2_lsu_bus_buffer.scala 380:18] + node _T_1364 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 380:90] + node _T_1365 = and(bus_rsp_read, _T_1364) @[el2_lsu_bus_buffer.scala 380:70] + node _T_1366 = eq(_T_1365, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:55] + node _T_1367 = and(obuf_rdrsp_pend, _T_1366) @[el2_lsu_bus_buffer.scala 380:53] + node _T_1368 = or(_T_1363, _T_1367) @[el2_lsu_bus_buffer.scala 380:34] + node _T_1369 = and(_T_1361, _T_1368) @[el2_lsu_bus_buffer.scala 379:165] + obuf_nosend_in <= _T_1369 @[el2_lsu_bus_buffer.scala 379:18] + node _T_1370 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 381:60] + node _T_1371 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1372 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1373 = mux(_T_1370, _T_1371, _T_1372) @[el2_lsu_bus_buffer.scala 381:46] + node _T_1374 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1375 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1376 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1377 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1378 = mux(_T_1374, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1379 = mux(_T_1375, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1380 = mux(_T_1376, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1381 = mux(_T_1377, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1382 = or(_T_1378, _T_1379) @[Mux.scala 27:72] + node _T_1383 = or(_T_1382, _T_1380) @[Mux.scala 27:72] + node _T_1384 = or(_T_1383, _T_1381) @[Mux.scala 27:72] + wire _T_1385 : UInt<32> @[Mux.scala 27:72] + _T_1385 <= _T_1384 @[Mux.scala 27:72] + node _T_1386 = bits(_T_1385, 2, 2) @[el2_lsu_bus_buffer.scala 382:36] + node _T_1387 = bits(_T_1386, 0, 0) @[el2_lsu_bus_buffer.scala 382:46] + node _T_1388 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1389 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1390 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1391 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1395 = mux(_T_1391, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1396 = or(_T_1392, _T_1393) @[Mux.scala 27:72] + node _T_1397 = or(_T_1396, _T_1394) @[Mux.scala 27:72] + node _T_1398 = or(_T_1397, _T_1395) @[Mux.scala 27:72] + wire _T_1399 : UInt<4> @[Mux.scala 27:72] + _T_1399 <= _T_1398 @[Mux.scala 27:72] + node _T_1400 = cat(_T_1399, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1401 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1402 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1403 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1404 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1405 = mux(_T_1401, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1406 = mux(_T_1402, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1407 = mux(_T_1403, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1408 = mux(_T_1404, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1409 = or(_T_1405, _T_1406) @[Mux.scala 27:72] + node _T_1410 = or(_T_1409, _T_1407) @[Mux.scala 27:72] + node _T_1411 = or(_T_1410, _T_1408) @[Mux.scala 27:72] + wire _T_1412 : UInt<4> @[Mux.scala 27:72] + _T_1412 <= _T_1411 @[Mux.scala 27:72] + node _T_1413 = cat(UInt<4>("h00"), _T_1412) @[Cat.scala 29:58] + node _T_1414 = mux(_T_1387, _T_1400, _T_1413) @[el2_lsu_bus_buffer.scala 382:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1373, _T_1414) @[el2_lsu_bus_buffer.scala 381:28] + node _T_1415 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 383:60] + node _T_1416 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1417 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1418 = mux(_T_1415, _T_1416, _T_1417) @[el2_lsu_bus_buffer.scala 383:46] + node _T_1419 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1420 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1421 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1422 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1423 = mux(_T_1419, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1424 = mux(_T_1420, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1425 = mux(_T_1421, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1426 = mux(_T_1422, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1427 = or(_T_1423, _T_1424) @[Mux.scala 27:72] + node _T_1428 = or(_T_1427, _T_1425) @[Mux.scala 27:72] + node _T_1429 = or(_T_1428, _T_1426) @[Mux.scala 27:72] + wire _T_1430 : UInt<32> @[Mux.scala 27:72] + _T_1430 <= _T_1429 @[Mux.scala 27:72] + node _T_1431 = bits(_T_1430, 2, 2) @[el2_lsu_bus_buffer.scala 384:36] + node _T_1432 = bits(_T_1431, 0, 0) @[el2_lsu_bus_buffer.scala 384:46] + node _T_1433 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1434 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1435 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1436 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1437 = mux(_T_1433, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1438 = mux(_T_1434, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1439 = mux(_T_1435, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1440 = mux(_T_1436, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1441 = or(_T_1437, _T_1438) @[Mux.scala 27:72] + node _T_1442 = or(_T_1441, _T_1439) @[Mux.scala 27:72] + node _T_1443 = or(_T_1442, _T_1440) @[Mux.scala 27:72] + wire _T_1444 : UInt<4> @[Mux.scala 27:72] + _T_1444 <= _T_1443 @[Mux.scala 27:72] + node _T_1445 = cat(_T_1444, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1446 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1447 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1448 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1449 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1450 = mux(_T_1446, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1451 = mux(_T_1447, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1452 = mux(_T_1448, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1453 = mux(_T_1449, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1454 = or(_T_1450, _T_1451) @[Mux.scala 27:72] + node _T_1455 = or(_T_1454, _T_1452) @[Mux.scala 27:72] + node _T_1456 = or(_T_1455, _T_1453) @[Mux.scala 27:72] + wire _T_1457 : UInt<4> @[Mux.scala 27:72] + _T_1457 <= _T_1456 @[Mux.scala 27:72] + node _T_1458 = cat(UInt<4>("h00"), _T_1457) @[Cat.scala 29:58] + node _T_1459 = mux(_T_1432, _T_1445, _T_1458) @[el2_lsu_bus_buffer.scala 384:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1418, _T_1459) @[el2_lsu_bus_buffer.scala 383:28] + node _T_1460 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 386:58] + node _T_1461 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1462 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1463 = mux(_T_1460, _T_1461, _T_1462) @[el2_lsu_bus_buffer.scala 386:44] + node _T_1464 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1465 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1466 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1467 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1468 = mux(_T_1464, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1469 = mux(_T_1465, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1466, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = mux(_T_1467, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1472 = or(_T_1468, _T_1469) @[Mux.scala 27:72] + node _T_1473 = or(_T_1472, _T_1470) @[Mux.scala 27:72] + node _T_1474 = or(_T_1473, _T_1471) @[Mux.scala 27:72] + wire _T_1475 : UInt<32> @[Mux.scala 27:72] + _T_1475 <= _T_1474 @[Mux.scala 27:72] + node _T_1476 = bits(_T_1475, 2, 2) @[el2_lsu_bus_buffer.scala 387:36] + node _T_1477 = bits(_T_1476, 0, 0) @[el2_lsu_bus_buffer.scala 387:46] + node _T_1478 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1479 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1480 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1481 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1481, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = or(_T_1482, _T_1483) @[Mux.scala 27:72] + node _T_1487 = or(_T_1486, _T_1484) @[Mux.scala 27:72] + node _T_1488 = or(_T_1487, _T_1485) @[Mux.scala 27:72] + wire _T_1489 : UInt<32> @[Mux.scala 27:72] + _T_1489 <= _T_1488 @[Mux.scala 27:72] + node _T_1490 = cat(_T_1489, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1491 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1492 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1493 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1494 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1495 = mux(_T_1491, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1496 = mux(_T_1492, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1497 = mux(_T_1493, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1498 = mux(_T_1494, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = or(_T_1495, _T_1496) @[Mux.scala 27:72] + node _T_1500 = or(_T_1499, _T_1497) @[Mux.scala 27:72] + node _T_1501 = or(_T_1500, _T_1498) @[Mux.scala 27:72] + wire _T_1502 : UInt<32> @[Mux.scala 27:72] + _T_1502 <= _T_1501 @[Mux.scala 27:72] + node _T_1503 = cat(UInt<32>("h00"), _T_1502) @[Cat.scala 29:58] + node _T_1504 = mux(_T_1477, _T_1490, _T_1503) @[el2_lsu_bus_buffer.scala 387:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1463, _T_1504) @[el2_lsu_bus_buffer.scala 386:26] + node _T_1505 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 388:58] + node _T_1506 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1507 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1508 = mux(_T_1505, _T_1506, _T_1507) @[el2_lsu_bus_buffer.scala 388:44] + node _T_1509 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1510 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1511 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1512 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1513 = mux(_T_1509, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1510, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1511, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1512, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = or(_T_1513, _T_1514) @[Mux.scala 27:72] + node _T_1518 = or(_T_1517, _T_1515) @[Mux.scala 27:72] + node _T_1519 = or(_T_1518, _T_1516) @[Mux.scala 27:72] + wire _T_1520 : UInt<32> @[Mux.scala 27:72] + _T_1520 <= _T_1519 @[Mux.scala 27:72] + node _T_1521 = bits(_T_1520, 2, 2) @[el2_lsu_bus_buffer.scala 389:36] + node _T_1522 = bits(_T_1521, 0, 0) @[el2_lsu_bus_buffer.scala 389:46] + node _T_1523 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1524 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1525 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1526 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1527 = mux(_T_1523, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1524, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = mux(_T_1525, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1530 = mux(_T_1526, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1531 = or(_T_1527, _T_1528) @[Mux.scala 27:72] + node _T_1532 = or(_T_1531, _T_1529) @[Mux.scala 27:72] + node _T_1533 = or(_T_1532, _T_1530) @[Mux.scala 27:72] + wire _T_1534 : UInt<32> @[Mux.scala 27:72] + _T_1534 <= _T_1533 @[Mux.scala 27:72] + node _T_1535 = cat(_T_1534, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1536 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1537 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1538 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1539 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1540 = mux(_T_1536, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1541 = mux(_T_1537, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1542 = mux(_T_1538, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1543 = mux(_T_1539, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1544 = or(_T_1540, _T_1541) @[Mux.scala 27:72] + node _T_1545 = or(_T_1544, _T_1542) @[Mux.scala 27:72] + node _T_1546 = or(_T_1545, _T_1543) @[Mux.scala 27:72] + wire _T_1547 : UInt<32> @[Mux.scala 27:72] + _T_1547 <= _T_1546 @[Mux.scala 27:72] + node _T_1548 = cat(UInt<32>("h00"), _T_1547) @[Cat.scala 29:58] + node _T_1549 = mux(_T_1522, _T_1535, _T_1548) @[el2_lsu_bus_buffer.scala 389:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1508, _T_1549) @[el2_lsu_bus_buffer.scala 388:26] + node _T_1550 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1551 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1552 = and(obuf_merge_en, _T_1551) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1553 = or(_T_1550, _T_1552) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1554 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1555 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1556 = and(obuf_merge_en, _T_1555) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1557 = or(_T_1554, _T_1556) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1558 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1559 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1562 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1563 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1566 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1567 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1570 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1571 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1574 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1575 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1576 = and(obuf_merge_en, _T_1575) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1577 = or(_T_1574, _T_1576) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1578 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1579 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1580 = and(obuf_merge_en, _T_1579) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1581 = or(_T_1578, _T_1580) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1582 = cat(_T_1581, _T_1577) @[Cat.scala 29:58] + node _T_1583 = cat(_T_1582, _T_1573) @[Cat.scala 29:58] + node _T_1584 = cat(_T_1583, _T_1569) @[Cat.scala 29:58] + node _T_1585 = cat(_T_1584, _T_1565) @[Cat.scala 29:58] + node _T_1586 = cat(_T_1585, _T_1561) @[Cat.scala 29:58] + node _T_1587 = cat(_T_1586, _T_1557) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1587, _T_1553) @[Cat.scala 29:58] + node _T_1588 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1589 = and(obuf_merge_en, _T_1588) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1590 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1591 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1593 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1594 = and(obuf_merge_en, _T_1593) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1595 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1596 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1598 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1599 = and(obuf_merge_en, _T_1598) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1600 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1601 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1603 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1604 = and(obuf_merge_en, _T_1603) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1605 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1606 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1608 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1609 = and(obuf_merge_en, _T_1608) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1610 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1611 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1613 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1614 = and(obuf_merge_en, _T_1613) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1615 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1616 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1617 = mux(_T_1614, _T_1615, _T_1616) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1618 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1619 = and(obuf_merge_en, _T_1618) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1620 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1621 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1622 = mux(_T_1619, _T_1620, _T_1621) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1623 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1624 = and(obuf_merge_en, _T_1623) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1625 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1626 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1627 = mux(_T_1624, _T_1625, _T_1626) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1628 = cat(_T_1627, _T_1622) @[Cat.scala 29:58] + node _T_1629 = cat(_T_1628, _T_1617) @[Cat.scala 29:58] + node _T_1630 = cat(_T_1629, _T_1612) @[Cat.scala 29:58] + node _T_1631 = cat(_T_1630, _T_1607) @[Cat.scala 29:58] + node _T_1632 = cat(_T_1631, _T_1602) @[Cat.scala 29:58] + node _T_1633 = cat(_T_1632, _T_1597) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1633, _T_1592) @[Cat.scala 29:58] + io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 392:15] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 393:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] + node _T_1634 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 395:30] + node _T_1635 = and(_T_1634, found_cmdptr0) @[el2_lsu_bus_buffer.scala 395:43] + node _T_1636 = and(_T_1635, found_cmdptr1) @[el2_lsu_bus_buffer.scala 395:59] + node _T_1637 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1638 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1639 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1640 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1641 = mux(_T_1637, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1642 = mux(_T_1638, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1643 = mux(_T_1639, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1644 = mux(_T_1640, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1645 = or(_T_1641, _T_1642) @[Mux.scala 27:72] + node _T_1646 = or(_T_1645, _T_1643) @[Mux.scala 27:72] + node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] + wire _T_1648 : UInt<3> @[Mux.scala 27:72] + _T_1648 <= _T_1647 @[Mux.scala 27:72] + node _T_1649 = eq(_T_1648, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 395:107] + node _T_1650 = and(_T_1636, _T_1649) @[el2_lsu_bus_buffer.scala 395:75] + node _T_1651 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1652 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1653 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1654 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1655 = mux(_T_1651, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1656 = mux(_T_1652, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1657 = mux(_T_1653, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1658 = mux(_T_1654, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1659 = or(_T_1655, _T_1656) @[Mux.scala 27:72] + node _T_1660 = or(_T_1659, _T_1657) @[Mux.scala 27:72] + node _T_1661 = or(_T_1660, _T_1658) @[Mux.scala 27:72] + wire _T_1662 : UInt<3> @[Mux.scala 27:72] + _T_1662 <= _T_1661 @[Mux.scala 27:72] + node _T_1663 = eq(_T_1662, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 395:150] + node _T_1664 = and(_T_1650, _T_1663) @[el2_lsu_bus_buffer.scala 395:118] + node _T_1665 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1666 = cat(_T_1665, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1667 = cat(_T_1666, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1668 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1669 = bits(_T_1667, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1670 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1671 = bits(_T_1667, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1672 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1673 = bits(_T_1667, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1674 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1675 = bits(_T_1667, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1676 = mux(_T_1668, _T_1669, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1677 = mux(_T_1670, _T_1671, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1678 = mux(_T_1672, _T_1673, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1679 = mux(_T_1674, _T_1675, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1680 = or(_T_1676, _T_1677) @[Mux.scala 27:72] + node _T_1681 = or(_T_1680, _T_1678) @[Mux.scala 27:72] + node _T_1682 = or(_T_1681, _T_1679) @[Mux.scala 27:72] + wire _T_1683 : UInt<1> @[Mux.scala 27:72] + _T_1683 <= _T_1682 @[Mux.scala 27:72] + node _T_1684 = eq(_T_1683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:3] + node _T_1685 = and(_T_1664, _T_1684) @[el2_lsu_bus_buffer.scala 395:161] + node _T_1686 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1687 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1688 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1689 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1690 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1691 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1692 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1693 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1694 = mux(_T_1686, _T_1687, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1695 = mux(_T_1688, _T_1689, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1696 = mux(_T_1690, _T_1691, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1697 = mux(_T_1692, _T_1693, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1698 = or(_T_1694, _T_1695) @[Mux.scala 27:72] + node _T_1699 = or(_T_1698, _T_1696) @[Mux.scala 27:72] + node _T_1700 = or(_T_1699, _T_1697) @[Mux.scala 27:72] + wire _T_1701 : UInt<1> @[Mux.scala 27:72] + _T_1701 <= _T_1700 @[Mux.scala 27:72] + node _T_1702 = eq(_T_1701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:85] + node _T_1703 = and(_T_1685, _T_1702) @[el2_lsu_bus_buffer.scala 396:83] + node _T_1704 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1705 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1706 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1707 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1708 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1709 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1710 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1711 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1712 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1713 = mux(_T_1706, _T_1707, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1714 = mux(_T_1708, _T_1709, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1715 = mux(_T_1710, _T_1711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1716 = or(_T_1712, _T_1713) @[Mux.scala 27:72] + node _T_1717 = or(_T_1716, _T_1714) @[Mux.scala 27:72] + node _T_1718 = or(_T_1717, _T_1715) @[Mux.scala 27:72] + wire _T_1719 : UInt<1> @[Mux.scala 27:72] + _T_1719 <= _T_1718 @[Mux.scala 27:72] + node _T_1720 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1721 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1722 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1723 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1724 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1725 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1726 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1727 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1728 = mux(_T_1720, _T_1721, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1729 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1730 = mux(_T_1724, _T_1725, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1731 = mux(_T_1726, _T_1727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1732 = or(_T_1728, _T_1729) @[Mux.scala 27:72] + node _T_1733 = or(_T_1732, _T_1730) @[Mux.scala 27:72] + node _T_1734 = or(_T_1733, _T_1731) @[Mux.scala 27:72] + wire _T_1735 : UInt<1> @[Mux.scala 27:72] + _T_1735 <= _T_1734 @[Mux.scala 27:72] + node _T_1736 = and(_T_1719, _T_1735) @[el2_lsu_bus_buffer.scala 397:36] + node _T_1737 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1738 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1739 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1740 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1744 = mux(_T_1740, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1745 = or(_T_1741, _T_1742) @[Mux.scala 27:72] + node _T_1746 = or(_T_1745, _T_1743) @[Mux.scala 27:72] + node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72] + wire _T_1748 : UInt<32> @[Mux.scala 27:72] + _T_1748 <= _T_1747 @[Mux.scala 27:72] + node _T_1749 = bits(_T_1748, 31, 3) @[el2_lsu_bus_buffer.scala 398:33] + node _T_1750 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1751 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1752 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1753 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1754 = mux(_T_1750, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1755 = mux(_T_1751, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1756 = mux(_T_1752, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1757 = mux(_T_1753, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1758 = or(_T_1754, _T_1755) @[Mux.scala 27:72] + node _T_1759 = or(_T_1758, _T_1756) @[Mux.scala 27:72] + node _T_1760 = or(_T_1759, _T_1757) @[Mux.scala 27:72] + wire _T_1761 : UInt<32> @[Mux.scala 27:72] + _T_1761 <= _T_1760 @[Mux.scala 27:72] + node _T_1762 = bits(_T_1761, 31, 3) @[el2_lsu_bus_buffer.scala 398:69] + node _T_1763 = eq(_T_1749, _T_1762) @[el2_lsu_bus_buffer.scala 398:39] + node _T_1764 = and(_T_1736, _T_1763) @[el2_lsu_bus_buffer.scala 397:67] + node _T_1765 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:79] + node _T_1766 = and(_T_1764, _T_1765) @[el2_lsu_bus_buffer.scala 398:77] + node _T_1767 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:105] + node _T_1768 = and(_T_1766, _T_1767) @[el2_lsu_bus_buffer.scala 398:103] + node _T_1769 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1770 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1771 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1772 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1773 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1774 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1775 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1776 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1777 = mux(_T_1769, _T_1770, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1778 = mux(_T_1771, _T_1772, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1779 = mux(_T_1773, _T_1774, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1780 = mux(_T_1775, _T_1776, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1781 = or(_T_1777, _T_1778) @[Mux.scala 27:72] + node _T_1782 = or(_T_1781, _T_1779) @[Mux.scala 27:72] + node _T_1783 = or(_T_1782, _T_1780) @[Mux.scala 27:72] + wire _T_1784 : UInt<1> @[Mux.scala 27:72] + _T_1784 <= _T_1783 @[Mux.scala 27:72] + node _T_1785 = eq(_T_1784, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:6] + node _T_1786 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1787 = cat(_T_1786, buf_dual[1]) @[Cat.scala 29:58] + node _T_1788 = cat(_T_1787, buf_dual[0]) @[Cat.scala 29:58] + node _T_1789 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1790 = bits(_T_1788, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1791 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1792 = bits(_T_1788, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1793 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1794 = bits(_T_1788, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1795 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1796 = bits(_T_1788, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1797 = mux(_T_1789, _T_1790, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1798 = mux(_T_1791, _T_1792, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1799 = mux(_T_1793, _T_1794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1800 = mux(_T_1795, _T_1796, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1801 = or(_T_1797, _T_1798) @[Mux.scala 27:72] + node _T_1802 = or(_T_1801, _T_1799) @[Mux.scala 27:72] + node _T_1803 = or(_T_1802, _T_1800) @[Mux.scala 27:72] + wire _T_1804 : UInt<1> @[Mux.scala 27:72] + _T_1804 <= _T_1803 @[Mux.scala 27:72] + node _T_1805 = and(_T_1785, _T_1804) @[el2_lsu_bus_buffer.scala 399:36] + node _T_1806 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1807 = cat(_T_1806, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1808 = cat(_T_1807, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1809 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1810 = bits(_T_1808, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1811 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1812 = bits(_T_1808, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1813 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1814 = bits(_T_1808, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1815 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1816 = bits(_T_1808, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1817 = mux(_T_1809, _T_1810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1818 = mux(_T_1811, _T_1812, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1819 = mux(_T_1813, _T_1814, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1820 = mux(_T_1815, _T_1816, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1821 = or(_T_1817, _T_1818) @[Mux.scala 27:72] + node _T_1822 = or(_T_1821, _T_1819) @[Mux.scala 27:72] + node _T_1823 = or(_T_1822, _T_1820) @[Mux.scala 27:72] + wire _T_1824 : UInt<1> @[Mux.scala 27:72] + _T_1824 <= _T_1823 @[Mux.scala 27:72] + node _T_1825 = eq(_T_1824, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:107] + node _T_1826 = and(_T_1805, _T_1825) @[el2_lsu_bus_buffer.scala 399:105] + node _T_1827 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1828 = cat(_T_1827, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1829 = cat(_T_1828, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1830 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1831 = bits(_T_1829, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1832 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1833 = bits(_T_1829, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1834 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1835 = bits(_T_1829, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1836 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1837 = bits(_T_1829, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1838 = mux(_T_1830, _T_1831, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1839 = mux(_T_1832, _T_1833, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1840 = mux(_T_1834, _T_1835, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1841 = mux(_T_1836, _T_1837, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1842 = or(_T_1838, _T_1839) @[Mux.scala 27:72] + node _T_1843 = or(_T_1842, _T_1840) @[Mux.scala 27:72] + node _T_1844 = or(_T_1843, _T_1841) @[Mux.scala 27:72] + wire _T_1845 : UInt<1> @[Mux.scala 27:72] + _T_1845 <= _T_1844 @[Mux.scala 27:72] + node _T_1846 = and(_T_1826, _T_1845) @[el2_lsu_bus_buffer.scala 399:177] + node _T_1847 = or(_T_1768, _T_1846) @[el2_lsu_bus_buffer.scala 398:126] + node _T_1848 = and(_T_1703, _T_1847) @[el2_lsu_bus_buffer.scala 396:120] + node _T_1849 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 400:19] + node _T_1850 = and(_T_1849, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 400:35] + node _T_1851 = or(_T_1848, _T_1850) @[el2_lsu_bus_buffer.scala 399:251] + obuf_merge_en <= _T_1851 @[el2_lsu_bus_buffer.scala 395:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 402:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 402:55] + node _T_1852 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 403:58] + node _T_1853 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:93] + node _T_1854 = and(_T_1852, _T_1853) @[el2_lsu_bus_buffer.scala 403:91] + reg _T_1855 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 403:54] + _T_1855 <= _T_1854 @[el2_lsu_bus_buffer.scala 403:54] + obuf_valid <= _T_1855 @[el2_lsu_bus_buffer.scala 403:14] + reg _T_1856 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1848 <= obuf_nosend_in @[Reg.scala 28:23] + _T_1856 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1848 @[el2_lsu_bus_buffer.scala 403:15] - reg _T_1849 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 404:54] - _T_1849 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 404:54] - obuf_cmd_done <= _T_1849 @[el2_lsu_bus_buffer.scala 404:17] - reg _T_1850 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 405:55] - _T_1850 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 405:55] - obuf_data_done <= _T_1850 @[el2_lsu_bus_buffer.scala 405:18] - reg _T_1851 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 406:56] - _T_1851 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 406:56] - obuf_rdrsp_pend <= _T_1851 @[el2_lsu_bus_buffer.scala 406:19] - reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 407:55] - _T_1852 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 407:55] - obuf_rdrsp_tag <= _T_1852 @[el2_lsu_bus_buffer.scala 407:18] - reg _T_1853 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_nosend <= _T_1856 @[el2_lsu_bus_buffer.scala 404:15] + reg _T_1857 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 405:54] + _T_1857 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 405:54] + obuf_cmd_done <= _T_1857 @[el2_lsu_bus_buffer.scala 405:17] + reg _T_1858 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 406:55] + _T_1858 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 406:55] + obuf_data_done <= _T_1858 @[el2_lsu_bus_buffer.scala 406:18] + reg _T_1859 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 407:56] + _T_1859 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 407:56] + obuf_rdrsp_pend <= _T_1859 @[el2_lsu_bus_buffer.scala 407:19] + reg _T_1860 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 408:55] + _T_1860 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 408:55] + obuf_rdrsp_tag <= _T_1860 @[el2_lsu_bus_buffer.scala 408:18] + reg _T_1861 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1853 <= obuf_tag0_in @[Reg.scala 28:23] + _T_1861 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1853 @[el2_lsu_bus_buffer.scala 408:13] + obuf_tag0 <= _T_1861 @[el2_lsu_bus_buffer.scala 409:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2638,16 +2646,16 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] obuf_merge <= obuf_merge_en @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_1854 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1862 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1854 <= obuf_write_in @[Reg.scala 28:23] + _T_1862 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1854 @[el2_lsu_bus_buffer.scala 411:14] - reg _T_1855 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_write <= _T_1862 @[el2_lsu_bus_buffer.scala 412:14] + reg _T_1863 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1855 <= obuf_sideeffect_in @[Reg.scala 28:23] + _T_1863 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1855 @[el2_lsu_bus_buffer.scala 412:19] + obuf_sideeffect <= _T_1863 @[el2_lsu_bus_buffer.scala 413:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2658,9 +2666,9 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.clk <= io.lsu_busm_clk @[el2_lib.scala 487:18] rvclkhdr_2.io.en <= obuf_wr_en @[el2_lib.scala 488:17] rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1856 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1856 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1856 @[el2_lsu_bus_buffer.scala 414:13] + reg _T_1864 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1864 <= obuf_addr_in @[el2_lib.scala 491:16] + obuf_addr <= _T_1864 @[el2_lsu_bus_buffer.scala 415:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2673,3837 +2681,3837 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1857 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 417:54] - _T_1857 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 417:54] - obuf_wr_timer <= _T_1857 @[el2_lsu_bus_buffer.scala 417:17] + reg _T_1865 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 418:54] + _T_1865 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 418:54] + obuf_wr_timer <= _T_1865 @[el2_lsu_bus_buffer.scala 418:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1858 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] - node _T_1859 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:97] - node _T_1860 = and(ibuf_valid, _T_1859) @[el2_lsu_bus_buffer.scala 419:86] - node _T_1861 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 419:106] - node _T_1864 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 420:60] - node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] - node _T_1868 = and(_T_1858, _T_1867) @[el2_lsu_bus_buffer.scala 419:70] - node _T_1869 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] - node _T_1870 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 419:97] - node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 419:86] - node _T_1872 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1873 = and(io.lsu_busreq_r, _T_1872) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 419:106] - node _T_1875 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1876 = and(io.ldst_dual_r, _T_1875) @[el2_lsu_bus_buffer.scala 420:60] - node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1878 = eq(_T_1877, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] - node _T_1879 = and(_T_1869, _T_1878) @[el2_lsu_bus_buffer.scala 419:70] - node _T_1880 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] - node _T_1881 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 419:97] - node _T_1882 = and(ibuf_valid, _T_1881) @[el2_lsu_bus_buffer.scala 419:86] - node _T_1883 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1884 = and(io.lsu_busreq_r, _T_1883) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1885 = or(_T_1882, _T_1884) @[el2_lsu_bus_buffer.scala 419:106] - node _T_1886 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1887 = and(io.ldst_dual_r, _T_1886) @[el2_lsu_bus_buffer.scala 420:60] - node _T_1888 = or(_T_1885, _T_1887) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1889 = eq(_T_1888, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] - node _T_1890 = and(_T_1880, _T_1889) @[el2_lsu_bus_buffer.scala 419:70] - node _T_1891 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] - node _T_1892 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 419:97] - node _T_1893 = and(ibuf_valid, _T_1892) @[el2_lsu_bus_buffer.scala 419:86] - node _T_1894 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1895 = and(io.lsu_busreq_r, _T_1894) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1896 = or(_T_1893, _T_1895) @[el2_lsu_bus_buffer.scala 419:106] - node _T_1897 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1898 = and(io.ldst_dual_r, _T_1897) @[el2_lsu_bus_buffer.scala 420:60] - node _T_1899 = or(_T_1896, _T_1898) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1900 = eq(_T_1899, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] - node _T_1901 = and(_T_1891, _T_1900) @[el2_lsu_bus_buffer.scala 419:70] - node _T_1902 = mux(_T_1901, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1903 = mux(_T_1890, UInt<2>("h02"), _T_1902) @[Mux.scala 98:16] - node _T_1904 = mux(_T_1879, UInt<1>("h01"), _T_1903) @[Mux.scala 98:16] - node _T_1905 = mux(_T_1868, UInt<1>("h00"), _T_1904) @[Mux.scala 98:16] - WrPtr0_m <= _T_1905 @[el2_lsu_bus_buffer.scala 421:12] - node _T_1906 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1907 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1908 = and(ibuf_valid, _T_1907) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1909 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:33] - node _T_1910 = and(io.lsu_busreq_m, _T_1909) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1911 = or(_T_1908, _T_1910) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1912 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:73] - node _T_1913 = and(io.lsu_busreq_r, _T_1912) @[el2_lsu_bus_buffer.scala 423:61] - node _T_1914 = or(_T_1911, _T_1913) @[el2_lsu_bus_buffer.scala 423:42] - node _T_1915 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1916 = and(io.ldst_dual_r, _T_1915) @[el2_lsu_bus_buffer.scala 423:101] - node _T_1917 = or(_T_1914, _T_1916) @[el2_lsu_bus_buffer.scala 423:83] - node _T_1918 = eq(_T_1917, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1919 = and(_T_1906, _T_1918) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1920 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1921 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1922 = and(ibuf_valid, _T_1921) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1923 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:33] - node _T_1924 = and(io.lsu_busreq_m, _T_1923) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1925 = or(_T_1922, _T_1924) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1926 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:73] - node _T_1927 = and(io.lsu_busreq_r, _T_1926) @[el2_lsu_bus_buffer.scala 423:61] - node _T_1928 = or(_T_1925, _T_1927) @[el2_lsu_bus_buffer.scala 423:42] - node _T_1929 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1930 = and(io.ldst_dual_r, _T_1929) @[el2_lsu_bus_buffer.scala 423:101] - node _T_1931 = or(_T_1928, _T_1930) @[el2_lsu_bus_buffer.scala 423:83] - node _T_1932 = eq(_T_1931, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1933 = and(_T_1920, _T_1932) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1934 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1935 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1936 = and(ibuf_valid, _T_1935) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1937 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:33] - node _T_1938 = and(io.lsu_busreq_m, _T_1937) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1939 = or(_T_1936, _T_1938) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:73] - node _T_1941 = and(io.lsu_busreq_r, _T_1940) @[el2_lsu_bus_buffer.scala 423:61] - node _T_1942 = or(_T_1939, _T_1941) @[el2_lsu_bus_buffer.scala 423:42] - node _T_1943 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1944 = and(io.ldst_dual_r, _T_1943) @[el2_lsu_bus_buffer.scala 423:101] - node _T_1945 = or(_T_1942, _T_1944) @[el2_lsu_bus_buffer.scala 423:83] - node _T_1946 = eq(_T_1945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1947 = and(_T_1934, _T_1946) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1948 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1949 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1950 = and(ibuf_valid, _T_1949) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1951 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:33] - node _T_1952 = and(io.lsu_busreq_m, _T_1951) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1953 = or(_T_1950, _T_1952) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1954 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:73] - node _T_1955 = and(io.lsu_busreq_r, _T_1954) @[el2_lsu_bus_buffer.scala 423:61] - node _T_1956 = or(_T_1953, _T_1955) @[el2_lsu_bus_buffer.scala 423:42] - node _T_1957 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1958 = and(io.ldst_dual_r, _T_1957) @[el2_lsu_bus_buffer.scala 423:101] - node _T_1959 = or(_T_1956, _T_1958) @[el2_lsu_bus_buffer.scala 423:83] - node _T_1960 = eq(_T_1959, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1961 = and(_T_1948, _T_1960) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1962 = mux(_T_1961, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1963 = mux(_T_1947, UInt<2>("h02"), _T_1962) @[Mux.scala 98:16] - node _T_1964 = mux(_T_1933, UInt<1>("h01"), _T_1963) @[Mux.scala 98:16] - node WrPtr1_m = mux(_T_1919, UInt<1>("h00"), _T_1964) @[Mux.scala 98:16] - io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 425:15] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 426:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] - node _T_1965 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 429:58] - node _T_1966 = eq(_T_1965, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] - node _T_1967 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_1968 = and(_T_1966, _T_1967) @[el2_lsu_bus_buffer.scala 429:63] - node _T_1969 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] - node _T_1970 = and(_T_1968, _T_1969) @[el2_lsu_bus_buffer.scala 429:88] - node _T_1971 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 429:58] - node _T_1972 = eq(_T_1971, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] - node _T_1973 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_1974 = and(_T_1972, _T_1973) @[el2_lsu_bus_buffer.scala 429:63] - node _T_1975 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] - node _T_1976 = and(_T_1974, _T_1975) @[el2_lsu_bus_buffer.scala 429:88] - node _T_1977 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 429:58] - node _T_1978 = eq(_T_1977, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] - node _T_1979 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_1980 = and(_T_1978, _T_1979) @[el2_lsu_bus_buffer.scala 429:63] - node _T_1981 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] - node _T_1982 = and(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 429:88] - node _T_1983 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 429:58] - node _T_1984 = eq(_T_1983, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] - node _T_1985 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_1986 = and(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 429:63] - node _T_1987 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] - node _T_1988 = and(_T_1986, _T_1987) @[el2_lsu_bus_buffer.scala 429:88] - node _T_1989 = cat(_T_1988, _T_1982) @[Cat.scala 29:58] - node _T_1990 = cat(_T_1989, _T_1976) @[Cat.scala 29:58] - node CmdPtr0Dec = cat(_T_1990, _T_1970) @[Cat.scala 29:58] - node _T_1991 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] - node _T_1992 = and(buf_age[0], _T_1991) @[el2_lsu_bus_buffer.scala 430:59] - node _T_1993 = orr(_T_1992) @[el2_lsu_bus_buffer.scala 430:76] - node _T_1994 = eq(_T_1993, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_1995 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 430:94] - node _T_1996 = eq(_T_1995, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_1997 = and(_T_1994, _T_1996) @[el2_lsu_bus_buffer.scala 430:81] - node _T_1998 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] - node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 430:98] - node _T_2000 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] - node _T_2001 = and(_T_1999, _T_2000) @[el2_lsu_bus_buffer.scala 430:123] - node _T_2002 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] - node _T_2003 = and(buf_age[1], _T_2002) @[el2_lsu_bus_buffer.scala 430:59] - node _T_2004 = orr(_T_2003) @[el2_lsu_bus_buffer.scala 430:76] - node _T_2005 = eq(_T_2004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_2006 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2007 = eq(_T_2006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2008 = and(_T_2005, _T_2007) @[el2_lsu_bus_buffer.scala 430:81] - node _T_2009 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2010 = and(_T_2008, _T_2009) @[el2_lsu_bus_buffer.scala 430:98] - node _T_2011 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] - node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 430:123] - node _T_2013 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] - node _T_2014 = and(buf_age[2], _T_2013) @[el2_lsu_bus_buffer.scala 430:59] - node _T_2015 = orr(_T_2014) @[el2_lsu_bus_buffer.scala 430:76] - node _T_2016 = eq(_T_2015, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_2017 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2018 = eq(_T_2017, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2019 = and(_T_2016, _T_2018) @[el2_lsu_bus_buffer.scala 430:81] - node _T_2020 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 430:98] - node _T_2022 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] - node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 430:123] - node _T_2024 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] - node _T_2025 = and(buf_age[3], _T_2024) @[el2_lsu_bus_buffer.scala 430:59] - node _T_2026 = orr(_T_2025) @[el2_lsu_bus_buffer.scala 430:76] - node _T_2027 = eq(_T_2026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_2028 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2029 = eq(_T_2028, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2030 = and(_T_2027, _T_2029) @[el2_lsu_bus_buffer.scala 430:81] - node _T_2031 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 430:98] - node _T_2033 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] - node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 430:123] - node _T_2035 = cat(_T_2034, _T_2023) @[Cat.scala 29:58] - node _T_2036 = cat(_T_2035, _T_2012) @[Cat.scala 29:58] - node CmdPtr1Dec = cat(_T_2036, _T_2001) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 431:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] - node _T_2037 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 433:65] - node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] - node _T_2039 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 433:70] - node _T_2041 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 433:65] - node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] - node _T_2043 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2044 = and(_T_2042, _T_2043) @[el2_lsu_bus_buffer.scala 433:70] - node _T_2045 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 433:65] - node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] - node _T_2047 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2048 = and(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 433:70] - node _T_2049 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 433:65] - node _T_2050 = eq(_T_2049, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] - node _T_2051 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2052 = and(_T_2050, _T_2051) @[el2_lsu_bus_buffer.scala 433:70] - node _T_2053 = cat(_T_2052, _T_2048) @[Cat.scala 29:58] - node _T_2054 = cat(_T_2053, _T_2044) @[Cat.scala 29:58] - node RspPtrDec = cat(_T_2054, _T_2040) @[Cat.scala 29:58] - node _T_2055 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 434:31] - found_cmdptr0 <= _T_2055 @[el2_lsu_bus_buffer.scala 434:17] - node _T_2056 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 435:31] - found_cmdptr1 <= _T_2056 @[el2_lsu_bus_buffer.scala 435:17] + node _T_1866 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] + node _T_1867 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:97] + node _T_1868 = and(ibuf_valid, _T_1867) @[el2_lsu_bus_buffer.scala 420:86] + node _T_1869 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:33] + node _T_1870 = and(io.lsu_busreq_r, _T_1869) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1871 = or(_T_1868, _T_1870) @[el2_lsu_bus_buffer.scala 420:106] + node _T_1872 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:72] + node _T_1873 = and(io.ldst_dual_r, _T_1872) @[el2_lsu_bus_buffer.scala 421:60] + node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 421:42] + node _T_1875 = eq(_T_1874, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1876 = and(_T_1866, _T_1875) @[el2_lsu_bus_buffer.scala 420:70] + node _T_1877 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] + node _T_1878 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 420:97] + node _T_1879 = and(ibuf_valid, _T_1878) @[el2_lsu_bus_buffer.scala 420:86] + node _T_1880 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 421:33] + node _T_1881 = and(io.lsu_busreq_r, _T_1880) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1882 = or(_T_1879, _T_1881) @[el2_lsu_bus_buffer.scala 420:106] + node _T_1883 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 421:72] + node _T_1884 = and(io.ldst_dual_r, _T_1883) @[el2_lsu_bus_buffer.scala 421:60] + node _T_1885 = or(_T_1882, _T_1884) @[el2_lsu_bus_buffer.scala 421:42] + node _T_1886 = eq(_T_1885, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1887 = and(_T_1877, _T_1886) @[el2_lsu_bus_buffer.scala 420:70] + node _T_1888 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] + node _T_1889 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 420:97] + node _T_1890 = and(ibuf_valid, _T_1889) @[el2_lsu_bus_buffer.scala 420:86] + node _T_1891 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 421:33] + node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1893 = or(_T_1890, _T_1892) @[el2_lsu_bus_buffer.scala 420:106] + node _T_1894 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 421:72] + node _T_1895 = and(io.ldst_dual_r, _T_1894) @[el2_lsu_bus_buffer.scala 421:60] + node _T_1896 = or(_T_1893, _T_1895) @[el2_lsu_bus_buffer.scala 421:42] + node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1898 = and(_T_1888, _T_1897) @[el2_lsu_bus_buffer.scala 420:70] + node _T_1899 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] + node _T_1900 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 420:97] + node _T_1901 = and(ibuf_valid, _T_1900) @[el2_lsu_bus_buffer.scala 420:86] + node _T_1902 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 421:33] + node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1904 = or(_T_1901, _T_1903) @[el2_lsu_bus_buffer.scala 420:106] + node _T_1905 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 421:72] + node _T_1906 = and(io.ldst_dual_r, _T_1905) @[el2_lsu_bus_buffer.scala 421:60] + node _T_1907 = or(_T_1904, _T_1906) @[el2_lsu_bus_buffer.scala 421:42] + node _T_1908 = eq(_T_1907, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1909 = and(_T_1899, _T_1908) @[el2_lsu_bus_buffer.scala 420:70] + node _T_1910 = mux(_T_1909, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1911 = mux(_T_1898, UInt<2>("h02"), _T_1910) @[Mux.scala 98:16] + node _T_1912 = mux(_T_1887, UInt<1>("h01"), _T_1911) @[Mux.scala 98:16] + node _T_1913 = mux(_T_1876, UInt<1>("h00"), _T_1912) @[Mux.scala 98:16] + WrPtr0_m <= _T_1913 @[el2_lsu_bus_buffer.scala 422:12] + node _T_1914 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] + node _T_1915 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:97] + node _T_1916 = and(ibuf_valid, _T_1915) @[el2_lsu_bus_buffer.scala 423:86] + node _T_1917 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1918 = and(io.lsu_busreq_m, _T_1917) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1919 = or(_T_1916, _T_1918) @[el2_lsu_bus_buffer.scala 423:106] + node _T_1920 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:73] + node _T_1921 = and(io.lsu_busreq_r, _T_1920) @[el2_lsu_bus_buffer.scala 424:61] + node _T_1922 = or(_T_1919, _T_1921) @[el2_lsu_bus_buffer.scala 424:42] + node _T_1923 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:112] + node _T_1924 = and(io.ldst_dual_r, _T_1923) @[el2_lsu_bus_buffer.scala 424:101] + node _T_1925 = or(_T_1922, _T_1924) @[el2_lsu_bus_buffer.scala 424:83] + node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] + node _T_1927 = and(_T_1914, _T_1926) @[el2_lsu_bus_buffer.scala 423:70] + node _T_1928 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] + node _T_1929 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:97] + node _T_1930 = and(ibuf_valid, _T_1929) @[el2_lsu_bus_buffer.scala 423:86] + node _T_1931 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1932 = and(io.lsu_busreq_m, _T_1931) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1933 = or(_T_1930, _T_1932) @[el2_lsu_bus_buffer.scala 423:106] + node _T_1934 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:73] + node _T_1935 = and(io.lsu_busreq_r, _T_1934) @[el2_lsu_bus_buffer.scala 424:61] + node _T_1936 = or(_T_1933, _T_1935) @[el2_lsu_bus_buffer.scala 424:42] + node _T_1937 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:112] + node _T_1938 = and(io.ldst_dual_r, _T_1937) @[el2_lsu_bus_buffer.scala 424:101] + node _T_1939 = or(_T_1936, _T_1938) @[el2_lsu_bus_buffer.scala 424:83] + node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] + node _T_1941 = and(_T_1928, _T_1940) @[el2_lsu_bus_buffer.scala 423:70] + node _T_1942 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] + node _T_1943 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:97] + node _T_1944 = and(ibuf_valid, _T_1943) @[el2_lsu_bus_buffer.scala 423:86] + node _T_1945 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1946 = and(io.lsu_busreq_m, _T_1945) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1947 = or(_T_1944, _T_1946) @[el2_lsu_bus_buffer.scala 423:106] + node _T_1948 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:73] + node _T_1949 = and(io.lsu_busreq_r, _T_1948) @[el2_lsu_bus_buffer.scala 424:61] + node _T_1950 = or(_T_1947, _T_1949) @[el2_lsu_bus_buffer.scala 424:42] + node _T_1951 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:112] + node _T_1952 = and(io.ldst_dual_r, _T_1951) @[el2_lsu_bus_buffer.scala 424:101] + node _T_1953 = or(_T_1950, _T_1952) @[el2_lsu_bus_buffer.scala 424:83] + node _T_1954 = eq(_T_1953, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] + node _T_1955 = and(_T_1942, _T_1954) @[el2_lsu_bus_buffer.scala 423:70] + node _T_1956 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] + node _T_1957 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:97] + node _T_1958 = and(ibuf_valid, _T_1957) @[el2_lsu_bus_buffer.scala 423:86] + node _T_1959 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1960 = and(io.lsu_busreq_m, _T_1959) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1961 = or(_T_1958, _T_1960) @[el2_lsu_bus_buffer.scala 423:106] + node _T_1962 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:73] + node _T_1963 = and(io.lsu_busreq_r, _T_1962) @[el2_lsu_bus_buffer.scala 424:61] + node _T_1964 = or(_T_1961, _T_1963) @[el2_lsu_bus_buffer.scala 424:42] + node _T_1965 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:112] + node _T_1966 = and(io.ldst_dual_r, _T_1965) @[el2_lsu_bus_buffer.scala 424:101] + node _T_1967 = or(_T_1964, _T_1966) @[el2_lsu_bus_buffer.scala 424:83] + node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] + node _T_1969 = and(_T_1956, _T_1968) @[el2_lsu_bus_buffer.scala 423:70] + node _T_1970 = mux(_T_1969, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1971 = mux(_T_1955, UInt<2>("h02"), _T_1970) @[Mux.scala 98:16] + node _T_1972 = mux(_T_1941, UInt<1>("h01"), _T_1971) @[Mux.scala 98:16] + node WrPtr1_m = mux(_T_1927, UInt<1>("h00"), _T_1972) @[Mux.scala 98:16] + io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 426:15] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] + node _T_1973 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 430:58] + node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_1975 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_1976 = and(_T_1974, _T_1975) @[el2_lsu_bus_buffer.scala 430:63] + node _T_1977 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] + node _T_1978 = and(_T_1976, _T_1977) @[el2_lsu_bus_buffer.scala 430:88] + node _T_1979 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 430:58] + node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_1981 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_1982 = and(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 430:63] + node _T_1983 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] + node _T_1984 = and(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 430:88] + node _T_1985 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 430:58] + node _T_1986 = eq(_T_1985, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_1987 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_1988 = and(_T_1986, _T_1987) @[el2_lsu_bus_buffer.scala 430:63] + node _T_1989 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] + node _T_1990 = and(_T_1988, _T_1989) @[el2_lsu_bus_buffer.scala 430:88] + node _T_1991 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 430:58] + node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_1993 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_1994 = and(_T_1992, _T_1993) @[el2_lsu_bus_buffer.scala 430:63] + node _T_1995 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] + node _T_1996 = and(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 430:88] + node _T_1997 = cat(_T_1996, _T_1990) @[Cat.scala 29:58] + node _T_1998 = cat(_T_1997, _T_1984) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1998, _T_1978) @[Cat.scala 29:58] + node _T_1999 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] + node _T_2000 = and(buf_age[0], _T_1999) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2001 = orr(_T_2000) @[el2_lsu_bus_buffer.scala 431:76] + node _T_2002 = eq(_T_2001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] + node _T_2003 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 431:94] + node _T_2004 = eq(_T_2003, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2005 = and(_T_2002, _T_2004) @[el2_lsu_bus_buffer.scala 431:81] + node _T_2006 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] + node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 431:98] + node _T_2008 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] + node _T_2009 = and(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 431:123] + node _T_2010 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] + node _T_2011 = and(buf_age[1], _T_2010) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2012 = orr(_T_2011) @[el2_lsu_bus_buffer.scala 431:76] + node _T_2013 = eq(_T_2012, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] + node _T_2014 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 431:94] + node _T_2015 = eq(_T_2014, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2016 = and(_T_2013, _T_2015) @[el2_lsu_bus_buffer.scala 431:81] + node _T_2017 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] + node _T_2018 = and(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 431:98] + node _T_2019 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] + node _T_2020 = and(_T_2018, _T_2019) @[el2_lsu_bus_buffer.scala 431:123] + node _T_2021 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] + node _T_2022 = and(buf_age[2], _T_2021) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2023 = orr(_T_2022) @[el2_lsu_bus_buffer.scala 431:76] + node _T_2024 = eq(_T_2023, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] + node _T_2025 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 431:94] + node _T_2026 = eq(_T_2025, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2027 = and(_T_2024, _T_2026) @[el2_lsu_bus_buffer.scala 431:81] + node _T_2028 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] + node _T_2029 = and(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 431:98] + node _T_2030 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] + node _T_2031 = and(_T_2029, _T_2030) @[el2_lsu_bus_buffer.scala 431:123] + node _T_2032 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] + node _T_2033 = and(buf_age[3], _T_2032) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2034 = orr(_T_2033) @[el2_lsu_bus_buffer.scala 431:76] + node _T_2035 = eq(_T_2034, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] + node _T_2036 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 431:94] + node _T_2037 = eq(_T_2036, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2038 = and(_T_2035, _T_2037) @[el2_lsu_bus_buffer.scala 431:81] + node _T_2039 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] + node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 431:98] + node _T_2041 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] + node _T_2042 = and(_T_2040, _T_2041) @[el2_lsu_bus_buffer.scala 431:123] + node _T_2043 = cat(_T_2042, _T_2031) @[Cat.scala 29:58] + node _T_2044 = cat(_T_2043, _T_2020) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_2044, _T_2009) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 432:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] + node _T_2045 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 434:65] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] + node _T_2047 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] + node _T_2048 = and(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 434:70] + node _T_2049 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 434:65] + node _T_2050 = eq(_T_2049, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] + node _T_2051 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] + node _T_2052 = and(_T_2050, _T_2051) @[el2_lsu_bus_buffer.scala 434:70] + node _T_2053 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 434:65] + node _T_2054 = eq(_T_2053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] + node _T_2055 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] + node _T_2056 = and(_T_2054, _T_2055) @[el2_lsu_bus_buffer.scala 434:70] + node _T_2057 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 434:65] + node _T_2058 = eq(_T_2057, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] + node _T_2059 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] + node _T_2060 = and(_T_2058, _T_2059) @[el2_lsu_bus_buffer.scala 434:70] + node _T_2061 = cat(_T_2060, _T_2056) @[Cat.scala 29:58] + node _T_2062 = cat(_T_2061, _T_2052) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_2062, _T_2048) @[Cat.scala 29:58] + node _T_2063 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 435:31] + found_cmdptr0 <= _T_2063 @[el2_lsu_bus_buffer.scala 435:17] + node _T_2064 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 436:31] + found_cmdptr1 <= _T_2064 @[el2_lsu_bus_buffer.scala 436:17] wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") wire CmdPtr1 : UInt<2> CmdPtr1 <= UInt<1>("h00") wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") - node _T_2057 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2058 = cat(_T_2057, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_2059 = bits(_T_2058, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] - node _T_2060 = bits(_T_2058, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2061 = or(_T_2059, _T_2060) @[el2_lsu_bus_buffer.scala 437:42] - node _T_2062 = bits(_T_2058, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] - node _T_2063 = or(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 437:48] - node _T_2064 = bits(_T_2058, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] - node _T_2065 = or(_T_2063, _T_2064) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2066 = bits(_T_2058, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] - node _T_2067 = bits(_T_2058, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] - node _T_2068 = or(_T_2066, _T_2067) @[el2_lsu_bus_buffer.scala 437:67] - node _T_2069 = bits(_T_2058, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] - node _T_2070 = or(_T_2068, _T_2069) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2071 = bits(_T_2058, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] - node _T_2072 = or(_T_2070, _T_2071) @[el2_lsu_bus_buffer.scala 437:79] - node _T_2073 = bits(_T_2058, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] - node _T_2074 = bits(_T_2058, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2075 = or(_T_2073, _T_2074) @[el2_lsu_bus_buffer.scala 437:92] - node _T_2076 = bits(_T_2058, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] - node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 437:98] - node _T_2078 = bits(_T_2058, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] - node _T_2079 = or(_T_2077, _T_2078) @[el2_lsu_bus_buffer.scala 437:104] - node _T_2080 = cat(_T_2065, _T_2072) @[Cat.scala 29:58] - node _T_2081 = cat(_T_2080, _T_2079) @[Cat.scala 29:58] - CmdPtr0 <= _T_2081 @[el2_lsu_bus_buffer.scala 442:11] - node _T_2082 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2083 = cat(_T_2082, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2084 = bits(_T_2083, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] - node _T_2085 = bits(_T_2083, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2086 = or(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 437:42] - node _T_2087 = bits(_T_2083, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] - node _T_2088 = or(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 437:48] - node _T_2089 = bits(_T_2083, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] - node _T_2090 = or(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2091 = bits(_T_2083, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] - node _T_2092 = bits(_T_2083, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] - node _T_2093 = or(_T_2091, _T_2092) @[el2_lsu_bus_buffer.scala 437:67] - node _T_2094 = bits(_T_2083, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] - node _T_2095 = or(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2096 = bits(_T_2083, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] - node _T_2097 = or(_T_2095, _T_2096) @[el2_lsu_bus_buffer.scala 437:79] - node _T_2098 = bits(_T_2083, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] - node _T_2099 = bits(_T_2083, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2100 = or(_T_2098, _T_2099) @[el2_lsu_bus_buffer.scala 437:92] - node _T_2101 = bits(_T_2083, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] - node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 437:98] - node _T_2103 = bits(_T_2083, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] - node _T_2104 = or(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 437:104] - node _T_2105 = cat(_T_2090, _T_2097) @[Cat.scala 29:58] - node _T_2106 = cat(_T_2105, _T_2104) @[Cat.scala 29:58] - CmdPtr1 <= _T_2106 @[el2_lsu_bus_buffer.scala 444:11] - node _T_2107 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2108 = cat(_T_2107, RspPtrDec) @[Cat.scala 29:58] - node _T_2109 = bits(_T_2108, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] - node _T_2110 = bits(_T_2108, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2111 = or(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 437:42] - node _T_2112 = bits(_T_2108, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] - node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 437:48] - node _T_2114 = bits(_T_2108, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] - node _T_2115 = or(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2116 = bits(_T_2108, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] - node _T_2117 = bits(_T_2108, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] - node _T_2118 = or(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 437:67] - node _T_2119 = bits(_T_2108, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] - node _T_2120 = or(_T_2118, _T_2119) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2121 = bits(_T_2108, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] - node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 437:79] - node _T_2123 = bits(_T_2108, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] - node _T_2124 = bits(_T_2108, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2125 = or(_T_2123, _T_2124) @[el2_lsu_bus_buffer.scala 437:92] - node _T_2126 = bits(_T_2108, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] - node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 437:98] - node _T_2128 = bits(_T_2108, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] - node _T_2129 = or(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 437:104] - node _T_2130 = cat(_T_2115, _T_2122) @[Cat.scala 29:58] - node _T_2131 = cat(_T_2130, _T_2129) @[Cat.scala 29:58] - RspPtr <= _T_2131 @[el2_lsu_bus_buffer.scala 445:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 446:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 448:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 450:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 452:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 454:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] - node _T_2132 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2133 = and(_T_2132, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2134 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2135 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2136 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2138 = or(_T_2134, _T_2137) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2139 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2140 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2142 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2143 = and(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2144 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2145 = and(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2146 = or(_T_2138, _T_2145) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2147 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2148 = and(_T_2147, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2149 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2150 = and(_T_2148, _T_2149) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2151 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2152 = and(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2153 = or(_T_2146, _T_2152) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2154 = and(_T_2133, _T_2153) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2155 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2156 = or(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2157 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2158 = and(_T_2157, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2159 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2160 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2161 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2162 = and(_T_2160, _T_2161) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2163 = or(_T_2159, _T_2162) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2164 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2165 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2167 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2169 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2170 = and(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2171 = or(_T_2163, _T_2170) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2172 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2173 = and(_T_2172, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2174 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2176 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2177 = and(_T_2175, _T_2176) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2178 = or(_T_2171, _T_2177) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2179 = and(_T_2158, _T_2178) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2180 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2181 = or(_T_2179, _T_2180) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2182 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2183 = and(_T_2182, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2184 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2185 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2186 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2187 = and(_T_2185, _T_2186) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2188 = or(_T_2184, _T_2187) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2189 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2190 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2192 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2194 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2195 = and(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2196 = or(_T_2188, _T_2195) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2197 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2198 = and(_T_2197, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2199 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2201 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2202 = and(_T_2200, _T_2201) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2203 = or(_T_2196, _T_2202) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2204 = and(_T_2183, _T_2203) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2205 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2206 = or(_T_2204, _T_2205) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2207 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2208 = and(_T_2207, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2209 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2210 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2211 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2212 = and(_T_2210, _T_2211) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2213 = or(_T_2209, _T_2212) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2214 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2215 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2217 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2219 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2221 = or(_T_2213, _T_2220) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2222 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2223 = and(_T_2222, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2224 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2226 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2227 = and(_T_2225, _T_2226) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2228 = or(_T_2221, _T_2227) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2229 = and(_T_2208, _T_2228) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2230 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2231 = or(_T_2229, _T_2230) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2232 = cat(_T_2231, _T_2206) @[Cat.scala 29:58] - node _T_2233 = cat(_T_2232, _T_2181) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2233, _T_2156) @[Cat.scala 29:58] - node _T_2234 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2235 = and(_T_2234, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2236 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2237 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2238 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2240 = or(_T_2236, _T_2239) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2241 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2242 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2244 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2246 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2247 = and(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2248 = or(_T_2240, _T_2247) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2249 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2250 = and(_T_2249, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2251 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2252 = and(_T_2250, _T_2251) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2253 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2254 = and(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2255 = or(_T_2248, _T_2254) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2256 = and(_T_2235, _T_2255) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2257 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2258 = or(_T_2256, _T_2257) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2259 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2260 = and(_T_2259, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2261 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2262 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2263 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2264 = and(_T_2262, _T_2263) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2265 = or(_T_2261, _T_2264) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2266 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2267 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2269 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2271 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2272 = and(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2273 = or(_T_2265, _T_2272) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2274 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2275 = and(_T_2274, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2276 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2278 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2279 = and(_T_2277, _T_2278) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2280 = or(_T_2273, _T_2279) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2281 = and(_T_2260, _T_2280) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2282 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2283 = or(_T_2281, _T_2282) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2284 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2285 = and(_T_2284, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2286 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2287 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2288 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2289 = and(_T_2287, _T_2288) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2290 = or(_T_2286, _T_2289) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2291 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2292 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2294 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2296 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2297 = and(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2298 = or(_T_2290, _T_2297) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2299 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2300 = and(_T_2299, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2301 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2303 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2304 = and(_T_2302, _T_2303) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2305 = or(_T_2298, _T_2304) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2306 = and(_T_2285, _T_2305) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2307 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2308 = or(_T_2306, _T_2307) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2309 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2310 = and(_T_2309, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2311 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2312 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2313 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2314 = and(_T_2312, _T_2313) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2315 = or(_T_2311, _T_2314) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2316 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2317 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2319 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2321 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2323 = or(_T_2315, _T_2322) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2324 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2325 = and(_T_2324, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2326 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2328 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2329 = and(_T_2327, _T_2328) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2330 = or(_T_2323, _T_2329) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2331 = and(_T_2310, _T_2330) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2332 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2333 = or(_T_2331, _T_2332) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2334 = cat(_T_2333, _T_2308) @[Cat.scala 29:58] - node _T_2335 = cat(_T_2334, _T_2283) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2335, _T_2258) @[Cat.scala 29:58] - node _T_2336 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2337 = and(_T_2336, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2338 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2339 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2340 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2342 = or(_T_2338, _T_2341) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2343 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2344 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2346 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2348 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2349 = and(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2350 = or(_T_2342, _T_2349) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2351 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2352 = and(_T_2351, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2353 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2354 = and(_T_2352, _T_2353) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2355 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2356 = and(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2357 = or(_T_2350, _T_2356) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2358 = and(_T_2337, _T_2357) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2359 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2360 = or(_T_2358, _T_2359) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2361 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2362 = and(_T_2361, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2363 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2364 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2365 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2366 = and(_T_2364, _T_2365) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2367 = or(_T_2363, _T_2366) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2368 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2369 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2371 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2373 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2374 = and(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2375 = or(_T_2367, _T_2374) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2376 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2377 = and(_T_2376, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2378 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2380 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2381 = and(_T_2379, _T_2380) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2382 = or(_T_2375, _T_2381) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2383 = and(_T_2362, _T_2382) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2384 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2385 = or(_T_2383, _T_2384) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2386 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2387 = and(_T_2386, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2388 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2389 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2390 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2391 = and(_T_2389, _T_2390) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2392 = or(_T_2388, _T_2391) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2393 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2394 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2396 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2398 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2399 = and(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2400 = or(_T_2392, _T_2399) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2401 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2402 = and(_T_2401, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2403 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2405 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2406 = and(_T_2404, _T_2405) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2407 = or(_T_2400, _T_2406) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2408 = and(_T_2387, _T_2407) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2409 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2410 = or(_T_2408, _T_2409) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2411 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2412 = and(_T_2411, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2413 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2414 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2415 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2416 = and(_T_2414, _T_2415) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2417 = or(_T_2413, _T_2416) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2418 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2419 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2421 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2423 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2424 = and(_T_2422, _T_2423) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2425 = or(_T_2417, _T_2424) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2426 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2427 = and(_T_2426, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2428 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2430 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2431 = and(_T_2429, _T_2430) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2432 = or(_T_2425, _T_2431) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2433 = and(_T_2412, _T_2432) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2434 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2435 = or(_T_2433, _T_2434) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2436 = cat(_T_2435, _T_2410) @[Cat.scala 29:58] - node _T_2437 = cat(_T_2436, _T_2385) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2437, _T_2360) @[Cat.scala 29:58] - node _T_2438 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2439 = and(_T_2438, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2440 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2441 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2442 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2444 = or(_T_2440, _T_2443) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2445 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2446 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2448 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2449 = and(_T_2447, _T_2448) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2450 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2451 = and(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2452 = or(_T_2444, _T_2451) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2453 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2454 = and(_T_2453, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2455 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2456 = and(_T_2454, _T_2455) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2457 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2458 = and(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2459 = or(_T_2452, _T_2458) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2460 = and(_T_2439, _T_2459) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2461 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2462 = or(_T_2460, _T_2461) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2463 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2464 = and(_T_2463, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2465 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2467 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2468 = and(_T_2466, _T_2467) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2469 = or(_T_2465, _T_2468) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2470 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2471 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2472 = and(_T_2470, _T_2471) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2473 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2474 = and(_T_2472, _T_2473) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2475 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2476 = and(_T_2474, _T_2475) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2477 = or(_T_2469, _T_2476) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2478 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2479 = and(_T_2478, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2480 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2481 = and(_T_2479, _T_2480) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2482 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2483 = and(_T_2481, _T_2482) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2484 = or(_T_2477, _T_2483) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2485 = and(_T_2464, _T_2484) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2486 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2487 = or(_T_2485, _T_2486) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2488 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2489 = and(_T_2488, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2490 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2491 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2492 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2493 = and(_T_2491, _T_2492) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2494 = or(_T_2490, _T_2493) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2495 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2496 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2497 = and(_T_2495, _T_2496) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2498 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2499 = and(_T_2497, _T_2498) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2500 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2501 = and(_T_2499, _T_2500) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2502 = or(_T_2494, _T_2501) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2503 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2504 = and(_T_2503, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2505 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2506 = and(_T_2504, _T_2505) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2507 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2508 = and(_T_2506, _T_2507) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2509 = or(_T_2502, _T_2508) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2510 = and(_T_2489, _T_2509) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2511 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2512 = or(_T_2510, _T_2511) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2513 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2514 = and(_T_2513, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2515 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2516 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2517 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2518 = and(_T_2516, _T_2517) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2519 = or(_T_2515, _T_2518) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2520 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2521 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2522 = and(_T_2520, _T_2521) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2523 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2524 = and(_T_2522, _T_2523) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2525 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2526 = and(_T_2524, _T_2525) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2527 = or(_T_2519, _T_2526) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2528 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2529 = and(_T_2528, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2530 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2531 = and(_T_2529, _T_2530) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2532 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2533 = and(_T_2531, _T_2532) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2534 = or(_T_2527, _T_2533) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2535 = and(_T_2514, _T_2534) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2536 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2537 = or(_T_2535, _T_2536) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2538 = cat(_T_2537, _T_2512) @[Cat.scala 29:58] - node _T_2539 = cat(_T_2538, _T_2487) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2539, _T_2462) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 461:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] - node _T_2540 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2541 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2542 = and(_T_2541, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2543 = and(_T_2540, _T_2542) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2544 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2545 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2547 = and(_T_2544, _T_2546) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2548 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2549 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2550 = and(_T_2549, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2551 = and(_T_2548, _T_2550) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2552 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2553 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2554 = and(_T_2553, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2555 = and(_T_2552, _T_2554) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2556 = cat(_T_2555, _T_2551) @[Cat.scala 29:58] - node _T_2557 = cat(_T_2556, _T_2547) @[Cat.scala 29:58] - node _T_2558 = cat(_T_2557, _T_2543) @[Cat.scala 29:58] - node _T_2559 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2562 = and(_T_2559, _T_2561) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2563 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2564 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2565 = and(_T_2564, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2566 = and(_T_2563, _T_2565) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2567 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2568 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2569 = and(_T_2568, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2570 = and(_T_2567, _T_2569) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2571 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2572 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2573 = and(_T_2572, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2574 = and(_T_2571, _T_2573) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2575 = cat(_T_2574, _T_2570) @[Cat.scala 29:58] - node _T_2576 = cat(_T_2575, _T_2566) @[Cat.scala 29:58] - node _T_2577 = cat(_T_2576, _T_2562) @[Cat.scala 29:58] - node _T_2578 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2579 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2580 = and(_T_2579, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2581 = and(_T_2578, _T_2580) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2582 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2583 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2585 = and(_T_2582, _T_2584) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2586 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2587 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2589 = and(_T_2586, _T_2588) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2590 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2591 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2592 = and(_T_2591, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2593 = and(_T_2590, _T_2592) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2594 = cat(_T_2593, _T_2589) @[Cat.scala 29:58] - node _T_2595 = cat(_T_2594, _T_2585) @[Cat.scala 29:58] - node _T_2596 = cat(_T_2595, _T_2581) @[Cat.scala 29:58] - node _T_2597 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2598 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2600 = and(_T_2597, _T_2599) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2601 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2602 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2603 = and(_T_2602, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2604 = and(_T_2601, _T_2603) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2605 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2606 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2608 = and(_T_2605, _T_2607) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2609 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2610 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2612 = and(_T_2609, _T_2611) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2613 = cat(_T_2612, _T_2608) @[Cat.scala 29:58] - node _T_2614 = cat(_T_2613, _T_2604) @[Cat.scala 29:58] - node _T_2615 = cat(_T_2614, _T_2600) @[Cat.scala 29:58] - buf_age[0] <= _T_2558 @[el2_lsu_bus_buffer.scala 463:13] - buf_age[1] <= _T_2577 @[el2_lsu_bus_buffer.scala 463:13] - buf_age[2] <= _T_2596 @[el2_lsu_bus_buffer.scala 463:13] - buf_age[3] <= _T_2615 @[el2_lsu_bus_buffer.scala 463:13] - node _T_2616 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2617 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2619 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2620 = and(_T_2618, _T_2619) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2621 = mux(_T_2616, UInt<1>("h00"), _T_2620) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2622 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2623 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2624 = eq(_T_2623, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2625 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2626 = and(_T_2624, _T_2625) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2627 = mux(_T_2622, UInt<1>("h00"), _T_2626) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2628 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2629 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2631 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2632 = and(_T_2630, _T_2631) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2634 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2635 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2637 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2638 = and(_T_2636, _T_2637) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2640 = cat(_T_2639, _T_2633) @[Cat.scala 29:58] - node _T_2641 = cat(_T_2640, _T_2627) @[Cat.scala 29:58] - node _T_2642 = cat(_T_2641, _T_2621) @[Cat.scala 29:58] - node _T_2643 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2644 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2645 = eq(_T_2644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2646 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2648 = mux(_T_2643, UInt<1>("h00"), _T_2647) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2649 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2650 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2652 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2655 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2656 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2658 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2661 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2662 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2664 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2667 = cat(_T_2666, _T_2660) @[Cat.scala 29:58] - node _T_2668 = cat(_T_2667, _T_2654) @[Cat.scala 29:58] - node _T_2669 = cat(_T_2668, _T_2648) @[Cat.scala 29:58] - node _T_2670 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2671 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2672 = eq(_T_2671, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2673 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2675 = mux(_T_2670, UInt<1>("h00"), _T_2674) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2676 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2677 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2679 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2682 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2683 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2685 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2688 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2689 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2691 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2694 = cat(_T_2693, _T_2687) @[Cat.scala 29:58] - node _T_2695 = cat(_T_2694, _T_2681) @[Cat.scala 29:58] - node _T_2696 = cat(_T_2695, _T_2675) @[Cat.scala 29:58] - node _T_2697 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2698 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2699 = eq(_T_2698, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2700 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2702 = mux(_T_2697, UInt<1>("h00"), _T_2701) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2703 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2704 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2706 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2709 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2710 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2712 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2715 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2716 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2718 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2721 = cat(_T_2720, _T_2714) @[Cat.scala 29:58] - node _T_2722 = cat(_T_2721, _T_2708) @[Cat.scala 29:58] - node _T_2723 = cat(_T_2722, _T_2702) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2642 @[el2_lsu_bus_buffer.scala 464:21] - buf_age_younger[1] <= _T_2669 @[el2_lsu_bus_buffer.scala 464:21] - buf_age_younger[2] <= _T_2696 @[el2_lsu_bus_buffer.scala 464:21] - buf_age_younger[3] <= _T_2723 @[el2_lsu_bus_buffer.scala 464:21] - node _T_2724 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2725 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2726 = and(_T_2724, _T_2725) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2727 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2728 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2729 = and(_T_2727, _T_2728) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2730 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2731 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2732 = and(_T_2730, _T_2731) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2733 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2734 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2736 = cat(_T_2735, _T_2732) @[Cat.scala 29:58] - node _T_2737 = cat(_T_2736, _T_2729) @[Cat.scala 29:58] - node _T_2738 = cat(_T_2737, _T_2726) @[Cat.scala 29:58] - node _T_2739 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2740 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2741 = and(_T_2739, _T_2740) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2742 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2743 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2745 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2746 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2748 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2749 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2750 = and(_T_2748, _T_2749) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2751 = cat(_T_2750, _T_2747) @[Cat.scala 29:58] - node _T_2752 = cat(_T_2751, _T_2744) @[Cat.scala 29:58] - node _T_2753 = cat(_T_2752, _T_2741) @[Cat.scala 29:58] - node _T_2754 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2755 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2757 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2758 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2760 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2761 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2763 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2764 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2766 = cat(_T_2765, _T_2762) @[Cat.scala 29:58] - node _T_2767 = cat(_T_2766, _T_2759) @[Cat.scala 29:58] - node _T_2768 = cat(_T_2767, _T_2756) @[Cat.scala 29:58] - node _T_2769 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2770 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2772 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2773 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2775 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2776 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2778 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2779 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2781 = cat(_T_2780, _T_2777) @[Cat.scala 29:58] - node _T_2782 = cat(_T_2781, _T_2774) @[Cat.scala 29:58] - node _T_2783 = cat(_T_2782, _T_2771) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2738 @[el2_lsu_bus_buffer.scala 465:21] - buf_rsp_pickage[1] <= _T_2753 @[el2_lsu_bus_buffer.scala 465:21] - buf_rsp_pickage[2] <= _T_2768 @[el2_lsu_bus_buffer.scala 465:21] - buf_rsp_pickage[3] <= _T_2783 @[el2_lsu_bus_buffer.scala 465:21] - node _T_2784 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2785 = and(_T_2784, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2786 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2787 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2788 = or(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2789 = eq(_T_2788, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2790 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2791 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2793 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2794 = and(_T_2792, _T_2793) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2795 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2796 = and(_T_2794, _T_2795) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2797 = or(_T_2789, _T_2796) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2798 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2799 = and(_T_2798, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2800 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2802 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2803 = and(_T_2801, _T_2802) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2804 = or(_T_2797, _T_2803) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2805 = and(_T_2785, _T_2804) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2806 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2807 = and(_T_2806, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2808 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2809 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2810 = or(_T_2808, _T_2809) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2811 = eq(_T_2810, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2812 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2813 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2814 = and(_T_2812, _T_2813) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2815 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2816 = and(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2817 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2818 = and(_T_2816, _T_2817) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2819 = or(_T_2811, _T_2818) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2820 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2821 = and(_T_2820, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2822 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2823 = and(_T_2821, _T_2822) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2824 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2826 = or(_T_2819, _T_2825) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2827 = and(_T_2807, _T_2826) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2828 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2829 = and(_T_2828, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2830 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2831 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2832 = or(_T_2830, _T_2831) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2833 = eq(_T_2832, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2834 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2835 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2836 = and(_T_2834, _T_2835) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2837 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2839 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2841 = or(_T_2833, _T_2840) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2842 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2843 = and(_T_2842, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2844 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2846 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2848 = or(_T_2841, _T_2847) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2849 = and(_T_2829, _T_2848) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2850 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2851 = and(_T_2850, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2852 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2853 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2854 = or(_T_2852, _T_2853) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2855 = eq(_T_2854, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2856 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2857 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2859 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2861 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2863 = or(_T_2855, _T_2862) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2864 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2865 = and(_T_2864, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2866 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2868 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2870 = or(_T_2863, _T_2869) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2871 = and(_T_2851, _T_2870) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2872 = cat(_T_2871, _T_2849) @[Cat.scala 29:58] - node _T_2873 = cat(_T_2872, _T_2827) @[Cat.scala 29:58] - node _T_2874 = cat(_T_2873, _T_2805) @[Cat.scala 29:58] - node _T_2875 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2876 = and(_T_2875, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2877 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2878 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2879 = or(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2880 = eq(_T_2879, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2881 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2882 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2883 = and(_T_2881, _T_2882) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2884 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2885 = and(_T_2883, _T_2884) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2886 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2887 = and(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2888 = or(_T_2880, _T_2887) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2889 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2890 = and(_T_2889, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2891 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2892 = and(_T_2890, _T_2891) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2893 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2895 = or(_T_2888, _T_2894) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2896 = and(_T_2876, _T_2895) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2897 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2898 = and(_T_2897, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2899 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2900 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2901 = or(_T_2899, _T_2900) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2902 = eq(_T_2901, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2903 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2904 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2905 = and(_T_2903, _T_2904) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2906 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2907 = and(_T_2905, _T_2906) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2908 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2909 = and(_T_2907, _T_2908) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2910 = or(_T_2902, _T_2909) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2911 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2912 = and(_T_2911, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2913 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2914 = and(_T_2912, _T_2913) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2915 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2917 = or(_T_2910, _T_2916) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2918 = and(_T_2898, _T_2917) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2919 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2920 = and(_T_2919, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2921 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2922 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2923 = or(_T_2921, _T_2922) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2924 = eq(_T_2923, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2925 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2926 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2927 = and(_T_2925, _T_2926) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2928 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2930 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2932 = or(_T_2924, _T_2931) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2933 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2934 = and(_T_2933, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2935 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2937 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2939 = or(_T_2932, _T_2938) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2940 = and(_T_2920, _T_2939) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2941 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2942 = and(_T_2941, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2943 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2944 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2945 = or(_T_2943, _T_2944) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2946 = eq(_T_2945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2947 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2948 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2950 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2952 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2954 = or(_T_2946, _T_2953) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2955 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2956 = and(_T_2955, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2957 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2959 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2961 = or(_T_2954, _T_2960) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2962 = and(_T_2942, _T_2961) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2963 = cat(_T_2962, _T_2940) @[Cat.scala 29:58] - node _T_2964 = cat(_T_2963, _T_2918) @[Cat.scala 29:58] - node _T_2965 = cat(_T_2964, _T_2896) @[Cat.scala 29:58] - node _T_2966 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2967 = and(_T_2966, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2968 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2969 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2970 = or(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2971 = eq(_T_2970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2972 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2973 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2974 = and(_T_2972, _T_2973) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2975 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2976 = and(_T_2974, _T_2975) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2977 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2978 = and(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2979 = or(_T_2971, _T_2978) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2980 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2981 = and(_T_2980, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2982 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2983 = and(_T_2981, _T_2982) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2984 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2986 = or(_T_2979, _T_2985) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2987 = and(_T_2967, _T_2986) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2988 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2989 = and(_T_2988, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2990 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2991 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2992 = or(_T_2990, _T_2991) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2993 = eq(_T_2992, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2994 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2995 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2996 = and(_T_2994, _T_2995) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2997 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2998 = and(_T_2996, _T_2997) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2999 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3000 = and(_T_2998, _T_2999) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3001 = or(_T_2993, _T_3000) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3002 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3003 = and(_T_3002, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3004 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3005 = and(_T_3003, _T_3004) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3006 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3008 = or(_T_3001, _T_3007) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3009 = and(_T_2989, _T_3008) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3010 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3011 = and(_T_3010, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3012 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3013 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3014 = or(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3015 = eq(_T_3014, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3016 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3017 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3018 = and(_T_3016, _T_3017) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3019 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3020 = and(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3021 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3022 = and(_T_3020, _T_3021) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3023 = or(_T_3015, _T_3022) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3024 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3025 = and(_T_3024, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3026 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3027 = and(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3028 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3030 = or(_T_3023, _T_3029) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3031 = and(_T_3011, _T_3030) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3032 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3033 = and(_T_3032, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3034 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3035 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3036 = or(_T_3034, _T_3035) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3037 = eq(_T_3036, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3038 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3039 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3040 = and(_T_3038, _T_3039) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3041 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3042 = and(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3043 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3044 = and(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3045 = or(_T_3037, _T_3044) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3046 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3047 = and(_T_3046, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3048 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3049 = and(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3050 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3052 = or(_T_3045, _T_3051) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3053 = and(_T_3033, _T_3052) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3054 = cat(_T_3053, _T_3031) @[Cat.scala 29:58] - node _T_3055 = cat(_T_3054, _T_3009) @[Cat.scala 29:58] - node _T_3056 = cat(_T_3055, _T_2987) @[Cat.scala 29:58] - node _T_3057 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3058 = and(_T_3057, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3059 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3060 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3061 = or(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3062 = eq(_T_3061, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3063 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3064 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3065 = and(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3066 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3067 = and(_T_3065, _T_3066) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3068 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3069 = and(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3070 = or(_T_3062, _T_3069) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3071 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3072 = and(_T_3071, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3073 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3074 = and(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3075 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3076 = and(_T_3074, _T_3075) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3077 = or(_T_3070, _T_3076) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3078 = and(_T_3058, _T_3077) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3079 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3080 = and(_T_3079, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3081 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3082 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3083 = or(_T_3081, _T_3082) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3084 = eq(_T_3083, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3087 = and(_T_3085, _T_3086) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3088 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3089 = and(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3091 = and(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3092 = or(_T_3084, _T_3091) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3094 = and(_T_3093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3095 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3096 = and(_T_3094, _T_3095) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3098 = and(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3099 = or(_T_3092, _T_3098) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3100 = and(_T_3080, _T_3099) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3101 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3102 = and(_T_3101, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3103 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3104 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3105 = or(_T_3103, _T_3104) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3106 = eq(_T_3105, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3107 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3108 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3109 = and(_T_3107, _T_3108) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3110 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3111 = and(_T_3109, _T_3110) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3112 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3113 = and(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3114 = or(_T_3106, _T_3113) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3115 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3116 = and(_T_3115, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3117 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3118 = and(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3119 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3120 = and(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3121 = or(_T_3114, _T_3120) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3122 = and(_T_3102, _T_3121) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3123 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3124 = and(_T_3123, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3125 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3126 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3127 = or(_T_3125, _T_3126) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3128 = eq(_T_3127, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3129 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3130 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3131 = and(_T_3129, _T_3130) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3132 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3133 = and(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3134 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3135 = and(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3136 = or(_T_3128, _T_3135) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3137 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3138 = and(_T_3137, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3139 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3140 = and(_T_3138, _T_3139) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3141 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3142 = and(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3143 = or(_T_3136, _T_3142) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3144 = and(_T_3124, _T_3143) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3145 = cat(_T_3144, _T_3122) @[Cat.scala 29:58] - node _T_3146 = cat(_T_3145, _T_3100) @[Cat.scala 29:58] - node _T_3147 = cat(_T_3146, _T_3078) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2874 @[el2_lsu_bus_buffer.scala 467:20] - buf_rspage_set[1] <= _T_2965 @[el2_lsu_bus_buffer.scala 467:20] - buf_rspage_set[2] <= _T_3056 @[el2_lsu_bus_buffer.scala 467:20] - buf_rspage_set[3] <= _T_3147 @[el2_lsu_bus_buffer.scala 467:20] - node _T_3148 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3149 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3151 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3152 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3153 = or(_T_3151, _T_3152) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3154 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3155 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3156 = or(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3157 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3158 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3160 = cat(_T_3159, _T_3156) @[Cat.scala 29:58] - node _T_3161 = cat(_T_3160, _T_3153) @[Cat.scala 29:58] - node _T_3162 = cat(_T_3161, _T_3150) @[Cat.scala 29:58] - node _T_3163 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3164 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3166 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3167 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3168 = or(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3169 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3170 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3172 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3173 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3175 = cat(_T_3174, _T_3171) @[Cat.scala 29:58] - node _T_3176 = cat(_T_3175, _T_3168) @[Cat.scala 29:58] - node _T_3177 = cat(_T_3176, _T_3165) @[Cat.scala 29:58] - node _T_3178 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3179 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3181 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3182 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3184 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3185 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3187 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3188 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3190 = cat(_T_3189, _T_3186) @[Cat.scala 29:58] - node _T_3191 = cat(_T_3190, _T_3183) @[Cat.scala 29:58] - node _T_3192 = cat(_T_3191, _T_3180) @[Cat.scala 29:58] - node _T_3193 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3194 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3196 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3197 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3199 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3200 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3202 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3203 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3205 = cat(_T_3204, _T_3201) @[Cat.scala 29:58] - node _T_3206 = cat(_T_3205, _T_3198) @[Cat.scala 29:58] - node _T_3207 = cat(_T_3206, _T_3195) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3162 @[el2_lsu_bus_buffer.scala 471:19] - buf_rspage_in[1] <= _T_3177 @[el2_lsu_bus_buffer.scala 471:19] - buf_rspage_in[2] <= _T_3192 @[el2_lsu_bus_buffer.scala 471:19] - buf_rspage_in[3] <= _T_3207 @[el2_lsu_bus_buffer.scala 471:19] - node _T_3208 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3209 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3210 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3211 = or(_T_3209, _T_3210) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3212 = eq(_T_3211, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3213 = and(_T_3208, _T_3212) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3214 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3215 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3216 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3217 = or(_T_3215, _T_3216) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3218 = eq(_T_3217, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3219 = and(_T_3214, _T_3218) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3220 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3221 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3222 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3223 = or(_T_3221, _T_3222) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3225 = and(_T_3220, _T_3224) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3226 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3227 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3228 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3229 = or(_T_3227, _T_3228) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3231 = and(_T_3226, _T_3230) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3232 = cat(_T_3231, _T_3225) @[Cat.scala 29:58] - node _T_3233 = cat(_T_3232, _T_3219) @[Cat.scala 29:58] - node _T_3234 = cat(_T_3233, _T_3213) @[Cat.scala 29:58] - node _T_3235 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3236 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3237 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3238 = or(_T_3236, _T_3237) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3240 = and(_T_3235, _T_3239) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3241 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3242 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3243 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3247 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3248 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3249 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3253 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3254 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3255 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3259 = cat(_T_3258, _T_3252) @[Cat.scala 29:58] - node _T_3260 = cat(_T_3259, _T_3246) @[Cat.scala 29:58] - node _T_3261 = cat(_T_3260, _T_3240) @[Cat.scala 29:58] - node _T_3262 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3263 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3264 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3265 = or(_T_3263, _T_3264) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3267 = and(_T_3262, _T_3266) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3268 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3269 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3274 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3275 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3276 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3280 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3281 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3282 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3286 = cat(_T_3285, _T_3279) @[Cat.scala 29:58] - node _T_3287 = cat(_T_3286, _T_3273) @[Cat.scala 29:58] - node _T_3288 = cat(_T_3287, _T_3267) @[Cat.scala 29:58] - node _T_3289 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3292 = or(_T_3290, _T_3291) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3294 = and(_T_3289, _T_3293) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3295 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3296 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3297 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3301 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3302 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3303 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3307 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3308 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3309 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3313 = cat(_T_3312, _T_3306) @[Cat.scala 29:58] - node _T_3314 = cat(_T_3313, _T_3300) @[Cat.scala 29:58] - node _T_3315 = cat(_T_3314, _T_3294) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3234 @[el2_lsu_bus_buffer.scala 472:16] - buf_rspage[1] <= _T_3261 @[el2_lsu_bus_buffer.scala 472:16] - buf_rspage[2] <= _T_3288 @[el2_lsu_bus_buffer.scala 472:16] - buf_rspage[3] <= _T_3315 @[el2_lsu_bus_buffer.scala 472:16] - node _T_3316 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:77] - node _T_3317 = and(ibuf_drain_vld, _T_3316) @[el2_lsu_bus_buffer.scala 477:65] - node _T_3318 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:77] - node _T_3319 = and(ibuf_drain_vld, _T_3318) @[el2_lsu_bus_buffer.scala 477:65] - node _T_3320 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:77] - node _T_3321 = and(ibuf_drain_vld, _T_3320) @[el2_lsu_bus_buffer.scala 477:65] - node _T_3322 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:77] - node _T_3323 = and(ibuf_drain_vld, _T_3322) @[el2_lsu_bus_buffer.scala 477:65] - node _T_3324 = cat(_T_3323, _T_3321) @[Cat.scala 29:58] - node _T_3325 = cat(_T_3324, _T_3319) @[Cat.scala 29:58] - node _T_3326 = cat(_T_3325, _T_3317) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3326 @[el2_lsu_bus_buffer.scala 477:23] - node _T_3327 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 478:66] - node _T_3328 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] - node _T_3329 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] - node _T_3330 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:134] - node _T_3331 = and(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 478:123] - node _T_3332 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] - node _T_3333 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] - node _T_3334 = mux(_T_3331, _T_3332, _T_3333) @[el2_lsu_bus_buffer.scala 478:96] - node _T_3335 = mux(_T_3327, _T_3328, _T_3334) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3336 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 478:66] - node _T_3337 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] - node _T_3338 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] - node _T_3339 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:134] - node _T_3340 = and(_T_3338, _T_3339) @[el2_lsu_bus_buffer.scala 478:123] - node _T_3341 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] - node _T_3342 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] - node _T_3343 = mux(_T_3340, _T_3341, _T_3342) @[el2_lsu_bus_buffer.scala 478:96] - node _T_3344 = mux(_T_3336, _T_3337, _T_3343) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3345 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 478:66] - node _T_3346 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] - node _T_3347 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] - node _T_3348 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:134] - node _T_3349 = and(_T_3347, _T_3348) @[el2_lsu_bus_buffer.scala 478:123] - node _T_3350 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] - node _T_3351 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] - node _T_3352 = mux(_T_3349, _T_3350, _T_3351) @[el2_lsu_bus_buffer.scala 478:96] - node _T_3353 = mux(_T_3345, _T_3346, _T_3352) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3354 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 478:66] - node _T_3355 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] - node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] - node _T_3357 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:134] - node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 478:123] - node _T_3359 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] - node _T_3360 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] - node _T_3361 = mux(_T_3358, _T_3359, _T_3360) @[el2_lsu_bus_buffer.scala 478:96] - node _T_3362 = mux(_T_3354, _T_3355, _T_3361) @[el2_lsu_bus_buffer.scala 478:48] - buf_byteen_in[0] <= _T_3335 @[el2_lsu_bus_buffer.scala 478:19] - buf_byteen_in[1] <= _T_3344 @[el2_lsu_bus_buffer.scala 478:19] - buf_byteen_in[2] <= _T_3353 @[el2_lsu_bus_buffer.scala 478:19] - buf_byteen_in[3] <= _T_3362 @[el2_lsu_bus_buffer.scala 478:19] - node _T_3363 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 479:64] - node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] - node _T_3365 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:121] - node _T_3366 = and(_T_3364, _T_3365) @[el2_lsu_bus_buffer.scala 479:110] - node _T_3367 = mux(_T_3366, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] - node _T_3368 = mux(_T_3363, ibuf_addr, _T_3367) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3369 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 479:64] - node _T_3370 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] - node _T_3371 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:121] - node _T_3372 = and(_T_3370, _T_3371) @[el2_lsu_bus_buffer.scala 479:110] - node _T_3373 = mux(_T_3372, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] - node _T_3374 = mux(_T_3369, ibuf_addr, _T_3373) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3375 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 479:64] - node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] - node _T_3377 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:121] - node _T_3378 = and(_T_3376, _T_3377) @[el2_lsu_bus_buffer.scala 479:110] - node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] - node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3381 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 479:64] - node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] - node _T_3383 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:121] - node _T_3384 = and(_T_3382, _T_3383) @[el2_lsu_bus_buffer.scala 479:110] - node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] - node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[el2_lsu_bus_buffer.scala 479:46] - buf_addr_in[0] <= _T_3368 @[el2_lsu_bus_buffer.scala 479:17] - buf_addr_in[1] <= _T_3374 @[el2_lsu_bus_buffer.scala 479:17] - buf_addr_in[2] <= _T_3380 @[el2_lsu_bus_buffer.scala 479:17] - buf_addr_in[3] <= _T_3386 @[el2_lsu_bus_buffer.scala 479:17] - node _T_3387 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3388 = mux(_T_3387, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] - node _T_3389 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3390 = mux(_T_3389, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] - node _T_3391 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3392 = mux(_T_3391, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] - node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3394 = mux(_T_3393, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] - node _T_3395 = cat(_T_3394, _T_3392) @[Cat.scala 29:58] - node _T_3396 = cat(_T_3395, _T_3390) @[Cat.scala 29:58] - node _T_3397 = cat(_T_3396, _T_3388) @[Cat.scala 29:58] - buf_dual_in <= _T_3397 @[el2_lsu_bus_buffer.scala 480:17] - node _T_3398 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3399 = mux(_T_3398, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] - node _T_3400 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3401 = mux(_T_3400, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] - node _T_3402 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3403 = mux(_T_3402, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] - node _T_3404 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3405 = mux(_T_3404, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] - node _T_3406 = cat(_T_3405, _T_3403) @[Cat.scala 29:58] - node _T_3407 = cat(_T_3406, _T_3401) @[Cat.scala 29:58] - node _T_3408 = cat(_T_3407, _T_3399) @[Cat.scala 29:58] - buf_samedw_in <= _T_3408 @[el2_lsu_bus_buffer.scala 481:19] - node _T_3409 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3410 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3411 = mux(_T_3409, _T_3410, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] - node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3413 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3414 = mux(_T_3412, _T_3413, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] - node _T_3415 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3416 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3417 = mux(_T_3415, _T_3416, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] - node _T_3418 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3419 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3420 = mux(_T_3418, _T_3419, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] - node _T_3421 = cat(_T_3420, _T_3417) @[Cat.scala 29:58] - node _T_3422 = cat(_T_3421, _T_3414) @[Cat.scala 29:58] - node _T_3423 = cat(_T_3422, _T_3411) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3423 @[el2_lsu_bus_buffer.scala 482:20] - node _T_3424 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3425 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] - node _T_3426 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:120] - node _T_3427 = and(_T_3425, _T_3426) @[el2_lsu_bus_buffer.scala 483:109] - node _T_3428 = mux(_T_3424, ibuf_dual, _T_3427) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3429 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3430 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] - node _T_3431 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 483:120] - node _T_3432 = and(_T_3430, _T_3431) @[el2_lsu_bus_buffer.scala 483:109] - node _T_3433 = mux(_T_3429, ibuf_dual, _T_3432) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3434 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3435 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] - node _T_3436 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 483:120] - node _T_3437 = and(_T_3435, _T_3436) @[el2_lsu_bus_buffer.scala 483:109] - node _T_3438 = mux(_T_3434, ibuf_dual, _T_3437) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3439 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] - node _T_3441 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 483:120] - node _T_3442 = and(_T_3440, _T_3441) @[el2_lsu_bus_buffer.scala 483:109] - node _T_3443 = mux(_T_3439, ibuf_dual, _T_3442) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3444 = cat(_T_3443, _T_3438) @[Cat.scala 29:58] - node _T_3445 = cat(_T_3444, _T_3433) @[Cat.scala 29:58] - node _T_3446 = cat(_T_3445, _T_3428) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3446 @[el2_lsu_bus_buffer.scala 483:19] - node _T_3447 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] - node _T_3449 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:127] - node _T_3450 = and(_T_3448, _T_3449) @[el2_lsu_bus_buffer.scala 484:116] - node _T_3451 = mux(_T_3450, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] - node _T_3452 = mux(_T_3447, ibuf_dualtag, _T_3451) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3453 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3454 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] - node _T_3455 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 484:127] - node _T_3456 = and(_T_3454, _T_3455) @[el2_lsu_bus_buffer.scala 484:116] - node _T_3457 = mux(_T_3456, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] - node _T_3458 = mux(_T_3453, ibuf_dualtag, _T_3457) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3459 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] - node _T_3461 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 484:127] - node _T_3462 = and(_T_3460, _T_3461) @[el2_lsu_bus_buffer.scala 484:116] - node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] - node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3465 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] - node _T_3467 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 484:127] - node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 484:116] - node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] - node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[el2_lsu_bus_buffer.scala 484:49] - buf_dualtag_in[0] <= _T_3452 @[el2_lsu_bus_buffer.scala 484:20] - buf_dualtag_in[1] <= _T_3458 @[el2_lsu_bus_buffer.scala 484:20] - buf_dualtag_in[2] <= _T_3464 @[el2_lsu_bus_buffer.scala 484:20] - buf_dualtag_in[3] <= _T_3470 @[el2_lsu_bus_buffer.scala 484:20] - node _T_3471 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:71] - node _T_3472 = mux(_T_3471, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3473 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:71] - node _T_3474 = mux(_T_3473, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3475 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:71] - node _T_3476 = mux(_T_3475, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:71] - node _T_3478 = mux(_T_3477, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3479 = cat(_T_3478, _T_3476) @[Cat.scala 29:58] - node _T_3480 = cat(_T_3479, _T_3474) @[Cat.scala 29:58] - node _T_3481 = cat(_T_3480, _T_3472) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3481 @[el2_lsu_bus_buffer.scala 485:23] - node _T_3482 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3483 = mux(_T_3482, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3484 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3485 = mux(_T_3484, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3486 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3487 = mux(_T_3486, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3488 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3489 = mux(_T_3488, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3490 = cat(_T_3489, _T_3487) @[Cat.scala 29:58] - node _T_3491 = cat(_T_3490, _T_3485) @[Cat.scala 29:58] - node _T_3492 = cat(_T_3491, _T_3483) @[Cat.scala 29:58] - buf_unsign_in <= _T_3492 @[el2_lsu_bus_buffer.scala 486:19] - node _T_3493 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:62] - node _T_3494 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3495 = mux(_T_3493, ibuf_sz, _T_3494) @[el2_lsu_bus_buffer.scala 487:44] - node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:62] - node _T_3497 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3498 = mux(_T_3496, ibuf_sz, _T_3497) @[el2_lsu_bus_buffer.scala 487:44] - node _T_3499 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:62] - node _T_3500 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3501 = mux(_T_3499, ibuf_sz, _T_3500) @[el2_lsu_bus_buffer.scala 487:44] - node _T_3502 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:62] - node _T_3503 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3504 = mux(_T_3502, ibuf_sz, _T_3503) @[el2_lsu_bus_buffer.scala 487:44] - buf_sz_in[0] <= _T_3495 @[el2_lsu_bus_buffer.scala 487:15] - buf_sz_in[1] <= _T_3498 @[el2_lsu_bus_buffer.scala 487:15] - buf_sz_in[2] <= _T_3501 @[el2_lsu_bus_buffer.scala 487:15] - buf_sz_in[3] <= _T_3504 @[el2_lsu_bus_buffer.scala 487:15] - node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3506 = mux(_T_3505, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3507 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3508 = mux(_T_3507, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3509 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3510 = mux(_T_3509, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3511 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3512 = mux(_T_3511, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3513 = cat(_T_3512, _T_3510) @[Cat.scala 29:58] - node _T_3514 = cat(_T_3513, _T_3508) @[Cat.scala 29:58] - node _T_3515 = cat(_T_3514, _T_3506) @[Cat.scala 29:58] - buf_write_in <= _T_3515 @[el2_lsu_bus_buffer.scala 488:18] - node _T_3516 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3516 : @[Conditional.scala 40:58] - node _T_3517 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] - node _T_3518 = mux(_T_3517, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] - buf_nxtstate[0] <= _T_3518 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3519 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] - node _T_3520 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] - node _T_3521 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3522 = and(_T_3520, _T_3521) @[el2_lsu_bus_buffer.scala 494:95] - node _T_3523 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] - node _T_3524 = and(_T_3522, _T_3523) @[el2_lsu_bus_buffer.scala 494:112] - node _T_3525 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] - node _T_3526 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] - node _T_3527 = and(_T_3525, _T_3526) @[el2_lsu_bus_buffer.scala 494:161] - node _T_3528 = or(_T_3524, _T_3527) @[el2_lsu_bus_buffer.scala 494:132] - node _T_3529 = and(_T_3519, _T_3528) @[el2_lsu_bus_buffer.scala 494:63] - node _T_3530 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] - node _T_3531 = and(ibuf_drain_vld, _T_3530) @[el2_lsu_bus_buffer.scala 494:201] - node _T_3532 = or(_T_3529, _T_3531) @[el2_lsu_bus_buffer.scala 494:183] - buf_state_en[0] <= _T_3532 @[el2_lsu_bus_buffer.scala 494:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 495:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 496:24] - node _T_3533 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] - node _T_3534 = and(ibuf_drain_vld, _T_3533) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3535 = bits(_T_3534, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3536 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] - node _T_3537 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] - node _T_3538 = mux(_T_3535, _T_3536, _T_3537) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[0] <= _T_3538 @[el2_lsu_bus_buffer.scala 497:24] + node _T_2065 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2066 = cat(_T_2065, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_2067 = bits(_T_2066, 4, 4) @[el2_lsu_bus_buffer.scala 438:39] + node _T_2068 = bits(_T_2066, 5, 5) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2069 = or(_T_2067, _T_2068) @[el2_lsu_bus_buffer.scala 438:42] + node _T_2070 = bits(_T_2066, 6, 6) @[el2_lsu_bus_buffer.scala 438:51] + node _T_2071 = or(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 438:48] + node _T_2072 = bits(_T_2066, 7, 7) @[el2_lsu_bus_buffer.scala 438:57] + node _T_2073 = or(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 438:54] + node _T_2074 = bits(_T_2066, 2, 2) @[el2_lsu_bus_buffer.scala 438:64] + node _T_2075 = bits(_T_2066, 3, 3) @[el2_lsu_bus_buffer.scala 438:70] + node _T_2076 = or(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 438:67] + node _T_2077 = bits(_T_2066, 6, 6) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2078 = or(_T_2076, _T_2077) @[el2_lsu_bus_buffer.scala 438:73] + node _T_2079 = bits(_T_2066, 7, 7) @[el2_lsu_bus_buffer.scala 438:82] + node _T_2080 = or(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 438:79] + node _T_2081 = bits(_T_2066, 1, 1) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2082 = bits(_T_2066, 3, 3) @[el2_lsu_bus_buffer.scala 438:95] + node _T_2083 = or(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 438:92] + node _T_2084 = bits(_T_2066, 5, 5) @[el2_lsu_bus_buffer.scala 438:101] + node _T_2085 = or(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2086 = bits(_T_2066, 7, 7) @[el2_lsu_bus_buffer.scala 438:107] + node _T_2087 = or(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2088 = cat(_T_2073, _T_2080) @[Cat.scala 29:58] + node _T_2089 = cat(_T_2088, _T_2087) @[Cat.scala 29:58] + CmdPtr0 <= _T_2089 @[el2_lsu_bus_buffer.scala 443:11] + node _T_2090 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2091 = cat(_T_2090, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2092 = bits(_T_2091, 4, 4) @[el2_lsu_bus_buffer.scala 438:39] + node _T_2093 = bits(_T_2091, 5, 5) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2094 = or(_T_2092, _T_2093) @[el2_lsu_bus_buffer.scala 438:42] + node _T_2095 = bits(_T_2091, 6, 6) @[el2_lsu_bus_buffer.scala 438:51] + node _T_2096 = or(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 438:48] + node _T_2097 = bits(_T_2091, 7, 7) @[el2_lsu_bus_buffer.scala 438:57] + node _T_2098 = or(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 438:54] + node _T_2099 = bits(_T_2091, 2, 2) @[el2_lsu_bus_buffer.scala 438:64] + node _T_2100 = bits(_T_2091, 3, 3) @[el2_lsu_bus_buffer.scala 438:70] + node _T_2101 = or(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 438:67] + node _T_2102 = bits(_T_2091, 6, 6) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2103 = or(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 438:73] + node _T_2104 = bits(_T_2091, 7, 7) @[el2_lsu_bus_buffer.scala 438:82] + node _T_2105 = or(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 438:79] + node _T_2106 = bits(_T_2091, 1, 1) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2107 = bits(_T_2091, 3, 3) @[el2_lsu_bus_buffer.scala 438:95] + node _T_2108 = or(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 438:92] + node _T_2109 = bits(_T_2091, 5, 5) @[el2_lsu_bus_buffer.scala 438:101] + node _T_2110 = or(_T_2108, _T_2109) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2111 = bits(_T_2091, 7, 7) @[el2_lsu_bus_buffer.scala 438:107] + node _T_2112 = or(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2113 = cat(_T_2098, _T_2105) @[Cat.scala 29:58] + node _T_2114 = cat(_T_2113, _T_2112) @[Cat.scala 29:58] + CmdPtr1 <= _T_2114 @[el2_lsu_bus_buffer.scala 445:11] + node _T_2115 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2116 = cat(_T_2115, RspPtrDec) @[Cat.scala 29:58] + node _T_2117 = bits(_T_2116, 4, 4) @[el2_lsu_bus_buffer.scala 438:39] + node _T_2118 = bits(_T_2116, 5, 5) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2119 = or(_T_2117, _T_2118) @[el2_lsu_bus_buffer.scala 438:42] + node _T_2120 = bits(_T_2116, 6, 6) @[el2_lsu_bus_buffer.scala 438:51] + node _T_2121 = or(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 438:48] + node _T_2122 = bits(_T_2116, 7, 7) @[el2_lsu_bus_buffer.scala 438:57] + node _T_2123 = or(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 438:54] + node _T_2124 = bits(_T_2116, 2, 2) @[el2_lsu_bus_buffer.scala 438:64] + node _T_2125 = bits(_T_2116, 3, 3) @[el2_lsu_bus_buffer.scala 438:70] + node _T_2126 = or(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 438:67] + node _T_2127 = bits(_T_2116, 6, 6) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2128 = or(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 438:73] + node _T_2129 = bits(_T_2116, 7, 7) @[el2_lsu_bus_buffer.scala 438:82] + node _T_2130 = or(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 438:79] + node _T_2131 = bits(_T_2116, 1, 1) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2132 = bits(_T_2116, 3, 3) @[el2_lsu_bus_buffer.scala 438:95] + node _T_2133 = or(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 438:92] + node _T_2134 = bits(_T_2116, 5, 5) @[el2_lsu_bus_buffer.scala 438:101] + node _T_2135 = or(_T_2133, _T_2134) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2136 = bits(_T_2116, 7, 7) @[el2_lsu_bus_buffer.scala 438:107] + node _T_2137 = or(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2138 = cat(_T_2123, _T_2130) @[Cat.scala 29:58] + node _T_2139 = cat(_T_2138, _T_2137) @[Cat.scala 29:58] + RspPtr <= _T_2139 @[el2_lsu_bus_buffer.scala 446:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 447:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 449:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 451:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 453:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 455:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] + node _T_2140 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2141 = and(_T_2140, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2142 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2143 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2144 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2145 = and(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2146 = or(_T_2142, _T_2145) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2147 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2148 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2149 = and(_T_2147, _T_2148) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2150 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2151 = and(_T_2149, _T_2150) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2152 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2153 = and(_T_2151, _T_2152) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2154 = or(_T_2146, _T_2153) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2155 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2156 = and(_T_2155, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2157 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2159 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2161 = or(_T_2154, _T_2160) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2162 = and(_T_2141, _T_2161) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2163 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2164 = or(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2165 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2166 = and(_T_2165, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2167 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2168 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2169 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2170 = and(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2171 = or(_T_2167, _T_2170) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2172 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2173 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2174 = and(_T_2172, _T_2173) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2175 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2176 = and(_T_2174, _T_2175) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2177 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2179 = or(_T_2171, _T_2178) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2180 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2181 = and(_T_2180, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2182 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2184 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2186 = or(_T_2179, _T_2185) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2187 = and(_T_2166, _T_2186) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2188 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2189 = or(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2190 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2191 = and(_T_2190, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2192 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2193 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2194 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2195 = and(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2196 = or(_T_2192, _T_2195) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2197 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2198 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2199 = and(_T_2197, _T_2198) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2200 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2201 = and(_T_2199, _T_2200) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2202 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2203 = and(_T_2201, _T_2202) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2204 = or(_T_2196, _T_2203) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2205 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2206 = and(_T_2205, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2207 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2209 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2211 = or(_T_2204, _T_2210) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2212 = and(_T_2191, _T_2211) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2213 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2214 = or(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2215 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2216 = and(_T_2215, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2217 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2218 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2219 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2221 = or(_T_2217, _T_2220) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2222 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2223 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2224 = and(_T_2222, _T_2223) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2225 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2226 = and(_T_2224, _T_2225) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2227 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2228 = and(_T_2226, _T_2227) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2229 = or(_T_2221, _T_2228) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2230 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2231 = and(_T_2230, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2232 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2234 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2236 = or(_T_2229, _T_2235) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2237 = and(_T_2216, _T_2236) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2238 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2239 = or(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2240 = cat(_T_2239, _T_2214) @[Cat.scala 29:58] + node _T_2241 = cat(_T_2240, _T_2189) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2241, _T_2164) @[Cat.scala 29:58] + node _T_2242 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2243 = and(_T_2242, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2244 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2245 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2246 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2247 = and(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2248 = or(_T_2244, _T_2247) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2249 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2250 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2251 = and(_T_2249, _T_2250) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2252 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2253 = and(_T_2251, _T_2252) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2254 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2255 = and(_T_2253, _T_2254) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2256 = or(_T_2248, _T_2255) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2257 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2258 = and(_T_2257, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2259 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2261 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2263 = or(_T_2256, _T_2262) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2264 = and(_T_2243, _T_2263) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2265 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2266 = or(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2267 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2268 = and(_T_2267, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2269 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2270 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2271 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2272 = and(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2273 = or(_T_2269, _T_2272) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2274 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2275 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2276 = and(_T_2274, _T_2275) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2277 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2278 = and(_T_2276, _T_2277) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2279 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2281 = or(_T_2273, _T_2280) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2282 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2283 = and(_T_2282, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2284 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2286 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2288 = or(_T_2281, _T_2287) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2289 = and(_T_2268, _T_2288) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2290 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2291 = or(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2292 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2293 = and(_T_2292, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2294 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2295 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2296 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2297 = and(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2298 = or(_T_2294, _T_2297) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2299 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2300 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2301 = and(_T_2299, _T_2300) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2302 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2303 = and(_T_2301, _T_2302) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2304 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2305 = and(_T_2303, _T_2304) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2306 = or(_T_2298, _T_2305) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2307 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2308 = and(_T_2307, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2309 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2311 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2313 = or(_T_2306, _T_2312) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2314 = and(_T_2293, _T_2313) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2315 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2316 = or(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2317 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2318 = and(_T_2317, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2319 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2320 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2321 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2323 = or(_T_2319, _T_2322) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2324 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2325 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2326 = and(_T_2324, _T_2325) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2327 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2328 = and(_T_2326, _T_2327) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2329 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2330 = and(_T_2328, _T_2329) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2331 = or(_T_2323, _T_2330) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2332 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2333 = and(_T_2332, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2334 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2336 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2338 = or(_T_2331, _T_2337) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2339 = and(_T_2318, _T_2338) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2340 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2341 = or(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2342 = cat(_T_2341, _T_2316) @[Cat.scala 29:58] + node _T_2343 = cat(_T_2342, _T_2291) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2343, _T_2266) @[Cat.scala 29:58] + node _T_2344 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2345 = and(_T_2344, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2346 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2347 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2348 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2349 = and(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2350 = or(_T_2346, _T_2349) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2351 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2352 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2353 = and(_T_2351, _T_2352) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2354 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2355 = and(_T_2353, _T_2354) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2356 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2357 = and(_T_2355, _T_2356) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2358 = or(_T_2350, _T_2357) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2359 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2360 = and(_T_2359, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2361 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2363 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2365 = or(_T_2358, _T_2364) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2366 = and(_T_2345, _T_2365) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2367 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2368 = or(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2369 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2370 = and(_T_2369, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2371 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2372 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2373 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2374 = and(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2375 = or(_T_2371, _T_2374) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2376 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2377 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2378 = and(_T_2376, _T_2377) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2379 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2380 = and(_T_2378, _T_2379) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2381 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2383 = or(_T_2375, _T_2382) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2384 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2385 = and(_T_2384, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2386 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2388 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2390 = or(_T_2383, _T_2389) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2391 = and(_T_2370, _T_2390) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2392 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2393 = or(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2394 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2395 = and(_T_2394, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2396 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2397 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2398 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2399 = and(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2400 = or(_T_2396, _T_2399) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2401 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2402 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2403 = and(_T_2401, _T_2402) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2404 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2405 = and(_T_2403, _T_2404) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2406 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2407 = and(_T_2405, _T_2406) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2408 = or(_T_2400, _T_2407) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2409 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2410 = and(_T_2409, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2411 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2413 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2415 = or(_T_2408, _T_2414) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2416 = and(_T_2395, _T_2415) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2417 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2418 = or(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2419 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2420 = and(_T_2419, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2421 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2422 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2423 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2424 = and(_T_2422, _T_2423) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2425 = or(_T_2421, _T_2424) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2426 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2427 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2428 = and(_T_2426, _T_2427) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2429 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2430 = and(_T_2428, _T_2429) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2431 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2432 = and(_T_2430, _T_2431) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2433 = or(_T_2425, _T_2432) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2434 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2435 = and(_T_2434, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2436 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2437 = and(_T_2435, _T_2436) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2438 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2440 = or(_T_2433, _T_2439) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2441 = and(_T_2420, _T_2440) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2442 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2443 = or(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2444 = cat(_T_2443, _T_2418) @[Cat.scala 29:58] + node _T_2445 = cat(_T_2444, _T_2393) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2445, _T_2368) @[Cat.scala 29:58] + node _T_2446 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2447 = and(_T_2446, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2448 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2449 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2450 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2451 = and(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2452 = or(_T_2448, _T_2451) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2453 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2454 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2455 = and(_T_2453, _T_2454) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2456 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2457 = and(_T_2455, _T_2456) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2458 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2459 = and(_T_2457, _T_2458) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2460 = or(_T_2452, _T_2459) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2461 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2462 = and(_T_2461, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2463 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2464 = and(_T_2462, _T_2463) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2465 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2466 = and(_T_2464, _T_2465) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2467 = or(_T_2460, _T_2466) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2468 = and(_T_2447, _T_2467) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2469 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2470 = or(_T_2468, _T_2469) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2471 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2472 = and(_T_2471, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2473 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2474 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2475 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2476 = and(_T_2474, _T_2475) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2477 = or(_T_2473, _T_2476) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2478 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2479 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2480 = and(_T_2478, _T_2479) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2481 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2482 = and(_T_2480, _T_2481) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2483 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2485 = or(_T_2477, _T_2484) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2486 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2487 = and(_T_2486, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2488 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2489 = and(_T_2487, _T_2488) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2490 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2491 = and(_T_2489, _T_2490) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2492 = or(_T_2485, _T_2491) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2493 = and(_T_2472, _T_2492) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2494 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2495 = or(_T_2493, _T_2494) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2496 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2497 = and(_T_2496, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2498 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2499 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2500 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2501 = and(_T_2499, _T_2500) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2502 = or(_T_2498, _T_2501) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2503 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2504 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2505 = and(_T_2503, _T_2504) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2506 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2507 = and(_T_2505, _T_2506) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2508 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2509 = and(_T_2507, _T_2508) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2510 = or(_T_2502, _T_2509) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2511 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2512 = and(_T_2511, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2513 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2514 = and(_T_2512, _T_2513) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2515 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2516 = and(_T_2514, _T_2515) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2517 = or(_T_2510, _T_2516) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2518 = and(_T_2497, _T_2517) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2519 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2520 = or(_T_2518, _T_2519) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2521 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2522 = and(_T_2521, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2523 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2524 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2525 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2526 = and(_T_2524, _T_2525) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2527 = or(_T_2523, _T_2526) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2528 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2529 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2530 = and(_T_2528, _T_2529) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2531 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2532 = and(_T_2530, _T_2531) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2533 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2534 = and(_T_2532, _T_2533) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2535 = or(_T_2527, _T_2534) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2536 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2537 = and(_T_2536, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2538 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2539 = and(_T_2537, _T_2538) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2540 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2542 = or(_T_2535, _T_2541) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2543 = and(_T_2522, _T_2542) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2544 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2545 = or(_T_2543, _T_2544) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2546 = cat(_T_2545, _T_2520) @[Cat.scala 29:58] + node _T_2547 = cat(_T_2546, _T_2495) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2547, _T_2470) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 462:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] + node _T_2548 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2549 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2550 = and(_T_2549, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2551 = and(_T_2548, _T_2550) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2552 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2553 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2554 = and(_T_2553, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2555 = and(_T_2552, _T_2554) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2556 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2557 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2558 = and(_T_2557, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2559 = and(_T_2556, _T_2558) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2560 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2561 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2562 = and(_T_2561, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2563 = and(_T_2560, _T_2562) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2564 = cat(_T_2563, _T_2559) @[Cat.scala 29:58] + node _T_2565 = cat(_T_2564, _T_2555) @[Cat.scala 29:58] + node _T_2566 = cat(_T_2565, _T_2551) @[Cat.scala 29:58] + node _T_2567 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2568 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2569 = and(_T_2568, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2570 = and(_T_2567, _T_2569) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2571 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2572 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2573 = and(_T_2572, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2574 = and(_T_2571, _T_2573) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2575 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2576 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2578 = and(_T_2575, _T_2577) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2579 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2580 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2581 = and(_T_2580, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2582 = and(_T_2579, _T_2581) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2583 = cat(_T_2582, _T_2578) @[Cat.scala 29:58] + node _T_2584 = cat(_T_2583, _T_2574) @[Cat.scala 29:58] + node _T_2585 = cat(_T_2584, _T_2570) @[Cat.scala 29:58] + node _T_2586 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2587 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2589 = and(_T_2586, _T_2588) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2590 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2591 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2592 = and(_T_2591, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2593 = and(_T_2590, _T_2592) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2594 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2595 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2596 = and(_T_2595, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2597 = and(_T_2594, _T_2596) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2598 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2599 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2600 = and(_T_2599, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2601 = and(_T_2598, _T_2600) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2602 = cat(_T_2601, _T_2597) @[Cat.scala 29:58] + node _T_2603 = cat(_T_2602, _T_2593) @[Cat.scala 29:58] + node _T_2604 = cat(_T_2603, _T_2589) @[Cat.scala 29:58] + node _T_2605 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2608 = and(_T_2605, _T_2607) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2609 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2610 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2612 = and(_T_2609, _T_2611) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2613 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2614 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2615 = and(_T_2614, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2616 = and(_T_2613, _T_2615) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2617 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2618 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2619 = and(_T_2618, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2620 = and(_T_2617, _T_2619) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2621 = cat(_T_2620, _T_2616) @[Cat.scala 29:58] + node _T_2622 = cat(_T_2621, _T_2612) @[Cat.scala 29:58] + node _T_2623 = cat(_T_2622, _T_2608) @[Cat.scala 29:58] + buf_age[0] <= _T_2566 @[el2_lsu_bus_buffer.scala 464:13] + buf_age[1] <= _T_2585 @[el2_lsu_bus_buffer.scala 464:13] + buf_age[2] <= _T_2604 @[el2_lsu_bus_buffer.scala 464:13] + buf_age[3] <= _T_2623 @[el2_lsu_bus_buffer.scala 464:13] + node _T_2624 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2625 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2627 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2630 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2631 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2633 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2636 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2637 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2639 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2642 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2643 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2644 = eq(_T_2643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2645 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2646 = and(_T_2644, _T_2645) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2647 = mux(_T_2642, UInt<1>("h00"), _T_2646) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2648 = cat(_T_2647, _T_2641) @[Cat.scala 29:58] + node _T_2649 = cat(_T_2648, _T_2635) @[Cat.scala 29:58] + node _T_2650 = cat(_T_2649, _T_2629) @[Cat.scala 29:58] + node _T_2651 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2652 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2653 = eq(_T_2652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2654 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2655 = and(_T_2653, _T_2654) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2656 = mux(_T_2651, UInt<1>("h00"), _T_2655) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2657 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2658 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2659 = eq(_T_2658, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2660 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2661 = and(_T_2659, _T_2660) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2662 = mux(_T_2657, UInt<1>("h00"), _T_2661) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2663 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2664 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2665 = eq(_T_2664, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2666 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2667 = and(_T_2665, _T_2666) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2668 = mux(_T_2663, UInt<1>("h00"), _T_2667) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2669 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2670 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2671 = eq(_T_2670, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2672 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2673 = and(_T_2671, _T_2672) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2674 = mux(_T_2669, UInt<1>("h00"), _T_2673) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2675 = cat(_T_2674, _T_2668) @[Cat.scala 29:58] + node _T_2676 = cat(_T_2675, _T_2662) @[Cat.scala 29:58] + node _T_2677 = cat(_T_2676, _T_2656) @[Cat.scala 29:58] + node _T_2678 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2679 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2680 = eq(_T_2679, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2681 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2682 = and(_T_2680, _T_2681) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2683 = mux(_T_2678, UInt<1>("h00"), _T_2682) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2684 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2685 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2686 = eq(_T_2685, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2687 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2688 = and(_T_2686, _T_2687) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2689 = mux(_T_2684, UInt<1>("h00"), _T_2688) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2690 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2691 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2692 = eq(_T_2691, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2693 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2694 = and(_T_2692, _T_2693) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2695 = mux(_T_2690, UInt<1>("h00"), _T_2694) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2696 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2697 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2698 = eq(_T_2697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2699 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2701 = mux(_T_2696, UInt<1>("h00"), _T_2700) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2702 = cat(_T_2701, _T_2695) @[Cat.scala 29:58] + node _T_2703 = cat(_T_2702, _T_2689) @[Cat.scala 29:58] + node _T_2704 = cat(_T_2703, _T_2683) @[Cat.scala 29:58] + node _T_2705 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2706 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2707 = eq(_T_2706, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2708 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2710 = mux(_T_2705, UInt<1>("h00"), _T_2709) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2711 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2712 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2713 = eq(_T_2712, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2714 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2716 = mux(_T_2711, UInt<1>("h00"), _T_2715) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2717 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2718 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2719 = eq(_T_2718, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2720 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2721 = and(_T_2719, _T_2720) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2722 = mux(_T_2717, UInt<1>("h00"), _T_2721) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2723 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2724 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2725 = eq(_T_2724, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2726 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2727 = and(_T_2725, _T_2726) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2728 = mux(_T_2723, UInt<1>("h00"), _T_2727) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2729 = cat(_T_2728, _T_2722) @[Cat.scala 29:58] + node _T_2730 = cat(_T_2729, _T_2716) @[Cat.scala 29:58] + node _T_2731 = cat(_T_2730, _T_2710) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2650 @[el2_lsu_bus_buffer.scala 465:21] + buf_age_younger[1] <= _T_2677 @[el2_lsu_bus_buffer.scala 465:21] + buf_age_younger[2] <= _T_2704 @[el2_lsu_bus_buffer.scala 465:21] + buf_age_younger[3] <= _T_2731 @[el2_lsu_bus_buffer.scala 465:21] + node _T_2732 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2733 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2735 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2736 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2738 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2739 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2741 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2742 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2744 = cat(_T_2743, _T_2740) @[Cat.scala 29:58] + node _T_2745 = cat(_T_2744, _T_2737) @[Cat.scala 29:58] + node _T_2746 = cat(_T_2745, _T_2734) @[Cat.scala 29:58] + node _T_2747 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2748 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2750 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2751 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2752 = and(_T_2750, _T_2751) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2753 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2754 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2755 = and(_T_2753, _T_2754) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2756 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2757 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2759 = cat(_T_2758, _T_2755) @[Cat.scala 29:58] + node _T_2760 = cat(_T_2759, _T_2752) @[Cat.scala 29:58] + node _T_2761 = cat(_T_2760, _T_2749) @[Cat.scala 29:58] + node _T_2762 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2763 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2764 = and(_T_2762, _T_2763) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2765 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2766 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2767 = and(_T_2765, _T_2766) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2768 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2769 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2770 = and(_T_2768, _T_2769) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2771 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2772 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2774 = cat(_T_2773, _T_2770) @[Cat.scala 29:58] + node _T_2775 = cat(_T_2774, _T_2767) @[Cat.scala 29:58] + node _T_2776 = cat(_T_2775, _T_2764) @[Cat.scala 29:58] + node _T_2777 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2778 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2780 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2781 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2782 = and(_T_2780, _T_2781) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2783 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2784 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2785 = and(_T_2783, _T_2784) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2786 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2787 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2789 = cat(_T_2788, _T_2785) @[Cat.scala 29:58] + node _T_2790 = cat(_T_2789, _T_2782) @[Cat.scala 29:58] + node _T_2791 = cat(_T_2790, _T_2779) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2746 @[el2_lsu_bus_buffer.scala 466:21] + buf_rsp_pickage[1] <= _T_2761 @[el2_lsu_bus_buffer.scala 466:21] + buf_rsp_pickage[2] <= _T_2776 @[el2_lsu_bus_buffer.scala 466:21] + buf_rsp_pickage[3] <= _T_2791 @[el2_lsu_bus_buffer.scala 466:21] + node _T_2792 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2793 = and(_T_2792, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2794 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2795 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2796 = or(_T_2794, _T_2795) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2797 = eq(_T_2796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2798 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2799 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2801 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2803 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2805 = or(_T_2797, _T_2804) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2806 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2807 = and(_T_2806, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2808 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2809 = and(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2810 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2811 = and(_T_2809, _T_2810) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2812 = or(_T_2805, _T_2811) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2813 = and(_T_2793, _T_2812) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2814 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2815 = and(_T_2814, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2816 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2817 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2818 = or(_T_2816, _T_2817) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2819 = eq(_T_2818, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2820 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2821 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2823 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2825 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2827 = or(_T_2819, _T_2826) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2828 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2829 = and(_T_2828, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2830 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2831 = and(_T_2829, _T_2830) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2832 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2833 = and(_T_2831, _T_2832) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2834 = or(_T_2827, _T_2833) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2835 = and(_T_2815, _T_2834) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2836 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2837 = and(_T_2836, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2838 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2839 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2840 = or(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2841 = eq(_T_2840, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2842 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2843 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2844 = and(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2845 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2846 = and(_T_2844, _T_2845) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2847 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2849 = or(_T_2841, _T_2848) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2850 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2851 = and(_T_2850, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2852 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2853 = and(_T_2851, _T_2852) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2854 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2855 = and(_T_2853, _T_2854) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2856 = or(_T_2849, _T_2855) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2857 = and(_T_2837, _T_2856) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2858 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2859 = and(_T_2858, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2860 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2861 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2862 = or(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2863 = eq(_T_2862, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2864 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2865 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2866 = and(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2867 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2868 = and(_T_2866, _T_2867) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2869 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2871 = or(_T_2863, _T_2870) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2872 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2873 = and(_T_2872, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2874 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2875 = and(_T_2873, _T_2874) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2876 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2877 = and(_T_2875, _T_2876) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2878 = or(_T_2871, _T_2877) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2879 = and(_T_2859, _T_2878) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2880 = cat(_T_2879, _T_2857) @[Cat.scala 29:58] + node _T_2881 = cat(_T_2880, _T_2835) @[Cat.scala 29:58] + node _T_2882 = cat(_T_2881, _T_2813) @[Cat.scala 29:58] + node _T_2883 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2884 = and(_T_2883, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2885 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2886 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2887 = or(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2888 = eq(_T_2887, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2889 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2890 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2892 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2894 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2896 = or(_T_2888, _T_2895) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2897 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2898 = and(_T_2897, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2899 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2900 = and(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2901 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2903 = or(_T_2896, _T_2902) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2904 = and(_T_2884, _T_2903) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2905 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2906 = and(_T_2905, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2907 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2908 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2909 = or(_T_2907, _T_2908) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2910 = eq(_T_2909, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2911 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2912 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2914 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2916 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2918 = or(_T_2910, _T_2917) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2919 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2920 = and(_T_2919, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2921 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2922 = and(_T_2920, _T_2921) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2923 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2924 = and(_T_2922, _T_2923) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2925 = or(_T_2918, _T_2924) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2926 = and(_T_2906, _T_2925) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2927 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2928 = and(_T_2927, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2929 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2930 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2931 = or(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2932 = eq(_T_2931, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2933 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2934 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2935 = and(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2936 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2937 = and(_T_2935, _T_2936) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2938 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2940 = or(_T_2932, _T_2939) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2941 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2942 = and(_T_2941, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2943 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2944 = and(_T_2942, _T_2943) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2945 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2946 = and(_T_2944, _T_2945) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2947 = or(_T_2940, _T_2946) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2948 = and(_T_2928, _T_2947) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2949 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2950 = and(_T_2949, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2951 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2952 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2953 = or(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2954 = eq(_T_2953, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2955 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2956 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2957 = and(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2958 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2959 = and(_T_2957, _T_2958) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2960 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2962 = or(_T_2954, _T_2961) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2963 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2964 = and(_T_2963, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2965 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2966 = and(_T_2964, _T_2965) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2967 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2968 = and(_T_2966, _T_2967) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2969 = or(_T_2962, _T_2968) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2970 = and(_T_2950, _T_2969) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2971 = cat(_T_2970, _T_2948) @[Cat.scala 29:58] + node _T_2972 = cat(_T_2971, _T_2926) @[Cat.scala 29:58] + node _T_2973 = cat(_T_2972, _T_2904) @[Cat.scala 29:58] + node _T_2974 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2975 = and(_T_2974, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2976 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2977 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2978 = or(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2979 = eq(_T_2978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2980 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2981 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2983 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2985 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2987 = or(_T_2979, _T_2986) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2988 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2989 = and(_T_2988, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2990 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2991 = and(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2992 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2994 = or(_T_2987, _T_2993) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2995 = and(_T_2975, _T_2994) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2996 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2997 = and(_T_2996, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2998 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2999 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3000 = or(_T_2998, _T_2999) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3001 = eq(_T_3000, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3002 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3003 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3005 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3007 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3009 = or(_T_3001, _T_3008) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3010 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3011 = and(_T_3010, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3012 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3013 = and(_T_3011, _T_3012) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3014 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3015 = and(_T_3013, _T_3014) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3016 = or(_T_3009, _T_3015) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3017 = and(_T_2997, _T_3016) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3018 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3019 = and(_T_3018, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3020 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3021 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3022 = or(_T_3020, _T_3021) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3023 = eq(_T_3022, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3024 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3025 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3026 = and(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3027 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3028 = and(_T_3026, _T_3027) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3029 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3031 = or(_T_3023, _T_3030) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3032 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3033 = and(_T_3032, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3034 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3035 = and(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3036 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3037 = and(_T_3035, _T_3036) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3038 = or(_T_3031, _T_3037) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3039 = and(_T_3019, _T_3038) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3040 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3041 = and(_T_3040, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3042 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3043 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3044 = or(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3045 = eq(_T_3044, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3046 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3047 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3048 = and(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3049 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3050 = and(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3051 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3053 = or(_T_3045, _T_3052) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3054 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3055 = and(_T_3054, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3056 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3057 = and(_T_3055, _T_3056) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3058 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3059 = and(_T_3057, _T_3058) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3060 = or(_T_3053, _T_3059) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3061 = and(_T_3041, _T_3060) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3062 = cat(_T_3061, _T_3039) @[Cat.scala 29:58] + node _T_3063 = cat(_T_3062, _T_3017) @[Cat.scala 29:58] + node _T_3064 = cat(_T_3063, _T_2995) @[Cat.scala 29:58] + node _T_3065 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3066 = and(_T_3065, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3067 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3068 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3069 = or(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3070 = eq(_T_3069, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3071 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3072 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3073 = and(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3074 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3076 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3077 = and(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3078 = or(_T_3070, _T_3077) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3079 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3080 = and(_T_3079, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3081 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3082 = and(_T_3080, _T_3081) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3083 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3084 = and(_T_3082, _T_3083) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3085 = or(_T_3078, _T_3084) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3086 = and(_T_3066, _T_3085) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3087 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3088 = and(_T_3087, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3089 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3090 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3091 = or(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3092 = eq(_T_3091, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3093 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3094 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3095 = and(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3096 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3097 = and(_T_3095, _T_3096) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3098 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3099 = and(_T_3097, _T_3098) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3100 = or(_T_3092, _T_3099) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3101 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3102 = and(_T_3101, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3103 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3104 = and(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3105 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3106 = and(_T_3104, _T_3105) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3107 = or(_T_3100, _T_3106) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3108 = and(_T_3088, _T_3107) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3109 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3110 = and(_T_3109, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3111 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3112 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3113 = or(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3114 = eq(_T_3113, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3115 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3116 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3117 = and(_T_3115, _T_3116) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3118 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3119 = and(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3120 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3121 = and(_T_3119, _T_3120) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3122 = or(_T_3114, _T_3121) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3123 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3124 = and(_T_3123, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3125 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3126 = and(_T_3124, _T_3125) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3127 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3128 = and(_T_3126, _T_3127) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3129 = or(_T_3122, _T_3128) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3130 = and(_T_3110, _T_3129) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3131 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3132 = and(_T_3131, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3133 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3134 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3135 = or(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3136 = eq(_T_3135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3137 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3138 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3139 = and(_T_3137, _T_3138) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3140 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3141 = and(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3142 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3143 = and(_T_3141, _T_3142) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3144 = or(_T_3136, _T_3143) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3145 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3146 = and(_T_3145, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3147 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3148 = and(_T_3146, _T_3147) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3149 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3150 = and(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3151 = or(_T_3144, _T_3150) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3152 = and(_T_3132, _T_3151) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3153 = cat(_T_3152, _T_3130) @[Cat.scala 29:58] + node _T_3154 = cat(_T_3153, _T_3108) @[Cat.scala 29:58] + node _T_3155 = cat(_T_3154, _T_3086) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2882 @[el2_lsu_bus_buffer.scala 468:20] + buf_rspage_set[1] <= _T_2973 @[el2_lsu_bus_buffer.scala 468:20] + buf_rspage_set[2] <= _T_3064 @[el2_lsu_bus_buffer.scala 468:20] + buf_rspage_set[3] <= _T_3155 @[el2_lsu_bus_buffer.scala 468:20] + node _T_3156 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3157 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3158 = or(_T_3156, _T_3157) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3159 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3160 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3161 = or(_T_3159, _T_3160) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3162 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3163 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3164 = or(_T_3162, _T_3163) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3165 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3166 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3167 = or(_T_3165, _T_3166) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3168 = cat(_T_3167, _T_3164) @[Cat.scala 29:58] + node _T_3169 = cat(_T_3168, _T_3161) @[Cat.scala 29:58] + node _T_3170 = cat(_T_3169, _T_3158) @[Cat.scala 29:58] + node _T_3171 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3172 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3173 = or(_T_3171, _T_3172) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3174 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3175 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3176 = or(_T_3174, _T_3175) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3177 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3178 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3179 = or(_T_3177, _T_3178) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3180 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3181 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3182 = or(_T_3180, _T_3181) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3183 = cat(_T_3182, _T_3179) @[Cat.scala 29:58] + node _T_3184 = cat(_T_3183, _T_3176) @[Cat.scala 29:58] + node _T_3185 = cat(_T_3184, _T_3173) @[Cat.scala 29:58] + node _T_3186 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3187 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3188 = or(_T_3186, _T_3187) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3189 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3190 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3191 = or(_T_3189, _T_3190) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3192 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3193 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3194 = or(_T_3192, _T_3193) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3195 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3196 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3197 = or(_T_3195, _T_3196) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3198 = cat(_T_3197, _T_3194) @[Cat.scala 29:58] + node _T_3199 = cat(_T_3198, _T_3191) @[Cat.scala 29:58] + node _T_3200 = cat(_T_3199, _T_3188) @[Cat.scala 29:58] + node _T_3201 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3202 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3203 = or(_T_3201, _T_3202) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3204 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3205 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3206 = or(_T_3204, _T_3205) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3207 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3208 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3209 = or(_T_3207, _T_3208) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3210 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3211 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3212 = or(_T_3210, _T_3211) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3213 = cat(_T_3212, _T_3209) @[Cat.scala 29:58] + node _T_3214 = cat(_T_3213, _T_3206) @[Cat.scala 29:58] + node _T_3215 = cat(_T_3214, _T_3203) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3170 @[el2_lsu_bus_buffer.scala 472:19] + buf_rspage_in[1] <= _T_3185 @[el2_lsu_bus_buffer.scala 472:19] + buf_rspage_in[2] <= _T_3200 @[el2_lsu_bus_buffer.scala 472:19] + buf_rspage_in[3] <= _T_3215 @[el2_lsu_bus_buffer.scala 472:19] + node _T_3216 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3217 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3218 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3222 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3223 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3224 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3228 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3229 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3230 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3234 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3235 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3236 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3237 = or(_T_3235, _T_3236) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3238 = eq(_T_3237, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3239 = and(_T_3234, _T_3238) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3240 = cat(_T_3239, _T_3233) @[Cat.scala 29:58] + node _T_3241 = cat(_T_3240, _T_3227) @[Cat.scala 29:58] + node _T_3242 = cat(_T_3241, _T_3221) @[Cat.scala 29:58] + node _T_3243 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3244 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3245 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3246 = or(_T_3244, _T_3245) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3247 = eq(_T_3246, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3248 = and(_T_3243, _T_3247) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3249 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3250 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3251 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3252 = or(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3253 = eq(_T_3252, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3254 = and(_T_3249, _T_3253) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3255 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3256 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3258 = or(_T_3256, _T_3257) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3259 = eq(_T_3258, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3260 = and(_T_3255, _T_3259) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3261 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3262 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3263 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3264 = or(_T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3265 = eq(_T_3264, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3266 = and(_T_3261, _T_3265) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3267 = cat(_T_3266, _T_3260) @[Cat.scala 29:58] + node _T_3268 = cat(_T_3267, _T_3254) @[Cat.scala 29:58] + node _T_3269 = cat(_T_3268, _T_3248) @[Cat.scala 29:58] + node _T_3270 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3271 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3272 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3273 = or(_T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3274 = eq(_T_3273, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3275 = and(_T_3270, _T_3274) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3276 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3277 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3278 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3279 = or(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3280 = eq(_T_3279, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3281 = and(_T_3276, _T_3280) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3282 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3283 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3284 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3285 = or(_T_3283, _T_3284) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3286 = eq(_T_3285, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3287 = and(_T_3282, _T_3286) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3288 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3289 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3290 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3291 = or(_T_3289, _T_3290) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3292 = eq(_T_3291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3293 = and(_T_3288, _T_3292) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3294 = cat(_T_3293, _T_3287) @[Cat.scala 29:58] + node _T_3295 = cat(_T_3294, _T_3281) @[Cat.scala 29:58] + node _T_3296 = cat(_T_3295, _T_3275) @[Cat.scala 29:58] + node _T_3297 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3298 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3299 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3300 = or(_T_3298, _T_3299) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3301 = eq(_T_3300, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3302 = and(_T_3297, _T_3301) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3303 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3304 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3305 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3306 = or(_T_3304, _T_3305) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3307 = eq(_T_3306, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3308 = and(_T_3303, _T_3307) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3309 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3310 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3311 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3312 = or(_T_3310, _T_3311) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3313 = eq(_T_3312, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3314 = and(_T_3309, _T_3313) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3315 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3316 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3317 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3318 = or(_T_3316, _T_3317) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3319 = eq(_T_3318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3320 = and(_T_3315, _T_3319) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3321 = cat(_T_3320, _T_3314) @[Cat.scala 29:58] + node _T_3322 = cat(_T_3321, _T_3308) @[Cat.scala 29:58] + node _T_3323 = cat(_T_3322, _T_3302) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3242 @[el2_lsu_bus_buffer.scala 473:16] + buf_rspage[1] <= _T_3269 @[el2_lsu_bus_buffer.scala 473:16] + buf_rspage[2] <= _T_3296 @[el2_lsu_bus_buffer.scala 473:16] + buf_rspage[3] <= _T_3323 @[el2_lsu_bus_buffer.scala 473:16] + node _T_3324 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3325 = and(ibuf_drain_vld, _T_3324) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3326 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3327 = and(ibuf_drain_vld, _T_3326) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3328 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3329 = and(ibuf_drain_vld, _T_3328) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3330 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3331 = and(ibuf_drain_vld, _T_3330) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3332 = cat(_T_3331, _T_3329) @[Cat.scala 29:58] + node _T_3333 = cat(_T_3332, _T_3327) @[Cat.scala 29:58] + node _T_3334 = cat(_T_3333, _T_3325) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3334 @[el2_lsu_bus_buffer.scala 478:23] + node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3336 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3337 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] + node _T_3338 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:134] + node _T_3339 = and(_T_3337, _T_3338) @[el2_lsu_bus_buffer.scala 479:123] + node _T_3340 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] + node _T_3341 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] + node _T_3342 = mux(_T_3339, _T_3340, _T_3341) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3343 = mux(_T_3335, _T_3336, _T_3342) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3344 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3345 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:134] + node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 479:123] + node _T_3349 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] + node _T_3350 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] + node _T_3351 = mux(_T_3348, _T_3349, _T_3350) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3352 = mux(_T_3344, _T_3345, _T_3351) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3353 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3354 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3355 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] + node _T_3356 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:134] + node _T_3357 = and(_T_3355, _T_3356) @[el2_lsu_bus_buffer.scala 479:123] + node _T_3358 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] + node _T_3359 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] + node _T_3360 = mux(_T_3357, _T_3358, _T_3359) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3361 = mux(_T_3353, _T_3354, _T_3360) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3362 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3363 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] + node _T_3365 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:134] + node _T_3366 = and(_T_3364, _T_3365) @[el2_lsu_bus_buffer.scala 479:123] + node _T_3367 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] + node _T_3368 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] + node _T_3369 = mux(_T_3366, _T_3367, _T_3368) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3370 = mux(_T_3362, _T_3363, _T_3369) @[el2_lsu_bus_buffer.scala 479:48] + buf_byteen_in[0] <= _T_3343 @[el2_lsu_bus_buffer.scala 479:19] + buf_byteen_in[1] <= _T_3352 @[el2_lsu_bus_buffer.scala 479:19] + buf_byteen_in[2] <= _T_3361 @[el2_lsu_bus_buffer.scala 479:19] + buf_byteen_in[3] <= _T_3370 @[el2_lsu_bus_buffer.scala 479:19] + node _T_3371 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3372 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3373 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3374 = and(_T_3372, _T_3373) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3375 = mux(_T_3374, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3376 = mux(_T_3371, ibuf_addr, _T_3375) @[el2_lsu_bus_buffer.scala 480:46] + node _T_3377 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3378 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3379 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3380 = and(_T_3378, _T_3379) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3381 = mux(_T_3380, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3382 = mux(_T_3377, ibuf_addr, _T_3381) @[el2_lsu_bus_buffer.scala 480:46] + node _T_3383 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3384 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3385 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3387 = mux(_T_3386, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3388 = mux(_T_3383, ibuf_addr, _T_3387) @[el2_lsu_bus_buffer.scala 480:46] + node _T_3389 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3390 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3391 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3392 = and(_T_3390, _T_3391) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3393 = mux(_T_3392, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3394 = mux(_T_3389, ibuf_addr, _T_3393) @[el2_lsu_bus_buffer.scala 480:46] + buf_addr_in[0] <= _T_3376 @[el2_lsu_bus_buffer.scala 480:17] + buf_addr_in[1] <= _T_3382 @[el2_lsu_bus_buffer.scala 480:17] + buf_addr_in[2] <= _T_3388 @[el2_lsu_bus_buffer.scala 480:17] + buf_addr_in[3] <= _T_3394 @[el2_lsu_bus_buffer.scala 480:17] + node _T_3395 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3396 = mux(_T_3395, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3397 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3398 = mux(_T_3397, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3401 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3403 = cat(_T_3402, _T_3400) @[Cat.scala 29:58] + node _T_3404 = cat(_T_3403, _T_3398) @[Cat.scala 29:58] + node _T_3405 = cat(_T_3404, _T_3396) @[Cat.scala 29:58] + buf_dual_in <= _T_3405 @[el2_lsu_bus_buffer.scala 481:17] + node _T_3406 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3407 = mux(_T_3406, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3408 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3409 = mux(_T_3408, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3410 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3412 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3414 = cat(_T_3413, _T_3411) @[Cat.scala 29:58] + node _T_3415 = cat(_T_3414, _T_3409) @[Cat.scala 29:58] + node _T_3416 = cat(_T_3415, _T_3407) @[Cat.scala 29:58] + buf_samedw_in <= _T_3416 @[el2_lsu_bus_buffer.scala 482:19] + node _T_3417 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3418 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3419 = mux(_T_3417, _T_3418, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + node _T_3420 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3421 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3422 = mux(_T_3420, _T_3421, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + node _T_3423 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3424 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3425 = mux(_T_3423, _T_3424, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3427 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3428 = mux(_T_3426, _T_3427, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + node _T_3429 = cat(_T_3428, _T_3425) @[Cat.scala 29:58] + node _T_3430 = cat(_T_3429, _T_3422) @[Cat.scala 29:58] + node _T_3431 = cat(_T_3430, _T_3419) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3431 @[el2_lsu_bus_buffer.scala 483:20] + node _T_3432 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3433 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3434 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3435 = and(_T_3433, _T_3434) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3436 = mux(_T_3432, ibuf_dual, _T_3435) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3437 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3438 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3439 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3440 = and(_T_3438, _T_3439) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3441 = mux(_T_3437, ibuf_dual, _T_3440) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3443 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3444 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3446 = mux(_T_3442, ibuf_dual, _T_3445) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3447 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3449 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3450 = and(_T_3448, _T_3449) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3451 = mux(_T_3447, ibuf_dual, _T_3450) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3452 = cat(_T_3451, _T_3446) @[Cat.scala 29:58] + node _T_3453 = cat(_T_3452, _T_3441) @[Cat.scala 29:58] + node _T_3454 = cat(_T_3453, _T_3436) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3454 @[el2_lsu_bus_buffer.scala 484:19] + node _T_3455 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3456 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3457 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3458 = and(_T_3456, _T_3457) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3459 = mux(_T_3458, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3460 = mux(_T_3455, ibuf_dualtag, _T_3459) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3461 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3463 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3464 = and(_T_3462, _T_3463) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3465 = mux(_T_3464, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3466 = mux(_T_3461, ibuf_dualtag, _T_3465) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3470 = and(_T_3468, _T_3469) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3471 = mux(_T_3470, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3472 = mux(_T_3467, ibuf_dualtag, _T_3471) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3473 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3474 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3475 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3476 = and(_T_3474, _T_3475) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3477 = mux(_T_3476, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3478 = mux(_T_3473, ibuf_dualtag, _T_3477) @[el2_lsu_bus_buffer.scala 485:49] + buf_dualtag_in[0] <= _T_3460 @[el2_lsu_bus_buffer.scala 485:20] + buf_dualtag_in[1] <= _T_3466 @[el2_lsu_bus_buffer.scala 485:20] + buf_dualtag_in[2] <= _T_3472 @[el2_lsu_bus_buffer.scala 485:20] + buf_dualtag_in[3] <= _T_3478 @[el2_lsu_bus_buffer.scala 485:20] + node _T_3479 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3480 = mux(_T_3479, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + node _T_3481 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3482 = mux(_T_3481, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + node _T_3485 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + node _T_3487 = cat(_T_3486, _T_3484) @[Cat.scala 29:58] + node _T_3488 = cat(_T_3487, _T_3482) @[Cat.scala 29:58] + node _T_3489 = cat(_T_3488, _T_3480) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3489 @[el2_lsu_bus_buffer.scala 486:23] + node _T_3490 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3491 = mux(_T_3490, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3492 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3493 = mux(_T_3492, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3494 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3496 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3498 = cat(_T_3497, _T_3495) @[Cat.scala 29:58] + node _T_3499 = cat(_T_3498, _T_3493) @[Cat.scala 29:58] + node _T_3500 = cat(_T_3499, _T_3491) @[Cat.scala 29:58] + buf_unsign_in <= _T_3500 @[el2_lsu_bus_buffer.scala 487:19] + node _T_3501 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:62] + node _T_3502 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3503 = mux(_T_3501, ibuf_sz, _T_3502) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3504 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:62] + node _T_3505 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3506 = mux(_T_3504, ibuf_sz, _T_3505) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3507 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:62] + node _T_3508 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3509 = mux(_T_3507, ibuf_sz, _T_3508) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:62] + node _T_3511 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3512 = mux(_T_3510, ibuf_sz, _T_3511) @[el2_lsu_bus_buffer.scala 488:44] + buf_sz_in[0] <= _T_3503 @[el2_lsu_bus_buffer.scala 488:15] + buf_sz_in[1] <= _T_3506 @[el2_lsu_bus_buffer.scala 488:15] + buf_sz_in[2] <= _T_3509 @[el2_lsu_bus_buffer.scala 488:15] + buf_sz_in[3] <= _T_3512 @[el2_lsu_bus_buffer.scala 488:15] + node _T_3513 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3514 = mux(_T_3513, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3515 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3516 = mux(_T_3515, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3517 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3519 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3521 = cat(_T_3520, _T_3518) @[Cat.scala 29:58] + node _T_3522 = cat(_T_3521, _T_3516) @[Cat.scala 29:58] + node _T_3523 = cat(_T_3522, _T_3514) @[Cat.scala 29:58] + buf_write_in <= _T_3523 @[el2_lsu_bus_buffer.scala 489:18] + node _T_3524 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3524 : @[Conditional.scala 40:58] + node _T_3525 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_3526 = mux(_T_3525, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[0] <= _T_3526 @[el2_lsu_bus_buffer.scala 494:25] + node _T_3527 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_3528 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3529 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_3530 = and(_T_3528, _T_3529) @[el2_lsu_bus_buffer.scala 495:95] + node _T_3531 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_3532 = and(_T_3530, _T_3531) @[el2_lsu_bus_buffer.scala 495:112] + node _T_3533 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_3534 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_3535 = and(_T_3533, _T_3534) @[el2_lsu_bus_buffer.scala 495:161] + node _T_3536 = or(_T_3532, _T_3535) @[el2_lsu_bus_buffer.scala 495:132] + node _T_3537 = and(_T_3527, _T_3536) @[el2_lsu_bus_buffer.scala 495:63] + node _T_3538 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_3539 = and(ibuf_drain_vld, _T_3538) @[el2_lsu_bus_buffer.scala 495:201] + node _T_3540 = or(_T_3537, _T_3539) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[0] <= _T_3540 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 497:24] + node _T_3541 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_3542 = and(ibuf_drain_vld, _T_3541) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3543 = bits(_T_3542, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_3544 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3545 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_3546 = mux(_T_3543, _T_3544, _T_3545) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[0] <= _T_3546 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3539 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3539 : @[Conditional.scala 39:67] - node _T_3540 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] - node _T_3541 = mux(_T_3540, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[0] <= _T_3541 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3542 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] - buf_state_en[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3547 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3547 : @[Conditional.scala 39:67] + node _T_3548 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_3549 = mux(_T_3548, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[0] <= _T_3549 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3550 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[0] <= _T_3550 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3543 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3543 : @[Conditional.scala 39:67] - node _T_3544 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3545 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] - node _T_3546 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] - node _T_3547 = and(_T_3545, _T_3546) @[el2_lsu_bus_buffer.scala 504:104] - node _T_3548 = mux(_T_3547, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] - node _T_3549 = mux(_T_3544, UInt<3>("h00"), _T_3548) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[0] <= _T_3549 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3550 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3551 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 505:104] - node _T_3552 = and(obuf_merge, _T_3551) @[el2_lsu_bus_buffer.scala 505:91] - node _T_3553 = or(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3554 = and(_T_3553, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] - node _T_3555 = and(_T_3554, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] - buf_cmd_state_bus_en[0] <= _T_3555 @[el2_lsu_bus_buffer.scala 505:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 506:29] - node _T_3556 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] - node _T_3557 = or(_T_3556, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] - buf_state_en[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 507:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] - node _T_3558 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 509:56] - node _T_3559 = eq(_T_3558, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] - node _T_3560 = and(buf_state_en[0], _T_3559) @[el2_lsu_bus_buffer.scala 509:44] - node _T_3561 = and(_T_3560, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] - node _T_3562 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] - node _T_3563 = and(_T_3561, _T_3562) @[el2_lsu_bus_buffer.scala 509:74] - buf_ldfwd_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 509:25] - node _T_3564 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] - buf_ldfwdtag_in[0] <= _T_3564 @[el2_lsu_bus_buffer.scala 510:28] - node _T_3565 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] - node _T_3566 = and(_T_3565, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] - node _T_3567 = and(_T_3566, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] - buf_data_en[0] <= _T_3567 @[el2_lsu_bus_buffer.scala 511:24] - node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] - node _T_3569 = and(_T_3568, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] - node _T_3570 = and(_T_3569, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] - buf_error_en[0] <= _T_3570 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3571 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] - node _T_3572 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] - node _T_3573 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] - node _T_3574 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] - node _T_3575 = mux(_T_3572, _T_3573, _T_3574) @[el2_lsu_bus_buffer.scala 513:73] - node _T_3576 = mux(buf_error_en[0], _T_3571, _T_3575) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3551 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3551 : @[Conditional.scala 39:67] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3553 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_3554 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_3555 = and(_T_3553, _T_3554) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3556 = mux(_T_3555, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3557 = mux(_T_3552, UInt<3>("h00"), _T_3556) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3558 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_3559 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3560 = and(obuf_merge, _T_3559) @[el2_lsu_bus_buffer.scala 506:91] + node _T_3561 = or(_T_3558, _T_3560) @[el2_lsu_bus_buffer.scala 506:77] + node _T_3562 = and(_T_3561, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_3563 = and(_T_3562, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3565 = or(_T_3564, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_3566 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 510:56] + node _T_3567 = eq(_T_3566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_3568 = and(buf_state_en[0], _T_3567) @[el2_lsu_bus_buffer.scala 510:44] + node _T_3569 = and(_T_3568, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3570 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_3571 = and(_T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[0] <= _T_3571 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3572 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[0] <= _T_3572 @[el2_lsu_bus_buffer.scala 511:28] + node _T_3573 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_3574 = and(_T_3573, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_3575 = and(_T_3574, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3576 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3577 = and(_T_3576, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_3578 = and(_T_3577, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[0] <= _T_3578 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3579 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_3580 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_3581 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_3582 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_3583 = mux(_T_3580, _T_3581, _T_3582) @[el2_lsu_bus_buffer.scala 514:73] + node _T_3584 = mux(buf_error_en[0], _T_3579, _T_3583) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3577 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3577 : @[Conditional.scala 39:67] - node _T_3578 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 516:67] - node _T_3579 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] - node _T_3580 = eq(_T_3579, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] - node _T_3581 = and(_T_3578, _T_3580) @[el2_lsu_bus_buffer.scala 516:71] - node _T_3582 = or(io.dec_tlu_force_halt, _T_3581) @[el2_lsu_bus_buffer.scala 516:55] - node _T_3583 = bits(_T_3582, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] - node _T_3584 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] - node _T_3585 = and(buf_dual[0], _T_3584) @[el2_lsu_bus_buffer.scala 517:28] - node _T_3586 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 517:57] - node _T_3587 = eq(_T_3586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] - node _T_3588 = and(_T_3585, _T_3587) @[el2_lsu_bus_buffer.scala 517:45] - node _T_3589 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] - node _T_3590 = and(_T_3588, _T_3589) @[el2_lsu_bus_buffer.scala 517:61] - node _T_3591 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 518:27] - node _T_3592 = or(_T_3591, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] - node _T_3593 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] - node _T_3594 = and(buf_dual[0], _T_3593) @[el2_lsu_bus_buffer.scala 518:68] - node _T_3595 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:97] - node _T_3596 = eq(_T_3595, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] - node _T_3597 = and(_T_3594, _T_3596) @[el2_lsu_bus_buffer.scala 518:85] - node _T_3598 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3599 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3600 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3601 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3602 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3603 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3604 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3605 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3606 = mux(_T_3598, _T_3599, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3607 = mux(_T_3600, _T_3601, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3608 = mux(_T_3602, _T_3603, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3609 = mux(_T_3604, _T_3605, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3610 = or(_T_3606, _T_3607) @[Mux.scala 27:72] - node _T_3611 = or(_T_3610, _T_3608) @[Mux.scala 27:72] - node _T_3612 = or(_T_3611, _T_3609) @[Mux.scala 27:72] - wire _T_3613 : UInt<1> @[Mux.scala 27:72] - _T_3613 <= _T_3612 @[Mux.scala 27:72] - node _T_3614 = and(_T_3597, _T_3613) @[el2_lsu_bus_buffer.scala 518:101] - node _T_3615 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] - node _T_3616 = and(_T_3614, _T_3615) @[el2_lsu_bus_buffer.scala 518:138] - node _T_3617 = and(_T_3616, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] - node _T_3618 = or(_T_3592, _T_3617) @[el2_lsu_bus_buffer.scala 518:53] - node _T_3619 = mux(_T_3618, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] - node _T_3620 = mux(_T_3590, UInt<3>("h04"), _T_3619) @[el2_lsu_bus_buffer.scala 517:14] - node _T_3621 = mux(_T_3583, UInt<3>("h00"), _T_3620) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[0] <= _T_3621 @[el2_lsu_bus_buffer.scala 516:25] - node _T_3622 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_3623 = and(bus_rsp_write, _T_3622) @[el2_lsu_bus_buffer.scala 519:52] - node _T_3624 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_3625 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 521:23] - node _T_3626 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 521:47] - node _T_3627 = and(_T_3625, _T_3626) @[el2_lsu_bus_buffer.scala 521:27] - node _T_3628 = or(_T_3624, _T_3627) @[el2_lsu_bus_buffer.scala 520:77] - node _T_3629 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 522:26] - node _T_3630 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 522:54] - node _T_3631 = not(_T_3630) @[el2_lsu_bus_buffer.scala 522:44] - node _T_3632 = and(_T_3629, _T_3631) @[el2_lsu_bus_buffer.scala 522:42] - node _T_3633 = and(_T_3632, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 522:58] - node _T_3634 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 522:94] - node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 522:74] - node _T_3636 = or(_T_3628, _T_3635) @[el2_lsu_bus_buffer.scala 521:71] - node _T_3637 = and(bus_rsp_read, _T_3636) @[el2_lsu_bus_buffer.scala 520:25] - node _T_3638 = or(_T_3623, _T_3637) @[el2_lsu_bus_buffer.scala 519:105] - buf_resp_state_bus_en[0] <= _T_3638 @[el2_lsu_bus_buffer.scala 519:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 523:29] - node _T_3639 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] - node _T_3640 = or(_T_3639, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] - buf_state_en[0] <= _T_3640 @[el2_lsu_bus_buffer.scala 524:25] - node _T_3641 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] - node _T_3642 = and(_T_3641, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] - buf_data_en[0] <= _T_3642 @[el2_lsu_bus_buffer.scala 525:24] - node _T_3643 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] - node _T_3644 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 526:111] - node _T_3645 = and(bus_rsp_read_error, _T_3644) @[el2_lsu_bus_buffer.scala 526:91] - node _T_3646 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 527:42] - node _T_3647 = and(bus_rsp_read_error, _T_3646) @[el2_lsu_bus_buffer.scala 527:31] - node _T_3648 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 527:66] - node _T_3649 = and(_T_3647, _T_3648) @[el2_lsu_bus_buffer.scala 527:46] - node _T_3650 = or(_T_3645, _T_3649) @[el2_lsu_bus_buffer.scala 526:143] - node _T_3651 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] - node _T_3652 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 528:74] - node _T_3653 = and(_T_3651, _T_3652) @[el2_lsu_bus_buffer.scala 528:53] - node _T_3654 = or(_T_3650, _T_3653) @[el2_lsu_bus_buffer.scala 527:88] - node _T_3655 = and(_T_3643, _T_3654) @[el2_lsu_bus_buffer.scala 526:68] - buf_error_en[0] <= _T_3655 @[el2_lsu_bus_buffer.scala 526:25] - node _T_3656 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] - node _T_3657 = and(buf_state_en[0], _T_3656) @[el2_lsu_bus_buffer.scala 529:48] - node _T_3658 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] - node _T_3659 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] - node _T_3660 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] - node _T_3661 = mux(_T_3658, _T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 529:72] - node _T_3662 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] - node _T_3663 = mux(_T_3657, _T_3661, _T_3662) @[el2_lsu_bus_buffer.scala 529:30] - buf_data_in[0] <= _T_3663 @[el2_lsu_bus_buffer.scala 529:24] + node _T_3585 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3585 : @[Conditional.scala 39:67] + node _T_3586 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 517:67] + node _T_3587 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_3588 = eq(_T_3587, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_3589 = and(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 517:71] + node _T_3590 = or(io.dec_tlu_force_halt, _T_3589) @[el2_lsu_bus_buffer.scala 517:55] + node _T_3591 = bits(_T_3590, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_3592 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_3593 = and(buf_dual[0], _T_3592) @[el2_lsu_bus_buffer.scala 518:28] + node _T_3594 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:57] + node _T_3595 = eq(_T_3594, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_3596 = and(_T_3593, _T_3595) @[el2_lsu_bus_buffer.scala 518:45] + node _T_3597 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 518:61] + node _T_3599 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 519:27] + node _T_3600 = or(_T_3599, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_3601 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_3602 = and(buf_dual[0], _T_3601) @[el2_lsu_bus_buffer.scala 519:68] + node _T_3603 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:97] + node _T_3604 = eq(_T_3603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_3605 = and(_T_3602, _T_3604) @[el2_lsu_bus_buffer.scala 519:85] + node _T_3606 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3607 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3608 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3609 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3610 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3611 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3612 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3613 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3614 = mux(_T_3606, _T_3607, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3615 = mux(_T_3608, _T_3609, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3616 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3617 = mux(_T_3612, _T_3613, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3618 = or(_T_3614, _T_3615) @[Mux.scala 27:72] + node _T_3619 = or(_T_3618, _T_3616) @[Mux.scala 27:72] + node _T_3620 = or(_T_3619, _T_3617) @[Mux.scala 27:72] + wire _T_3621 : UInt<1> @[Mux.scala 27:72] + _T_3621 <= _T_3620 @[Mux.scala 27:72] + node _T_3622 = and(_T_3605, _T_3621) @[el2_lsu_bus_buffer.scala 519:101] + node _T_3623 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_3624 = and(_T_3622, _T_3623) @[el2_lsu_bus_buffer.scala 519:138] + node _T_3625 = and(_T_3624, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_3626 = or(_T_3600, _T_3625) @[el2_lsu_bus_buffer.scala 519:53] + node _T_3627 = mux(_T_3626, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_3628 = mux(_T_3598, UInt<3>("h04"), _T_3627) @[el2_lsu_bus_buffer.scala 518:14] + node _T_3629 = mux(_T_3591, UInt<3>("h00"), _T_3628) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 517:25] + node _T_3630 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_3631 = and(bus_rsp_write, _T_3630) @[el2_lsu_bus_buffer.scala 520:52] + node _T_3632 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_3633 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 522:23] + node _T_3634 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 522:27] + node _T_3636 = or(_T_3632, _T_3635) @[el2_lsu_bus_buffer.scala 521:77] + node _T_3637 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_3638 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 523:54] + node _T_3639 = not(_T_3638) @[el2_lsu_bus_buffer.scala 523:44] + node _T_3640 = and(_T_3637, _T_3639) @[el2_lsu_bus_buffer.scala 523:42] + node _T_3641 = and(_T_3640, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_3642 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_3643 = and(_T_3641, _T_3642) @[el2_lsu_bus_buffer.scala 523:74] + node _T_3644 = or(_T_3636, _T_3643) @[el2_lsu_bus_buffer.scala 522:71] + node _T_3645 = and(bus_rsp_read, _T_3644) @[el2_lsu_bus_buffer.scala 521:25] + node _T_3646 = or(_T_3631, _T_3645) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[0] <= _T_3646 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 524:29] + node _T_3647 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_3648 = or(_T_3647, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[0] <= _T_3648 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3649 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_3650 = and(_T_3649, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[0] <= _T_3650 @[el2_lsu_bus_buffer.scala 526:24] + node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_3652 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_3653 = and(bus_rsp_read_error, _T_3652) @[el2_lsu_bus_buffer.scala 527:91] + node _T_3654 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 528:42] + node _T_3655 = and(bus_rsp_read_error, _T_3654) @[el2_lsu_bus_buffer.scala 528:31] + node _T_3656 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_3657 = and(_T_3655, _T_3656) @[el2_lsu_bus_buffer.scala 528:46] + node _T_3658 = or(_T_3653, _T_3657) @[el2_lsu_bus_buffer.scala 527:143] + node _T_3659 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_3660 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 529:53] + node _T_3662 = or(_T_3658, _T_3661) @[el2_lsu_bus_buffer.scala 528:88] + node _T_3663 = and(_T_3651, _T_3662) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[0] <= _T_3663 @[el2_lsu_bus_buffer.scala 527:25] + node _T_3664 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_3665 = and(buf_state_en[0], _T_3664) @[el2_lsu_bus_buffer.scala 530:48] + node _T_3666 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_3667 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_3668 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_3669 = mux(_T_3666, _T_3667, _T_3668) @[el2_lsu_bus_buffer.scala 530:72] + node _T_3670 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_3671 = mux(_T_3665, _T_3669, _T_3670) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[0] <= _T_3671 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3664 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3664 : @[Conditional.scala 39:67] - node _T_3665 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] - node _T_3666 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 532:86] - node _T_3667 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 532:101] - node _T_3668 = bits(_T_3667, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] - node _T_3669 = or(_T_3666, _T_3668) @[el2_lsu_bus_buffer.scala 532:90] - node _T_3670 = or(_T_3669, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] - node _T_3671 = mux(_T_3670, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] - node _T_3672 = mux(_T_3665, UInt<3>("h00"), _T_3671) @[el2_lsu_bus_buffer.scala 532:31] - buf_nxtstate[0] <= _T_3672 @[el2_lsu_bus_buffer.scala 532:25] - node _T_3673 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:66] - node _T_3674 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:21] - node _T_3675 = bits(_T_3674, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] - node _T_3676 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 534:38] - node _T_3678 = or(_T_3673, _T_3677) @[el2_lsu_bus_buffer.scala 533:95] - node _T_3679 = and(bus_rsp_read, _T_3678) @[el2_lsu_bus_buffer.scala 533:45] - buf_state_bus_en[0] <= _T_3679 @[el2_lsu_bus_buffer.scala 533:29] - node _T_3680 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_3681 = or(_T_3680, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[0] <= _T_3681 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3672 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3672 : @[Conditional.scala 39:67] + node _T_3673 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_3674 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 533:86] + node _T_3675 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3676 = bits(_T_3675, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3677 = or(_T_3674, _T_3676) @[el2_lsu_bus_buffer.scala 533:90] + node _T_3678 = or(_T_3677, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_3679 = mux(_T_3678, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_3680 = mux(_T_3673, UInt<3>("h00"), _T_3679) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[0] <= _T_3680 @[el2_lsu_bus_buffer.scala 533:25] + node _T_3681 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_3682 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3683 = bits(_T_3682, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3684 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_3685 = and(_T_3683, _T_3684) @[el2_lsu_bus_buffer.scala 535:38] + node _T_3686 = or(_T_3681, _T_3685) @[el2_lsu_bus_buffer.scala 534:95] + node _T_3687 = and(bus_rsp_read, _T_3686) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[0] <= _T_3687 @[el2_lsu_bus_buffer.scala 534:29] + node _T_3688 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_3689 = or(_T_3688, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[0] <= _T_3689 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3682 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3682 : @[Conditional.scala 39:67] - node _T_3683 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_3684 = mux(_T_3683, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] - buf_nxtstate[0] <= _T_3684 @[el2_lsu_bus_buffer.scala 538:25] - node _T_3685 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 539:37] - node _T_3686 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] - node _T_3687 = and(buf_dual[0], _T_3686) @[el2_lsu_bus_buffer.scala 539:80] - node _T_3688 = or(_T_3685, _T_3687) @[el2_lsu_bus_buffer.scala 539:65] - node _T_3689 = or(_T_3688, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] - buf_state_en[0] <= _T_3689 @[el2_lsu_bus_buffer.scala 539:25] + node _T_3690 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3690 : @[Conditional.scala 39:67] + node _T_3691 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_3692 = mux(_T_3691, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[0] <= _T_3692 @[el2_lsu_bus_buffer.scala 539:25] + node _T_3693 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_3694 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_3695 = and(buf_dual[0], _T_3694) @[el2_lsu_bus_buffer.scala 540:80] + node _T_3696 = or(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 540:65] + node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[0] <= _T_3697 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3690 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3690 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 546:25] + node _T_3698 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3698 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_3691 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] - reg _T_3692 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3691 : @[Reg.scala 28:19] - _T_3692 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3699 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + reg _T_3700 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3699 : @[Reg.scala 28:19] + _T_3700 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3692 @[el2_lsu_bus_buffer.scala 549:18] - reg _T_3693 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] - _T_3693 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 550:60] - buf_ageQ[0] <= _T_3693 @[el2_lsu_bus_buffer.scala 550:17] - reg _T_3694 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] - _T_3694 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 551:63] - buf_rspageQ[0] <= _T_3694 @[el2_lsu_bus_buffer.scala 551:20] - node _T_3695 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] - reg _T_3696 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3695 : @[Reg.scala 28:19] - _T_3696 <= buf_dualtag_in[0] @[Reg.scala 28:23] + buf_state[0] <= _T_3700 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_3701 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_3701 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[0] <= _T_3701 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_3702 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_3702 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[0] <= _T_3702 @[el2_lsu_bus_buffer.scala 552:20] + node _T_3703 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3703 : @[Reg.scala 28:19] + _T_3704 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3696 @[el2_lsu_bus_buffer.scala 552:20] - node _T_3697 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 553:74] - node _T_3698 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] - reg _T_3699 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3698 : @[Reg.scala 28:19] - _T_3699 <= _T_3697 @[Reg.scala 28:23] + buf_dualtag[0] <= _T_3704 @[el2_lsu_bus_buffer.scala 553:20] + node _T_3705 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 554:74] + node _T_3706 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + reg _T_3707 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3706 : @[Reg.scala 28:19] + _T_3707 <= _T_3705 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 553:17] - node _T_3700 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 554:78] - node _T_3701 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] - reg _T_3702 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3701 : @[Reg.scala 28:19] - _T_3702 <= _T_3700 @[Reg.scala 28:23] + buf_dual[0] <= _T_3707 @[el2_lsu_bus_buffer.scala 554:17] + node _T_3708 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:78] + node _T_3709 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + reg _T_3710 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3709 : @[Reg.scala 28:19] + _T_3710 <= _T_3708 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3702 @[el2_lsu_bus_buffer.scala 554:19] - node _T_3703 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:80] - node _T_3704 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] - reg _T_3705 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3704 : @[Reg.scala 28:19] - _T_3705 <= _T_3703 @[Reg.scala 28:23] + buf_samedw[0] <= _T_3710 @[el2_lsu_bus_buffer.scala 555:19] + node _T_3711 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:80] + node _T_3712 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + reg _T_3713 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3712 : @[Reg.scala 28:19] + _T_3713 <= _T_3711 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3705 @[el2_lsu_bus_buffer.scala 555:20] - node _T_3706 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:78] - node _T_3707 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_3708 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3707 : @[Reg.scala 28:19] - _T_3708 <= _T_3706 @[Reg.scala 28:23] + buf_nomerge[0] <= _T_3713 @[el2_lsu_bus_buffer.scala 556:20] + node _T_3714 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 557:78] + node _T_3715 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + reg _T_3716 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3715 : @[Reg.scala 28:19] + _T_3716 <= _T_3714 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 556:19] - node _T_3709 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3709 : @[Conditional.scala 40:58] - node _T_3710 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] - node _T_3711 = mux(_T_3710, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] - buf_nxtstate[1] <= _T_3711 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3712 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] - node _T_3713 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] - node _T_3714 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3715 = and(_T_3713, _T_3714) @[el2_lsu_bus_buffer.scala 494:95] - node _T_3716 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] - node _T_3717 = and(_T_3715, _T_3716) @[el2_lsu_bus_buffer.scala 494:112] - node _T_3718 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] - node _T_3719 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] - node _T_3720 = and(_T_3718, _T_3719) @[el2_lsu_bus_buffer.scala 494:161] - node _T_3721 = or(_T_3717, _T_3720) @[el2_lsu_bus_buffer.scala 494:132] - node _T_3722 = and(_T_3712, _T_3721) @[el2_lsu_bus_buffer.scala 494:63] - node _T_3723 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] - node _T_3724 = and(ibuf_drain_vld, _T_3723) @[el2_lsu_bus_buffer.scala 494:201] - node _T_3725 = or(_T_3722, _T_3724) @[el2_lsu_bus_buffer.scala 494:183] - buf_state_en[1] <= _T_3725 @[el2_lsu_bus_buffer.scala 494:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 495:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 496:24] - node _T_3726 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] - node _T_3727 = and(ibuf_drain_vld, _T_3726) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3728 = bits(_T_3727, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3729 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] - node _T_3730 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] - node _T_3731 = mux(_T_3728, _T_3729, _T_3730) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[1] <= _T_3731 @[el2_lsu_bus_buffer.scala 497:24] + buf_dualhi[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 557:19] + node _T_3717 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3717 : @[Conditional.scala 40:58] + node _T_3718 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_3719 = mux(_T_3718, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[1] <= _T_3719 @[el2_lsu_bus_buffer.scala 494:25] + node _T_3720 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_3721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3722 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_3723 = and(_T_3721, _T_3722) @[el2_lsu_bus_buffer.scala 495:95] + node _T_3724 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_3725 = and(_T_3723, _T_3724) @[el2_lsu_bus_buffer.scala 495:112] + node _T_3726 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_3727 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_3728 = and(_T_3726, _T_3727) @[el2_lsu_bus_buffer.scala 495:161] + node _T_3729 = or(_T_3725, _T_3728) @[el2_lsu_bus_buffer.scala 495:132] + node _T_3730 = and(_T_3720, _T_3729) @[el2_lsu_bus_buffer.scala 495:63] + node _T_3731 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_3732 = and(ibuf_drain_vld, _T_3731) @[el2_lsu_bus_buffer.scala 495:201] + node _T_3733 = or(_T_3730, _T_3732) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[1] <= _T_3733 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 497:24] + node _T_3734 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_3735 = and(ibuf_drain_vld, _T_3734) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3736 = bits(_T_3735, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_3737 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3738 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_3739 = mux(_T_3736, _T_3737, _T_3738) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[1] <= _T_3739 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3732 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3732 : @[Conditional.scala 39:67] - node _T_3733 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] - node _T_3734 = mux(_T_3733, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[1] <= _T_3734 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3735 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] - buf_state_en[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3740 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3740 : @[Conditional.scala 39:67] + node _T_3741 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_3742 = mux(_T_3741, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[1] <= _T_3742 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3743 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[1] <= _T_3743 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3736 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3736 : @[Conditional.scala 39:67] - node _T_3737 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3738 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] - node _T_3739 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] - node _T_3740 = and(_T_3738, _T_3739) @[el2_lsu_bus_buffer.scala 504:104] - node _T_3741 = mux(_T_3740, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] - node _T_3742 = mux(_T_3737, UInt<3>("h00"), _T_3741) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[1] <= _T_3742 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3743 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3744 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 505:104] - node _T_3745 = and(obuf_merge, _T_3744) @[el2_lsu_bus_buffer.scala 505:91] - node _T_3746 = or(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3747 = and(_T_3746, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] - node _T_3748 = and(_T_3747, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] - buf_cmd_state_bus_en[1] <= _T_3748 @[el2_lsu_bus_buffer.scala 505:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 506:29] - node _T_3749 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] - node _T_3750 = or(_T_3749, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] - buf_state_en[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 507:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] - node _T_3751 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 509:56] - node _T_3752 = eq(_T_3751, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] - node _T_3753 = and(buf_state_en[1], _T_3752) @[el2_lsu_bus_buffer.scala 509:44] - node _T_3754 = and(_T_3753, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] - node _T_3755 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] - node _T_3756 = and(_T_3754, _T_3755) @[el2_lsu_bus_buffer.scala 509:74] - buf_ldfwd_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 509:25] - node _T_3757 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] - buf_ldfwdtag_in[1] <= _T_3757 @[el2_lsu_bus_buffer.scala 510:28] - node _T_3758 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] - node _T_3759 = and(_T_3758, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] - node _T_3760 = and(_T_3759, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] - buf_data_en[1] <= _T_3760 @[el2_lsu_bus_buffer.scala 511:24] - node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] - node _T_3762 = and(_T_3761, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] - node _T_3763 = and(_T_3762, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] - buf_error_en[1] <= _T_3763 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3764 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] - node _T_3765 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] - node _T_3766 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] - node _T_3767 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] - node _T_3768 = mux(_T_3765, _T_3766, _T_3767) @[el2_lsu_bus_buffer.scala 513:73] - node _T_3769 = mux(buf_error_en[1], _T_3764, _T_3768) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3744 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3744 : @[Conditional.scala 39:67] + node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3746 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_3747 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_3748 = and(_T_3746, _T_3747) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3749 = mux(_T_3748, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3750 = mux(_T_3745, UInt<3>("h00"), _T_3749) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3751 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_3752 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3753 = and(obuf_merge, _T_3752) @[el2_lsu_bus_buffer.scala 506:91] + node _T_3754 = or(_T_3751, _T_3753) @[el2_lsu_bus_buffer.scala 506:77] + node _T_3755 = and(_T_3754, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_3756 = and(_T_3755, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3758 = or(_T_3757, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_3759 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 510:56] + node _T_3760 = eq(_T_3759, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_3761 = and(buf_state_en[1], _T_3760) @[el2_lsu_bus_buffer.scala 510:44] + node _T_3762 = and(_T_3761, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3763 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_3764 = and(_T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[1] <= _T_3764 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3765 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[1] <= _T_3765 @[el2_lsu_bus_buffer.scala 511:28] + node _T_3766 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_3767 = and(_T_3766, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_3768 = and(_T_3767, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3769 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3770 = and(_T_3769, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_3771 = and(_T_3770, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[1] <= _T_3771 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3772 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_3773 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_3774 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_3775 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_3776 = mux(_T_3773, _T_3774, _T_3775) @[el2_lsu_bus_buffer.scala 514:73] + node _T_3777 = mux(buf_error_en[1], _T_3772, _T_3776) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3770 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3770 : @[Conditional.scala 39:67] - node _T_3771 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 516:67] - node _T_3772 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] - node _T_3773 = eq(_T_3772, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] - node _T_3774 = and(_T_3771, _T_3773) @[el2_lsu_bus_buffer.scala 516:71] - node _T_3775 = or(io.dec_tlu_force_halt, _T_3774) @[el2_lsu_bus_buffer.scala 516:55] - node _T_3776 = bits(_T_3775, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] - node _T_3777 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] - node _T_3778 = and(buf_dual[1], _T_3777) @[el2_lsu_bus_buffer.scala 517:28] - node _T_3779 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 517:57] - node _T_3780 = eq(_T_3779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] - node _T_3781 = and(_T_3778, _T_3780) @[el2_lsu_bus_buffer.scala 517:45] - node _T_3782 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] - node _T_3783 = and(_T_3781, _T_3782) @[el2_lsu_bus_buffer.scala 517:61] - node _T_3784 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 518:27] - node _T_3785 = or(_T_3784, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] - node _T_3786 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] - node _T_3787 = and(buf_dual[1], _T_3786) @[el2_lsu_bus_buffer.scala 518:68] - node _T_3788 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:97] - node _T_3789 = eq(_T_3788, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] - node _T_3790 = and(_T_3787, _T_3789) @[el2_lsu_bus_buffer.scala 518:85] - node _T_3791 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3792 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3793 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3794 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3795 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3796 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3797 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3798 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3799 = mux(_T_3791, _T_3792, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3800 = mux(_T_3793, _T_3794, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3801 = mux(_T_3795, _T_3796, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3802 = mux(_T_3797, _T_3798, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3803 = or(_T_3799, _T_3800) @[Mux.scala 27:72] - node _T_3804 = or(_T_3803, _T_3801) @[Mux.scala 27:72] - node _T_3805 = or(_T_3804, _T_3802) @[Mux.scala 27:72] - wire _T_3806 : UInt<1> @[Mux.scala 27:72] - _T_3806 <= _T_3805 @[Mux.scala 27:72] - node _T_3807 = and(_T_3790, _T_3806) @[el2_lsu_bus_buffer.scala 518:101] - node _T_3808 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] - node _T_3809 = and(_T_3807, _T_3808) @[el2_lsu_bus_buffer.scala 518:138] - node _T_3810 = and(_T_3809, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] - node _T_3811 = or(_T_3785, _T_3810) @[el2_lsu_bus_buffer.scala 518:53] - node _T_3812 = mux(_T_3811, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] - node _T_3813 = mux(_T_3783, UInt<3>("h04"), _T_3812) @[el2_lsu_bus_buffer.scala 517:14] - node _T_3814 = mux(_T_3776, UInt<3>("h00"), _T_3813) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[1] <= _T_3814 @[el2_lsu_bus_buffer.scala 516:25] - node _T_3815 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_3816 = and(bus_rsp_write, _T_3815) @[el2_lsu_bus_buffer.scala 519:52] - node _T_3817 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_3818 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 521:23] - node _T_3819 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 521:47] - node _T_3820 = and(_T_3818, _T_3819) @[el2_lsu_bus_buffer.scala 521:27] - node _T_3821 = or(_T_3817, _T_3820) @[el2_lsu_bus_buffer.scala 520:77] - node _T_3822 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 522:26] - node _T_3823 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 522:54] - node _T_3824 = not(_T_3823) @[el2_lsu_bus_buffer.scala 522:44] - node _T_3825 = and(_T_3822, _T_3824) @[el2_lsu_bus_buffer.scala 522:42] - node _T_3826 = and(_T_3825, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 522:58] - node _T_3827 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 522:94] - node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 522:74] - node _T_3829 = or(_T_3821, _T_3828) @[el2_lsu_bus_buffer.scala 521:71] - node _T_3830 = and(bus_rsp_read, _T_3829) @[el2_lsu_bus_buffer.scala 520:25] - node _T_3831 = or(_T_3816, _T_3830) @[el2_lsu_bus_buffer.scala 519:105] - buf_resp_state_bus_en[1] <= _T_3831 @[el2_lsu_bus_buffer.scala 519:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 523:29] - node _T_3832 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] - node _T_3833 = or(_T_3832, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] - buf_state_en[1] <= _T_3833 @[el2_lsu_bus_buffer.scala 524:25] - node _T_3834 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] - node _T_3835 = and(_T_3834, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] - buf_data_en[1] <= _T_3835 @[el2_lsu_bus_buffer.scala 525:24] - node _T_3836 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] - node _T_3837 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 526:111] - node _T_3838 = and(bus_rsp_read_error, _T_3837) @[el2_lsu_bus_buffer.scala 526:91] - node _T_3839 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 527:42] - node _T_3840 = and(bus_rsp_read_error, _T_3839) @[el2_lsu_bus_buffer.scala 527:31] - node _T_3841 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 527:66] - node _T_3842 = and(_T_3840, _T_3841) @[el2_lsu_bus_buffer.scala 527:46] - node _T_3843 = or(_T_3838, _T_3842) @[el2_lsu_bus_buffer.scala 526:143] - node _T_3844 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] - node _T_3845 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 528:74] - node _T_3846 = and(_T_3844, _T_3845) @[el2_lsu_bus_buffer.scala 528:53] - node _T_3847 = or(_T_3843, _T_3846) @[el2_lsu_bus_buffer.scala 527:88] - node _T_3848 = and(_T_3836, _T_3847) @[el2_lsu_bus_buffer.scala 526:68] - buf_error_en[1] <= _T_3848 @[el2_lsu_bus_buffer.scala 526:25] - node _T_3849 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] - node _T_3850 = and(buf_state_en[1], _T_3849) @[el2_lsu_bus_buffer.scala 529:48] - node _T_3851 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] - node _T_3852 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] - node _T_3853 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] - node _T_3854 = mux(_T_3851, _T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 529:72] - node _T_3855 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] - node _T_3856 = mux(_T_3850, _T_3854, _T_3855) @[el2_lsu_bus_buffer.scala 529:30] - buf_data_in[1] <= _T_3856 @[el2_lsu_bus_buffer.scala 529:24] + node _T_3778 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3778 : @[Conditional.scala 39:67] + node _T_3779 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 517:67] + node _T_3780 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_3781 = eq(_T_3780, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_3782 = and(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 517:71] + node _T_3783 = or(io.dec_tlu_force_halt, _T_3782) @[el2_lsu_bus_buffer.scala 517:55] + node _T_3784 = bits(_T_3783, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_3785 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_3786 = and(buf_dual[1], _T_3785) @[el2_lsu_bus_buffer.scala 518:28] + node _T_3787 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:57] + node _T_3788 = eq(_T_3787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_3789 = and(_T_3786, _T_3788) @[el2_lsu_bus_buffer.scala 518:45] + node _T_3790 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 518:61] + node _T_3792 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 519:27] + node _T_3793 = or(_T_3792, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_3794 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_3795 = and(buf_dual[1], _T_3794) @[el2_lsu_bus_buffer.scala 519:68] + node _T_3796 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:97] + node _T_3797 = eq(_T_3796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_3798 = and(_T_3795, _T_3797) @[el2_lsu_bus_buffer.scala 519:85] + node _T_3799 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3800 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3801 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3802 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3803 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3804 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3805 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3806 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3807 = mux(_T_3799, _T_3800, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3808 = mux(_T_3801, _T_3802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3809 = mux(_T_3803, _T_3804, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3810 = mux(_T_3805, _T_3806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3811 = or(_T_3807, _T_3808) @[Mux.scala 27:72] + node _T_3812 = or(_T_3811, _T_3809) @[Mux.scala 27:72] + node _T_3813 = or(_T_3812, _T_3810) @[Mux.scala 27:72] + wire _T_3814 : UInt<1> @[Mux.scala 27:72] + _T_3814 <= _T_3813 @[Mux.scala 27:72] + node _T_3815 = and(_T_3798, _T_3814) @[el2_lsu_bus_buffer.scala 519:101] + node _T_3816 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_3817 = and(_T_3815, _T_3816) @[el2_lsu_bus_buffer.scala 519:138] + node _T_3818 = and(_T_3817, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_3819 = or(_T_3793, _T_3818) @[el2_lsu_bus_buffer.scala 519:53] + node _T_3820 = mux(_T_3819, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_3821 = mux(_T_3791, UInt<3>("h04"), _T_3820) @[el2_lsu_bus_buffer.scala 518:14] + node _T_3822 = mux(_T_3784, UInt<3>("h00"), _T_3821) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 517:25] + node _T_3823 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_3824 = and(bus_rsp_write, _T_3823) @[el2_lsu_bus_buffer.scala 520:52] + node _T_3825 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_3826 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 522:23] + node _T_3827 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 522:27] + node _T_3829 = or(_T_3825, _T_3828) @[el2_lsu_bus_buffer.scala 521:77] + node _T_3830 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_3831 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 523:54] + node _T_3832 = not(_T_3831) @[el2_lsu_bus_buffer.scala 523:44] + node _T_3833 = and(_T_3830, _T_3832) @[el2_lsu_bus_buffer.scala 523:42] + node _T_3834 = and(_T_3833, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_3835 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_3836 = and(_T_3834, _T_3835) @[el2_lsu_bus_buffer.scala 523:74] + node _T_3837 = or(_T_3829, _T_3836) @[el2_lsu_bus_buffer.scala 522:71] + node _T_3838 = and(bus_rsp_read, _T_3837) @[el2_lsu_bus_buffer.scala 521:25] + node _T_3839 = or(_T_3824, _T_3838) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[1] <= _T_3839 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 524:29] + node _T_3840 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_3841 = or(_T_3840, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[1] <= _T_3841 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3842 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_3843 = and(_T_3842, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[1] <= _T_3843 @[el2_lsu_bus_buffer.scala 526:24] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_3845 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_3846 = and(bus_rsp_read_error, _T_3845) @[el2_lsu_bus_buffer.scala 527:91] + node _T_3847 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 528:42] + node _T_3848 = and(bus_rsp_read_error, _T_3847) @[el2_lsu_bus_buffer.scala 528:31] + node _T_3849 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_3850 = and(_T_3848, _T_3849) @[el2_lsu_bus_buffer.scala 528:46] + node _T_3851 = or(_T_3846, _T_3850) @[el2_lsu_bus_buffer.scala 527:143] + node _T_3852 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_3853 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 529:53] + node _T_3855 = or(_T_3851, _T_3854) @[el2_lsu_bus_buffer.scala 528:88] + node _T_3856 = and(_T_3844, _T_3855) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[1] <= _T_3856 @[el2_lsu_bus_buffer.scala 527:25] + node _T_3857 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_3858 = and(buf_state_en[1], _T_3857) @[el2_lsu_bus_buffer.scala 530:48] + node _T_3859 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_3860 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_3861 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_3862 = mux(_T_3859, _T_3860, _T_3861) @[el2_lsu_bus_buffer.scala 530:72] + node _T_3863 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_3864 = mux(_T_3858, _T_3862, _T_3863) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[1] <= _T_3864 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3857 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3857 : @[Conditional.scala 39:67] - node _T_3858 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] - node _T_3859 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 532:86] - node _T_3860 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 532:101] - node _T_3861 = bits(_T_3860, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] - node _T_3862 = or(_T_3859, _T_3861) @[el2_lsu_bus_buffer.scala 532:90] - node _T_3863 = or(_T_3862, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] - node _T_3864 = mux(_T_3863, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] - node _T_3865 = mux(_T_3858, UInt<3>("h00"), _T_3864) @[el2_lsu_bus_buffer.scala 532:31] - buf_nxtstate[1] <= _T_3865 @[el2_lsu_bus_buffer.scala 532:25] - node _T_3866 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:66] - node _T_3867 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:21] - node _T_3868 = bits(_T_3867, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] - node _T_3869 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 534:38] - node _T_3871 = or(_T_3866, _T_3870) @[el2_lsu_bus_buffer.scala 533:95] - node _T_3872 = and(bus_rsp_read, _T_3871) @[el2_lsu_bus_buffer.scala 533:45] - buf_state_bus_en[1] <= _T_3872 @[el2_lsu_bus_buffer.scala 533:29] - node _T_3873 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_3874 = or(_T_3873, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[1] <= _T_3874 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3865 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3865 : @[Conditional.scala 39:67] + node _T_3866 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_3867 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 533:86] + node _T_3868 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3869 = bits(_T_3868, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3870 = or(_T_3867, _T_3869) @[el2_lsu_bus_buffer.scala 533:90] + node _T_3871 = or(_T_3870, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_3872 = mux(_T_3871, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_3873 = mux(_T_3866, UInt<3>("h00"), _T_3872) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[1] <= _T_3873 @[el2_lsu_bus_buffer.scala 533:25] + node _T_3874 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_3875 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3876 = bits(_T_3875, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3877 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_3878 = and(_T_3876, _T_3877) @[el2_lsu_bus_buffer.scala 535:38] + node _T_3879 = or(_T_3874, _T_3878) @[el2_lsu_bus_buffer.scala 534:95] + node _T_3880 = and(bus_rsp_read, _T_3879) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[1] <= _T_3880 @[el2_lsu_bus_buffer.scala 534:29] + node _T_3881 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_3882 = or(_T_3881, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[1] <= _T_3882 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3875 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3875 : @[Conditional.scala 39:67] - node _T_3876 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_3877 = mux(_T_3876, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] - buf_nxtstate[1] <= _T_3877 @[el2_lsu_bus_buffer.scala 538:25] - node _T_3878 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 539:37] - node _T_3879 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] - node _T_3880 = and(buf_dual[1], _T_3879) @[el2_lsu_bus_buffer.scala 539:80] - node _T_3881 = or(_T_3878, _T_3880) @[el2_lsu_bus_buffer.scala 539:65] - node _T_3882 = or(_T_3881, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] - buf_state_en[1] <= _T_3882 @[el2_lsu_bus_buffer.scala 539:25] + node _T_3883 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3883 : @[Conditional.scala 39:67] + node _T_3884 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_3885 = mux(_T_3884, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[1] <= _T_3885 @[el2_lsu_bus_buffer.scala 539:25] + node _T_3886 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_3887 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_3888 = and(buf_dual[1], _T_3887) @[el2_lsu_bus_buffer.scala 540:80] + node _T_3889 = or(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 540:65] + node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[1] <= _T_3890 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3883 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3883 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 546:25] + node _T_3891 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3891 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_3884 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] - reg _T_3885 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3884 : @[Reg.scala 28:19] - _T_3885 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3892 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + reg _T_3893 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3892 : @[Reg.scala 28:19] + _T_3893 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3885 @[el2_lsu_bus_buffer.scala 549:18] - reg _T_3886 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] - _T_3886 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 550:60] - buf_ageQ[1] <= _T_3886 @[el2_lsu_bus_buffer.scala 550:17] - reg _T_3887 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] - _T_3887 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 551:63] - buf_rspageQ[1] <= _T_3887 @[el2_lsu_bus_buffer.scala 551:20] - node _T_3888 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] - reg _T_3889 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3888 : @[Reg.scala 28:19] - _T_3889 <= buf_dualtag_in[1] @[Reg.scala 28:23] + buf_state[1] <= _T_3893 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_3894 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_3894 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[1] <= _T_3894 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_3895 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_3895 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[1] <= _T_3895 @[el2_lsu_bus_buffer.scala 552:20] + node _T_3896 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3896 : @[Reg.scala 28:19] + _T_3897 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3889 @[el2_lsu_bus_buffer.scala 552:20] - node _T_3890 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 553:74] - node _T_3891 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] - reg _T_3892 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3891 : @[Reg.scala 28:19] - _T_3892 <= _T_3890 @[Reg.scala 28:23] + buf_dualtag[1] <= _T_3897 @[el2_lsu_bus_buffer.scala 553:20] + node _T_3898 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 554:74] + node _T_3899 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + reg _T_3900 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3899 : @[Reg.scala 28:19] + _T_3900 <= _T_3898 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 553:17] - node _T_3893 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 554:78] - node _T_3894 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] - reg _T_3895 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3894 : @[Reg.scala 28:19] - _T_3895 <= _T_3893 @[Reg.scala 28:23] + buf_dual[1] <= _T_3900 @[el2_lsu_bus_buffer.scala 554:17] + node _T_3901 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:78] + node _T_3902 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3902 : @[Reg.scala 28:19] + _T_3903 <= _T_3901 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3895 @[el2_lsu_bus_buffer.scala 554:19] - node _T_3896 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:80] - node _T_3897 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] - reg _T_3898 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3897 : @[Reg.scala 28:19] - _T_3898 <= _T_3896 @[Reg.scala 28:23] + buf_samedw[1] <= _T_3903 @[el2_lsu_bus_buffer.scala 555:19] + node _T_3904 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:80] + node _T_3905 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + reg _T_3906 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3905 : @[Reg.scala 28:19] + _T_3906 <= _T_3904 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3898 @[el2_lsu_bus_buffer.scala 555:20] - node _T_3899 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:78] - node _T_3900 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_3901 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3900 : @[Reg.scala 28:19] - _T_3901 <= _T_3899 @[Reg.scala 28:23] + buf_nomerge[1] <= _T_3906 @[el2_lsu_bus_buffer.scala 556:20] + node _T_3907 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 557:78] + node _T_3908 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + reg _T_3909 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3908 : @[Reg.scala 28:19] + _T_3909 <= _T_3907 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 556:19] - node _T_3902 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3902 : @[Conditional.scala 40:58] - node _T_3903 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] - node _T_3904 = mux(_T_3903, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] - buf_nxtstate[2] <= _T_3904 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3905 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] - node _T_3906 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] - node _T_3907 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3908 = and(_T_3906, _T_3907) @[el2_lsu_bus_buffer.scala 494:95] - node _T_3909 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] - node _T_3910 = and(_T_3908, _T_3909) @[el2_lsu_bus_buffer.scala 494:112] - node _T_3911 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] - node _T_3912 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] - node _T_3913 = and(_T_3911, _T_3912) @[el2_lsu_bus_buffer.scala 494:161] - node _T_3914 = or(_T_3910, _T_3913) @[el2_lsu_bus_buffer.scala 494:132] - node _T_3915 = and(_T_3905, _T_3914) @[el2_lsu_bus_buffer.scala 494:63] - node _T_3916 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] - node _T_3917 = and(ibuf_drain_vld, _T_3916) @[el2_lsu_bus_buffer.scala 494:201] - node _T_3918 = or(_T_3915, _T_3917) @[el2_lsu_bus_buffer.scala 494:183] - buf_state_en[2] <= _T_3918 @[el2_lsu_bus_buffer.scala 494:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 495:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 496:24] - node _T_3919 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] - node _T_3920 = and(ibuf_drain_vld, _T_3919) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3921 = bits(_T_3920, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3922 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] - node _T_3923 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] - node _T_3924 = mux(_T_3921, _T_3922, _T_3923) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[2] <= _T_3924 @[el2_lsu_bus_buffer.scala 497:24] + buf_dualhi[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 557:19] + node _T_3910 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3910 : @[Conditional.scala 40:58] + node _T_3911 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_3912 = mux(_T_3911, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[2] <= _T_3912 @[el2_lsu_bus_buffer.scala 494:25] + node _T_3913 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_3914 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3915 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_3916 = and(_T_3914, _T_3915) @[el2_lsu_bus_buffer.scala 495:95] + node _T_3917 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_3918 = and(_T_3916, _T_3917) @[el2_lsu_bus_buffer.scala 495:112] + node _T_3919 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_3920 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_3921 = and(_T_3919, _T_3920) @[el2_lsu_bus_buffer.scala 495:161] + node _T_3922 = or(_T_3918, _T_3921) @[el2_lsu_bus_buffer.scala 495:132] + node _T_3923 = and(_T_3913, _T_3922) @[el2_lsu_bus_buffer.scala 495:63] + node _T_3924 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_3925 = and(ibuf_drain_vld, _T_3924) @[el2_lsu_bus_buffer.scala 495:201] + node _T_3926 = or(_T_3923, _T_3925) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[2] <= _T_3926 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 497:24] + node _T_3927 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_3928 = and(ibuf_drain_vld, _T_3927) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3929 = bits(_T_3928, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_3930 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3931 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_3932 = mux(_T_3929, _T_3930, _T_3931) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[2] <= _T_3932 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3925 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3925 : @[Conditional.scala 39:67] - node _T_3926 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] - node _T_3927 = mux(_T_3926, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[2] <= _T_3927 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3928 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] - buf_state_en[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3933 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3933 : @[Conditional.scala 39:67] + node _T_3934 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_3935 = mux(_T_3934, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[2] <= _T_3935 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3936 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[2] <= _T_3936 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3929 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3929 : @[Conditional.scala 39:67] - node _T_3930 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3931 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] - node _T_3932 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] - node _T_3933 = and(_T_3931, _T_3932) @[el2_lsu_bus_buffer.scala 504:104] - node _T_3934 = mux(_T_3933, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] - node _T_3935 = mux(_T_3930, UInt<3>("h00"), _T_3934) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[2] <= _T_3935 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3936 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3937 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 505:104] - node _T_3938 = and(obuf_merge, _T_3937) @[el2_lsu_bus_buffer.scala 505:91] - node _T_3939 = or(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3940 = and(_T_3939, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] - node _T_3941 = and(_T_3940, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] - buf_cmd_state_bus_en[2] <= _T_3941 @[el2_lsu_bus_buffer.scala 505:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 506:29] - node _T_3942 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] - node _T_3943 = or(_T_3942, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] - buf_state_en[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 507:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] - node _T_3944 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 509:56] - node _T_3945 = eq(_T_3944, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] - node _T_3946 = and(buf_state_en[2], _T_3945) @[el2_lsu_bus_buffer.scala 509:44] - node _T_3947 = and(_T_3946, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] - node _T_3948 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] - node _T_3949 = and(_T_3947, _T_3948) @[el2_lsu_bus_buffer.scala 509:74] - buf_ldfwd_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 509:25] - node _T_3950 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] - buf_ldfwdtag_in[2] <= _T_3950 @[el2_lsu_bus_buffer.scala 510:28] - node _T_3951 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] - node _T_3952 = and(_T_3951, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] - node _T_3953 = and(_T_3952, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] - buf_data_en[2] <= _T_3953 @[el2_lsu_bus_buffer.scala 511:24] - node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] - node _T_3955 = and(_T_3954, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] - node _T_3956 = and(_T_3955, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] - buf_error_en[2] <= _T_3956 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3957 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] - node _T_3958 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] - node _T_3959 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] - node _T_3960 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] - node _T_3961 = mux(_T_3958, _T_3959, _T_3960) @[el2_lsu_bus_buffer.scala 513:73] - node _T_3962 = mux(buf_error_en[2], _T_3957, _T_3961) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3937 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3937 : @[Conditional.scala 39:67] + node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3939 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_3940 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_3941 = and(_T_3939, _T_3940) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3942 = mux(_T_3941, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3943 = mux(_T_3938, UInt<3>("h00"), _T_3942) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3944 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_3945 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3946 = and(obuf_merge, _T_3945) @[el2_lsu_bus_buffer.scala 506:91] + node _T_3947 = or(_T_3944, _T_3946) @[el2_lsu_bus_buffer.scala 506:77] + node _T_3948 = and(_T_3947, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_3949 = and(_T_3948, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3951 = or(_T_3950, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_3952 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 510:56] + node _T_3953 = eq(_T_3952, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_3954 = and(buf_state_en[2], _T_3953) @[el2_lsu_bus_buffer.scala 510:44] + node _T_3955 = and(_T_3954, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3956 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_3957 = and(_T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[2] <= _T_3957 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3958 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[2] <= _T_3958 @[el2_lsu_bus_buffer.scala 511:28] + node _T_3959 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_3960 = and(_T_3959, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_3961 = and(_T_3960, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3963 = and(_T_3962, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_3964 = and(_T_3963, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[2] <= _T_3964 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3965 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_3966 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_3967 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_3969 = mux(_T_3966, _T_3967, _T_3968) @[el2_lsu_bus_buffer.scala 514:73] + node _T_3970 = mux(buf_error_en[2], _T_3965, _T_3969) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3963 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3963 : @[Conditional.scala 39:67] - node _T_3964 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 516:67] - node _T_3965 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] - node _T_3966 = eq(_T_3965, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] - node _T_3967 = and(_T_3964, _T_3966) @[el2_lsu_bus_buffer.scala 516:71] - node _T_3968 = or(io.dec_tlu_force_halt, _T_3967) @[el2_lsu_bus_buffer.scala 516:55] - node _T_3969 = bits(_T_3968, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] - node _T_3970 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] - node _T_3971 = and(buf_dual[2], _T_3970) @[el2_lsu_bus_buffer.scala 517:28] - node _T_3972 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 517:57] - node _T_3973 = eq(_T_3972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] - node _T_3974 = and(_T_3971, _T_3973) @[el2_lsu_bus_buffer.scala 517:45] - node _T_3975 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] - node _T_3976 = and(_T_3974, _T_3975) @[el2_lsu_bus_buffer.scala 517:61] - node _T_3977 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 518:27] - node _T_3978 = or(_T_3977, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] - node _T_3979 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] - node _T_3980 = and(buf_dual[2], _T_3979) @[el2_lsu_bus_buffer.scala 518:68] - node _T_3981 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:97] - node _T_3982 = eq(_T_3981, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] - node _T_3983 = and(_T_3980, _T_3982) @[el2_lsu_bus_buffer.scala 518:85] - node _T_3984 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3985 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3986 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3987 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3988 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3989 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3990 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3991 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3992 = mux(_T_3984, _T_3985, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3993 = mux(_T_3986, _T_3987, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3994 = mux(_T_3988, _T_3989, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3995 = mux(_T_3990, _T_3991, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3996 = or(_T_3992, _T_3993) @[Mux.scala 27:72] - node _T_3997 = or(_T_3996, _T_3994) @[Mux.scala 27:72] - node _T_3998 = or(_T_3997, _T_3995) @[Mux.scala 27:72] - wire _T_3999 : UInt<1> @[Mux.scala 27:72] - _T_3999 <= _T_3998 @[Mux.scala 27:72] - node _T_4000 = and(_T_3983, _T_3999) @[el2_lsu_bus_buffer.scala 518:101] - node _T_4001 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] - node _T_4002 = and(_T_4000, _T_4001) @[el2_lsu_bus_buffer.scala 518:138] - node _T_4003 = and(_T_4002, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] - node _T_4004 = or(_T_3978, _T_4003) @[el2_lsu_bus_buffer.scala 518:53] - node _T_4005 = mux(_T_4004, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] - node _T_4006 = mux(_T_3976, UInt<3>("h04"), _T_4005) @[el2_lsu_bus_buffer.scala 517:14] - node _T_4007 = mux(_T_3969, UInt<3>("h00"), _T_4006) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[2] <= _T_4007 @[el2_lsu_bus_buffer.scala 516:25] - node _T_4008 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_4009 = and(bus_rsp_write, _T_4008) @[el2_lsu_bus_buffer.scala 519:52] - node _T_4010 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_4011 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 521:23] - node _T_4012 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 521:47] - node _T_4013 = and(_T_4011, _T_4012) @[el2_lsu_bus_buffer.scala 521:27] - node _T_4014 = or(_T_4010, _T_4013) @[el2_lsu_bus_buffer.scala 520:77] - node _T_4015 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 522:26] - node _T_4016 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 522:54] - node _T_4017 = not(_T_4016) @[el2_lsu_bus_buffer.scala 522:44] - node _T_4018 = and(_T_4015, _T_4017) @[el2_lsu_bus_buffer.scala 522:42] - node _T_4019 = and(_T_4018, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 522:58] - node _T_4020 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 522:94] - node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 522:74] - node _T_4022 = or(_T_4014, _T_4021) @[el2_lsu_bus_buffer.scala 521:71] - node _T_4023 = and(bus_rsp_read, _T_4022) @[el2_lsu_bus_buffer.scala 520:25] - node _T_4024 = or(_T_4009, _T_4023) @[el2_lsu_bus_buffer.scala 519:105] - buf_resp_state_bus_en[2] <= _T_4024 @[el2_lsu_bus_buffer.scala 519:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 523:29] - node _T_4025 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] - node _T_4026 = or(_T_4025, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] - buf_state_en[2] <= _T_4026 @[el2_lsu_bus_buffer.scala 524:25] - node _T_4027 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] - node _T_4028 = and(_T_4027, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] - buf_data_en[2] <= _T_4028 @[el2_lsu_bus_buffer.scala 525:24] - node _T_4029 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] - node _T_4030 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 526:111] - node _T_4031 = and(bus_rsp_read_error, _T_4030) @[el2_lsu_bus_buffer.scala 526:91] - node _T_4032 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 527:42] - node _T_4033 = and(bus_rsp_read_error, _T_4032) @[el2_lsu_bus_buffer.scala 527:31] - node _T_4034 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 527:66] - node _T_4035 = and(_T_4033, _T_4034) @[el2_lsu_bus_buffer.scala 527:46] - node _T_4036 = or(_T_4031, _T_4035) @[el2_lsu_bus_buffer.scala 526:143] - node _T_4037 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] - node _T_4038 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 528:74] - node _T_4039 = and(_T_4037, _T_4038) @[el2_lsu_bus_buffer.scala 528:53] - node _T_4040 = or(_T_4036, _T_4039) @[el2_lsu_bus_buffer.scala 527:88] - node _T_4041 = and(_T_4029, _T_4040) @[el2_lsu_bus_buffer.scala 526:68] - buf_error_en[2] <= _T_4041 @[el2_lsu_bus_buffer.scala 526:25] - node _T_4042 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] - node _T_4043 = and(buf_state_en[2], _T_4042) @[el2_lsu_bus_buffer.scala 529:48] - node _T_4044 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] - node _T_4045 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] - node _T_4046 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] - node _T_4047 = mux(_T_4044, _T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 529:72] - node _T_4048 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] - node _T_4049 = mux(_T_4043, _T_4047, _T_4048) @[el2_lsu_bus_buffer.scala 529:30] - buf_data_in[2] <= _T_4049 @[el2_lsu_bus_buffer.scala 529:24] + node _T_3971 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3971 : @[Conditional.scala 39:67] + node _T_3972 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 517:67] + node _T_3973 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_3974 = eq(_T_3973, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_3975 = and(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 517:71] + node _T_3976 = or(io.dec_tlu_force_halt, _T_3975) @[el2_lsu_bus_buffer.scala 517:55] + node _T_3977 = bits(_T_3976, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_3978 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_3979 = and(buf_dual[2], _T_3978) @[el2_lsu_bus_buffer.scala 518:28] + node _T_3980 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:57] + node _T_3981 = eq(_T_3980, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_3982 = and(_T_3979, _T_3981) @[el2_lsu_bus_buffer.scala 518:45] + node _T_3983 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 518:61] + node _T_3985 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 519:27] + node _T_3986 = or(_T_3985, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_3987 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_3988 = and(buf_dual[2], _T_3987) @[el2_lsu_bus_buffer.scala 519:68] + node _T_3989 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:97] + node _T_3990 = eq(_T_3989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_3991 = and(_T_3988, _T_3990) @[el2_lsu_bus_buffer.scala 519:85] + node _T_3992 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3993 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3994 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3995 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3996 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3997 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3998 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3999 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4000 = mux(_T_3992, _T_3993, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4001 = mux(_T_3994, _T_3995, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4002 = mux(_T_3996, _T_3997, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4003 = mux(_T_3998, _T_3999, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4004 = or(_T_4000, _T_4001) @[Mux.scala 27:72] + node _T_4005 = or(_T_4004, _T_4002) @[Mux.scala 27:72] + node _T_4006 = or(_T_4005, _T_4003) @[Mux.scala 27:72] + wire _T_4007 : UInt<1> @[Mux.scala 27:72] + _T_4007 <= _T_4006 @[Mux.scala 27:72] + node _T_4008 = and(_T_3991, _T_4007) @[el2_lsu_bus_buffer.scala 519:101] + node _T_4009 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_4010 = and(_T_4008, _T_4009) @[el2_lsu_bus_buffer.scala 519:138] + node _T_4011 = and(_T_4010, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_4012 = or(_T_3986, _T_4011) @[el2_lsu_bus_buffer.scala 519:53] + node _T_4013 = mux(_T_4012, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_4014 = mux(_T_3984, UInt<3>("h04"), _T_4013) @[el2_lsu_bus_buffer.scala 518:14] + node _T_4015 = mux(_T_3977, UInt<3>("h00"), _T_4014) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 517:25] + node _T_4016 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_4017 = and(bus_rsp_write, _T_4016) @[el2_lsu_bus_buffer.scala 520:52] + node _T_4018 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_4019 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 522:23] + node _T_4020 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 522:27] + node _T_4022 = or(_T_4018, _T_4021) @[el2_lsu_bus_buffer.scala 521:77] + node _T_4023 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_4024 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 523:54] + node _T_4025 = not(_T_4024) @[el2_lsu_bus_buffer.scala 523:44] + node _T_4026 = and(_T_4023, _T_4025) @[el2_lsu_bus_buffer.scala 523:42] + node _T_4027 = and(_T_4026, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_4028 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_4029 = and(_T_4027, _T_4028) @[el2_lsu_bus_buffer.scala 523:74] + node _T_4030 = or(_T_4022, _T_4029) @[el2_lsu_bus_buffer.scala 522:71] + node _T_4031 = and(bus_rsp_read, _T_4030) @[el2_lsu_bus_buffer.scala 521:25] + node _T_4032 = or(_T_4017, _T_4031) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[2] <= _T_4032 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 524:29] + node _T_4033 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_4034 = or(_T_4033, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[2] <= _T_4034 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4035 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_4036 = and(_T_4035, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[2] <= _T_4036 @[el2_lsu_bus_buffer.scala 526:24] + node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_4038 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_4039 = and(bus_rsp_read_error, _T_4038) @[el2_lsu_bus_buffer.scala 527:91] + node _T_4040 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 528:42] + node _T_4041 = and(bus_rsp_read_error, _T_4040) @[el2_lsu_bus_buffer.scala 528:31] + node _T_4042 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_4043 = and(_T_4041, _T_4042) @[el2_lsu_bus_buffer.scala 528:46] + node _T_4044 = or(_T_4039, _T_4043) @[el2_lsu_bus_buffer.scala 527:143] + node _T_4045 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_4046 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 529:53] + node _T_4048 = or(_T_4044, _T_4047) @[el2_lsu_bus_buffer.scala 528:88] + node _T_4049 = and(_T_4037, _T_4048) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[2] <= _T_4049 @[el2_lsu_bus_buffer.scala 527:25] + node _T_4050 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_4051 = and(buf_state_en[2], _T_4050) @[el2_lsu_bus_buffer.scala 530:48] + node _T_4052 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_4053 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_4054 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_4055 = mux(_T_4052, _T_4053, _T_4054) @[el2_lsu_bus_buffer.scala 530:72] + node _T_4056 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_4057 = mux(_T_4051, _T_4055, _T_4056) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[2] <= _T_4057 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4050 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4050 : @[Conditional.scala 39:67] - node _T_4051 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] - node _T_4052 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 532:86] - node _T_4053 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 532:101] - node _T_4054 = bits(_T_4053, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] - node _T_4055 = or(_T_4052, _T_4054) @[el2_lsu_bus_buffer.scala 532:90] - node _T_4056 = or(_T_4055, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] - node _T_4057 = mux(_T_4056, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] - node _T_4058 = mux(_T_4051, UInt<3>("h00"), _T_4057) @[el2_lsu_bus_buffer.scala 532:31] - buf_nxtstate[2] <= _T_4058 @[el2_lsu_bus_buffer.scala 532:25] - node _T_4059 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:66] - node _T_4060 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:21] - node _T_4061 = bits(_T_4060, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] - node _T_4062 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 534:38] - node _T_4064 = or(_T_4059, _T_4063) @[el2_lsu_bus_buffer.scala 533:95] - node _T_4065 = and(bus_rsp_read, _T_4064) @[el2_lsu_bus_buffer.scala 533:45] - buf_state_bus_en[2] <= _T_4065 @[el2_lsu_bus_buffer.scala 533:29] - node _T_4066 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_4067 = or(_T_4066, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[2] <= _T_4067 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4058 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4058 : @[Conditional.scala 39:67] + node _T_4059 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_4060 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 533:86] + node _T_4061 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4062 = bits(_T_4061, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4063 = or(_T_4060, _T_4062) @[el2_lsu_bus_buffer.scala 533:90] + node _T_4064 = or(_T_4063, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_4065 = mux(_T_4064, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_4066 = mux(_T_4059, UInt<3>("h00"), _T_4065) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[2] <= _T_4066 @[el2_lsu_bus_buffer.scala 533:25] + node _T_4067 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_4068 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4069 = bits(_T_4068, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4070 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_4071 = and(_T_4069, _T_4070) @[el2_lsu_bus_buffer.scala 535:38] + node _T_4072 = or(_T_4067, _T_4071) @[el2_lsu_bus_buffer.scala 534:95] + node _T_4073 = and(bus_rsp_read, _T_4072) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[2] <= _T_4073 @[el2_lsu_bus_buffer.scala 534:29] + node _T_4074 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_4075 = or(_T_4074, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[2] <= _T_4075 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4068 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4068 : @[Conditional.scala 39:67] - node _T_4069 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4070 = mux(_T_4069, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] - buf_nxtstate[2] <= _T_4070 @[el2_lsu_bus_buffer.scala 538:25] - node _T_4071 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 539:37] - node _T_4072 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] - node _T_4073 = and(buf_dual[2], _T_4072) @[el2_lsu_bus_buffer.scala 539:80] - node _T_4074 = or(_T_4071, _T_4073) @[el2_lsu_bus_buffer.scala 539:65] - node _T_4075 = or(_T_4074, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] - buf_state_en[2] <= _T_4075 @[el2_lsu_bus_buffer.scala 539:25] + node _T_4076 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4076 : @[Conditional.scala 39:67] + node _T_4077 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4078 = mux(_T_4077, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[2] <= _T_4078 @[el2_lsu_bus_buffer.scala 539:25] + node _T_4079 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_4080 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_4081 = and(buf_dual[2], _T_4080) @[el2_lsu_bus_buffer.scala 540:80] + node _T_4082 = or(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 540:65] + node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[2] <= _T_4083 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4076 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4076 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 546:25] + node _T_4084 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4084 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_4077 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] - reg _T_4078 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4077 : @[Reg.scala 28:19] - _T_4078 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_4085 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + reg _T_4086 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4085 : @[Reg.scala 28:19] + _T_4086 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4078 @[el2_lsu_bus_buffer.scala 549:18] - reg _T_4079 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] - _T_4079 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 550:60] - buf_ageQ[2] <= _T_4079 @[el2_lsu_bus_buffer.scala 550:17] - reg _T_4080 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] - _T_4080 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 551:63] - buf_rspageQ[2] <= _T_4080 @[el2_lsu_bus_buffer.scala 551:20] - node _T_4081 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] - reg _T_4082 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4081 : @[Reg.scala 28:19] - _T_4082 <= buf_dualtag_in[2] @[Reg.scala 28:23] + buf_state[2] <= _T_4086 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_4087 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_4087 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[2] <= _T_4087 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_4088 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[2] <= _T_4088 @[el2_lsu_bus_buffer.scala 552:20] + node _T_4089 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + reg _T_4090 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4089 : @[Reg.scala 28:19] + _T_4090 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4082 @[el2_lsu_bus_buffer.scala 552:20] - node _T_4083 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 553:74] - node _T_4084 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] - reg _T_4085 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4084 : @[Reg.scala 28:19] - _T_4085 <= _T_4083 @[Reg.scala 28:23] + buf_dualtag[2] <= _T_4090 @[el2_lsu_bus_buffer.scala 553:20] + node _T_4091 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 554:74] + node _T_4092 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + reg _T_4093 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4092 : @[Reg.scala 28:19] + _T_4093 <= _T_4091 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 553:17] - node _T_4086 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4087 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] - reg _T_4088 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4087 : @[Reg.scala 28:19] - _T_4088 <= _T_4086 @[Reg.scala 28:23] + buf_dual[2] <= _T_4093 @[el2_lsu_bus_buffer.scala 554:17] + node _T_4094 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4095 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + reg _T_4096 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4095 : @[Reg.scala 28:19] + _T_4096 <= _T_4094 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4088 @[el2_lsu_bus_buffer.scala 554:19] - node _T_4089 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4090 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] - reg _T_4091 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4090 : @[Reg.scala 28:19] - _T_4091 <= _T_4089 @[Reg.scala 28:23] + buf_samedw[2] <= _T_4096 @[el2_lsu_bus_buffer.scala 555:19] + node _T_4097 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4098 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + reg _T_4099 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4098 : @[Reg.scala 28:19] + _T_4099 <= _T_4097 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4091 @[el2_lsu_bus_buffer.scala 555:20] - node _T_4092 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:78] - node _T_4093 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_4094 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4093 : @[Reg.scala 28:19] - _T_4094 <= _T_4092 @[Reg.scala 28:23] + buf_nomerge[2] <= _T_4099 @[el2_lsu_bus_buffer.scala 556:20] + node _T_4100 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 557:78] + node _T_4101 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + reg _T_4102 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4101 : @[Reg.scala 28:19] + _T_4102 <= _T_4100 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 556:19] - node _T_4095 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4095 : @[Conditional.scala 40:58] - node _T_4096 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] - node _T_4097 = mux(_T_4096, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] - buf_nxtstate[3] <= _T_4097 @[el2_lsu_bus_buffer.scala 493:25] - node _T_4098 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] - node _T_4099 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] - node _T_4100 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] - node _T_4101 = and(_T_4099, _T_4100) @[el2_lsu_bus_buffer.scala 494:95] - node _T_4102 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] - node _T_4103 = and(_T_4101, _T_4102) @[el2_lsu_bus_buffer.scala 494:112] - node _T_4104 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] - node _T_4105 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] - node _T_4106 = and(_T_4104, _T_4105) @[el2_lsu_bus_buffer.scala 494:161] - node _T_4107 = or(_T_4103, _T_4106) @[el2_lsu_bus_buffer.scala 494:132] - node _T_4108 = and(_T_4098, _T_4107) @[el2_lsu_bus_buffer.scala 494:63] - node _T_4109 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] - node _T_4110 = and(ibuf_drain_vld, _T_4109) @[el2_lsu_bus_buffer.scala 494:201] - node _T_4111 = or(_T_4108, _T_4110) @[el2_lsu_bus_buffer.scala 494:183] - buf_state_en[3] <= _T_4111 @[el2_lsu_bus_buffer.scala 494:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 495:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 496:24] - node _T_4112 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] - node _T_4113 = and(ibuf_drain_vld, _T_4112) @[el2_lsu_bus_buffer.scala 497:47] - node _T_4114 = bits(_T_4113, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] - node _T_4115 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] - node _T_4116 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] - node _T_4117 = mux(_T_4114, _T_4115, _T_4116) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[3] <= _T_4117 @[el2_lsu_bus_buffer.scala 497:24] + buf_dualhi[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 557:19] + node _T_4103 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4103 : @[Conditional.scala 40:58] + node _T_4104 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_4105 = mux(_T_4104, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[3] <= _T_4105 @[el2_lsu_bus_buffer.scala 494:25] + node _T_4106 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_4107 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_4108 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_4109 = and(_T_4107, _T_4108) @[el2_lsu_bus_buffer.scala 495:95] + node _T_4110 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_4111 = and(_T_4109, _T_4110) @[el2_lsu_bus_buffer.scala 495:112] + node _T_4112 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_4113 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_4114 = and(_T_4112, _T_4113) @[el2_lsu_bus_buffer.scala 495:161] + node _T_4115 = or(_T_4111, _T_4114) @[el2_lsu_bus_buffer.scala 495:132] + node _T_4116 = and(_T_4106, _T_4115) @[el2_lsu_bus_buffer.scala 495:63] + node _T_4117 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_4118 = and(ibuf_drain_vld, _T_4117) @[el2_lsu_bus_buffer.scala 495:201] + node _T_4119 = or(_T_4116, _T_4118) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[3] <= _T_4119 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 497:24] + node _T_4120 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_4121 = and(ibuf_drain_vld, _T_4120) @[el2_lsu_bus_buffer.scala 498:47] + node _T_4122 = bits(_T_4121, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_4123 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_4124 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_4125 = mux(_T_4122, _T_4123, _T_4124) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[3] <= _T_4125 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4118 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4118 : @[Conditional.scala 39:67] - node _T_4119 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] - node _T_4120 = mux(_T_4119, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[3] <= _T_4120 @[el2_lsu_bus_buffer.scala 500:25] - node _T_4121 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] - buf_state_en[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 501:25] + node _T_4126 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4126 : @[Conditional.scala 39:67] + node _T_4127 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_4128 = mux(_T_4127, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[3] <= _T_4128 @[el2_lsu_bus_buffer.scala 501:25] + node _T_4129 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[3] <= _T_4129 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4122 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4122 : @[Conditional.scala 39:67] - node _T_4123 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_4124 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] - node _T_4125 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] - node _T_4126 = and(_T_4124, _T_4125) @[el2_lsu_bus_buffer.scala 504:104] - node _T_4127 = mux(_T_4126, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] - node _T_4128 = mux(_T_4123, UInt<3>("h00"), _T_4127) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[3] <= _T_4128 @[el2_lsu_bus_buffer.scala 504:25] - node _T_4129 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:48] - node _T_4130 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:104] - node _T_4131 = and(obuf_merge, _T_4130) @[el2_lsu_bus_buffer.scala 505:91] - node _T_4132 = or(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 505:77] - node _T_4133 = and(_T_4132, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] - node _T_4134 = and(_T_4133, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] - buf_cmd_state_bus_en[3] <= _T_4134 @[el2_lsu_bus_buffer.scala 505:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 506:29] - node _T_4135 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] - node _T_4136 = or(_T_4135, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] - buf_state_en[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 507:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] - node _T_4137 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 509:56] - node _T_4138 = eq(_T_4137, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] - node _T_4139 = and(buf_state_en[3], _T_4138) @[el2_lsu_bus_buffer.scala 509:44] - node _T_4140 = and(_T_4139, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] - node _T_4141 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] - node _T_4142 = and(_T_4140, _T_4141) @[el2_lsu_bus_buffer.scala 509:74] - buf_ldfwd_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 509:25] - node _T_4143 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] - buf_ldfwdtag_in[3] <= _T_4143 @[el2_lsu_bus_buffer.scala 510:28] - node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] - node _T_4145 = and(_T_4144, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] - node _T_4146 = and(_T_4145, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] - buf_data_en[3] <= _T_4146 @[el2_lsu_bus_buffer.scala 511:24] - node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] - node _T_4148 = and(_T_4147, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] - node _T_4149 = and(_T_4148, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] - buf_error_en[3] <= _T_4149 @[el2_lsu_bus_buffer.scala 512:25] - node _T_4150 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] - node _T_4151 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] - node _T_4152 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] - node _T_4153 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] - node _T_4154 = mux(_T_4151, _T_4152, _T_4153) @[el2_lsu_bus_buffer.scala 513:73] - node _T_4155 = mux(buf_error_en[3], _T_4150, _T_4154) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 513:24] + node _T_4130 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4130 : @[Conditional.scala 39:67] + node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_4132 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_4133 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_4134 = and(_T_4132, _T_4133) @[el2_lsu_bus_buffer.scala 505:104] + node _T_4135 = mux(_T_4134, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_4136 = mux(_T_4131, UInt<3>("h00"), _T_4135) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4137 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_4138 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_4139 = and(obuf_merge, _T_4138) @[el2_lsu_bus_buffer.scala 506:91] + node _T_4140 = or(_T_4137, _T_4139) @[el2_lsu_bus_buffer.scala 506:77] + node _T_4141 = and(_T_4140, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_4142 = and(_T_4141, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 507:29] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_4144 = or(_T_4143, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_4145 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 510:56] + node _T_4146 = eq(_T_4145, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_4147 = and(buf_state_en[3], _T_4146) @[el2_lsu_bus_buffer.scala 510:44] + node _T_4148 = and(_T_4147, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_4149 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_4150 = and(_T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[3] <= _T_4150 @[el2_lsu_bus_buffer.scala 510:25] + node _T_4151 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[3] <= _T_4151 @[el2_lsu_bus_buffer.scala 511:28] + node _T_4152 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_4153 = and(_T_4152, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_4154 = and(_T_4153, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 512:24] + node _T_4155 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_4156 = and(_T_4155, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_4157 = and(_T_4156, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[3] <= _T_4157 @[el2_lsu_bus_buffer.scala 513:25] + node _T_4158 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_4159 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_4160 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_4161 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_4162 = mux(_T_4159, _T_4160, _T_4161) @[el2_lsu_bus_buffer.scala 514:73] + node _T_4163 = mux(buf_error_en[3], _T_4158, _T_4162) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4156 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4156 : @[Conditional.scala 39:67] - node _T_4157 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 516:67] - node _T_4158 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] - node _T_4159 = eq(_T_4158, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] - node _T_4160 = and(_T_4157, _T_4159) @[el2_lsu_bus_buffer.scala 516:71] - node _T_4161 = or(io.dec_tlu_force_halt, _T_4160) @[el2_lsu_bus_buffer.scala 516:55] - node _T_4162 = bits(_T_4161, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] - node _T_4163 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] - node _T_4164 = and(buf_dual[3], _T_4163) @[el2_lsu_bus_buffer.scala 517:28] - node _T_4165 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 517:57] - node _T_4166 = eq(_T_4165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] - node _T_4167 = and(_T_4164, _T_4166) @[el2_lsu_bus_buffer.scala 517:45] - node _T_4168 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] - node _T_4169 = and(_T_4167, _T_4168) @[el2_lsu_bus_buffer.scala 517:61] - node _T_4170 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 518:27] - node _T_4171 = or(_T_4170, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] - node _T_4172 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] - node _T_4173 = and(buf_dual[3], _T_4172) @[el2_lsu_bus_buffer.scala 518:68] - node _T_4174 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:97] - node _T_4175 = eq(_T_4174, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] - node _T_4176 = and(_T_4173, _T_4175) @[el2_lsu_bus_buffer.scala 518:85] - node _T_4177 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4178 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4179 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4180 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4181 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4182 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4183 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4184 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4185 = mux(_T_4177, _T_4178, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4186 = mux(_T_4179, _T_4180, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4187 = mux(_T_4181, _T_4182, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4188 = mux(_T_4183, _T_4184, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4189 = or(_T_4185, _T_4186) @[Mux.scala 27:72] - node _T_4190 = or(_T_4189, _T_4187) @[Mux.scala 27:72] - node _T_4191 = or(_T_4190, _T_4188) @[Mux.scala 27:72] - wire _T_4192 : UInt<1> @[Mux.scala 27:72] - _T_4192 <= _T_4191 @[Mux.scala 27:72] - node _T_4193 = and(_T_4176, _T_4192) @[el2_lsu_bus_buffer.scala 518:101] - node _T_4194 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] - node _T_4195 = and(_T_4193, _T_4194) @[el2_lsu_bus_buffer.scala 518:138] - node _T_4196 = and(_T_4195, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] - node _T_4197 = or(_T_4171, _T_4196) @[el2_lsu_bus_buffer.scala 518:53] - node _T_4198 = mux(_T_4197, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] - node _T_4199 = mux(_T_4169, UInt<3>("h04"), _T_4198) @[el2_lsu_bus_buffer.scala 517:14] - node _T_4200 = mux(_T_4162, UInt<3>("h00"), _T_4199) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[3] <= _T_4200 @[el2_lsu_bus_buffer.scala 516:25] - node _T_4201 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_4202 = and(bus_rsp_write, _T_4201) @[el2_lsu_bus_buffer.scala 519:52] - node _T_4203 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_4204 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 521:23] - node _T_4205 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 521:47] - node _T_4206 = and(_T_4204, _T_4205) @[el2_lsu_bus_buffer.scala 521:27] - node _T_4207 = or(_T_4203, _T_4206) @[el2_lsu_bus_buffer.scala 520:77] - node _T_4208 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 522:26] - node _T_4209 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 522:54] - node _T_4210 = not(_T_4209) @[el2_lsu_bus_buffer.scala 522:44] - node _T_4211 = and(_T_4208, _T_4210) @[el2_lsu_bus_buffer.scala 522:42] - node _T_4212 = and(_T_4211, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 522:58] - node _T_4213 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 522:94] - node _T_4214 = and(_T_4212, _T_4213) @[el2_lsu_bus_buffer.scala 522:74] - node _T_4215 = or(_T_4207, _T_4214) @[el2_lsu_bus_buffer.scala 521:71] - node _T_4216 = and(bus_rsp_read, _T_4215) @[el2_lsu_bus_buffer.scala 520:25] - node _T_4217 = or(_T_4202, _T_4216) @[el2_lsu_bus_buffer.scala 519:105] - buf_resp_state_bus_en[3] <= _T_4217 @[el2_lsu_bus_buffer.scala 519:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 523:29] - node _T_4218 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] - node _T_4219 = or(_T_4218, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] - buf_state_en[3] <= _T_4219 @[el2_lsu_bus_buffer.scala 524:25] - node _T_4220 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] - node _T_4221 = and(_T_4220, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] - buf_data_en[3] <= _T_4221 @[el2_lsu_bus_buffer.scala 525:24] - node _T_4222 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] - node _T_4223 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 526:111] - node _T_4224 = and(bus_rsp_read_error, _T_4223) @[el2_lsu_bus_buffer.scala 526:91] - node _T_4225 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 527:42] - node _T_4226 = and(bus_rsp_read_error, _T_4225) @[el2_lsu_bus_buffer.scala 527:31] - node _T_4227 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 527:66] - node _T_4228 = and(_T_4226, _T_4227) @[el2_lsu_bus_buffer.scala 527:46] - node _T_4229 = or(_T_4224, _T_4228) @[el2_lsu_bus_buffer.scala 526:143] - node _T_4230 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] - node _T_4231 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 528:74] - node _T_4232 = and(_T_4230, _T_4231) @[el2_lsu_bus_buffer.scala 528:53] - node _T_4233 = or(_T_4229, _T_4232) @[el2_lsu_bus_buffer.scala 527:88] - node _T_4234 = and(_T_4222, _T_4233) @[el2_lsu_bus_buffer.scala 526:68] - buf_error_en[3] <= _T_4234 @[el2_lsu_bus_buffer.scala 526:25] - node _T_4235 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] - node _T_4236 = and(buf_state_en[3], _T_4235) @[el2_lsu_bus_buffer.scala 529:48] - node _T_4237 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] - node _T_4238 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] - node _T_4239 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] - node _T_4240 = mux(_T_4237, _T_4238, _T_4239) @[el2_lsu_bus_buffer.scala 529:72] - node _T_4241 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] - node _T_4242 = mux(_T_4236, _T_4240, _T_4241) @[el2_lsu_bus_buffer.scala 529:30] - buf_data_in[3] <= _T_4242 @[el2_lsu_bus_buffer.scala 529:24] + node _T_4164 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4164 : @[Conditional.scala 39:67] + node _T_4165 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 517:67] + node _T_4166 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_4167 = eq(_T_4166, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_4168 = and(_T_4165, _T_4167) @[el2_lsu_bus_buffer.scala 517:71] + node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[el2_lsu_bus_buffer.scala 517:55] + node _T_4170 = bits(_T_4169, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_4172 = and(buf_dual[3], _T_4171) @[el2_lsu_bus_buffer.scala 518:28] + node _T_4173 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:57] + node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_4175 = and(_T_4172, _T_4174) @[el2_lsu_bus_buffer.scala 518:45] + node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 518:61] + node _T_4178 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 519:27] + node _T_4179 = or(_T_4178, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_4181 = and(buf_dual[3], _T_4180) @[el2_lsu_bus_buffer.scala 519:68] + node _T_4182 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:97] + node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_4184 = and(_T_4181, _T_4183) @[el2_lsu_bus_buffer.scala 519:85] + node _T_4185 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4186 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4187 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4188 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4189 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4190 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4191 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4192 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4193 = mux(_T_4185, _T_4186, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4194 = mux(_T_4187, _T_4188, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4195 = mux(_T_4189, _T_4190, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4196 = mux(_T_4191, _T_4192, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4197 = or(_T_4193, _T_4194) @[Mux.scala 27:72] + node _T_4198 = or(_T_4197, _T_4195) @[Mux.scala 27:72] + node _T_4199 = or(_T_4198, _T_4196) @[Mux.scala 27:72] + wire _T_4200 : UInt<1> @[Mux.scala 27:72] + _T_4200 <= _T_4199 @[Mux.scala 27:72] + node _T_4201 = and(_T_4184, _T_4200) @[el2_lsu_bus_buffer.scala 519:101] + node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_4203 = and(_T_4201, _T_4202) @[el2_lsu_bus_buffer.scala 519:138] + node _T_4204 = and(_T_4203, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_4205 = or(_T_4179, _T_4204) @[el2_lsu_bus_buffer.scala 519:53] + node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[el2_lsu_bus_buffer.scala 518:14] + node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 517:25] + node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_4210 = and(bus_rsp_write, _T_4209) @[el2_lsu_bus_buffer.scala 520:52] + node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_4212 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 522:23] + node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_4214 = and(_T_4212, _T_4213) @[el2_lsu_bus_buffer.scala 522:27] + node _T_4215 = or(_T_4211, _T_4214) @[el2_lsu_bus_buffer.scala 521:77] + node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_4217 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 523:54] + node _T_4218 = not(_T_4217) @[el2_lsu_bus_buffer.scala 523:44] + node _T_4219 = and(_T_4216, _T_4218) @[el2_lsu_bus_buffer.scala 523:42] + node _T_4220 = and(_T_4219, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_4222 = and(_T_4220, _T_4221) @[el2_lsu_bus_buffer.scala 523:74] + node _T_4223 = or(_T_4215, _T_4222) @[el2_lsu_bus_buffer.scala 522:71] + node _T_4224 = and(bus_rsp_read, _T_4223) @[el2_lsu_bus_buffer.scala 521:25] + node _T_4225 = or(_T_4210, _T_4224) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[3] <= _T_4225 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 524:29] + node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[3] <= _T_4229 @[el2_lsu_bus_buffer.scala 526:24] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_4232 = and(bus_rsp_read_error, _T_4231) @[el2_lsu_bus_buffer.scala 527:91] + node _T_4233 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 528:42] + node _T_4234 = and(bus_rsp_read_error, _T_4233) @[el2_lsu_bus_buffer.scala 528:31] + node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_4236 = and(_T_4234, _T_4235) @[el2_lsu_bus_buffer.scala 528:46] + node _T_4237 = or(_T_4232, _T_4236) @[el2_lsu_bus_buffer.scala 527:143] + node _T_4238 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_4239 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_4240 = and(_T_4238, _T_4239) @[el2_lsu_bus_buffer.scala 529:53] + node _T_4241 = or(_T_4237, _T_4240) @[el2_lsu_bus_buffer.scala 528:88] + node _T_4242 = and(_T_4230, _T_4241) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[3] <= _T_4242 @[el2_lsu_bus_buffer.scala 527:25] + node _T_4243 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_4244 = and(buf_state_en[3], _T_4243) @[el2_lsu_bus_buffer.scala 530:48] + node _T_4245 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_4246 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_4247 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_4248 = mux(_T_4245, _T_4246, _T_4247) @[el2_lsu_bus_buffer.scala 530:72] + node _T_4249 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_4250 = mux(_T_4244, _T_4248, _T_4249) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[3] <= _T_4250 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4243 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4243 : @[Conditional.scala 39:67] - node _T_4244 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] - node _T_4245 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 532:86] - node _T_4246 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 532:101] - node _T_4247 = bits(_T_4246, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] - node _T_4248 = or(_T_4245, _T_4247) @[el2_lsu_bus_buffer.scala 532:90] - node _T_4249 = or(_T_4248, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] - node _T_4250 = mux(_T_4249, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] - node _T_4251 = mux(_T_4244, UInt<3>("h00"), _T_4250) @[el2_lsu_bus_buffer.scala 532:31] - buf_nxtstate[3] <= _T_4251 @[el2_lsu_bus_buffer.scala 532:25] - node _T_4252 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:66] - node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:21] - node _T_4254 = bits(_T_4253, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] - node _T_4255 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_4256 = and(_T_4254, _T_4255) @[el2_lsu_bus_buffer.scala 534:38] - node _T_4257 = or(_T_4252, _T_4256) @[el2_lsu_bus_buffer.scala 533:95] - node _T_4258 = and(bus_rsp_read, _T_4257) @[el2_lsu_bus_buffer.scala 533:45] - buf_state_bus_en[3] <= _T_4258 @[el2_lsu_bus_buffer.scala 533:29] - node _T_4259 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_4260 = or(_T_4259, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[3] <= _T_4260 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4251 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4251 : @[Conditional.scala 39:67] + node _T_4252 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_4253 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 533:86] + node _T_4254 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4255 = bits(_T_4254, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4256 = or(_T_4253, _T_4255) @[el2_lsu_bus_buffer.scala 533:90] + node _T_4257 = or(_T_4256, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_4258 = mux(_T_4257, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_4259 = mux(_T_4252, UInt<3>("h00"), _T_4258) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[3] <= _T_4259 @[el2_lsu_bus_buffer.scala 533:25] + node _T_4260 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_4261 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4262 = bits(_T_4261, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4263 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_4264 = and(_T_4262, _T_4263) @[el2_lsu_bus_buffer.scala 535:38] + node _T_4265 = or(_T_4260, _T_4264) @[el2_lsu_bus_buffer.scala 534:95] + node _T_4266 = and(bus_rsp_read, _T_4265) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[3] <= _T_4266 @[el2_lsu_bus_buffer.scala 534:29] + node _T_4267 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_4268 = or(_T_4267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[3] <= _T_4268 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4261 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4261 : @[Conditional.scala 39:67] - node _T_4262 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4263 = mux(_T_4262, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] - buf_nxtstate[3] <= _T_4263 @[el2_lsu_bus_buffer.scala 538:25] - node _T_4264 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 539:37] - node _T_4265 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] - node _T_4266 = and(buf_dual[3], _T_4265) @[el2_lsu_bus_buffer.scala 539:80] - node _T_4267 = or(_T_4264, _T_4266) @[el2_lsu_bus_buffer.scala 539:65] - node _T_4268 = or(_T_4267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] - buf_state_en[3] <= _T_4268 @[el2_lsu_bus_buffer.scala 539:25] + node _T_4269 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4269 : @[Conditional.scala 39:67] + node _T_4270 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4271 = mux(_T_4270, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[3] <= _T_4271 @[el2_lsu_bus_buffer.scala 539:25] + node _T_4272 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_4273 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_4274 = and(buf_dual[3], _T_4273) @[el2_lsu_bus_buffer.scala 540:80] + node _T_4275 = or(_T_4272, _T_4274) @[el2_lsu_bus_buffer.scala 540:65] + node _T_4276 = or(_T_4275, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[3] <= _T_4276 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4269 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4269 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 546:25] + node _T_4277 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4277 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_4270 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] - reg _T_4271 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4270 : @[Reg.scala 28:19] - _T_4271 <= buf_nxtstate[3] @[Reg.scala 28:23] + node _T_4278 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4278 : @[Reg.scala 28:19] + _T_4279 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4271 @[el2_lsu_bus_buffer.scala 549:18] - reg _T_4272 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] - _T_4272 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 550:60] - buf_ageQ[3] <= _T_4272 @[el2_lsu_bus_buffer.scala 550:17] - reg _T_4273 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] - _T_4273 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 551:63] - buf_rspageQ[3] <= _T_4273 @[el2_lsu_bus_buffer.scala 551:20] - node _T_4274 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] - reg _T_4275 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4274 : @[Reg.scala 28:19] - _T_4275 <= buf_dualtag_in[3] @[Reg.scala 28:23] + buf_state[3] <= _T_4279 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_4280 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_4281 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_4281 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[3] <= _T_4281 @[el2_lsu_bus_buffer.scala 552:20] + node _T_4282 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + reg _T_4283 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4282 : @[Reg.scala 28:19] + _T_4283 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4275 @[el2_lsu_bus_buffer.scala 552:20] - node _T_4276 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 553:74] - node _T_4277 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] - reg _T_4278 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4277 : @[Reg.scala 28:19] - _T_4278 <= _T_4276 @[Reg.scala 28:23] + buf_dualtag[3] <= _T_4283 @[el2_lsu_bus_buffer.scala 553:20] + node _T_4284 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 554:74] + node _T_4285 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + reg _T_4286 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4285 : @[Reg.scala 28:19] + _T_4286 <= _T_4284 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 553:17] - node _T_4279 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4280 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] - reg _T_4281 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4280 : @[Reg.scala 28:19] - _T_4281 <= _T_4279 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4281 @[el2_lsu_bus_buffer.scala 554:19] - node _T_4282 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4283 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] - reg _T_4284 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4283 : @[Reg.scala 28:19] - _T_4284 <= _T_4282 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4284 @[el2_lsu_bus_buffer.scala 555:20] - node _T_4285 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:78] - node _T_4286 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_4287 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4286 : @[Reg.scala 28:19] - _T_4287 <= _T_4285 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 556:19] - node _T_4288 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] + buf_dual[3] <= _T_4286 @[el2_lsu_bus_buffer.scala 554:17] + node _T_4287 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4288 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] reg _T_4289 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4288 : @[Reg.scala 28:19] - _T_4289 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + _T_4289 <= _T_4287 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4290 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] - reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4290 : @[Reg.scala 28:19] - _T_4291 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + buf_samedw[3] <= _T_4289 @[el2_lsu_bus_buffer.scala 555:19] + node _T_4290 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4291 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + reg _T_4292 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4291 : @[Reg.scala 28:19] + _T_4292 <= _T_4290 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4292 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] - reg _T_4293 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4292 : @[Reg.scala 28:19] - _T_4293 <= buf_ldfwd_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4294 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] + buf_nomerge[3] <= _T_4292 @[el2_lsu_bus_buffer.scala 556:20] + node _T_4293 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 557:78] + node _T_4294 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] reg _T_4295 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4294 : @[Reg.scala 28:19] - _T_4295 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + _T_4295 <= _T_4293 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4296 = cat(_T_4295, _T_4293) @[Cat.scala 29:58] - node _T_4297 = cat(_T_4296, _T_4291) @[Cat.scala 29:58] - node _T_4298 = cat(_T_4297, _T_4289) @[Cat.scala 29:58] - buf_ldfwd <= _T_4298 @[el2_lsu_bus_buffer.scala 559:15] - node _T_4299 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] - reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4299 : @[Reg.scala 28:19] - _T_4300 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + buf_dualhi[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 557:19] + node _T_4296 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + reg _T_4297 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4296 : @[Reg.scala 28:19] + _T_4297 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4301 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] - reg _T_4302 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4301 : @[Reg.scala 28:19] - _T_4302 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + node _T_4298 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4298 : @[Reg.scala 28:19] + _T_4299 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4303 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] - reg _T_4304 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4303 : @[Reg.scala 28:19] - _T_4304 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + node _T_4300 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + reg _T_4301 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4300 : @[Reg.scala 28:19] + _T_4301 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4305 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] - reg _T_4306 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4305 : @[Reg.scala 28:19] - _T_4306 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + node _T_4302 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + reg _T_4303 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4302 : @[Reg.scala 28:19] + _T_4303 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4300 @[el2_lsu_bus_buffer.scala 560:18] - buf_ldfwdtag[1] <= _T_4302 @[el2_lsu_bus_buffer.scala 560:18] - buf_ldfwdtag[2] <= _T_4304 @[el2_lsu_bus_buffer.scala 560:18] - buf_ldfwdtag[3] <= _T_4306 @[el2_lsu_bus_buffer.scala 560:18] - node _T_4307 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 561:107] - node _T_4308 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] - reg _T_4309 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4308 : @[Reg.scala 28:19] - _T_4309 <= _T_4307 @[Reg.scala 28:23] + node _T_4304 = cat(_T_4303, _T_4301) @[Cat.scala 29:58] + node _T_4305 = cat(_T_4304, _T_4299) @[Cat.scala 29:58] + node _T_4306 = cat(_T_4305, _T_4297) @[Cat.scala 29:58] + buf_ldfwd <= _T_4306 @[el2_lsu_bus_buffer.scala 560:15] + node _T_4307 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + reg _T_4308 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4307 : @[Reg.scala 28:19] + _T_4308 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4310 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 561:107] - node _T_4311 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] - reg _T_4312 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4309 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + reg _T_4310 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4309 : @[Reg.scala 28:19] + _T_4310 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4311 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + reg _T_4312 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4311 : @[Reg.scala 28:19] - _T_4312 <= _T_4310 @[Reg.scala 28:23] + _T_4312 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4313 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 561:107] - node _T_4314 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] - reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4314 : @[Reg.scala 28:19] - _T_4315 <= _T_4313 @[Reg.scala 28:23] + node _T_4313 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + reg _T_4314 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4316 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 561:107] - node _T_4317 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] - reg _T_4318 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4317 : @[Reg.scala 28:19] - _T_4318 <= _T_4316 @[Reg.scala 28:23] + buf_ldfwdtag[0] <= _T_4308 @[el2_lsu_bus_buffer.scala 561:18] + buf_ldfwdtag[1] <= _T_4310 @[el2_lsu_bus_buffer.scala 561:18] + buf_ldfwdtag[2] <= _T_4312 @[el2_lsu_bus_buffer.scala 561:18] + buf_ldfwdtag[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 561:18] + node _T_4315 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4316 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + reg _T_4317 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4316 : @[Reg.scala 28:19] + _T_4317 <= _T_4315 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4319 = cat(_T_4318, _T_4315) @[Cat.scala 29:58] - node _T_4320 = cat(_T_4319, _T_4312) @[Cat.scala 29:58] - node _T_4321 = cat(_T_4320, _T_4309) @[Cat.scala 29:58] - buf_sideeffect <= _T_4321 @[el2_lsu_bus_buffer.scala 561:20] - node _T_4322 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:99] - node _T_4323 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] - reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4323 : @[Reg.scala 28:19] - _T_4324 <= _T_4322 @[Reg.scala 28:23] + node _T_4318 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4319 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4319 : @[Reg.scala 28:19] + _T_4320 <= _T_4318 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4325 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:99] - node _T_4326 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] - reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4326 : @[Reg.scala 28:19] - _T_4327 <= _T_4325 @[Reg.scala 28:23] + node _T_4321 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4322 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + reg _T_4323 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4322 : @[Reg.scala 28:19] + _T_4323 <= _T_4321 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4328 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:99] - node _T_4329 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] - reg _T_4330 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4329 : @[Reg.scala 28:19] - _T_4330 <= _T_4328 @[Reg.scala 28:23] + node _T_4324 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4325 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + reg _T_4326 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4325 : @[Reg.scala 28:19] + _T_4326 <= _T_4324 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4331 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:99] - node _T_4332 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] - reg _T_4333 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4332 : @[Reg.scala 28:19] - _T_4333 <= _T_4331 @[Reg.scala 28:23] + node _T_4327 = cat(_T_4326, _T_4323) @[Cat.scala 29:58] + node _T_4328 = cat(_T_4327, _T_4320) @[Cat.scala 29:58] + node _T_4329 = cat(_T_4328, _T_4317) @[Cat.scala 29:58] + buf_sideeffect <= _T_4329 @[el2_lsu_bus_buffer.scala 562:20] + node _T_4330 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4331 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + reg _T_4332 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4331 : @[Reg.scala 28:19] + _T_4332 <= _T_4330 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4334 = cat(_T_4333, _T_4330) @[Cat.scala 29:58] - node _T_4335 = cat(_T_4334, _T_4327) @[Cat.scala 29:58] - node _T_4336 = cat(_T_4335, _T_4324) @[Cat.scala 29:58] - buf_unsign <= _T_4336 @[el2_lsu_bus_buffer.scala 562:16] - node _T_4337 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:97] - node _T_4338 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] - reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4338 : @[Reg.scala 28:19] - _T_4339 <= _T_4337 @[Reg.scala 28:23] + node _T_4333 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4334 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + reg _T_4335 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4334 : @[Reg.scala 28:19] + _T_4335 <= _T_4333 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4340 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:97] - node _T_4341 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] - reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4341 : @[Reg.scala 28:19] - _T_4342 <= _T_4340 @[Reg.scala 28:23] + node _T_4336 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4337 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + reg _T_4338 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4337 : @[Reg.scala 28:19] + _T_4338 <= _T_4336 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4343 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:97] - node _T_4344 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] - reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4344 : @[Reg.scala 28:19] - _T_4345 <= _T_4343 @[Reg.scala 28:23] + node _T_4339 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4340 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + reg _T_4341 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4340 : @[Reg.scala 28:19] + _T_4341 <= _T_4339 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4346 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:97] - node _T_4347 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] - reg _T_4348 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4347 : @[Reg.scala 28:19] - _T_4348 <= _T_4346 @[Reg.scala 28:23] + node _T_4342 = cat(_T_4341, _T_4338) @[Cat.scala 29:58] + node _T_4343 = cat(_T_4342, _T_4335) @[Cat.scala 29:58] + node _T_4344 = cat(_T_4343, _T_4332) @[Cat.scala 29:58] + buf_unsign <= _T_4344 @[el2_lsu_bus_buffer.scala 563:16] + node _T_4345 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4346 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + reg _T_4347 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4346 : @[Reg.scala 28:19] + _T_4347 <= _T_4345 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4349 = cat(_T_4348, _T_4345) @[Cat.scala 29:58] - node _T_4350 = cat(_T_4349, _T_4342) @[Cat.scala 29:58] - node _T_4351 = cat(_T_4350, _T_4339) @[Cat.scala 29:58] - buf_write <= _T_4351 @[el2_lsu_bus_buffer.scala 563:15] - node _T_4352 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] - reg _T_4353 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4348 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4349 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + reg _T_4350 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4349 : @[Reg.scala 28:19] + _T_4350 <= _T_4348 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4351 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4352 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + reg _T_4353 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4352 : @[Reg.scala 28:19] - _T_4353 <= buf_sz_in[0] @[Reg.scala 28:23] + _T_4353 <= _T_4351 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4354 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] - reg _T_4355 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4354 : @[Reg.scala 28:19] - _T_4355 <= buf_sz_in[1] @[Reg.scala 28:23] + node _T_4354 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4355 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + reg _T_4356 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4355 : @[Reg.scala 28:19] + _T_4356 <= _T_4354 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4356 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] - reg _T_4357 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4356 : @[Reg.scala 28:19] - _T_4357 <= buf_sz_in[2] @[Reg.scala 28:23] + node _T_4357 = cat(_T_4356, _T_4353) @[Cat.scala 29:58] + node _T_4358 = cat(_T_4357, _T_4350) @[Cat.scala 29:58] + node _T_4359 = cat(_T_4358, _T_4347) @[Cat.scala 29:58] + buf_write <= _T_4359 @[el2_lsu_bus_buffer.scala 564:15] + node _T_4360 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + reg _T_4361 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4360 : @[Reg.scala 28:19] + _T_4361 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4358 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] - reg _T_4359 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4358 : @[Reg.scala 28:19] - _T_4359 <= buf_sz_in[3] @[Reg.scala 28:23] + node _T_4362 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + reg _T_4363 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4362 : @[Reg.scala 28:19] + _T_4363 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4353 @[el2_lsu_bus_buffer.scala 564:12] - buf_sz[1] <= _T_4355 @[el2_lsu_bus_buffer.scala 564:12] - buf_sz[2] <= _T_4357 @[el2_lsu_bus_buffer.scala 564:12] - buf_sz[3] <= _T_4359 @[el2_lsu_bus_buffer.scala 564:12] - node _T_4360 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] + node _T_4364 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4364 : @[Reg.scala 28:19] + _T_4365 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4366 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4366 : @[Reg.scala 28:19] + _T_4367 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4361 @[el2_lsu_bus_buffer.scala 565:12] + buf_sz[1] <= _T_4363 @[el2_lsu_bus_buffer.scala 565:12] + buf_sz[2] <= _T_4365 @[el2_lsu_bus_buffer.scala 565:12] + buf_sz[3] <= _T_4367 @[el2_lsu_bus_buffer.scala 565:12] + node _T_4368 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4360 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4368 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4361 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4361 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4362 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] + reg _T_4369 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4369 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4370 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4362 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4370 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4363 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4363 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4364 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] + reg _T_4371 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4371 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4372 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4364 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4372 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4365 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4365 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4366 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] + reg _T_4373 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4373 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4366 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4374 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4367 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4367 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4361 @[el2_lsu_bus_buffer.scala 565:14] - buf_addr[1] <= _T_4363 @[el2_lsu_bus_buffer.scala 565:14] - buf_addr[2] <= _T_4365 @[el2_lsu_bus_buffer.scala 565:14] - buf_addr[3] <= _T_4367 @[el2_lsu_bus_buffer.scala 565:14] - node _T_4368 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] - reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4368 : @[Reg.scala 28:19] - _T_4369 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4375 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4375 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4369 @[el2_lsu_bus_buffer.scala 566:14] + buf_addr[1] <= _T_4371 @[el2_lsu_bus_buffer.scala 566:14] + buf_addr[2] <= _T_4373 @[el2_lsu_bus_buffer.scala 566:14] + buf_addr[3] <= _T_4375 @[el2_lsu_bus_buffer.scala 566:14] + node _T_4376 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + reg _T_4377 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4376 : @[Reg.scala 28:19] + _T_4377 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4370 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] - reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4370 : @[Reg.scala 28:19] - _T_4371 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4378 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + reg _T_4379 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4378 : @[Reg.scala 28:19] + _T_4379 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4372 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] - reg _T_4373 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4372 : @[Reg.scala 28:19] - _T_4373 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4380 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4380 : @[Reg.scala 28:19] + _T_4381 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] - reg _T_4375 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4374 : @[Reg.scala 28:19] - _T_4375 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4382 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4382 : @[Reg.scala 28:19] + _T_4383 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4369 @[el2_lsu_bus_buffer.scala 566:16] - buf_byteen[1] <= _T_4371 @[el2_lsu_bus_buffer.scala 566:16] - buf_byteen[2] <= _T_4373 @[el2_lsu_bus_buffer.scala 566:16] - buf_byteen[3] <= _T_4375 @[el2_lsu_bus_buffer.scala 566:16] + buf_byteen[0] <= _T_4377 @[el2_lsu_bus_buffer.scala 567:16] + buf_byteen[1] <= _T_4379 @[el2_lsu_bus_buffer.scala 567:16] + buf_byteen[2] <= _T_4381 @[el2_lsu_bus_buffer.scala 567:16] + buf_byteen[3] <= _T_4383 @[el2_lsu_bus_buffer.scala 567:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4376 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4376 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4384 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4384 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4377 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4377 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4385 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4385 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4378 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4378 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4386 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4386 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4379 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4379 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4376 @[el2_lsu_bus_buffer.scala 567:14] - buf_data[1] <= _T_4377 @[el2_lsu_bus_buffer.scala 567:14] - buf_data[2] <= _T_4378 @[el2_lsu_bus_buffer.scala 567:14] - buf_data[3] <= _T_4379 @[el2_lsu_bus_buffer.scala 567:14] - node _T_4380 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 568:121] - node _T_4381 = mux(buf_error_en[0], UInt<1>("h01"), _T_4380) @[el2_lsu_bus_buffer.scala 568:86] - node _T_4382 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] - node _T_4383 = and(_T_4381, _T_4382) @[el2_lsu_bus_buffer.scala 568:126] - reg _T_4384 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] - _T_4384 <= _T_4383 @[el2_lsu_bus_buffer.scala 568:82] - node _T_4385 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 568:121] - node _T_4386 = mux(buf_error_en[1], UInt<1>("h01"), _T_4385) @[el2_lsu_bus_buffer.scala 568:86] - node _T_4387 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] - node _T_4388 = and(_T_4386, _T_4387) @[el2_lsu_bus_buffer.scala 568:126] - reg _T_4389 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] - _T_4389 <= _T_4388 @[el2_lsu_bus_buffer.scala 568:82] - node _T_4390 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 568:121] - node _T_4391 = mux(buf_error_en[2], UInt<1>("h01"), _T_4390) @[el2_lsu_bus_buffer.scala 568:86] - node _T_4392 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] - node _T_4393 = and(_T_4391, _T_4392) @[el2_lsu_bus_buffer.scala 568:126] - reg _T_4394 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] - _T_4394 <= _T_4393 @[el2_lsu_bus_buffer.scala 568:82] - node _T_4395 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 568:121] - node _T_4396 = mux(buf_error_en[3], UInt<1>("h01"), _T_4395) @[el2_lsu_bus_buffer.scala 568:86] - node _T_4397 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] - node _T_4398 = and(_T_4396, _T_4397) @[el2_lsu_bus_buffer.scala 568:126] - reg _T_4399 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] - _T_4399 <= _T_4398 @[el2_lsu_bus_buffer.scala 568:82] - node _T_4400 = cat(_T_4399, _T_4394) @[Cat.scala 29:58] - node _T_4401 = cat(_T_4400, _T_4389) @[Cat.scala 29:58] - node _T_4402 = cat(_T_4401, _T_4384) @[Cat.scala 29:58] - buf_error <= _T_4402 @[el2_lsu_bus_buffer.scala 568:15] - node _T_4403 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] - node _T_4404 = cat(_T_4403, buf_data_en[1]) @[Cat.scala 29:58] - node _T_4405 = cat(_T_4404, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4405 @[el2_lsu_bus_buffer.scala 569:14] - node _T_4406 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4407 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4408 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4409 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4410 = add(_T_4409, _T_4408) @[el2_lsu_bus_buffer.scala 571:96] - node _T_4411 = add(_T_4410, _T_4407) @[el2_lsu_bus_buffer.scala 571:96] - node buf_numvld_any = add(_T_4411, _T_4406) @[el2_lsu_bus_buffer.scala 571:96] - node _T_4412 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4413 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] - node _T_4414 = and(_T_4412, _T_4413) @[el2_lsu_bus_buffer.scala 572:64] - node _T_4415 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4416 = and(_T_4414, _T_4415) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4417 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4418 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] - node _T_4419 = and(_T_4417, _T_4418) @[el2_lsu_bus_buffer.scala 572:64] - node _T_4420 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4421 = and(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4422 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4423 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] - node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 572:64] - node _T_4425 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4427 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4428 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] - node _T_4429 = and(_T_4427, _T_4428) @[el2_lsu_bus_buffer.scala 572:64] - node _T_4430 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4431 = and(_T_4429, _T_4430) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4432 = add(_T_4431, _T_4426) @[el2_lsu_bus_buffer.scala 572:142] - node _T_4433 = add(_T_4432, _T_4421) @[el2_lsu_bus_buffer.scala 572:142] - node _T_4434 = add(_T_4433, _T_4416) @[el2_lsu_bus_buffer.scala 572:142] - buf_numvld_wrcmd_any <= _T_4434 @[el2_lsu_bus_buffer.scala 572:24] - node _T_4435 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4436 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] - node _T_4437 = and(_T_4435, _T_4436) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4438 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4439 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] - node _T_4440 = and(_T_4438, _T_4439) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4441 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] - node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4444 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4445 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] - node _T_4446 = and(_T_4444, _T_4445) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4447 = add(_T_4446, _T_4443) @[el2_lsu_bus_buffer.scala 573:126] - node _T_4448 = add(_T_4447, _T_4440) @[el2_lsu_bus_buffer.scala 573:126] - node _T_4449 = add(_T_4448, _T_4437) @[el2_lsu_bus_buffer.scala 573:126] - buf_numvld_cmd_any <= _T_4449 @[el2_lsu_bus_buffer.scala 573:22] - node _T_4450 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4451 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] - node _T_4452 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] - node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 574:100] - node _T_4454 = or(_T_4450, _T_4453) @[el2_lsu_bus_buffer.scala 574:74] - node _T_4455 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4456 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] - node _T_4457 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] - node _T_4458 = and(_T_4456, _T_4457) @[el2_lsu_bus_buffer.scala 574:100] - node _T_4459 = or(_T_4455, _T_4458) @[el2_lsu_bus_buffer.scala 574:74] - node _T_4460 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4461 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] - node _T_4462 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] - node _T_4463 = and(_T_4461, _T_4462) @[el2_lsu_bus_buffer.scala 574:100] - node _T_4464 = or(_T_4460, _T_4463) @[el2_lsu_bus_buffer.scala 574:74] - node _T_4465 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4466 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] - node _T_4467 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] - node _T_4468 = and(_T_4466, _T_4467) @[el2_lsu_bus_buffer.scala 574:100] - node _T_4469 = or(_T_4465, _T_4468) @[el2_lsu_bus_buffer.scala 574:74] - node _T_4470 = add(_T_4469, _T_4464) @[el2_lsu_bus_buffer.scala 574:154] - node _T_4471 = add(_T_4470, _T_4459) @[el2_lsu_bus_buffer.scala 574:154] - node _T_4472 = add(_T_4471, _T_4454) @[el2_lsu_bus_buffer.scala 574:154] - buf_numvld_pend_any <= _T_4472 @[el2_lsu_bus_buffer.scala 574:23] - node _T_4473 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] - node _T_4474 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] - node _T_4475 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] - node _T_4476 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] - node _T_4477 = or(_T_4476, _T_4475) @[el2_lsu_bus_buffer.scala 575:93] - node _T_4478 = or(_T_4477, _T_4474) @[el2_lsu_bus_buffer.scala 575:93] - node _T_4479 = or(_T_4478, _T_4473) @[el2_lsu_bus_buffer.scala 575:93] - any_done_wait_state <= _T_4479 @[el2_lsu_bus_buffer.scala 575:23] - node _T_4480 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 576:53] - io.lsu_bus_buffer_pend_any <= _T_4480 @[el2_lsu_bus_buffer.scala 576:30] - node _T_4481 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 577:52] - node _T_4482 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 577:92] - node _T_4483 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 577:119] - node _T_4484 = mux(_T_4481, _T_4482, _T_4483) @[el2_lsu_bus_buffer.scala 577:36] - io.lsu_bus_buffer_full_any <= _T_4484 @[el2_lsu_bus_buffer.scala 577:30] - node _T_4485 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 578:52] - node _T_4486 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 578:52] - node _T_4487 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 578:52] - node _T_4488 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 578:52] - node _T_4489 = or(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 578:65] - node _T_4490 = or(_T_4489, _T_4487) @[el2_lsu_bus_buffer.scala 578:65] - node _T_4491 = or(_T_4490, _T_4488) @[el2_lsu_bus_buffer.scala 578:65] - node _T_4492 = eq(_T_4491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:34] - node _T_4493 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:72] - node _T_4494 = and(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 578:70] - node _T_4495 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:86] - node _T_4496 = and(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 578:84] - io.lsu_bus_buffer_empty_any <= _T_4496 @[el2_lsu_bus_buffer.scala 578:31] - node _T_4497 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 580:51] - node _T_4498 = and(_T_4497, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 580:72] - node _T_4499 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:94] - node _T_4500 = and(_T_4498, _T_4499) @[el2_lsu_bus_buffer.scala 580:92] - node _T_4501 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:111] - node _T_4502 = and(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 580:109] - io.lsu_nonblock_load_valid_m <= _T_4502 @[el2_lsu_bus_buffer.scala 580:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 581:30] + reg _T_4387 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4387 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4384 @[el2_lsu_bus_buffer.scala 568:14] + buf_data[1] <= _T_4385 @[el2_lsu_bus_buffer.scala 568:14] + buf_data[2] <= _T_4386 @[el2_lsu_bus_buffer.scala 568:14] + buf_data[3] <= _T_4387 @[el2_lsu_bus_buffer.scala 568:14] + node _T_4388 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4389 = mux(buf_error_en[0], UInt<1>("h01"), _T_4388) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4390 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4391 = and(_T_4389, _T_4390) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4392 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4392 <= _T_4391 @[el2_lsu_bus_buffer.scala 569:82] + node _T_4393 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4394 = mux(buf_error_en[1], UInt<1>("h01"), _T_4393) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4395 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4396 = and(_T_4394, _T_4395) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4397 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4397 <= _T_4396 @[el2_lsu_bus_buffer.scala 569:82] + node _T_4398 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4399 = mux(buf_error_en[2], UInt<1>("h01"), _T_4398) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4400 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4401 = and(_T_4399, _T_4400) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4402 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4402 <= _T_4401 @[el2_lsu_bus_buffer.scala 569:82] + node _T_4403 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4404 = mux(buf_error_en[3], UInt<1>("h01"), _T_4403) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4405 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4406 = and(_T_4404, _T_4405) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4407 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4407 <= _T_4406 @[el2_lsu_bus_buffer.scala 569:82] + node _T_4408 = cat(_T_4407, _T_4402) @[Cat.scala 29:58] + node _T_4409 = cat(_T_4408, _T_4397) @[Cat.scala 29:58] + node _T_4410 = cat(_T_4409, _T_4392) @[Cat.scala 29:58] + buf_error <= _T_4410 @[el2_lsu_bus_buffer.scala 569:15] + node _T_4411 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] + node _T_4412 = cat(_T_4411, buf_data_en[1]) @[Cat.scala 29:58] + node _T_4413 = cat(_T_4412, buf_data_en[0]) @[Cat.scala 29:58] + io.data_en <= _T_4413 @[el2_lsu_bus_buffer.scala 570:14] + node _T_4414 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4415 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4416 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4417 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4418 = add(_T_4417, _T_4416) @[el2_lsu_bus_buffer.scala 572:96] + node _T_4419 = add(_T_4418, _T_4415) @[el2_lsu_bus_buffer.scala 572:96] + node buf_numvld_any = add(_T_4419, _T_4414) @[el2_lsu_bus_buffer.scala 572:96] + node _T_4420 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4421 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4422 = and(_T_4420, _T_4421) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4423 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4425 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4426 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4427 = and(_T_4425, _T_4426) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4428 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4429 = and(_T_4427, _T_4428) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4430 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4431 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4432 = and(_T_4430, _T_4431) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4433 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4434 = and(_T_4432, _T_4433) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4435 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4436 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4437 = and(_T_4435, _T_4436) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4439 = and(_T_4437, _T_4438) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4440 = add(_T_4439, _T_4434) @[el2_lsu_bus_buffer.scala 573:142] + node _T_4441 = add(_T_4440, _T_4429) @[el2_lsu_bus_buffer.scala 573:142] + node _T_4442 = add(_T_4441, _T_4424) @[el2_lsu_bus_buffer.scala 573:142] + buf_numvld_wrcmd_any <= _T_4442 @[el2_lsu_bus_buffer.scala 573:24] + node _T_4443 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4444 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4445 = and(_T_4443, _T_4444) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4446 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4449 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4450 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4451 = and(_T_4449, _T_4450) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4453 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4454 = and(_T_4452, _T_4453) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4455 = add(_T_4454, _T_4451) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4456 = add(_T_4455, _T_4448) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4457 = add(_T_4456, _T_4445) @[el2_lsu_bus_buffer.scala 574:126] + buf_numvld_cmd_any <= _T_4457 @[el2_lsu_bus_buffer.scala 574:22] + node _T_4458 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4461 = and(_T_4459, _T_4460) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4462 = or(_T_4458, _T_4461) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4463 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4464 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4465 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4466 = and(_T_4464, _T_4465) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4467 = or(_T_4463, _T_4466) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4468 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4469 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4470 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4471 = and(_T_4469, _T_4470) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4472 = or(_T_4468, _T_4471) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4473 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4475 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4476 = and(_T_4474, _T_4475) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4477 = or(_T_4473, _T_4476) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4478 = add(_T_4477, _T_4472) @[el2_lsu_bus_buffer.scala 575:154] + node _T_4479 = add(_T_4478, _T_4467) @[el2_lsu_bus_buffer.scala 575:154] + node _T_4480 = add(_T_4479, _T_4462) @[el2_lsu_bus_buffer.scala 575:154] + buf_numvld_pend_any <= _T_4480 @[el2_lsu_bus_buffer.scala 575:23] + node _T_4481 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4482 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4483 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4484 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4485 = or(_T_4484, _T_4483) @[el2_lsu_bus_buffer.scala 576:93] + node _T_4486 = or(_T_4485, _T_4482) @[el2_lsu_bus_buffer.scala 576:93] + node _T_4487 = or(_T_4486, _T_4481) @[el2_lsu_bus_buffer.scala 576:93] + any_done_wait_state <= _T_4487 @[el2_lsu_bus_buffer.scala 576:23] + node _T_4488 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 577:53] + io.lsu_bus_buffer_pend_any <= _T_4488 @[el2_lsu_bus_buffer.scala 577:30] + node _T_4489 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 578:52] + node _T_4490 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 578:92] + node _T_4491 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 578:119] + node _T_4492 = mux(_T_4489, _T_4490, _T_4491) @[el2_lsu_bus_buffer.scala 578:36] + io.lsu_bus_buffer_full_any <= _T_4492 @[el2_lsu_bus_buffer.scala 578:30] + node _T_4493 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4494 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4495 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4496 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4497 = or(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 579:65] + node _T_4498 = or(_T_4497, _T_4495) @[el2_lsu_bus_buffer.scala 579:65] + node _T_4499 = or(_T_4498, _T_4496) @[el2_lsu_bus_buffer.scala 579:65] + node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:34] + node _T_4501 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:72] + node _T_4502 = and(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 579:70] + node _T_4503 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:86] + node _T_4504 = and(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 579:84] + io.lsu_bus_buffer_empty_any <= _T_4504 @[el2_lsu_bus_buffer.scala 579:31] + node _T_4505 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 581:51] + node _T_4506 = and(_T_4505, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 581:72] + node _T_4507 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:94] + node _T_4508 = and(_T_4506, _T_4507) @[el2_lsu_bus_buffer.scala 581:92] + node _T_4509 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:111] + node _T_4510 = and(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 581:109] + io.lsu_nonblock_load_valid_m <= _T_4510 @[el2_lsu_bus_buffer.scala 581:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 582:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4503 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:61] - node _T_4504 = and(lsu_nonblock_load_valid_r, _T_4503) @[el2_lsu_bus_buffer.scala 583:59] - io.lsu_nonblock_load_inv_r <= _T_4504 @[el2_lsu_bus_buffer.scala 583:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 584:34] - node _T_4505 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4506 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 585:127] - node _T_4507 = and(UInt<1>("h01"), _T_4506) @[el2_lsu_bus_buffer.scala 585:116] - node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] - node _T_4509 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4510 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 585:127] - node _T_4511 = and(UInt<1>("h01"), _T_4510) @[el2_lsu_bus_buffer.scala 585:116] - node _T_4512 = eq(_T_4511, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] - node _T_4513 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4514 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 585:127] - node _T_4515 = and(UInt<1>("h01"), _T_4514) @[el2_lsu_bus_buffer.scala 585:116] - node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] - node _T_4517 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4518 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 585:127] - node _T_4519 = and(UInt<1>("h01"), _T_4518) @[el2_lsu_bus_buffer.scala 585:116] - node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] - node _T_4521 = mux(_T_4505, _T_4508, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4522 = mux(_T_4509, _T_4512, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4523 = mux(_T_4513, _T_4516, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4524 = mux(_T_4517, _T_4520, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4525 = or(_T_4521, _T_4522) @[Mux.scala 27:72] - node _T_4526 = or(_T_4525, _T_4523) @[Mux.scala 27:72] - node _T_4527 = or(_T_4526, _T_4524) @[Mux.scala 27:72] + node _T_4511 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:61] + node _T_4512 = and(lsu_nonblock_load_valid_r, _T_4511) @[el2_lsu_bus_buffer.scala 584:59] + io.lsu_nonblock_load_inv_r <= _T_4512 @[el2_lsu_bus_buffer.scala 584:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 585:34] + node _T_4513 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4514 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4515 = and(UInt<1>("h01"), _T_4514) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4517 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4518 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4519 = and(UInt<1>("h01"), _T_4518) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4521 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4522 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4523 = and(UInt<1>("h01"), _T_4522) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4525 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4526 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4527 = and(UInt<1>("h01"), _T_4526) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4529 = mux(_T_4513, _T_4516, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4530 = mux(_T_4517, _T_4520, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4531 = mux(_T_4521, _T_4524, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4532 = mux(_T_4525, _T_4528, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4533 = or(_T_4529, _T_4530) @[Mux.scala 27:72] + node _T_4534 = or(_T_4533, _T_4531) @[Mux.scala 27:72] + node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4527 @[Mux.scala 27:72] - node _T_4528 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4529 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 586:104] - node _T_4530 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 586:120] - node _T_4531 = eq(_T_4530, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] - node _T_4532 = and(_T_4529, _T_4531) @[el2_lsu_bus_buffer.scala 586:108] - node _T_4533 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4534 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 586:104] - node _T_4535 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 586:120] - node _T_4536 = eq(_T_4535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] - node _T_4537 = and(_T_4534, _T_4536) @[el2_lsu_bus_buffer.scala 586:108] - node _T_4538 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4539 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 586:104] - node _T_4540 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 586:120] - node _T_4541 = eq(_T_4540, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] - node _T_4542 = and(_T_4539, _T_4541) @[el2_lsu_bus_buffer.scala 586:108] - node _T_4543 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4544 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 586:104] - node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 586:120] - node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] - node _T_4547 = and(_T_4544, _T_4546) @[el2_lsu_bus_buffer.scala 586:108] - node _T_4548 = mux(_T_4528, _T_4532, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4549 = mux(_T_4533, _T_4537, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4550 = mux(_T_4538, _T_4542, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4551 = mux(_T_4543, _T_4547, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4552 = or(_T_4548, _T_4549) @[Mux.scala 27:72] - node _T_4553 = or(_T_4552, _T_4550) @[Mux.scala 27:72] - node _T_4554 = or(_T_4553, _T_4551) @[Mux.scala 27:72] - wire _T_4555 : UInt<1> @[Mux.scala 27:72] - _T_4555 <= _T_4554 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4555 @[el2_lsu_bus_buffer.scala 586:35] - node _T_4556 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] - node _T_4557 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:102] - node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] - node _T_4559 = and(_T_4556, _T_4558) @[el2_lsu_bus_buffer.scala 587:90] - node _T_4560 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] - node _T_4561 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] - node _T_4562 = or(_T_4560, _T_4561) @[el2_lsu_bus_buffer.scala 587:122] - node _T_4563 = and(_T_4559, _T_4562) @[el2_lsu_bus_buffer.scala 587:106] - node _T_4564 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] - node _T_4565 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:102] - node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] - node _T_4567 = and(_T_4564, _T_4566) @[el2_lsu_bus_buffer.scala 587:90] - node _T_4568 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] - node _T_4569 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] - node _T_4570 = or(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 587:122] - node _T_4571 = and(_T_4567, _T_4570) @[el2_lsu_bus_buffer.scala 587:106] - node _T_4572 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] - node _T_4573 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:102] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] - node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 587:90] - node _T_4576 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] - node _T_4577 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] - node _T_4578 = or(_T_4576, _T_4577) @[el2_lsu_bus_buffer.scala 587:122] - node _T_4579 = and(_T_4575, _T_4578) @[el2_lsu_bus_buffer.scala 587:106] - node _T_4580 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] - node _T_4581 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:102] - node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] - node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 587:90] - node _T_4584 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] - node _T_4585 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] - node _T_4586 = or(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 587:122] - node _T_4587 = and(_T_4583, _T_4586) @[el2_lsu_bus_buffer.scala 587:106] - node _T_4588 = mux(_T_4563, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4589 = mux(_T_4571, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4590 = mux(_T_4579, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4591 = mux(_T_4587, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4592 = or(_T_4588, _T_4589) @[Mux.scala 27:72] - node _T_4593 = or(_T_4592, _T_4590) @[Mux.scala 27:72] - node _T_4594 = or(_T_4593, _T_4591) @[Mux.scala 27:72] - wire _T_4595 : UInt<2> @[Mux.scala 27:72] - _T_4595 <= _T_4594 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4595 @[el2_lsu_bus_buffer.scala 587:33] - node _T_4596 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4597 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4598 = eq(_T_4597, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4599 = and(_T_4596, _T_4598) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4600 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4601 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] - node _T_4602 = or(_T_4600, _T_4601) @[el2_lsu_bus_buffer.scala 588:121] - node _T_4603 = and(_T_4599, _T_4602) @[el2_lsu_bus_buffer.scala 588:105] - node _T_4604 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4605 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4608 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4609 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] - node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 588:121] - node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 588:105] - node _T_4612 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4613 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4615 = and(_T_4612, _T_4614) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4616 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4617 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] - node _T_4618 = or(_T_4616, _T_4617) @[el2_lsu_bus_buffer.scala 588:121] - node _T_4619 = and(_T_4615, _T_4618) @[el2_lsu_bus_buffer.scala 588:105] - node _T_4620 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4621 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4623 = and(_T_4620, _T_4622) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4624 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4625 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] - node _T_4626 = or(_T_4624, _T_4625) @[el2_lsu_bus_buffer.scala 588:121] - node _T_4627 = and(_T_4623, _T_4626) @[el2_lsu_bus_buffer.scala 588:105] - node _T_4628 = mux(_T_4603, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4629 = mux(_T_4611, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4630 = mux(_T_4619, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4631 = mux(_T_4627, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4632 = or(_T_4628, _T_4629) @[Mux.scala 27:72] - node _T_4633 = or(_T_4632, _T_4630) @[Mux.scala 27:72] - node _T_4634 = or(_T_4633, _T_4631) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4535 @[Mux.scala 27:72] + node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4537 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4538 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4540 = and(_T_4537, _T_4539) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4542 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4543 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4545 = and(_T_4542, _T_4544) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4547 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4548 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4550 = and(_T_4547, _T_4549) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4552 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4553 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4555 = and(_T_4552, _T_4554) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4556 = mux(_T_4536, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4557 = mux(_T_4541, _T_4545, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4558 = mux(_T_4546, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4559 = mux(_T_4551, _T_4555, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4560 = or(_T_4556, _T_4557) @[Mux.scala 27:72] + node _T_4561 = or(_T_4560, _T_4558) @[Mux.scala 27:72] + node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] + wire _T_4563 : UInt<1> @[Mux.scala 27:72] + _T_4563 <= _T_4562 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4563 @[el2_lsu_bus_buffer.scala 587:35] + node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4565 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4567 = and(_T_4564, _T_4566) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4570 = or(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4571 = and(_T_4567, _T_4570) @[el2_lsu_bus_buffer.scala 588:106] + node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4573 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4578 = or(_T_4576, _T_4577) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4579 = and(_T_4575, _T_4578) @[el2_lsu_bus_buffer.scala 588:106] + node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4581 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4586 = or(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4587 = and(_T_4583, _T_4586) @[el2_lsu_bus_buffer.scala 588:106] + node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4589 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4591 = and(_T_4588, _T_4590) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4594 = or(_T_4592, _T_4593) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4595 = and(_T_4591, _T_4594) @[el2_lsu_bus_buffer.scala 588:106] + node _T_4596 = mux(_T_4571, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4597 = mux(_T_4579, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4598 = mux(_T_4587, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4599 = mux(_T_4595, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4600 = or(_T_4596, _T_4597) @[Mux.scala 27:72] + node _T_4601 = or(_T_4600, _T_4598) @[Mux.scala 27:72] + node _T_4602 = or(_T_4601, _T_4599) @[Mux.scala 27:72] + wire _T_4603 : UInt<2> @[Mux.scala 27:72] + _T_4603 <= _T_4602 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4603 @[el2_lsu_bus_buffer.scala 588:33] + node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4605 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4613 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4615 = and(_T_4612, _T_4614) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4618 = or(_T_4616, _T_4617) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4619 = and(_T_4615, _T_4618) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4621 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4623 = and(_T_4620, _T_4622) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4626 = or(_T_4624, _T_4625) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4627 = and(_T_4623, _T_4626) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4629 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4631 = and(_T_4628, _T_4630) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4634 = or(_T_4632, _T_4633) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4635 = and(_T_4631, _T_4634) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4636 = mux(_T_4611, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4637 = mux(_T_4619, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4638 = mux(_T_4627, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4639 = mux(_T_4635, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4640 = or(_T_4636, _T_4637) @[Mux.scala 27:72] + node _T_4641 = or(_T_4640, _T_4638) @[Mux.scala 27:72] + node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4634 @[Mux.scala 27:72] - node _T_4635 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4636 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4637 = eq(_T_4636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4638 = and(_T_4635, _T_4637) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4639 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4640 = and(_T_4638, _T_4639) @[el2_lsu_bus_buffer.scala 589:105] - node _T_4641 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4642 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4643 = eq(_T_4642, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4644 = and(_T_4641, _T_4643) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4645 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4646 = and(_T_4644, _T_4645) @[el2_lsu_bus_buffer.scala 589:105] - node _T_4647 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4648 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4649 = eq(_T_4648, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4650 = and(_T_4647, _T_4649) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4651 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4652 = and(_T_4650, _T_4651) @[el2_lsu_bus_buffer.scala 589:105] - node _T_4653 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4654 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4655 = eq(_T_4654, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4656 = and(_T_4653, _T_4655) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4657 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4658 = and(_T_4656, _T_4657) @[el2_lsu_bus_buffer.scala 589:105] - node _T_4659 = mux(_T_4640, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4660 = mux(_T_4646, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4661 = mux(_T_4652, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4662 = mux(_T_4658, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4663 = or(_T_4659, _T_4660) @[Mux.scala 27:72] - node _T_4664 = or(_T_4663, _T_4661) @[Mux.scala 27:72] - node _T_4665 = or(_T_4664, _T_4662) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4642 @[Mux.scala 27:72] + node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4644 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4646 = and(_T_4643, _T_4645) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4647 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4648 = and(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4650 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4652 = and(_T_4649, _T_4651) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4653 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4654 = and(_T_4652, _T_4653) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4656 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4658 = and(_T_4655, _T_4657) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4659 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4662 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4664 = and(_T_4661, _T_4663) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4665 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4666 = and(_T_4664, _T_4665) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4667 = mux(_T_4648, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4668 = mux(_T_4654, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4669 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4670 = mux(_T_4666, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] + node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] + node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4665 @[Mux.scala 27:72] - node _T_4666 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4667 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4668 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4669 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4670 = mux(_T_4666, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4671 = mux(_T_4667, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4672 = mux(_T_4668, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4673 = mux(_T_4669, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4674 = or(_T_4670, _T_4671) @[Mux.scala 27:72] - node _T_4675 = or(_T_4674, _T_4672) @[Mux.scala 27:72] - node _T_4676 = or(_T_4675, _T_4673) @[Mux.scala 27:72] - wire _T_4677 : UInt<32> @[Mux.scala 27:72] - _T_4677 <= _T_4676 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4677, 1, 0) @[el2_lsu_bus_buffer.scala 590:83] - node _T_4678 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4679 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4680 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4681 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4682 = mux(_T_4678, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4683 = mux(_T_4679, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4684 = mux(_T_4680, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4685 = mux(_T_4681, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4686 = or(_T_4682, _T_4683) @[Mux.scala 27:72] - node _T_4687 = or(_T_4686, _T_4684) @[Mux.scala 27:72] - node _T_4688 = or(_T_4687, _T_4685) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4673 @[Mux.scala 27:72] + node _T_4674 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4675 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4676 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4677 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4678 = mux(_T_4674, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4675, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = mux(_T_4676, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4681 = mux(_T_4677, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4682 = or(_T_4678, _T_4679) @[Mux.scala 27:72] + node _T_4683 = or(_T_4682, _T_4680) @[Mux.scala 27:72] + node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] + wire _T_4685 : UInt<32> @[Mux.scala 27:72] + _T_4685 <= _T_4684 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[el2_lsu_bus_buffer.scala 591:83] + node _T_4686 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4687 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4688 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4689 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4690 = mux(_T_4686, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4691 = mux(_T_4687, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4692 = mux(_T_4688, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4693 = mux(_T_4689, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4694 = or(_T_4690, _T_4691) @[Mux.scala 27:72] + node _T_4695 = or(_T_4694, _T_4692) @[Mux.scala 27:72] + node _T_4696 = or(_T_4695, _T_4693) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4688 @[Mux.scala 27:72] - node _T_4689 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4690 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4691 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4692 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4693 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4694 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4695 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4696 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4697 = mux(_T_4689, _T_4690, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4698 = mux(_T_4691, _T_4692, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4699 = mux(_T_4693, _T_4694, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4700 = mux(_T_4695, _T_4696, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4701 = or(_T_4697, _T_4698) @[Mux.scala 27:72] - node _T_4702 = or(_T_4701, _T_4699) @[Mux.scala 27:72] - node _T_4703 = or(_T_4702, _T_4700) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4696 @[Mux.scala 27:72] + node _T_4697 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4698 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4699 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4700 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4701 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4702 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4703 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4704 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4705 = mux(_T_4697, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4706 = mux(_T_4699, _T_4700, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4707 = mux(_T_4701, _T_4702, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4708 = mux(_T_4703, _T_4704, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4709 = or(_T_4705, _T_4706) @[Mux.scala 27:72] + node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] + node _T_4711 = or(_T_4710, _T_4708) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4703 @[Mux.scala 27:72] - node _T_4704 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4705 = cat(_T_4704, buf_dual[1]) @[Cat.scala 29:58] - node _T_4706 = cat(_T_4705, buf_dual[0]) @[Cat.scala 29:58] - node _T_4707 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4708 = bits(_T_4706, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4709 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4710 = bits(_T_4706, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4711 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4712 = bits(_T_4706, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4713 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4714 = bits(_T_4706, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4715 = mux(_T_4707, _T_4708, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4716 = mux(_T_4709, _T_4710, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4717 = mux(_T_4711, _T_4712, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4718 = mux(_T_4713, _T_4714, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4719 = or(_T_4715, _T_4716) @[Mux.scala 27:72] - node _T_4720 = or(_T_4719, _T_4717) @[Mux.scala 27:72] - node _T_4721 = or(_T_4720, _T_4718) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4711 @[Mux.scala 27:72] + node _T_4712 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4713 = cat(_T_4712, buf_dual[1]) @[Cat.scala 29:58] + node _T_4714 = cat(_T_4713, buf_dual[0]) @[Cat.scala 29:58] + node _T_4715 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4716 = bits(_T_4714, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4717 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4718 = bits(_T_4714, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4719 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4720 = bits(_T_4714, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4721 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4722 = bits(_T_4714, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4723 = mux(_T_4715, _T_4716, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4724 = mux(_T_4717, _T_4718, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4725 = mux(_T_4719, _T_4720, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4726 = mux(_T_4721, _T_4722, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4727 = or(_T_4723, _T_4724) @[Mux.scala 27:72] + node _T_4728 = or(_T_4727, _T_4725) @[Mux.scala 27:72] + node _T_4729 = or(_T_4728, _T_4726) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4721 @[Mux.scala 27:72] - node _T_4722 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4723 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 594:121] - node lsu_nonblock_data_unalgn = dshr(_T_4722, _T_4723) @[el2_lsu_bus_buffer.scala 594:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 595:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 596:14] - node _T_4724 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:69] - node _T_4725 = and(lsu_nonblock_load_data_ready, _T_4724) @[el2_lsu_bus_buffer.scala 597:67] - io.lsu_nonblock_load_data_valid <= _T_4725 @[el2_lsu_bus_buffer.scala 597:35] - node _T_4726 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:81] - node _T_4727 = and(lsu_nonblock_unsign, _T_4726) @[el2_lsu_bus_buffer.scala 598:63] - node _T_4728 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 598:131] - node _T_4729 = cat(UInt<24>("h00"), _T_4728) @[Cat.scala 29:58] - node _T_4730 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 599:45] - node _T_4731 = and(lsu_nonblock_unsign, _T_4730) @[el2_lsu_bus_buffer.scala 599:26] - node _T_4732 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 599:95] - node _T_4733 = cat(UInt<16>("h00"), _T_4732) @[Cat.scala 29:58] - node _T_4734 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:6] - node _T_4735 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:45] - node _T_4736 = and(_T_4734, _T_4735) @[el2_lsu_bus_buffer.scala 600:27] - node _T_4737 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 600:93] - node _T_4738 = bits(_T_4737, 0, 0) @[Bitwise.scala 72:15] - node _T_4739 = mux(_T_4738, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4740 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 600:123] - node _T_4741 = cat(_T_4739, _T_4740) @[Cat.scala 29:58] + lsu_nonblock_dual <= _T_4729 @[Mux.scala 27:72] + node _T_4730 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4731 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 595:121] + node lsu_nonblock_data_unalgn = dshr(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 595:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 596:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 597:14] + node _T_4732 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:69] + node _T_4733 = and(lsu_nonblock_load_data_ready, _T_4732) @[el2_lsu_bus_buffer.scala 598:67] + io.lsu_nonblock_load_data_valid <= _T_4733 @[el2_lsu_bus_buffer.scala 598:35] + node _T_4734 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:81] + node _T_4735 = and(lsu_nonblock_unsign, _T_4734) @[el2_lsu_bus_buffer.scala 599:63] + node _T_4736 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 599:131] + node _T_4737 = cat(UInt<24>("h00"), _T_4736) @[Cat.scala 29:58] + node _T_4738 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 600:45] + node _T_4739 = and(lsu_nonblock_unsign, _T_4738) @[el2_lsu_bus_buffer.scala 600:26] + node _T_4740 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 600:95] + node _T_4741 = cat(UInt<16>("h00"), _T_4740) @[Cat.scala 29:58] node _T_4742 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:6] - node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 601:45] + node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:45] node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 601:27] - node _T_4745 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 601:93] + node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 601:93] node _T_4746 = bits(_T_4745, 0, 0) @[Bitwise.scala 72:15] - node _T_4747 = mux(_T_4746, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4748 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 601:124] + node _T_4747 = mux(_T_4746, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4748 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 601:123] node _T_4749 = cat(_T_4747, _T_4748) @[Cat.scala 29:58] - node _T_4750 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 602:21] - node _T_4751 = mux(_T_4727, _T_4729, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4752 = mux(_T_4731, _T_4733, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4753 = mux(_T_4736, _T_4741, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4754 = mux(_T_4744, _T_4749, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4755 = mux(_T_4750, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4756 = or(_T_4751, _T_4752) @[Mux.scala 27:72] - node _T_4757 = or(_T_4756, _T_4753) @[Mux.scala 27:72] - node _T_4758 = or(_T_4757, _T_4754) @[Mux.scala 27:72] - node _T_4759 = or(_T_4758, _T_4755) @[Mux.scala 27:72] - wire _T_4760 : UInt<64> @[Mux.scala 27:72] - _T_4760 <= _T_4759 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4760 @[el2_lsu_bus_buffer.scala 598:29] - node _T_4761 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] - node _T_4762 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 603:89] - node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 603:73] - node _T_4764 = and(_T_4763, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] - node _T_4765 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] - node _T_4766 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 603:89] - node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 603:73] - node _T_4768 = and(_T_4767, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] - node _T_4769 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] - node _T_4770 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 603:89] - node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 603:73] - node _T_4772 = and(_T_4771, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] - node _T_4773 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] - node _T_4774 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 603:89] - node _T_4775 = and(_T_4773, _T_4774) @[el2_lsu_bus_buffer.scala 603:73] - node _T_4776 = and(_T_4775, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] - node _T_4777 = or(_T_4764, _T_4768) @[el2_lsu_bus_buffer.scala 603:141] - node _T_4778 = or(_T_4777, _T_4772) @[el2_lsu_bus_buffer.scala 603:141] - node _T_4779 = or(_T_4778, _T_4776) @[el2_lsu_bus_buffer.scala 603:141] - bus_sideeffect_pend <= _T_4779 @[el2_lsu_bus_buffer.scala 603:23] - node _T_4780 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] - node _T_4781 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] - node _T_4782 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] - node _T_4783 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] - node _T_4784 = eq(_T_4782, _T_4783) @[el2_lsu_bus_buffer.scala 605:56] - node _T_4785 = and(_T_4781, _T_4784) @[el2_lsu_bus_buffer.scala 605:38] - node _T_4786 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:92] - node _T_4787 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:126] - node _T_4788 = and(obuf_merge, _T_4787) @[el2_lsu_bus_buffer.scala 605:114] - node _T_4789 = or(_T_4786, _T_4788) @[el2_lsu_bus_buffer.scala 605:100] - node _T_4790 = eq(_T_4789, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] - node _T_4791 = and(_T_4785, _T_4790) @[el2_lsu_bus_buffer.scala 605:78] - node _T_4792 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] - node _T_4793 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] - node _T_4794 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] - node _T_4795 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] - node _T_4796 = eq(_T_4794, _T_4795) @[el2_lsu_bus_buffer.scala 605:56] - node _T_4797 = and(_T_4793, _T_4796) @[el2_lsu_bus_buffer.scala 605:38] - node _T_4798 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 605:92] - node _T_4799 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 605:126] - node _T_4800 = and(obuf_merge, _T_4799) @[el2_lsu_bus_buffer.scala 605:114] - node _T_4801 = or(_T_4798, _T_4800) @[el2_lsu_bus_buffer.scala 605:100] - node _T_4802 = eq(_T_4801, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] - node _T_4803 = and(_T_4797, _T_4802) @[el2_lsu_bus_buffer.scala 605:78] - node _T_4804 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] - node _T_4805 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] - node _T_4806 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] - node _T_4807 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] - node _T_4808 = eq(_T_4806, _T_4807) @[el2_lsu_bus_buffer.scala 605:56] - node _T_4809 = and(_T_4805, _T_4808) @[el2_lsu_bus_buffer.scala 605:38] - node _T_4810 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 605:92] - node _T_4811 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 605:126] - node _T_4812 = and(obuf_merge, _T_4811) @[el2_lsu_bus_buffer.scala 605:114] - node _T_4813 = or(_T_4810, _T_4812) @[el2_lsu_bus_buffer.scala 605:100] - node _T_4814 = eq(_T_4813, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] - node _T_4815 = and(_T_4809, _T_4814) @[el2_lsu_bus_buffer.scala 605:78] - node _T_4816 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] - node _T_4817 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] - node _T_4818 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] - node _T_4819 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] - node _T_4820 = eq(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 605:56] - node _T_4821 = and(_T_4817, _T_4820) @[el2_lsu_bus_buffer.scala 605:38] - node _T_4822 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 605:92] - node _T_4823 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 605:126] - node _T_4824 = and(obuf_merge, _T_4823) @[el2_lsu_bus_buffer.scala 605:114] - node _T_4825 = or(_T_4822, _T_4824) @[el2_lsu_bus_buffer.scala 605:100] - node _T_4826 = eq(_T_4825, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] - node _T_4827 = and(_T_4821, _T_4826) @[el2_lsu_bus_buffer.scala 605:78] - node _T_4828 = mux(_T_4780, _T_4791, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4829 = mux(_T_4792, _T_4803, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4830 = mux(_T_4804, _T_4815, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4831 = mux(_T_4816, _T_4827, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4832 = or(_T_4828, _T_4829) @[Mux.scala 27:72] - node _T_4833 = or(_T_4832, _T_4830) @[Mux.scala 27:72] - node _T_4834 = or(_T_4833, _T_4831) @[Mux.scala 27:72] - wire _T_4835 : UInt<1> @[Mux.scala 27:72] - _T_4835 <= _T_4834 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4835 @[el2_lsu_bus_buffer.scala 604:26] - node _T_4836 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 607:54] - node _T_4837 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 607:75] - node _T_4838 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 607:150] - node _T_4839 = mux(_T_4836, _T_4837, _T_4838) @[el2_lsu_bus_buffer.scala 607:39] - node _T_4840 = mux(obuf_write, _T_4839, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 607:23] - bus_cmd_ready <= _T_4840 @[el2_lsu_bus_buffer.scala 607:17] - node _T_4841 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 608:39] - bus_wcmd_sent <= _T_4841 @[el2_lsu_bus_buffer.scala 608:17] - node _T_4842 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 609:39] - bus_wdata_sent <= _T_4842 @[el2_lsu_bus_buffer.scala 609:18] - node _T_4843 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 610:35] - node _T_4844 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 610:70] - node _T_4845 = and(_T_4843, _T_4844) @[el2_lsu_bus_buffer.scala 610:52] - node _T_4846 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 610:111] - node _T_4847 = or(_T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 610:89] - bus_cmd_sent <= _T_4847 @[el2_lsu_bus_buffer.scala 610:16] - node _T_4848 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 611:37] - bus_rsp_read <= _T_4848 @[el2_lsu_bus_buffer.scala 611:16] - node _T_4849 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 612:38] - bus_rsp_write <= _T_4849 @[el2_lsu_bus_buffer.scala 612:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 613:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 614:21] - node _T_4850 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:60] - node _T_4851 = and(bus_rsp_write, _T_4850) @[el2_lsu_bus_buffer.scala 615:40] - bus_rsp_write_error <= _T_4851 @[el2_lsu_bus_buffer.scala 615:23] - node _T_4852 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:58] - node _T_4853 = and(bus_rsp_read, _T_4852) @[el2_lsu_bus_buffer.scala 616:38] - bus_rsp_read_error <= _T_4853 @[el2_lsu_bus_buffer.scala 616:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 617:17] - node _T_4854 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 620:36] - node _T_4855 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 620:51] - node _T_4856 = and(_T_4854, _T_4855) @[el2_lsu_bus_buffer.scala 620:49] - node _T_4857 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 620:68] - node _T_4858 = and(_T_4856, _T_4857) @[el2_lsu_bus_buffer.scala 620:66] - io.lsu_axi_awvalid <= _T_4858 @[el2_lsu_bus_buffer.scala 620:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 621:19] - node _T_4859 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 622:69] - node _T_4860 = cat(_T_4859, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4861 = mux(obuf_sideeffect, obuf_addr, _T_4860) @[el2_lsu_bus_buffer.scala 622:27] - io.lsu_axi_awaddr <= _T_4861 @[el2_lsu_bus_buffer.scala 622:21] - node _T_4862 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4863 = mux(obuf_sideeffect, _T_4862, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 623:27] - io.lsu_axi_awsize <= _T_4863 @[el2_lsu_bus_buffer.scala 623:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 624:21] - node _T_4864 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 625:28] - io.lsu_axi_awcache <= _T_4864 @[el2_lsu_bus_buffer.scala 625:22] - node _T_4865 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 626:35] - io.lsu_axi_awregion <= _T_4865 @[el2_lsu_bus_buffer.scala 626:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 627:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 628:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 629:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:21] - node _T_4866 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 632:35] - node _T_4867 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:50] - node _T_4868 = and(_T_4866, _T_4867) @[el2_lsu_bus_buffer.scala 632:48] - node _T_4869 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:68] - node _T_4870 = and(_T_4868, _T_4869) @[el2_lsu_bus_buffer.scala 632:66] - io.lsu_axi_wvalid <= _T_4870 @[el2_lsu_bus_buffer.scala 632:21] - node _T_4871 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4872 = mux(_T_4871, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4873 = and(obuf_byteen, _T_4872) @[el2_lsu_bus_buffer.scala 633:35] - io.lsu_axi_wstrb <= _T_4873 @[el2_lsu_bus_buffer.scala 633:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 634:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 635:20] - node _T_4874 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:38] - node _T_4875 = and(obuf_valid, _T_4874) @[el2_lsu_bus_buffer.scala 637:36] - node _T_4876 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:52] - node _T_4877 = and(_T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 637:50] - node _T_4878 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:67] - node _T_4879 = and(_T_4877, _T_4878) @[el2_lsu_bus_buffer.scala 637:65] - io.lsu_axi_arvalid <= _T_4879 @[el2_lsu_bus_buffer.scala 637:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 638:19] - node _T_4880 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 639:69] - node _T_4881 = cat(_T_4880, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4882 = mux(obuf_sideeffect, obuf_addr, _T_4881) @[el2_lsu_bus_buffer.scala 639:27] - io.lsu_axi_araddr <= _T_4882 @[el2_lsu_bus_buffer.scala 639:21] - node _T_4883 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4884 = mux(obuf_sideeffect, _T_4883, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 640:27] - io.lsu_axi_arsize <= _T_4884 @[el2_lsu_bus_buffer.scala 640:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 641:21] - node _T_4885 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 642:28] - io.lsu_axi_arcache <= _T_4885 @[el2_lsu_bus_buffer.scala 642:22] - node _T_4886 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 643:35] - io.lsu_axi_arregion <= _T_4886 @[el2_lsu_bus_buffer.scala 643:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 644:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 645:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 646:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 647:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 648:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 649:21] - node _T_4887 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] - node _T_4888 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 650:125] - node _T_4889 = and(io.lsu_bus_clk_en_q, _T_4888) @[el2_lsu_bus_buffer.scala 650:114] - node _T_4890 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 650:140] - node _T_4891 = and(_T_4889, _T_4890) @[el2_lsu_bus_buffer.scala 650:129] - node _T_4892 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] - node _T_4893 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 650:125] - node _T_4894 = and(io.lsu_bus_clk_en_q, _T_4893) @[el2_lsu_bus_buffer.scala 650:114] - node _T_4895 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 650:140] - node _T_4896 = and(_T_4894, _T_4895) @[el2_lsu_bus_buffer.scala 650:129] - node _T_4897 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] - node _T_4898 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 650:125] - node _T_4899 = and(io.lsu_bus_clk_en_q, _T_4898) @[el2_lsu_bus_buffer.scala 650:114] - node _T_4900 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 650:140] - node _T_4901 = and(_T_4899, _T_4900) @[el2_lsu_bus_buffer.scala 650:129] - node _T_4902 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] - node _T_4903 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 650:125] - node _T_4904 = and(io.lsu_bus_clk_en_q, _T_4903) @[el2_lsu_bus_buffer.scala 650:114] - node _T_4905 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 650:140] - node _T_4906 = and(_T_4904, _T_4905) @[el2_lsu_bus_buffer.scala 650:129] - node _T_4907 = mux(_T_4887, _T_4891, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4908 = mux(_T_4892, _T_4896, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4909 = mux(_T_4897, _T_4901, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4910 = mux(_T_4902, _T_4906, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4911 = or(_T_4907, _T_4908) @[Mux.scala 27:72] - node _T_4912 = or(_T_4911, _T_4909) @[Mux.scala 27:72] - node _T_4913 = or(_T_4912, _T_4910) @[Mux.scala 27:72] - wire _T_4914 : UInt<1> @[Mux.scala 27:72] - _T_4914 <= _T_4913 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4914 @[el2_lsu_bus_buffer.scala 650:36] - node _T_4915 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:87] - node _T_4916 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 651:109] - node _T_4917 = and(_T_4915, _T_4916) @[el2_lsu_bus_buffer.scala 651:98] - node _T_4918 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 651:124] - node _T_4919 = and(_T_4917, _T_4918) @[el2_lsu_bus_buffer.scala 651:113] - node _T_4920 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:87] - node _T_4921 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 651:109] - node _T_4922 = and(_T_4920, _T_4921) @[el2_lsu_bus_buffer.scala 651:98] - node _T_4923 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 651:124] - node _T_4924 = and(_T_4922, _T_4923) @[el2_lsu_bus_buffer.scala 651:113] - node _T_4925 = mux(_T_4919, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4926 = mux(_T_4924, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4927 = or(_T_4925, _T_4926) @[Mux.scala 27:72] + node _T_4750 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:6] + node _T_4751 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 602:45] + node _T_4752 = and(_T_4750, _T_4751) @[el2_lsu_bus_buffer.scala 602:27] + node _T_4753 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 602:93] + node _T_4754 = bits(_T_4753, 0, 0) @[Bitwise.scala 72:15] + node _T_4755 = mux(_T_4754, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4756 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 602:124] + node _T_4757 = cat(_T_4755, _T_4756) @[Cat.scala 29:58] + node _T_4758 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 603:21] + node _T_4759 = mux(_T_4735, _T_4737, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4760 = mux(_T_4739, _T_4741, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4761 = mux(_T_4744, _T_4749, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4762 = mux(_T_4752, _T_4757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4763 = mux(_T_4758, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4764 = or(_T_4759, _T_4760) @[Mux.scala 27:72] + node _T_4765 = or(_T_4764, _T_4761) @[Mux.scala 27:72] + node _T_4766 = or(_T_4765, _T_4762) @[Mux.scala 27:72] + node _T_4767 = or(_T_4766, _T_4763) @[Mux.scala 27:72] + wire _T_4768 : UInt<64> @[Mux.scala 27:72] + _T_4768 <= _T_4767 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4768 @[el2_lsu_bus_buffer.scala 599:29] + node _T_4769 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] + node _T_4770 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 604:89] + node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 604:73] + node _T_4772 = and(_T_4771, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] + node _T_4773 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] + node _T_4774 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 604:89] + node _T_4775 = and(_T_4773, _T_4774) @[el2_lsu_bus_buffer.scala 604:73] + node _T_4776 = and(_T_4775, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] + node _T_4777 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] + node _T_4778 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 604:89] + node _T_4779 = and(_T_4777, _T_4778) @[el2_lsu_bus_buffer.scala 604:73] + node _T_4780 = and(_T_4779, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] + node _T_4781 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] + node _T_4782 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 604:89] + node _T_4783 = and(_T_4781, _T_4782) @[el2_lsu_bus_buffer.scala 604:73] + node _T_4784 = and(_T_4783, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] + node _T_4785 = or(_T_4772, _T_4776) @[el2_lsu_bus_buffer.scala 604:141] + node _T_4786 = or(_T_4785, _T_4780) @[el2_lsu_bus_buffer.scala 604:141] + node _T_4787 = or(_T_4786, _T_4784) @[el2_lsu_bus_buffer.scala 604:141] + bus_sideeffect_pend <= _T_4787 @[el2_lsu_bus_buffer.scala 604:23] + node _T_4788 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] + node _T_4789 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] + node _T_4790 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] + node _T_4791 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] + node _T_4792 = eq(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 606:56] + node _T_4793 = and(_T_4789, _T_4792) @[el2_lsu_bus_buffer.scala 606:38] + node _T_4794 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:92] + node _T_4795 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:126] + node _T_4796 = and(obuf_merge, _T_4795) @[el2_lsu_bus_buffer.scala 606:114] + node _T_4797 = or(_T_4794, _T_4796) @[el2_lsu_bus_buffer.scala 606:100] + node _T_4798 = eq(_T_4797, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] + node _T_4799 = and(_T_4793, _T_4798) @[el2_lsu_bus_buffer.scala 606:78] + node _T_4800 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] + node _T_4801 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] + node _T_4802 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] + node _T_4803 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] + node _T_4804 = eq(_T_4802, _T_4803) @[el2_lsu_bus_buffer.scala 606:56] + node _T_4805 = and(_T_4801, _T_4804) @[el2_lsu_bus_buffer.scala 606:38] + node _T_4806 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 606:92] + node _T_4807 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 606:126] + node _T_4808 = and(obuf_merge, _T_4807) @[el2_lsu_bus_buffer.scala 606:114] + node _T_4809 = or(_T_4806, _T_4808) @[el2_lsu_bus_buffer.scala 606:100] + node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] + node _T_4811 = and(_T_4805, _T_4810) @[el2_lsu_bus_buffer.scala 606:78] + node _T_4812 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] + node _T_4813 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] + node _T_4814 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] + node _T_4815 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] + node _T_4816 = eq(_T_4814, _T_4815) @[el2_lsu_bus_buffer.scala 606:56] + node _T_4817 = and(_T_4813, _T_4816) @[el2_lsu_bus_buffer.scala 606:38] + node _T_4818 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 606:92] + node _T_4819 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 606:126] + node _T_4820 = and(obuf_merge, _T_4819) @[el2_lsu_bus_buffer.scala 606:114] + node _T_4821 = or(_T_4818, _T_4820) @[el2_lsu_bus_buffer.scala 606:100] + node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] + node _T_4823 = and(_T_4817, _T_4822) @[el2_lsu_bus_buffer.scala 606:78] + node _T_4824 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] + node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] + node _T_4826 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] + node _T_4827 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] + node _T_4828 = eq(_T_4826, _T_4827) @[el2_lsu_bus_buffer.scala 606:56] + node _T_4829 = and(_T_4825, _T_4828) @[el2_lsu_bus_buffer.scala 606:38] + node _T_4830 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 606:92] + node _T_4831 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 606:126] + node _T_4832 = and(obuf_merge, _T_4831) @[el2_lsu_bus_buffer.scala 606:114] + node _T_4833 = or(_T_4830, _T_4832) @[el2_lsu_bus_buffer.scala 606:100] + node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] + node _T_4835 = and(_T_4829, _T_4834) @[el2_lsu_bus_buffer.scala 606:78] + node _T_4836 = mux(_T_4788, _T_4799, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4837 = mux(_T_4800, _T_4811, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4838 = mux(_T_4812, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4839 = mux(_T_4824, _T_4835, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4840 = or(_T_4836, _T_4837) @[Mux.scala 27:72] + node _T_4841 = or(_T_4840, _T_4838) @[Mux.scala 27:72] + node _T_4842 = or(_T_4841, _T_4839) @[Mux.scala 27:72] + wire _T_4843 : UInt<1> @[Mux.scala 27:72] + _T_4843 <= _T_4842 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4843 @[el2_lsu_bus_buffer.scala 605:26] + node _T_4844 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 608:54] + node _T_4845 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 608:75] + node _T_4846 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 608:150] + node _T_4847 = mux(_T_4844, _T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 608:39] + node _T_4848 = mux(obuf_write, _T_4847, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 608:23] + bus_cmd_ready <= _T_4848 @[el2_lsu_bus_buffer.scala 608:17] + node _T_4849 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 609:39] + bus_wcmd_sent <= _T_4849 @[el2_lsu_bus_buffer.scala 609:17] + node _T_4850 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 610:39] + bus_wdata_sent <= _T_4850 @[el2_lsu_bus_buffer.scala 610:18] + node _T_4851 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 611:35] + node _T_4852 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 611:70] + node _T_4853 = and(_T_4851, _T_4852) @[el2_lsu_bus_buffer.scala 611:52] + node _T_4854 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 611:111] + node _T_4855 = or(_T_4853, _T_4854) @[el2_lsu_bus_buffer.scala 611:89] + bus_cmd_sent <= _T_4855 @[el2_lsu_bus_buffer.scala 611:16] + node _T_4856 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 612:37] + bus_rsp_read <= _T_4856 @[el2_lsu_bus_buffer.scala 612:16] + node _T_4857 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 613:38] + bus_rsp_write <= _T_4857 @[el2_lsu_bus_buffer.scala 613:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 614:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 615:21] + node _T_4858 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:60] + node _T_4859 = and(bus_rsp_write, _T_4858) @[el2_lsu_bus_buffer.scala 616:40] + bus_rsp_write_error <= _T_4859 @[el2_lsu_bus_buffer.scala 616:23] + node _T_4860 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:58] + node _T_4861 = and(bus_rsp_read, _T_4860) @[el2_lsu_bus_buffer.scala 617:38] + bus_rsp_read_error <= _T_4861 @[el2_lsu_bus_buffer.scala 617:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 618:17] + node _T_4862 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 621:36] + node _T_4863 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:51] + node _T_4864 = and(_T_4862, _T_4863) @[el2_lsu_bus_buffer.scala 621:49] + node _T_4865 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:68] + node _T_4866 = and(_T_4864, _T_4865) @[el2_lsu_bus_buffer.scala 621:66] + io.lsu_axi_awvalid <= _T_4866 @[el2_lsu_bus_buffer.scala 621:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 622:19] + node _T_4867 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 623:69] + node _T_4868 = cat(_T_4867, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4869 = mux(obuf_sideeffect, obuf_addr, _T_4868) @[el2_lsu_bus_buffer.scala 623:27] + io.lsu_axi_awaddr <= _T_4869 @[el2_lsu_bus_buffer.scala 623:21] + node _T_4870 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4871 = mux(obuf_sideeffect, _T_4870, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 624:27] + io.lsu_axi_awsize <= _T_4871 @[el2_lsu_bus_buffer.scala 624:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:21] + node _T_4872 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 626:28] + io.lsu_axi_awcache <= _T_4872 @[el2_lsu_bus_buffer.scala 626:22] + node _T_4873 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 627:35] + io.lsu_axi_awregion <= _T_4873 @[el2_lsu_bus_buffer.scala 627:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 628:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 629:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 631:21] + node _T_4874 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 633:35] + node _T_4875 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:50] + node _T_4876 = and(_T_4874, _T_4875) @[el2_lsu_bus_buffer.scala 633:48] + node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:68] + node _T_4878 = and(_T_4876, _T_4877) @[el2_lsu_bus_buffer.scala 633:66] + io.lsu_axi_wvalid <= _T_4878 @[el2_lsu_bus_buffer.scala 633:21] + node _T_4879 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4880 = mux(_T_4879, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4881 = and(obuf_byteen, _T_4880) @[el2_lsu_bus_buffer.scala 634:35] + io.lsu_axi_wstrb <= _T_4881 @[el2_lsu_bus_buffer.scala 634:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 635:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 636:20] + node _T_4882 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:38] + node _T_4883 = and(obuf_valid, _T_4882) @[el2_lsu_bus_buffer.scala 638:36] + node _T_4884 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:52] + node _T_4885 = and(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 638:50] + node _T_4886 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:67] + node _T_4887 = and(_T_4885, _T_4886) @[el2_lsu_bus_buffer.scala 638:65] + io.lsu_axi_arvalid <= _T_4887 @[el2_lsu_bus_buffer.scala 638:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 639:19] + node _T_4888 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 640:69] + node _T_4889 = cat(_T_4888, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4890 = mux(obuf_sideeffect, obuf_addr, _T_4889) @[el2_lsu_bus_buffer.scala 640:27] + io.lsu_axi_araddr <= _T_4890 @[el2_lsu_bus_buffer.scala 640:21] + node _T_4891 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4892 = mux(obuf_sideeffect, _T_4891, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 641:27] + io.lsu_axi_arsize <= _T_4892 @[el2_lsu_bus_buffer.scala 641:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 642:21] + node _T_4893 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 643:28] + io.lsu_axi_arcache <= _T_4893 @[el2_lsu_bus_buffer.scala 643:22] + node _T_4894 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 644:35] + io.lsu_axi_arregion <= _T_4894 @[el2_lsu_bus_buffer.scala 644:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 645:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 646:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 647:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 648:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 649:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 650:21] + node _T_4895 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] + node _T_4896 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 651:125] + node _T_4897 = and(io.lsu_bus_clk_en_q, _T_4896) @[el2_lsu_bus_buffer.scala 651:114] + node _T_4898 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 651:140] + node _T_4899 = and(_T_4897, _T_4898) @[el2_lsu_bus_buffer.scala 651:129] + node _T_4900 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] + node _T_4901 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 651:125] + node _T_4902 = and(io.lsu_bus_clk_en_q, _T_4901) @[el2_lsu_bus_buffer.scala 651:114] + node _T_4903 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 651:140] + node _T_4904 = and(_T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 651:129] + node _T_4905 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] + node _T_4906 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 651:125] + node _T_4907 = and(io.lsu_bus_clk_en_q, _T_4906) @[el2_lsu_bus_buffer.scala 651:114] + node _T_4908 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 651:140] + node _T_4909 = and(_T_4907, _T_4908) @[el2_lsu_bus_buffer.scala 651:129] + node _T_4910 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] + node _T_4911 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 651:125] + node _T_4912 = and(io.lsu_bus_clk_en_q, _T_4911) @[el2_lsu_bus_buffer.scala 651:114] + node _T_4913 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 651:140] + node _T_4914 = and(_T_4912, _T_4913) @[el2_lsu_bus_buffer.scala 651:129] + node _T_4915 = mux(_T_4895, _T_4899, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4916 = mux(_T_4900, _T_4904, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4917 = mux(_T_4905, _T_4909, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4918 = mux(_T_4910, _T_4914, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4919 = or(_T_4915, _T_4916) @[Mux.scala 27:72] + node _T_4920 = or(_T_4919, _T_4917) @[Mux.scala 27:72] + node _T_4921 = or(_T_4920, _T_4918) @[Mux.scala 27:72] + wire _T_4922 : UInt<1> @[Mux.scala 27:72] + _T_4922 <= _T_4921 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4922 @[el2_lsu_bus_buffer.scala 651:36] + node _T_4923 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:87] + node _T_4924 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 652:109] + node _T_4925 = and(_T_4923, _T_4924) @[el2_lsu_bus_buffer.scala 652:98] + node _T_4926 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 652:124] + node _T_4927 = and(_T_4925, _T_4926) @[el2_lsu_bus_buffer.scala 652:113] + node _T_4928 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:87] + node _T_4929 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 652:109] + node _T_4930 = and(_T_4928, _T_4929) @[el2_lsu_bus_buffer.scala 652:98] + node _T_4931 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 652:124] + node _T_4932 = and(_T_4930, _T_4931) @[el2_lsu_bus_buffer.scala 652:113] + node _T_4933 = mux(_T_4927, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4934 = mux(_T_4932, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4935 = or(_T_4933, _T_4934) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4927 @[Mux.scala 27:72] - node _T_4928 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 653:72] - node _T_4929 = and(io.lsu_nonblock_load_data_error, _T_4928) @[el2_lsu_bus_buffer.scala 653:70] - io.lsu_imprecise_error_load_any <= _T_4929 @[el2_lsu_bus_buffer.scala 653:35] - node _T_4930 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4931 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4932 = mux(_T_4930, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4933 = mux(_T_4931, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4934 = or(_T_4932, _T_4933) @[Mux.scala 27:72] - wire _T_4935 : UInt<32> @[Mux.scala 27:72] - _T_4935 <= _T_4934 @[Mux.scala 27:72] - node _T_4936 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4937 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4938 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4939 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4940 = mux(_T_4936, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4941 = mux(_T_4937, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4942 = mux(_T_4938, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4943 = mux(_T_4939, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4944 = or(_T_4940, _T_4941) @[Mux.scala 27:72] - node _T_4945 = or(_T_4944, _T_4942) @[Mux.scala 27:72] - node _T_4946 = or(_T_4945, _T_4943) @[Mux.scala 27:72] - wire _T_4947 : UInt<32> @[Mux.scala 27:72] - _T_4947 <= _T_4946 @[Mux.scala 27:72] - node _T_4948 = mux(io.lsu_imprecise_error_store_any, _T_4935, _T_4947) @[el2_lsu_bus_buffer.scala 654:41] - io.lsu_imprecise_error_addr_any <= _T_4948 @[el2_lsu_bus_buffer.scala 654:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 655:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 657:23] - node _T_4949 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 660:46] - node _T_4950 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 660:89] - node _T_4951 = or(_T_4949, _T_4950) @[el2_lsu_bus_buffer.scala 660:68] - node _T_4952 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 660:132] - node _T_4953 = or(_T_4951, _T_4952) @[el2_lsu_bus_buffer.scala 660:110] - io.lsu_pmu_bus_trxn <= _T_4953 @[el2_lsu_bus_buffer.scala 660:23] - node _T_4954 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 661:48] - node _T_4955 = and(_T_4954, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 661:65] - io.lsu_pmu_bus_misaligned <= _T_4955 @[el2_lsu_bus_buffer.scala 661:29] - node _T_4956 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 662:59] - io.lsu_pmu_bus_error <= _T_4956 @[el2_lsu_bus_buffer.scala 662:24] - node _T_4957 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:48] - node _T_4958 = and(io.lsu_axi_awvalid, _T_4957) @[el2_lsu_bus_buffer.scala 664:46] - node _T_4959 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:92] - node _T_4960 = and(io.lsu_axi_wvalid, _T_4959) @[el2_lsu_bus_buffer.scala 664:90] - node _T_4961 = or(_T_4958, _T_4960) @[el2_lsu_bus_buffer.scala 664:69] - node _T_4962 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:136] - node _T_4963 = and(io.lsu_axi_arvalid, _T_4962) @[el2_lsu_bus_buffer.scala 664:134] - node _T_4964 = or(_T_4961, _T_4963) @[el2_lsu_bus_buffer.scala 664:112] - io.lsu_pmu_bus_busy <= _T_4964 @[el2_lsu_bus_buffer.scala 664:23] - reg _T_4965 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 666:49] - _T_4965 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 666:49] - WrPtr0_r <= _T_4965 @[el2_lsu_bus_buffer.scala 666:12] - reg _T_4966 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 667:49] - _T_4966 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 667:49] - WrPtr1_r <= _T_4966 @[el2_lsu_bus_buffer.scala 667:12] - node _T_4967 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 668:75] - node _T_4968 = and(io.lsu_busreq_m, _T_4967) @[el2_lsu_bus_buffer.scala 668:73] - node _T_4969 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 668:89] - node _T_4970 = and(_T_4968, _T_4969) @[el2_lsu_bus_buffer.scala 668:87] - reg _T_4971 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 668:56] - _T_4971 <= _T_4970 @[el2_lsu_bus_buffer.scala 668:56] - io.lsu_busreq_r <= _T_4971 @[el2_lsu_bus_buffer.scala 668:19] - reg _T_4972 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:66] - _T_4972 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 669:66] - lsu_nonblock_load_valid_r <= _T_4972 @[el2_lsu_bus_buffer.scala 669:29] + lsu_imprecise_error_store_tag <= _T_4935 @[Mux.scala 27:72] + node _T_4936 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 654:72] + node _T_4937 = and(io.lsu_nonblock_load_data_error, _T_4936) @[el2_lsu_bus_buffer.scala 654:70] + io.lsu_imprecise_error_load_any <= _T_4937 @[el2_lsu_bus_buffer.scala 654:35] + node _T_4938 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4939 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4940 = mux(_T_4938, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4941 = mux(_T_4939, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4942 = or(_T_4940, _T_4941) @[Mux.scala 27:72] + wire _T_4943 : UInt<32> @[Mux.scala 27:72] + _T_4943 <= _T_4942 @[Mux.scala 27:72] + node _T_4944 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4945 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4946 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4947 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4948 = mux(_T_4944, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4949 = mux(_T_4945, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4950 = mux(_T_4946, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4951 = mux(_T_4947, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4952 = or(_T_4948, _T_4949) @[Mux.scala 27:72] + node _T_4953 = or(_T_4952, _T_4950) @[Mux.scala 27:72] + node _T_4954 = or(_T_4953, _T_4951) @[Mux.scala 27:72] + wire _T_4955 : UInt<32> @[Mux.scala 27:72] + _T_4955 <= _T_4954 @[Mux.scala 27:72] + node _T_4956 = mux(io.lsu_imprecise_error_store_any, _T_4943, _T_4955) @[el2_lsu_bus_buffer.scala 655:41] + io.lsu_imprecise_error_addr_any <= _T_4956 @[el2_lsu_bus_buffer.scala 655:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 656:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 658:23] + node _T_4957 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 661:46] + node _T_4958 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 661:89] + node _T_4959 = or(_T_4957, _T_4958) @[el2_lsu_bus_buffer.scala 661:68] + node _T_4960 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 661:132] + node _T_4961 = or(_T_4959, _T_4960) @[el2_lsu_bus_buffer.scala 661:110] + io.lsu_pmu_bus_trxn <= _T_4961 @[el2_lsu_bus_buffer.scala 661:23] + node _T_4962 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 662:48] + node _T_4963 = and(_T_4962, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 662:65] + io.lsu_pmu_bus_misaligned <= _T_4963 @[el2_lsu_bus_buffer.scala 662:29] + node _T_4964 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 663:59] + io.lsu_pmu_bus_error <= _T_4964 @[el2_lsu_bus_buffer.scala 663:24] + node _T_4965 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 665:48] + node _T_4966 = and(io.lsu_axi_awvalid, _T_4965) @[el2_lsu_bus_buffer.scala 665:46] + node _T_4967 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 665:92] + node _T_4968 = and(io.lsu_axi_wvalid, _T_4967) @[el2_lsu_bus_buffer.scala 665:90] + node _T_4969 = or(_T_4966, _T_4968) @[el2_lsu_bus_buffer.scala 665:69] + node _T_4970 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 665:136] + node _T_4971 = and(io.lsu_axi_arvalid, _T_4970) @[el2_lsu_bus_buffer.scala 665:134] + node _T_4972 = or(_T_4969, _T_4971) @[el2_lsu_bus_buffer.scala 665:112] + io.lsu_pmu_bus_busy <= _T_4972 @[el2_lsu_bus_buffer.scala 665:23] + reg _T_4973 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 667:49] + _T_4973 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 667:49] + WrPtr0_r <= _T_4973 @[el2_lsu_bus_buffer.scala 667:12] + reg _T_4974 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 668:49] + _T_4974 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 668:49] + WrPtr1_r <= _T_4974 @[el2_lsu_bus_buffer.scala 668:12] + node _T_4975 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 669:75] + node _T_4976 = and(io.lsu_busreq_m, _T_4975) @[el2_lsu_bus_buffer.scala 669:73] + node _T_4977 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 669:89] + node _T_4978 = and(_T_4976, _T_4977) @[el2_lsu_bus_buffer.scala 669:87] + reg _T_4979 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:56] + _T_4979 <= _T_4978 @[el2_lsu_bus_buffer.scala 669:56] + io.lsu_busreq_r <= _T_4979 @[el2_lsu_bus_buffer.scala 669:19] + reg _T_4980 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 670:66] + _T_4980 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 670:66] + lsu_nonblock_load_valid_r <= _T_4980 @[el2_lsu_bus_buffer.scala 670:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 98a2bec3..ffbb6cb5 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -312,11 +312,11 @@ module el2_lsu_bus_buffer( wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 134:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] - reg _T_4348; // @[Reg.scala 27:20] - reg _T_4345; // @[Reg.scala 27:20] - reg _T_4342; // @[Reg.scala 27:20] - reg _T_4339; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4348,_T_4345,_T_4342,_T_4339}; // @[Cat.scala 29:58] + reg _T_4356; // @[Reg.scala 27:20] + reg _T_4353; // @[Reg.scala 27:20] + reg _T_4350; // @[Reg.scala 27:20] + reg _T_4347; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4356,_T_4353,_T_4350,_T_4347}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 136:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] @@ -359,99 +359,99 @@ module el2_lsu_bus_buffer( wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 137:98] wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 137:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] - reg [2:0] _T_4375; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4375}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] + reg [2:0] _T_4383; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4373; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4373}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] + reg [2:0] _T_4381; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4381}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4371; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4371}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] + reg [2:0] _T_4379; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4379}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4369; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4369}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] + reg [2:0] _T_4377; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4377}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 550:60] - wire _T_2610 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] - wire _T_4095 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4118 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4122 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] - reg [1:0] _T_1853; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1853}; // @[el2_lsu_bus_buffer.scala 408:13] - wire _T_4129 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 505:48] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2618 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] + wire _T_4103 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4126 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4130 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1861; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 409:13] + wire _T_4137 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 506:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 505:104] - wire _T_4130 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 505:104] - wire _T_4131 = obuf_merge & _T_4130; // @[el2_lsu_bus_buffer.scala 505:91] - wire _T_4132 = _T_4129 | _T_4131; // @[el2_lsu_bus_buffer.scala 505:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 402:54] - wire _T_4133 = _T_4132 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 401:55] - wire _T_4134 = _T_4133 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] - wire _GEN_280 = _T_4122 & _T_4134; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_4118 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_4095 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2611 = _T_2610 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2612 = buf_ageQ_3[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2606 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] - wire _T_3902 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3925 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3929 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3936 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 505:48] - wire _T_3937 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 505:104] - wire _T_3938 = obuf_merge & _T_3937; // @[el2_lsu_bus_buffer.scala 505:91] - wire _T_3939 = _T_3936 | _T_3938; // @[el2_lsu_bus_buffer.scala 505:77] - wire _T_3940 = _T_3939 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] - wire _T_3941 = _T_3940 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] - wire _GEN_204 = _T_3929 & _T_3941; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3925 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3902 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2608 = buf_ageQ_3[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2602 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] - wire _T_3709 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3732 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3736 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3743 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 505:48] - wire _T_3744 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 505:104] - wire _T_3745 = obuf_merge & _T_3744; // @[el2_lsu_bus_buffer.scala 505:91] - wire _T_3746 = _T_3743 | _T_3745; // @[el2_lsu_bus_buffer.scala 505:77] - wire _T_3747 = _T_3746 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] - wire _T_3748 = _T_3747 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] - wire _GEN_128 = _T_3736 & _T_3748; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3732 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3709 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2603 = _T_2602 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2604 = buf_ageQ_3[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2598 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] - wire _T_3516 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3539 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3543 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3550 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 505:48] - wire _T_3551 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 505:104] - wire _T_3552 = obuf_merge & _T_3551; // @[el2_lsu_bus_buffer.scala 505:91] - wire _T_3553 = _T_3550 | _T_3552; // @[el2_lsu_bus_buffer.scala 505:77] - wire _T_3554 = _T_3553 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] - wire _T_3555 = _T_3554 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] - wire _GEN_52 = _T_3543 & _T_3555; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3539 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3516 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2599 = _T_2598 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2600 = buf_ageQ_3[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] - wire [3:0] buf_age_3 = {_T_2612,_T_2608,_T_2604,_T_2600}; // @[Cat.scala 29:58] - wire _T_2711 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2713 = _T_2711 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2705 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2707 = _T_2705 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2699 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2701 = _T_2699 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2713,_T_2707,_T_2701}; // @[Cat.scala 29:58] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_4138 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_4139 = obuf_merge & _T_4138; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_4140 = _T_4137 | _T_4139; // @[el2_lsu_bus_buffer.scala 506:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 403:54] + wire _T_4141 = _T_4140 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 402:55] + wire _T_4142 = _T_4141 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _GEN_280 = _T_4130 & _T_4142; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4126 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2619 = _T_2618 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 464:104] + wire _T_2620 = buf_ageQ_3[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2614 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] + wire _T_3910 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3933 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3937 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3944 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 506:48] + wire _T_3945 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_3946 = obuf_merge & _T_3945; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_3947 = _T_3944 | _T_3946; // @[el2_lsu_bus_buffer.scala 506:77] + wire _T_3948 = _T_3947 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + wire _T_3949 = _T_3948 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _GEN_204 = _T_3937 & _T_3949; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3933 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2615 = _T_2614 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 464:104] + wire _T_2616 = buf_ageQ_3[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2610 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] + wire _T_3717 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3740 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3744 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3751 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 506:48] + wire _T_3752 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_3753 = obuf_merge & _T_3752; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_3754 = _T_3751 | _T_3753; // @[el2_lsu_bus_buffer.scala 506:77] + wire _T_3755 = _T_3754 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + wire _T_3756 = _T_3755 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _GEN_128 = _T_3744 & _T_3756; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3740 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2611 = _T_2610 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 464:104] + wire _T_2612 = buf_ageQ_3[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2606 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] + wire _T_3524 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3547 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3551 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3558 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 506:48] + wire _T_3559 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_3560 = obuf_merge & _T_3559; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_3561 = _T_3558 | _T_3560; // @[el2_lsu_bus_buffer.scala 506:77] + wire _T_3562 = _T_3561 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + wire _T_3563 = _T_3562 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _GEN_52 = _T_3551 & _T_3563; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3547 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 464:104] + wire _T_2608 = buf_ageQ_3[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_3 = {_T_2620,_T_2616,_T_2612,_T_2608}; // @[Cat.scala 29:58] + wire _T_2719 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2721 = _T_2719 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2713 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2715 = _T_2713 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2707 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2709 = _T_2707 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2721,_T_2715,_T_2709}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 204:99] @@ -460,7 +460,7 @@ module el2_lsu_bus_buffer( wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 210:51] reg ibuf_write; // @[Reg.scala 27:20] wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 210:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 294:24] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 295:24] wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 210:86] wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 210:99] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] @@ -469,55 +469,55 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 204:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 550:60] - wire _T_2593 = buf_ageQ_2[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2589 = buf_ageQ_2[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2585 = buf_ageQ_2[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2581 = buf_ageQ_2[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] - wire [3:0] buf_age_2 = {_T_2593,_T_2589,_T_2585,_T_2581}; // @[Cat.scala 29:58] - wire _T_2690 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2692 = _T_2690 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2678 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2680 = _T_2678 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2672 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2674 = _T_2672 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] - wire [3:0] buf_age_younger_2 = {_T_2692,1'h0,_T_2680,_T_2674}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2601 = buf_ageQ_2[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2597 = buf_ageQ_2[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2593 = buf_ageQ_2[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2589 = buf_ageQ_2[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_2 = {_T_2601,_T_2597,_T_2593,_T_2589}; // @[Cat.scala 29:58] + wire _T_2698 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2700 = _T_2698 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2686 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2688 = _T_2686 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2680 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2682 = _T_2680 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_2 = {_T_2700,1'h0,_T_2688,_T_2682}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 204:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 204:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 550:60] - wire _T_2574 = buf_ageQ_1[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2570 = buf_ageQ_1[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2566 = buf_ageQ_1[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2562 = buf_ageQ_1[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] - wire [3:0] buf_age_1 = {_T_2574,_T_2570,_T_2566,_T_2562}; // @[Cat.scala 29:58] - wire _T_2663 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2665 = _T_2663 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2657 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2659 = _T_2657 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2645 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2647 = _T_2645 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] - wire [3:0] buf_age_younger_1 = {_T_2665,_T_2659,1'h0,_T_2647}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2582 = buf_ageQ_1[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2578 = buf_ageQ_1[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2574 = buf_ageQ_1[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2570 = buf_ageQ_1[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_1 = {_T_2582,_T_2578,_T_2574,_T_2570}; // @[Cat.scala 29:58] + wire _T_2671 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2673 = _T_2671 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2665 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2667 = _T_2665 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2653 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2655 = _T_2653 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_1 = {_T_2673,_T_2667,1'h0,_T_2655}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 204:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 204:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 550:60] - wire _T_2555 = buf_ageQ_0[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2551 = buf_ageQ_0[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2547 = buf_ageQ_0[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2543 = buf_ageQ_0[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] - wire [3:0] buf_age_0 = {_T_2555,_T_2551,_T_2547,_T_2543}; // @[Cat.scala 29:58] - wire _T_2636 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2638 = _T_2636 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2630 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2632 = _T_2630 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2624 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2626 = _T_2624 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] - wire [3:0] buf_age_younger_0 = {_T_2638,_T_2632,_T_2626,1'h0}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2563 = buf_ageQ_0[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2559 = buf_ageQ_0[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2555 = buf_ageQ_0[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2551 = buf_ageQ_0[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_0 = {_T_2563,_T_2559,_T_2555,_T_2551}; // @[Cat.scala 29:58] + wire _T_2644 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2646 = _T_2644 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2638 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2640 = _T_2638 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2632 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2634 = _T_2632 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_0 = {_T_2646,_T_2640,_T_2634,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 204:99] @@ -927,19 +927,19 @@ module el2_lsu_bus_buffer( wire _T_846 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 263:56] wire ibuf_wr_en = _T_845 & _T_846; // @[el2_lsu_bus_buffer.scala 263:54] wire _T_847 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 265:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 307:59] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 308:59] wire _T_856 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 271:62] wire _T_857 = ibuf_wr_en | _T_856; // @[el2_lsu_bus_buffer.scala 271:48] - wire _T_913 = _T_845 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 289:54] - wire _T_914 = _T_913 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 289:75] - wire _T_915 = _T_914 & ibuf_write; // @[el2_lsu_bus_buffer.scala 289:88] - wire _T_918 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 289:124] - wire _T_919 = _T_915 & _T_918; // @[el2_lsu_bus_buffer.scala 289:101] - wire _T_920 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 289:147] - wire _T_921 = _T_919 & _T_920; // @[el2_lsu_bus_buffer.scala 289:145] - wire _T_922 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 289:170] - wire ibuf_merge_en = _T_921 & _T_922; // @[el2_lsu_bus_buffer.scala 289:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 290:20] + wire _T_921 = _T_845 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 290:54] + wire _T_922 = _T_921 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 290:75] + wire _T_923 = _T_922 & ibuf_write; // @[el2_lsu_bus_buffer.scala 290:88] + wire _T_926 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 290:124] + wire _T_927 = _T_923 & _T_926; // @[el2_lsu_bus_buffer.scala 290:101] + wire _T_928 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 290:147] + wire _T_929 = _T_927 & _T_928; // @[el2_lsu_bus_buffer.scala 290:145] + wire _T_930 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 290:170] + wire ibuf_merge_en = _T_929 & _T_930; // @[el2_lsu_bus_buffer.scala 290:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 291:20] wire _T_858 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 271:98] wire _T_859 = ~_T_858; // @[el2_lsu_bus_buffer.scala 271:82] wire _T_860 = _T_857 & _T_859; // @[el2_lsu_bus_buffer.scala 271:80] @@ -959,1550 +959,1554 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_866; // @[el2_lsu_bus_buffer.scala 271:32] wire _T_848 = ibuf_drain_vld & _T_847; // @[el2_lsu_bus_buffer.scala 265:34] wire ibuf_rst = _T_848 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 265:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 667:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 666:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 668:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 667:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_873 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 281:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] wire [7:0] _T_881 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_883 = _T_858 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 285:46] - wire [7:0] _T_888 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_890 = _T_858 ? _T_888 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 285:46] - wire [7:0] _T_895 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_897 = _T_858 ? _T_895 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 285:46] - wire [7:0] _T_902 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_904 = _T_858 ? _T_902 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 285:46] - wire [23:0] _T_906 = {_T_904,_T_897,_T_890}; // @[Cat.scala 29:58] - wire _T_907 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 287:59] - wire [2:0] _T_910 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 287:93] - wire _T_925 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 291:65] - wire _T_926 = ibuf_merge_en & _T_925; // @[el2_lsu_bus_buffer.scala 291:63] - wire _T_929 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 291:96] - wire _T_931 = _T_926 ? _T_929 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 291:48] - wire _T_936 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 291:96] - wire _T_938 = _T_926 ? _T_936 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 291:48] - wire _T_943 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 291:96] - wire _T_945 = _T_926 ? _T_943 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 291:48] - wire _T_950 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 291:96] - wire _T_952 = _T_926 ? _T_950 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 291:48] - wire [3:0] ibuf_byteen_out = {_T_952,_T_945,_T_938,_T_931}; // @[Cat.scala 29:58] - wire [7:0] _T_962 = _T_926 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 292:45] - wire [7:0] _T_970 = _T_926 ? _T_888 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 292:45] - wire [7:0] _T_978 = _T_926 ? _T_895 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 292:45] - wire [7:0] _T_986 = _T_926 ? _T_902 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 292:45] - wire [31:0] ibuf_data_out = {_T_986,_T_978,_T_970,_T_962}; // @[Cat.scala 29:58] - wire _T_989 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 294:28] - wire _T_990 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 294:63] - wire _T_995 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 295:89] + wire [7:0] _T_884 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_885 = _T_858 ? _T_881 : _T_884; // @[el2_lsu_bus_buffer.scala 285:46] + wire [7:0] _T_890 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 286:8] + wire [7:0] _T_893 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_894 = _T_858 ? _T_890 : _T_893; // @[el2_lsu_bus_buffer.scala 285:46] + wire [7:0] _T_899 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 286:8] + wire [7:0] _T_902 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_903 = _T_858 ? _T_899 : _T_902; // @[el2_lsu_bus_buffer.scala 285:46] + wire [7:0] _T_908 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 286:8] + wire [7:0] _T_911 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_912 = _T_858 ? _T_908 : _T_911; // @[el2_lsu_bus_buffer.scala 285:46] + wire [23:0] _T_914 = {_T_912,_T_903,_T_894}; // @[Cat.scala 29:58] + wire _T_915 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 288:59] + wire [2:0] _T_918 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 288:93] + wire _T_933 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 292:65] + wire _T_934 = ibuf_merge_en & _T_933; // @[el2_lsu_bus_buffer.scala 292:63] + wire _T_937 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 292:96] + wire _T_939 = _T_934 ? _T_937 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 292:48] + wire _T_944 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 292:96] + wire _T_946 = _T_934 ? _T_944 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 292:48] + wire _T_951 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 292:96] + wire _T_953 = _T_934 ? _T_951 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 292:48] + wire _T_958 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 292:96] + wire _T_960 = _T_934 ? _T_958 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 292:48] + wire [3:0] ibuf_byteen_out = {_T_960,_T_953,_T_946,_T_939}; // @[Cat.scala 29:58] + wire [7:0] _T_970 = _T_934 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 293:45] + wire [7:0] _T_978 = _T_934 ? _T_890 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 293:45] + wire [7:0] _T_986 = _T_934 ? _T_899 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 293:45] + wire [7:0] _T_994 = _T_934 ? _T_908 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 293:45] + wire [31:0] ibuf_data_out = {_T_994,_T_986,_T_978,_T_970}; // @[Cat.scala 29:58] + wire _T_997 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 295:28] + wire _T_998 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 295:63] + wire _T_1003 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 296:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4429 = buf_write[3] & _T_2610; // @[el2_lsu_bus_buffer.scala 572:64] - wire _T_4430 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 572:91] - wire _T_4431 = _T_4429 & _T_4430; // @[el2_lsu_bus_buffer.scala 572:89] - wire _T_4424 = buf_write[2] & _T_2606; // @[el2_lsu_bus_buffer.scala 572:64] - wire _T_4425 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 572:91] - wire _T_4426 = _T_4424 & _T_4425; // @[el2_lsu_bus_buffer.scala 572:89] - wire [1:0] _T_4432 = _T_4431 + _T_4426; // @[el2_lsu_bus_buffer.scala 572:142] - wire _T_4419 = buf_write[1] & _T_2602; // @[el2_lsu_bus_buffer.scala 572:64] - wire _T_4420 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 572:91] - wire _T_4421 = _T_4419 & _T_4420; // @[el2_lsu_bus_buffer.scala 572:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4421}; // @[el2_lsu_bus_buffer.scala 572:142] - wire [2:0] _T_4433 = _T_4432 + _GEN_362; // @[el2_lsu_bus_buffer.scala 572:142] - wire _T_4414 = buf_write[0] & _T_2598; // @[el2_lsu_bus_buffer.scala 572:64] - wire _T_4415 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 572:91] - wire _T_4416 = _T_4414 & _T_4415; // @[el2_lsu_bus_buffer.scala 572:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4416}; // @[el2_lsu_bus_buffer.scala 572:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4433 + _GEN_363; // @[el2_lsu_bus_buffer.scala 572:142] - wire _T_1021 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 318:43] - wire _T_4446 = _T_2610 & _T_4430; // @[el2_lsu_bus_buffer.scala 573:73] - wire _T_4443 = _T_2606 & _T_4425; // @[el2_lsu_bus_buffer.scala 573:73] - wire [1:0] _T_4447 = _T_4446 + _T_4443; // @[el2_lsu_bus_buffer.scala 573:126] - wire _T_4440 = _T_2602 & _T_4420; // @[el2_lsu_bus_buffer.scala 573:73] - wire [1:0] _GEN_364 = {{1'd0}, _T_4440}; // @[el2_lsu_bus_buffer.scala 573:126] - wire [2:0] _T_4448 = _T_4447 + _GEN_364; // @[el2_lsu_bus_buffer.scala 573:126] - wire _T_4437 = _T_2598 & _T_4415; // @[el2_lsu_bus_buffer.scala 573:73] - wire [2:0] _GEN_365 = {{2'd0}, _T_4437}; // @[el2_lsu_bus_buffer.scala 573:126] - wire [3:0] buf_numvld_cmd_any = _T_4448 + _GEN_365; // @[el2_lsu_bus_buffer.scala 573:126] - wire _T_1022 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 318:72] - wire _T_1023 = _T_1021 & _T_1022; // @[el2_lsu_bus_buffer.scala 318:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 417:54] - wire _T_1024 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 318:97] - wire _T_1025 = _T_1023 & _T_1024; // @[el2_lsu_bus_buffer.scala 318:80] - wire _T_1027 = _T_1025 & _T_922; // @[el2_lsu_bus_buffer.scala 318:114] + wire _T_4437 = buf_write[3] & _T_2618; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4438 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4439 = _T_4437 & _T_4438; // @[el2_lsu_bus_buffer.scala 573:89] + wire _T_4432 = buf_write[2] & _T_2614; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4433 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4434 = _T_4432 & _T_4433; // @[el2_lsu_bus_buffer.scala 573:89] + wire [1:0] _T_4440 = _T_4439 + _T_4434; // @[el2_lsu_bus_buffer.scala 573:142] + wire _T_4427 = buf_write[1] & _T_2610; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4428 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4429 = _T_4427 & _T_4428; // @[el2_lsu_bus_buffer.scala 573:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4429}; // @[el2_lsu_bus_buffer.scala 573:142] + wire [2:0] _T_4441 = _T_4440 + _GEN_362; // @[el2_lsu_bus_buffer.scala 573:142] + wire _T_4422 = buf_write[0] & _T_2606; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4423 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 573:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4424}; // @[el2_lsu_bus_buffer.scala 573:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4441 + _GEN_363; // @[el2_lsu_bus_buffer.scala 573:142] + wire _T_1029 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:43] + wire _T_4454 = _T_2618 & _T_4438; // @[el2_lsu_bus_buffer.scala 574:73] + wire _T_4451 = _T_2614 & _T_4433; // @[el2_lsu_bus_buffer.scala 574:73] + wire [1:0] _T_4455 = _T_4454 + _T_4451; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4448 = _T_2610 & _T_4428; // @[el2_lsu_bus_buffer.scala 574:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4448}; // @[el2_lsu_bus_buffer.scala 574:126] + wire [2:0] _T_4456 = _T_4455 + _GEN_364; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4445 = _T_2606 & _T_4423; // @[el2_lsu_bus_buffer.scala 574:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4445}; // @[el2_lsu_bus_buffer.scala 574:126] + wire [3:0] buf_numvld_cmd_any = _T_4456 + _GEN_365; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_1030 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:72] + wire _T_1031 = _T_1029 & _T_1030; // @[el2_lsu_bus_buffer.scala 319:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 418:54] + wire _T_1032 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 319:97] + wire _T_1033 = _T_1031 & _T_1032; // @[el2_lsu_bus_buffer.scala 319:80] + wire _T_1035 = _T_1033 & _T_930; // @[el2_lsu_bus_buffer.scala 319:114] reg buf_nomerge_0; // @[Reg.scala 27:20] reg buf_nomerge_1; // @[Reg.scala 27:20] reg buf_nomerge_2; // @[Reg.scala 27:20] reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_1040 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 319:31] - wire _T_1041 = _T_1027 & _T_1040; // @[el2_lsu_bus_buffer.scala 319:29] - reg _T_4318; // @[Reg.scala 27:20] - reg _T_4315; // @[Reg.scala 27:20] - reg _T_4312; // @[Reg.scala 27:20] - reg _T_4309; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4318,_T_4315,_T_4312,_T_4309}; // @[Cat.scala 29:58] - wire _T_1058 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 320:5] - wire _T_1059 = _T_1041 & _T_1058; // @[el2_lsu_bus_buffer.scala 319:140] - wire _T_1070 = _T_850 & _T_844; // @[el2_lsu_bus_buffer.scala 322:58] - wire _T_1072 = _T_1070 & _T_1022; // @[el2_lsu_bus_buffer.scala 322:72] - wire _T_1090 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 322:123] - wire obuf_force_wr_en = _T_1072 & _T_1090; // @[el2_lsu_bus_buffer.scala 322:101] - wire _T_1060 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 320:119] - wire obuf_wr_wait = _T_1059 & _T_1060; // @[el2_lsu_bus_buffer.scala 320:117] - wire _T_1061 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 321:75] - wire _T_1062 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 321:95] - wire _T_1063 = _T_1061 & _T_1062; // @[el2_lsu_bus_buffer.scala 321:79] - wire [2:0] _T_1065 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 321:121] - wire _T_4465 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] - wire _T_4469 = _T_4465 | _T_4446; // @[el2_lsu_bus_buffer.scala 574:74] - wire _T_4460 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] - wire _T_4464 = _T_4460 | _T_4443; // @[el2_lsu_bus_buffer.scala 574:74] - wire [1:0] _T_4470 = _T_4469 + _T_4464; // @[el2_lsu_bus_buffer.scala 574:154] - wire _T_4455 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] - wire _T_4459 = _T_4455 | _T_4440; // @[el2_lsu_bus_buffer.scala 574:74] - wire [1:0] _GEN_366 = {{1'd0}, _T_4459}; // @[el2_lsu_bus_buffer.scala 574:154] - wire [2:0] _T_4471 = _T_4470 + _GEN_366; // @[el2_lsu_bus_buffer.scala 574:154] - wire _T_4450 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] - wire _T_4454 = _T_4450 | _T_4437; // @[el2_lsu_bus_buffer.scala 574:74] - wire [2:0] _GEN_367 = {{2'd0}, _T_4454}; // @[el2_lsu_bus_buffer.scala 574:154] - wire [3:0] buf_numvld_pend_any = _T_4471 + _GEN_367; // @[el2_lsu_bus_buffer.scala 574:154] - wire _T_1092 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 324:53] - wire _T_1093 = ibuf_byp & _T_1092; // @[el2_lsu_bus_buffer.scala 324:31] - wire _T_1094 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 324:64] - wire _T_1095 = _T_1094 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 324:84] - wire ibuf_buf_byp = _T_1093 & _T_1095; // @[el2_lsu_bus_buffer.scala 324:61] - wire _T_1096 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 339:32] - wire _T_4761 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] - wire _T_4763 = _T_4761 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 603:73] - wire _T_4764 = _T_4763 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] - wire _T_4765 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] - wire _T_4767 = _T_4765 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 603:73] - wire _T_4768 = _T_4767 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] - wire _T_4777 = _T_4764 | _T_4768; // @[el2_lsu_bus_buffer.scala 603:141] - wire _T_4769 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] - wire _T_4771 = _T_4769 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 603:73] - wire _T_4772 = _T_4771 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] - wire _T_4778 = _T_4777 | _T_4772; // @[el2_lsu_bus_buffer.scala 603:141] - wire _T_4773 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] - wire _T_4775 = _T_4773 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 603:73] - wire _T_4776 = _T_4775 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] - wire bus_sideeffect_pend = _T_4778 | _T_4776; // @[el2_lsu_bus_buffer.scala 603:141] - wire _T_1097 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 339:74] - wire _T_1098 = ~_T_1097; // @[el2_lsu_bus_buffer.scala 339:52] - wire _T_1099 = _T_1096 & _T_1098; // @[el2_lsu_bus_buffer.scala 339:50] - wire _T_1983 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 429:58] - wire _T_1984 = ~_T_1983; // @[el2_lsu_bus_buffer.scala 429:45] - wire _T_1986 = _T_1984 & _T_2610; // @[el2_lsu_bus_buffer.scala 429:63] - wire _T_1988 = _T_1986 & _T_4430; // @[el2_lsu_bus_buffer.scala 429:88] - wire _T_1977 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 429:58] - wire _T_1978 = ~_T_1977; // @[el2_lsu_bus_buffer.scala 429:45] - wire _T_1980 = _T_1978 & _T_2606; // @[el2_lsu_bus_buffer.scala 429:63] - wire _T_1982 = _T_1980 & _T_4425; // @[el2_lsu_bus_buffer.scala 429:88] - wire _T_1971 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 429:58] - wire _T_1972 = ~_T_1971; // @[el2_lsu_bus_buffer.scala 429:45] - wire _T_1974 = _T_1972 & _T_2602; // @[el2_lsu_bus_buffer.scala 429:63] - wire _T_1976 = _T_1974 & _T_4420; // @[el2_lsu_bus_buffer.scala 429:88] - wire _T_1965 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 429:58] - wire _T_1966 = ~_T_1965; // @[el2_lsu_bus_buffer.scala 429:45] - wire _T_1968 = _T_1966 & _T_2598; // @[el2_lsu_bus_buffer.scala 429:63] - wire _T_1970 = _T_1968 & _T_4415; // @[el2_lsu_bus_buffer.scala 429:88] - wire [3:0] CmdPtr0Dec = {_T_1988,_T_1982,_T_1976,_T_1970}; // @[Cat.scala 29:58] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 434:31] - wire _T_1113 = _T_2598 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 340:47] - wire [3:0] _T_1116 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] - wire _T_1133 = ~_T_1116[0]; // @[el2_lsu_bus_buffer.scala 341:23] - wire _T_1134 = _T_1113 & _T_1133; // @[el2_lsu_bus_buffer.scala 341:21] - wire _T_1151 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 341:141] - wire _T_1152 = ~_T_1151; // @[el2_lsu_bus_buffer.scala 341:105] - wire _T_1153 = _T_1134 & _T_1152; // @[el2_lsu_bus_buffer.scala 341:103] + wire _T_1048 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 320:31] + wire _T_1049 = _T_1035 & _T_1048; // @[el2_lsu_bus_buffer.scala 320:29] + reg _T_4326; // @[Reg.scala 27:20] + reg _T_4323; // @[Reg.scala 27:20] + reg _T_4320; // @[Reg.scala 27:20] + reg _T_4317; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4326,_T_4323,_T_4320,_T_4317}; // @[Cat.scala 29:58] + wire _T_1066 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 321:5] + wire _T_1067 = _T_1049 & _T_1066; // @[el2_lsu_bus_buffer.scala 320:140] + wire _T_1078 = _T_850 & _T_844; // @[el2_lsu_bus_buffer.scala 323:58] + wire _T_1080 = _T_1078 & _T_1030; // @[el2_lsu_bus_buffer.scala 323:72] + wire _T_1098 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 323:123] + wire obuf_force_wr_en = _T_1080 & _T_1098; // @[el2_lsu_bus_buffer.scala 323:101] + wire _T_1068 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 321:119] + wire obuf_wr_wait = _T_1067 & _T_1068; // @[el2_lsu_bus_buffer.scala 321:117] + wire _T_1069 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 322:75] + wire _T_1070 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 322:95] + wire _T_1071 = _T_1069 & _T_1070; // @[el2_lsu_bus_buffer.scala 322:79] + wire [2:0] _T_1073 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 322:121] + wire _T_4473 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4477 = _T_4473 | _T_4454; // @[el2_lsu_bus_buffer.scala 575:74] + wire _T_4468 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4472 = _T_4468 | _T_4451; // @[el2_lsu_bus_buffer.scala 575:74] + wire [1:0] _T_4478 = _T_4477 + _T_4472; // @[el2_lsu_bus_buffer.scala 575:154] + wire _T_4463 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4467 = _T_4463 | _T_4448; // @[el2_lsu_bus_buffer.scala 575:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4467}; // @[el2_lsu_bus_buffer.scala 575:154] + wire [2:0] _T_4479 = _T_4478 + _GEN_366; // @[el2_lsu_bus_buffer.scala 575:154] + wire _T_4458 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4462 = _T_4458 | _T_4445; // @[el2_lsu_bus_buffer.scala 575:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4462}; // @[el2_lsu_bus_buffer.scala 575:154] + wire [3:0] buf_numvld_pend_any = _T_4479 + _GEN_367; // @[el2_lsu_bus_buffer.scala 575:154] + wire _T_1100 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 325:53] + wire _T_1101 = ibuf_byp & _T_1100; // @[el2_lsu_bus_buffer.scala 325:31] + wire _T_1102 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 325:64] + wire _T_1103 = _T_1102 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 325:84] + wire ibuf_buf_byp = _T_1101 & _T_1103; // @[el2_lsu_bus_buffer.scala 325:61] + wire _T_1104 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 340:32] + wire _T_4769 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] + wire _T_4771 = _T_4769 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 604:73] + wire _T_4772 = _T_4771 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] + wire _T_4773 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] + wire _T_4775 = _T_4773 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 604:73] + wire _T_4776 = _T_4775 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] + wire _T_4785 = _T_4772 | _T_4776; // @[el2_lsu_bus_buffer.scala 604:141] + wire _T_4777 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] + wire _T_4779 = _T_4777 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 604:73] + wire _T_4780 = _T_4779 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] + wire _T_4786 = _T_4785 | _T_4780; // @[el2_lsu_bus_buffer.scala 604:141] + wire _T_4781 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] + wire _T_4783 = _T_4781 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 604:73] + wire _T_4784 = _T_4783 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] + wire bus_sideeffect_pend = _T_4786 | _T_4784; // @[el2_lsu_bus_buffer.scala 604:141] + wire _T_1105 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 340:74] + wire _T_1106 = ~_T_1105; // @[el2_lsu_bus_buffer.scala 340:52] + wire _T_1107 = _T_1104 & _T_1106; // @[el2_lsu_bus_buffer.scala 340:50] + wire _T_1991 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 430:58] + wire _T_1992 = ~_T_1991; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_1994 = _T_1992 & _T_2618; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1996 = _T_1994 & _T_4438; // @[el2_lsu_bus_buffer.scala 430:88] + wire _T_1985 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 430:58] + wire _T_1986 = ~_T_1985; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_1988 = _T_1986 & _T_2614; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1990 = _T_1988 & _T_4433; // @[el2_lsu_bus_buffer.scala 430:88] + wire _T_1979 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 430:58] + wire _T_1980 = ~_T_1979; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_1982 = _T_1980 & _T_2610; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1984 = _T_1982 & _T_4428; // @[el2_lsu_bus_buffer.scala 430:88] + wire _T_1973 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 430:58] + wire _T_1974 = ~_T_1973; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_1976 = _T_1974 & _T_2606; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1978 = _T_1976 & _T_4423; // @[el2_lsu_bus_buffer.scala 430:88] + wire [3:0] CmdPtr0Dec = {_T_1996,_T_1990,_T_1984,_T_1978}; // @[Cat.scala 29:58] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 435:31] + wire _T_1121 = _T_2606 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 341:47] + wire [3:0] _T_1124 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1141 = ~_T_1124[0]; // @[el2_lsu_bus_buffer.scala 342:23] + wire _T_1142 = _T_1121 & _T_1141; // @[el2_lsu_bus_buffer.scala 342:21] + wire _T_1159 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 342:141] + wire _T_1160 = ~_T_1159; // @[el2_lsu_bus_buffer.scala 342:105] + wire _T_1161 = _T_1142 & _T_1160; // @[el2_lsu_bus_buffer.scala 342:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] reg buf_dual_0; // @[Reg.scala 27:20] - wire [3:0] _T_1156 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire [3:0] _T_1164 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] reg buf_samedw_3; // @[Reg.scala 27:20] reg buf_samedw_2; // @[Reg.scala 27:20] reg buf_samedw_1; // @[Reg.scala 27:20] reg buf_samedw_0; // @[Reg.scala 27:20] - wire [3:0] _T_1175 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] - wire _T_1192 = _T_1156[0] & _T_1175[0]; // @[el2_lsu_bus_buffer.scala 342:77] - wire _T_1209 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 342:150] - wire _T_1210 = _T_1192 & _T_1209; // @[el2_lsu_bus_buffer.scala 342:148] - wire _T_1211 = ~_T_1210; // @[el2_lsu_bus_buffer.scala 342:8] - wire [3:0] _T_2024 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 430:62] - wire [3:0] _T_2025 = buf_age_3 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] - wire _T_2026 = |_T_2025; // @[el2_lsu_bus_buffer.scala 430:76] - wire _T_2027 = ~_T_2026; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_2029 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 430:83] - wire _T_2030 = _T_2027 & _T_2029; // @[el2_lsu_bus_buffer.scala 430:81] - wire _T_2032 = _T_2030 & _T_2610; // @[el2_lsu_bus_buffer.scala 430:98] - wire _T_2034 = _T_2032 & _T_4430; // @[el2_lsu_bus_buffer.scala 430:123] - wire [3:0] _T_2014 = buf_age_2 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] - wire _T_2015 = |_T_2014; // @[el2_lsu_bus_buffer.scala 430:76] - wire _T_2016 = ~_T_2015; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_2018 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 430:83] - wire _T_2019 = _T_2016 & _T_2018; // @[el2_lsu_bus_buffer.scala 430:81] - wire _T_2021 = _T_2019 & _T_2606; // @[el2_lsu_bus_buffer.scala 430:98] - wire _T_2023 = _T_2021 & _T_4425; // @[el2_lsu_bus_buffer.scala 430:123] - wire [3:0] _T_2003 = buf_age_1 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] - wire _T_2004 = |_T_2003; // @[el2_lsu_bus_buffer.scala 430:76] - wire _T_2005 = ~_T_2004; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_2007 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 430:83] - wire _T_2008 = _T_2005 & _T_2007; // @[el2_lsu_bus_buffer.scala 430:81] - wire _T_2010 = _T_2008 & _T_2602; // @[el2_lsu_bus_buffer.scala 430:98] - wire _T_2012 = _T_2010 & _T_4420; // @[el2_lsu_bus_buffer.scala 430:123] - wire [3:0] _T_1992 = buf_age_0 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] - wire _T_1993 = |_T_1992; // @[el2_lsu_bus_buffer.scala 430:76] - wire _T_1994 = ~_T_1993; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_1996 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 430:83] - wire _T_1997 = _T_1994 & _T_1996; // @[el2_lsu_bus_buffer.scala 430:81] - wire _T_1999 = _T_1997 & _T_2598; // @[el2_lsu_bus_buffer.scala 430:98] - wire _T_2001 = _T_1999 & _T_4415; // @[el2_lsu_bus_buffer.scala 430:123] - wire [3:0] CmdPtr1Dec = {_T_2034,_T_2023,_T_2012,_T_2001}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 435:31] - wire _T_1212 = _T_1211 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 342:181] - wire [3:0] _T_1215 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] - wire _T_1232 = _T_1212 | _T_1215[0]; // @[el2_lsu_bus_buffer.scala 342:197] - wire _T_1233 = _T_1232 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 342:269] - wire _T_1234 = _T_1153 & _T_1233; // @[el2_lsu_bus_buffer.scala 341:164] - wire _T_1235 = _T_1099 | _T_1234; // @[el2_lsu_bus_buffer.scala 339:98] + wire [3:0] _T_1183 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1200 = _T_1164[0] & _T_1183[0]; // @[el2_lsu_bus_buffer.scala 343:77] + wire _T_1217 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 343:150] + wire _T_1218 = _T_1200 & _T_1217; // @[el2_lsu_bus_buffer.scala 343:148] + wire _T_1219 = ~_T_1218; // @[el2_lsu_bus_buffer.scala 343:8] + wire [3:0] _T_2032 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 431:62] + wire [3:0] _T_2033 = buf_age_3 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] + wire _T_2034 = |_T_2033; // @[el2_lsu_bus_buffer.scala 431:76] + wire _T_2035 = ~_T_2034; // @[el2_lsu_bus_buffer.scala 431:45] + wire _T_2037 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 431:83] + wire _T_2038 = _T_2035 & _T_2037; // @[el2_lsu_bus_buffer.scala 431:81] + wire _T_2040 = _T_2038 & _T_2618; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2042 = _T_2040 & _T_4438; // @[el2_lsu_bus_buffer.scala 431:123] + wire [3:0] _T_2022 = buf_age_2 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] + wire _T_2023 = |_T_2022; // @[el2_lsu_bus_buffer.scala 431:76] + wire _T_2024 = ~_T_2023; // @[el2_lsu_bus_buffer.scala 431:45] + wire _T_2026 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 431:83] + wire _T_2027 = _T_2024 & _T_2026; // @[el2_lsu_bus_buffer.scala 431:81] + wire _T_2029 = _T_2027 & _T_2614; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2031 = _T_2029 & _T_4433; // @[el2_lsu_bus_buffer.scala 431:123] + wire [3:0] _T_2011 = buf_age_1 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] + wire _T_2012 = |_T_2011; // @[el2_lsu_bus_buffer.scala 431:76] + wire _T_2013 = ~_T_2012; // @[el2_lsu_bus_buffer.scala 431:45] + wire _T_2015 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 431:83] + wire _T_2016 = _T_2013 & _T_2015; // @[el2_lsu_bus_buffer.scala 431:81] + wire _T_2018 = _T_2016 & _T_2610; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2020 = _T_2018 & _T_4428; // @[el2_lsu_bus_buffer.scala 431:123] + wire [3:0] _T_2000 = buf_age_0 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] + wire _T_2001 = |_T_2000; // @[el2_lsu_bus_buffer.scala 431:76] + wire _T_2002 = ~_T_2001; // @[el2_lsu_bus_buffer.scala 431:45] + wire _T_2004 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 431:83] + wire _T_2005 = _T_2002 & _T_2004; // @[el2_lsu_bus_buffer.scala 431:81] + wire _T_2007 = _T_2005 & _T_2606; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2009 = _T_2007 & _T_4423; // @[el2_lsu_bus_buffer.scala 431:123] + wire [3:0] CmdPtr1Dec = {_T_2042,_T_2031,_T_2020,_T_2009}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 436:31] + wire _T_1220 = _T_1219 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 343:181] + wire [3:0] _T_1223 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1240 = _T_1220 | _T_1223[0]; // @[el2_lsu_bus_buffer.scala 343:197] + wire _T_1241 = _T_1240 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 343:269] + wire _T_1242 = _T_1161 & _T_1241; // @[el2_lsu_bus_buffer.scala 342:164] + wire _T_1243 = _T_1107 | _T_1242; // @[el2_lsu_bus_buffer.scala 340:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 404:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 405:55] - wire _T_4836 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 607:54] - wire _T_4837 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 607:75] - wire _T_4839 = _T_4836 ? _T_4837 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 607:39] - wire bus_cmd_ready = obuf_write ? _T_4839 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 607:23] - wire _T_1236 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 343:48] - wire _T_1237 = bus_cmd_ready | _T_1236; // @[el2_lsu_bus_buffer.scala 343:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 405:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 406:55] + wire _T_4844 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 608:54] + wire _T_4845 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 608:75] + wire _T_4847 = _T_4844 ? _T_4845 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 608:39] + wire bus_cmd_ready = obuf_write ? _T_4847 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 608:23] + wire _T_1244 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 344:48] + wire _T_1245 = bus_cmd_ready | _T_1244; // @[el2_lsu_bus_buffer.scala 344:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1238 = _T_1237 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 343:60] - wire _T_1239 = _T_1235 & _T_1238; // @[el2_lsu_bus_buffer.scala 343:29] - wire _T_1240 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 343:77] - wire _T_1241 = _T_1239 & _T_1240; // @[el2_lsu_bus_buffer.scala 343:75] + wire _T_1246 = _T_1245 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 344:60] + wire _T_1247 = _T_1243 & _T_1246; // @[el2_lsu_bus_buffer.scala 344:29] + wire _T_1248 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 344:77] + wire _T_1249 = _T_1247 & _T_1248; // @[el2_lsu_bus_buffer.scala 344:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4784 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] - wire _T_4785 = obuf_valid & _T_4784; // @[el2_lsu_bus_buffer.scala 605:38] - wire _T_4787 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 605:126] - wire _T_4788 = obuf_merge & _T_4787; // @[el2_lsu_bus_buffer.scala 605:114] - wire _T_4789 = _T_3550 | _T_4788; // @[el2_lsu_bus_buffer.scala 605:100] - wire _T_4790 = ~_T_4789; // @[el2_lsu_bus_buffer.scala 605:80] - wire _T_4791 = _T_4785 & _T_4790; // @[el2_lsu_bus_buffer.scala 605:78] - wire _T_4828 = _T_4761 & _T_4791; // @[Mux.scala 27:72] - wire _T_4796 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] - wire _T_4797 = obuf_valid & _T_4796; // @[el2_lsu_bus_buffer.scala 605:38] - wire _T_4799 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 605:126] - wire _T_4800 = obuf_merge & _T_4799; // @[el2_lsu_bus_buffer.scala 605:114] - wire _T_4801 = _T_3743 | _T_4800; // @[el2_lsu_bus_buffer.scala 605:100] - wire _T_4802 = ~_T_4801; // @[el2_lsu_bus_buffer.scala 605:80] - wire _T_4803 = _T_4797 & _T_4802; // @[el2_lsu_bus_buffer.scala 605:78] - wire _T_4829 = _T_4765 & _T_4803; // @[Mux.scala 27:72] - wire _T_4832 = _T_4828 | _T_4829; // @[Mux.scala 27:72] - wire _T_4808 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] - wire _T_4809 = obuf_valid & _T_4808; // @[el2_lsu_bus_buffer.scala 605:38] - wire _T_4811 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 605:126] - wire _T_4812 = obuf_merge & _T_4811; // @[el2_lsu_bus_buffer.scala 605:114] - wire _T_4813 = _T_3936 | _T_4812; // @[el2_lsu_bus_buffer.scala 605:100] - wire _T_4814 = ~_T_4813; // @[el2_lsu_bus_buffer.scala 605:80] - wire _T_4815 = _T_4809 & _T_4814; // @[el2_lsu_bus_buffer.scala 605:78] - wire _T_4830 = _T_4769 & _T_4815; // @[Mux.scala 27:72] - wire _T_4833 = _T_4832 | _T_4830; // @[Mux.scala 27:72] - wire _T_4820 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] - wire _T_4821 = obuf_valid & _T_4820; // @[el2_lsu_bus_buffer.scala 605:38] - wire _T_4823 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 605:126] - wire _T_4824 = obuf_merge & _T_4823; // @[el2_lsu_bus_buffer.scala 605:114] - wire _T_4825 = _T_4129 | _T_4824; // @[el2_lsu_bus_buffer.scala 605:100] - wire _T_4826 = ~_T_4825; // @[el2_lsu_bus_buffer.scala 605:80] - wire _T_4827 = _T_4821 & _T_4826; // @[el2_lsu_bus_buffer.scala 605:78] - wire _T_4831 = _T_4773 & _T_4827; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4833 | _T_4831; // @[Mux.scala 27:72] - wire _T_1244 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 343:118] - wire _T_1245 = _T_1241 & _T_1244; // @[el2_lsu_bus_buffer.scala 343:116] - wire obuf_wr_en = _T_1245 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 343:142] - wire _T_1247 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 345:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 608:39] - wire _T_4843 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 610:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 609:39] - wire _T_4844 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 610:70] - wire _T_4845 = _T_4843 & _T_4844; // @[el2_lsu_bus_buffer.scala 610:52] - wire _T_4846 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 610:111] - wire bus_cmd_sent = _T_4845 | _T_4846; // @[el2_lsu_bus_buffer.scala 610:89] - wire _T_1248 = bus_cmd_sent | _T_1247; // @[el2_lsu_bus_buffer.scala 345:33] - wire _T_1249 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 345:65] - wire _T_1250 = _T_1248 & _T_1249; // @[el2_lsu_bus_buffer.scala 345:63] - wire _T_1251 = _T_1250 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 345:77] - wire obuf_rst = _T_1251 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 345:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 346:26] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 348:25] + wire _T_4792 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] + wire _T_4793 = obuf_valid & _T_4792; // @[el2_lsu_bus_buffer.scala 606:38] + wire _T_4795 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 606:126] + wire _T_4796 = obuf_merge & _T_4795; // @[el2_lsu_bus_buffer.scala 606:114] + wire _T_4797 = _T_3558 | _T_4796; // @[el2_lsu_bus_buffer.scala 606:100] + wire _T_4798 = ~_T_4797; // @[el2_lsu_bus_buffer.scala 606:80] + wire _T_4799 = _T_4793 & _T_4798; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4836 = _T_4769 & _T_4799; // @[Mux.scala 27:72] + wire _T_4804 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] + wire _T_4805 = obuf_valid & _T_4804; // @[el2_lsu_bus_buffer.scala 606:38] + wire _T_4807 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 606:126] + wire _T_4808 = obuf_merge & _T_4807; // @[el2_lsu_bus_buffer.scala 606:114] + wire _T_4809 = _T_3751 | _T_4808; // @[el2_lsu_bus_buffer.scala 606:100] + wire _T_4810 = ~_T_4809; // @[el2_lsu_bus_buffer.scala 606:80] + wire _T_4811 = _T_4805 & _T_4810; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4837 = _T_4773 & _T_4811; // @[Mux.scala 27:72] + wire _T_4840 = _T_4836 | _T_4837; // @[Mux.scala 27:72] + wire _T_4816 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] + wire _T_4817 = obuf_valid & _T_4816; // @[el2_lsu_bus_buffer.scala 606:38] + wire _T_4819 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 606:126] + wire _T_4820 = obuf_merge & _T_4819; // @[el2_lsu_bus_buffer.scala 606:114] + wire _T_4821 = _T_3944 | _T_4820; // @[el2_lsu_bus_buffer.scala 606:100] + wire _T_4822 = ~_T_4821; // @[el2_lsu_bus_buffer.scala 606:80] + wire _T_4823 = _T_4817 & _T_4822; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4838 = _T_4777 & _T_4823; // @[Mux.scala 27:72] + wire _T_4841 = _T_4840 | _T_4838; // @[Mux.scala 27:72] + wire _T_4828 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] + wire _T_4829 = obuf_valid & _T_4828; // @[el2_lsu_bus_buffer.scala 606:38] + wire _T_4831 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 606:126] + wire _T_4832 = obuf_merge & _T_4831; // @[el2_lsu_bus_buffer.scala 606:114] + wire _T_4833 = _T_4137 | _T_4832; // @[el2_lsu_bus_buffer.scala 606:100] + wire _T_4834 = ~_T_4833; // @[el2_lsu_bus_buffer.scala 606:80] + wire _T_4835 = _T_4829 & _T_4834; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4839 = _T_4781 & _T_4835; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4841 | _T_4839; // @[Mux.scala 27:72] + wire _T_1252 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 344:118] + wire _T_1253 = _T_1249 & _T_1252; // @[el2_lsu_bus_buffer.scala 344:116] + wire obuf_wr_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 344:142] + wire _T_1255 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 346:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 609:39] + wire _T_4851 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 611:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 610:39] + wire _T_4852 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 611:70] + wire _T_4853 = _T_4851 & _T_4852; // @[el2_lsu_bus_buffer.scala 611:52] + wire _T_4854 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 611:111] + wire bus_cmd_sent = _T_4853 | _T_4854; // @[el2_lsu_bus_buffer.scala 611:89] + wire _T_1256 = bus_cmd_sent | _T_1255; // @[el2_lsu_bus_buffer.scala 346:33] + wire _T_1257 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 346:65] + wire _T_1258 = _T_1256 & _T_1257; // @[el2_lsu_bus_buffer.scala 346:63] + wire _T_1259 = _T_1258 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 346:77] + wire obuf_rst = _T_1259 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 346:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 347:26] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 349:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] reg [1:0] buf_sz_2; // @[Reg.scala 27:20] reg [1:0] buf_sz_3; // @[Reg.scala 27:20] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 351:23] - wire _T_1309 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 360:39] - wire _T_1310 = ~_T_1309; // @[el2_lsu_bus_buffer.scala 360:26] - wire _T_1316 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 364:72] - wire _T_1319 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 364:98] - wire _T_1320 = obuf_sz_in[0] & _T_1319; // @[el2_lsu_bus_buffer.scala 364:96] - wire _T_1321 = _T_1316 | _T_1320; // @[el2_lsu_bus_buffer.scala 364:79] - wire _T_1324 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 364:153] - wire _T_1325 = ~_T_1324; // @[el2_lsu_bus_buffer.scala 364:134] - wire _T_1326 = obuf_sz_in[1] & _T_1325; // @[el2_lsu_bus_buffer.scala 364:132] - wire _T_1327 = _T_1321 | _T_1326; // @[el2_lsu_bus_buffer.scala 364:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1327; // @[el2_lsu_bus_buffer.scala 364:28] - wire _T_1344 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 378:40] - wire _T_1345 = _T_1344 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 378:60] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 352:23] + wire _T_1317 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 361:39] + wire _T_1318 = ~_T_1317; // @[el2_lsu_bus_buffer.scala 361:26] + wire _T_1324 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 365:72] + wire _T_1327 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 365:98] + wire _T_1328 = obuf_sz_in[0] & _T_1327; // @[el2_lsu_bus_buffer.scala 365:96] + wire _T_1329 = _T_1324 | _T_1328; // @[el2_lsu_bus_buffer.scala 365:79] + wire _T_1332 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 365:153] + wire _T_1333 = ~_T_1332; // @[el2_lsu_bus_buffer.scala 365:134] + wire _T_1334 = obuf_sz_in[1] & _T_1333; // @[el2_lsu_bus_buffer.scala 365:132] + wire _T_1335 = _T_1329 | _T_1334; // @[el2_lsu_bus_buffer.scala 365:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1335; // @[el2_lsu_bus_buffer.scala 365:28] + wire _T_1352 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 379:40] + wire _T_1353 = _T_1352 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 379:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1346 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 378:80] - wire _T_1347 = _T_1345 & _T_1346; // @[el2_lsu_bus_buffer.scala 378:78] - wire _T_1348 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 378:99] - wire _T_1349 = _T_1347 & _T_1348; // @[el2_lsu_bus_buffer.scala 378:97] - wire _T_1350 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 378:113] - wire _T_1351 = _T_1349 & _T_1350; // @[el2_lsu_bus_buffer.scala 378:111] - wire _T_1352 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 378:130] - wire _T_1353 = _T_1351 & _T_1352; // @[el2_lsu_bus_buffer.scala 378:128] - wire _T_1354 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 379:20] - wire _T_1355 = obuf_valid & _T_1354; // @[el2_lsu_bus_buffer.scala 379:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 406:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 611:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 407:55] - wire _T_1356 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 379:90] - wire _T_1357 = bus_rsp_read & _T_1356; // @[el2_lsu_bus_buffer.scala 379:70] - wire _T_1358 = ~_T_1357; // @[el2_lsu_bus_buffer.scala 379:55] - wire _T_1359 = obuf_rdrsp_pend & _T_1358; // @[el2_lsu_bus_buffer.scala 379:53] - wire _T_1360 = _T_1355 | _T_1359; // @[el2_lsu_bus_buffer.scala 379:34] - wire obuf_nosend_in = _T_1353 & _T_1360; // @[el2_lsu_bus_buffer.scala 378:165] - wire _T_1328 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 372:44] - wire _T_1329 = obuf_wr_en & _T_1328; // @[el2_lsu_bus_buffer.scala 372:42] - wire _T_1330 = ~_T_1329; // @[el2_lsu_bus_buffer.scala 372:29] - wire _T_1331 = _T_1330 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 372:61] - wire _T_1335 = _T_1331 & _T_1358; // @[el2_lsu_bus_buffer.scala 372:79] - wire _T_1337 = bus_cmd_sent & _T_1348; // @[el2_lsu_bus_buffer.scala 373:20] - wire _T_1338 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 373:37] - wire _T_1339 = _T_1337 & _T_1338; // @[el2_lsu_bus_buffer.scala 373:35] - wire _T_1341 = bus_cmd_sent | _T_1348; // @[el2_lsu_bus_buffer.scala 375:44] - wire [7:0] _T_1363 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1364 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1365 = io_lsu_addr_r[2] ? _T_1363 : _T_1364; // @[el2_lsu_bus_buffer.scala 380:46] - wire [7:0] _T_1392 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1405 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1406 = buf_addr_0[2] ? _T_1392 : _T_1405; // @[el2_lsu_bus_buffer.scala 381:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1365 : _T_1406; // @[el2_lsu_bus_buffer.scala 380:28] - wire [7:0] _T_1408 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1409 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1410 = io_end_addr_r[2] ? _T_1408 : _T_1409; // @[el2_lsu_bus_buffer.scala 382:46] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1410 : _T_1406; // @[el2_lsu_bus_buffer.scala 382:28] - wire [63:0] _T_1453 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1454 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1455 = io_lsu_addr_r[2] ? _T_1453 : _T_1454; // @[el2_lsu_bus_buffer.scala 385:44] - wire [63:0] _T_1482 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1495 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1496 = buf_addr_0[2] ? _T_1482 : _T_1495; // @[el2_lsu_bus_buffer.scala 386:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1455 : _T_1496; // @[el2_lsu_bus_buffer.scala 385:26] - wire [63:0] _T_1498 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1499 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1500 = io_lsu_addr_r[2] ? _T_1498 : _T_1499; // @[el2_lsu_bus_buffer.scala 387:44] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1500 : _T_1496; // @[el2_lsu_bus_buffer.scala 387:26] + wire _T_1354 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 379:80] + wire _T_1355 = _T_1353 & _T_1354; // @[el2_lsu_bus_buffer.scala 379:78] + wire _T_1356 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 379:99] + wire _T_1357 = _T_1355 & _T_1356; // @[el2_lsu_bus_buffer.scala 379:97] + wire _T_1358 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 379:113] + wire _T_1359 = _T_1357 & _T_1358; // @[el2_lsu_bus_buffer.scala 379:111] + wire _T_1360 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 379:130] + wire _T_1361 = _T_1359 & _T_1360; // @[el2_lsu_bus_buffer.scala 379:128] + wire _T_1362 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 380:20] + wire _T_1363 = obuf_valid & _T_1362; // @[el2_lsu_bus_buffer.scala 380:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 407:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 612:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 408:55] + wire _T_1364 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:90] + wire _T_1365 = bus_rsp_read & _T_1364; // @[el2_lsu_bus_buffer.scala 380:70] + wire _T_1366 = ~_T_1365; // @[el2_lsu_bus_buffer.scala 380:55] + wire _T_1367 = obuf_rdrsp_pend & _T_1366; // @[el2_lsu_bus_buffer.scala 380:53] + wire _T_1368 = _T_1363 | _T_1367; // @[el2_lsu_bus_buffer.scala 380:34] + wire obuf_nosend_in = _T_1361 & _T_1368; // @[el2_lsu_bus_buffer.scala 379:165] + wire _T_1336 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 373:44] + wire _T_1337 = obuf_wr_en & _T_1336; // @[el2_lsu_bus_buffer.scala 373:42] + wire _T_1338 = ~_T_1337; // @[el2_lsu_bus_buffer.scala 373:29] + wire _T_1339 = _T_1338 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 373:61] + wire _T_1343 = _T_1339 & _T_1366; // @[el2_lsu_bus_buffer.scala 373:79] + wire _T_1345 = bus_cmd_sent & _T_1356; // @[el2_lsu_bus_buffer.scala 374:20] + wire _T_1346 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 374:37] + wire _T_1347 = _T_1345 & _T_1346; // @[el2_lsu_bus_buffer.scala 374:35] + wire _T_1349 = bus_cmd_sent | _T_1356; // @[el2_lsu_bus_buffer.scala 376:44] + wire [7:0] _T_1371 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1372 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1373 = io_lsu_addr_r[2] ? _T_1371 : _T_1372; // @[el2_lsu_bus_buffer.scala 381:46] + wire [7:0] _T_1400 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1413 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] + wire [7:0] _T_1414 = buf_addr_0[2] ? _T_1400 : _T_1413; // @[el2_lsu_bus_buffer.scala 382:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1373 : _T_1414; // @[el2_lsu_bus_buffer.scala 381:28] + wire [7:0] _T_1416 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1417 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1418 = io_end_addr_r[2] ? _T_1416 : _T_1417; // @[el2_lsu_bus_buffer.scala 383:46] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1418 : _T_1414; // @[el2_lsu_bus_buffer.scala 383:28] + wire [63:0] _T_1461 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1462 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1463 = io_lsu_addr_r[2] ? _T_1461 : _T_1462; // @[el2_lsu_bus_buffer.scala 386:44] + wire [63:0] _T_1490 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1503 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] + wire [63:0] _T_1504 = buf_addr_0[2] ? _T_1490 : _T_1503; // @[el2_lsu_bus_buffer.scala 387:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1463 : _T_1504; // @[el2_lsu_bus_buffer.scala 386:26] + wire [63:0] _T_1506 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1507 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1508 = io_lsu_addr_r[2] ? _T_1506 : _T_1507; // @[el2_lsu_bus_buffer.scala 388:44] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1508 : _T_1504; // @[el2_lsu_bus_buffer.scala 388:26] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] reg buf_dualhi_0; // @[Reg.scala 27:20] - wire _T_1841 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 399:19] - wire obuf_merge_en = _T_1841 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 399:35] - wire _T_1544 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1545 = obuf_byteen0_in[0] | _T_1544; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1548 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1549 = obuf_byteen0_in[1] | _T_1548; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1552 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1553 = obuf_byteen0_in[2] | _T_1552; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1556 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1557 = obuf_byteen0_in[3] | _T_1556; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1560 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1561 = obuf_byteen0_in[4] | _T_1560; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1564 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1565 = obuf_byteen0_in[5] | _T_1564; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1568 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1569 = obuf_byteen0_in[6] | _T_1568; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1572 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1573 = obuf_byteen0_in[7] | _T_1572; // @[el2_lsu_bus_buffer.scala 389:63] - wire [7:0] obuf_byteen_in = {_T_1573,_T_1569,_T_1565,_T_1561,_T_1557,_T_1553,_T_1549,_T_1545}; // @[Cat.scala 29:58] - wire [7:0] _T_1584 = _T_1544 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1589 = _T_1548 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1594 = _T_1552 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1599 = _T_1556 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1604 = _T_1560 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1609 = _T_1564 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1614 = _T_1568 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1619 = _T_1572 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [55:0] _T_1625 = {_T_1619,_T_1614,_T_1609,_T_1604,_T_1599,_T_1594,_T_1589}; // @[Cat.scala 29:58] - wire _T_1844 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 402:58] - wire _T_1845 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 402:93] + wire _T_1849 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 400:19] + wire obuf_merge_en = _T_1849 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 400:35] + wire _T_1552 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1553 = obuf_byteen0_in[0] | _T_1552; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1556 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1557 = obuf_byteen0_in[1] | _T_1556; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1560 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1561 = obuf_byteen0_in[2] | _T_1560; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1564 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1565 = obuf_byteen0_in[3] | _T_1564; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1568 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1569 = obuf_byteen0_in[4] | _T_1568; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1572 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1573 = obuf_byteen0_in[5] | _T_1572; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1576 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1577 = obuf_byteen0_in[6] | _T_1576; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1580 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1581 = obuf_byteen0_in[7] | _T_1580; // @[el2_lsu_bus_buffer.scala 390:63] + wire [7:0] obuf_byteen_in = {_T_1581,_T_1577,_T_1573,_T_1569,_T_1565,_T_1561,_T_1557,_T_1553}; // @[Cat.scala 29:58] + wire [7:0] _T_1592 = _T_1552 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1597 = _T_1556 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1602 = _T_1560 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1607 = _T_1564 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1612 = _T_1568 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1617 = _T_1572 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1622 = _T_1576 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1627 = _T_1580 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [55:0] _T_1633 = {_T_1627,_T_1622,_T_1617,_T_1612,_T_1607,_T_1602,_T_1597}; // @[Cat.scala 29:58] + wire _T_1852 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 403:58] + wire _T_1853 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 403:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1858 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] - wire _T_1859 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 419:97] - wire _T_1860 = ibuf_valid & _T_1859; // @[el2_lsu_bus_buffer.scala 419:86] - wire _T_1861 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 420:33] - wire _T_1862 = io_lsu_busreq_r & _T_1861; // @[el2_lsu_bus_buffer.scala 420:22] - wire _T_1863 = _T_1860 | _T_1862; // @[el2_lsu_bus_buffer.scala 419:106] - wire _T_1864 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1865 = io_ldst_dual_r & _T_1864; // @[el2_lsu_bus_buffer.scala 420:60] - wire _T_1866 = _T_1863 | _T_1865; // @[el2_lsu_bus_buffer.scala 420:42] - wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 419:72] - wire _T_1868 = _T_1858 & _T_1867; // @[el2_lsu_bus_buffer.scala 419:70] - wire _T_1869 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] - wire _T_1870 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 419:97] - wire _T_1871 = ibuf_valid & _T_1870; // @[el2_lsu_bus_buffer.scala 419:86] - wire _T_1872 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 420:33] - wire _T_1873 = io_lsu_busreq_r & _T_1872; // @[el2_lsu_bus_buffer.scala 420:22] - wire _T_1874 = _T_1871 | _T_1873; // @[el2_lsu_bus_buffer.scala 419:106] - wire _T_1875 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1876 = io_ldst_dual_r & _T_1875; // @[el2_lsu_bus_buffer.scala 420:60] - wire _T_1877 = _T_1874 | _T_1876; // @[el2_lsu_bus_buffer.scala 420:42] - wire _T_1878 = ~_T_1877; // @[el2_lsu_bus_buffer.scala 419:72] - wire _T_1879 = _T_1869 & _T_1878; // @[el2_lsu_bus_buffer.scala 419:70] - wire _T_1880 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] - wire _T_1881 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 419:97] - wire _T_1882 = ibuf_valid & _T_1881; // @[el2_lsu_bus_buffer.scala 419:86] - wire _T_1883 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 420:33] - wire _T_1884 = io_lsu_busreq_r & _T_1883; // @[el2_lsu_bus_buffer.scala 420:22] - wire _T_1885 = _T_1882 | _T_1884; // @[el2_lsu_bus_buffer.scala 419:106] - wire _T_1886 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1887 = io_ldst_dual_r & _T_1886; // @[el2_lsu_bus_buffer.scala 420:60] - wire _T_1888 = _T_1885 | _T_1887; // @[el2_lsu_bus_buffer.scala 420:42] - wire _T_1889 = ~_T_1888; // @[el2_lsu_bus_buffer.scala 419:72] - wire _T_1890 = _T_1880 & _T_1889; // @[el2_lsu_bus_buffer.scala 419:70] - wire _T_1891 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] - wire _T_1892 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 419:97] - wire _T_1893 = ibuf_valid & _T_1892; // @[el2_lsu_bus_buffer.scala 419:86] - wire _T_1894 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 420:33] - wire _T_1895 = io_lsu_busreq_r & _T_1894; // @[el2_lsu_bus_buffer.scala 420:22] - wire _T_1896 = _T_1893 | _T_1895; // @[el2_lsu_bus_buffer.scala 419:106] - wire _T_1897 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1898 = io_ldst_dual_r & _T_1897; // @[el2_lsu_bus_buffer.scala 420:60] - wire _T_1899 = _T_1896 | _T_1898; // @[el2_lsu_bus_buffer.scala 420:42] - wire _T_1900 = ~_T_1899; // @[el2_lsu_bus_buffer.scala 419:72] - wire _T_1901 = _T_1891 & _T_1900; // @[el2_lsu_bus_buffer.scala 419:70] - wire [1:0] _T_1902 = _T_1901 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1903 = _T_1890 ? 2'h2 : _T_1902; // @[Mux.scala 98:16] - wire [1:0] _T_1904 = _T_1879 ? 2'h1 : _T_1903; // @[Mux.scala 98:16] - wire [1:0] WrPtr0_m = _T_1868 ? 2'h0 : _T_1904; // @[Mux.scala 98:16] - wire _T_1909 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 423:33] - wire _T_1910 = io_lsu_busreq_m & _T_1909; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1911 = _T_1860 | _T_1910; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1914 = _T_1911 | _T_1862; // @[el2_lsu_bus_buffer.scala 423:42] - wire _T_1917 = _T_1914 | _T_1865; // @[el2_lsu_bus_buffer.scala 423:83] - wire _T_1918 = ~_T_1917; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1919 = _T_1858 & _T_1918; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1923 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 423:33] - wire _T_1924 = io_lsu_busreq_m & _T_1923; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1925 = _T_1871 | _T_1924; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1928 = _T_1925 | _T_1873; // @[el2_lsu_bus_buffer.scala 423:42] - wire _T_1931 = _T_1928 | _T_1876; // @[el2_lsu_bus_buffer.scala 423:83] - wire _T_1932 = ~_T_1931; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1933 = _T_1869 & _T_1932; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1937 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 423:33] - wire _T_1938 = io_lsu_busreq_m & _T_1937; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1939 = _T_1882 | _T_1938; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1942 = _T_1939 | _T_1884; // @[el2_lsu_bus_buffer.scala 423:42] - wire _T_1945 = _T_1942 | _T_1887; // @[el2_lsu_bus_buffer.scala 423:83] - wire _T_1946 = ~_T_1945; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1947 = _T_1880 & _T_1946; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1951 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 423:33] - wire _T_1952 = io_lsu_busreq_m & _T_1951; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1953 = _T_1893 | _T_1952; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1956 = _T_1953 | _T_1895; // @[el2_lsu_bus_buffer.scala 423:42] - wire _T_1959 = _T_1956 | _T_1898; // @[el2_lsu_bus_buffer.scala 423:83] - wire _T_1960 = ~_T_1959; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1961 = _T_1891 & _T_1960; // @[el2_lsu_bus_buffer.scala 422:70] - wire [1:0] _T_1962 = _T_1961 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1963 = _T_1947 ? 2'h2 : _T_1962; // @[Mux.scala 98:16] - wire [1:0] _T_1964 = _T_1933 ? 2'h1 : _T_1963; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 551:63] - wire _T_2734 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] - wire _T_2735 = buf_rspageQ_0[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2731 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] - wire _T_2732 = buf_rspageQ_0[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2728 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] - wire _T_2729 = buf_rspageQ_0[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2725 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] - wire _T_2726 = buf_rspageQ_0[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2735,_T_2732,_T_2729,_T_2726}; // @[Cat.scala 29:58] - wire _T_2037 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 433:65] - wire _T_2038 = ~_T_2037; // @[el2_lsu_bus_buffer.scala 433:44] - wire _T_2040 = _T_2038 & _T_2725; // @[el2_lsu_bus_buffer.scala 433:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 551:63] - wire _T_2750 = buf_rspageQ_1[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2747 = buf_rspageQ_1[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2744 = buf_rspageQ_1[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2741 = buf_rspageQ_1[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2750,_T_2747,_T_2744,_T_2741}; // @[Cat.scala 29:58] - wire _T_2041 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 433:65] - wire _T_2042 = ~_T_2041; // @[el2_lsu_bus_buffer.scala 433:44] - wire _T_2044 = _T_2042 & _T_2728; // @[el2_lsu_bus_buffer.scala 433:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 551:63] - wire _T_2765 = buf_rspageQ_2[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2762 = buf_rspageQ_2[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2759 = buf_rspageQ_2[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2756 = buf_rspageQ_2[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2765,_T_2762,_T_2759,_T_2756}; // @[Cat.scala 29:58] - wire _T_2045 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 433:65] - wire _T_2046 = ~_T_2045; // @[el2_lsu_bus_buffer.scala 433:44] - wire _T_2048 = _T_2046 & _T_2731; // @[el2_lsu_bus_buffer.scala 433:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 551:63] - wire _T_2780 = buf_rspageQ_3[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2777 = buf_rspageQ_3[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2774 = buf_rspageQ_3[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2771 = buf_rspageQ_3[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2780,_T_2777,_T_2774,_T_2771}; // @[Cat.scala 29:58] - wire _T_2049 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 433:65] - wire _T_2050 = ~_T_2049; // @[el2_lsu_bus_buffer.scala 433:44] - wire _T_2052 = _T_2050 & _T_2734; // @[el2_lsu_bus_buffer.scala 433:70] - wire [7:0] _T_2108 = {4'h0,_T_2052,_T_2048,_T_2044,_T_2040}; // @[Cat.scala 29:58] - wire _T_2111 = _T_2108[4] | _T_2108[5]; // @[el2_lsu_bus_buffer.scala 437:42] - wire _T_2113 = _T_2111 | _T_2108[6]; // @[el2_lsu_bus_buffer.scala 437:48] - wire _T_2115 = _T_2113 | _T_2108[7]; // @[el2_lsu_bus_buffer.scala 437:54] - wire _T_2118 = _T_2108[2] | _T_2108[3]; // @[el2_lsu_bus_buffer.scala 437:67] - wire _T_2120 = _T_2118 | _T_2108[6]; // @[el2_lsu_bus_buffer.scala 437:73] - wire _T_2122 = _T_2120 | _T_2108[7]; // @[el2_lsu_bus_buffer.scala 437:79] - wire _T_2125 = _T_2108[1] | _T_2108[3]; // @[el2_lsu_bus_buffer.scala 437:92] - wire _T_2127 = _T_2125 | _T_2108[5]; // @[el2_lsu_bus_buffer.scala 437:98] - wire _T_2129 = _T_2127 | _T_2108[7]; // @[el2_lsu_bus_buffer.scala 437:104] - wire [2:0] _T_2131 = {_T_2115,_T_2122,_T_2129}; // @[Cat.scala 29:58] - wire _T_3520 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 494:77] - wire _T_3521 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 494:97] - wire _T_3522 = _T_3520 & _T_3521; // @[el2_lsu_bus_buffer.scala 494:95] - wire _T_3523 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] - wire _T_3524 = _T_3522 & _T_3523; // @[el2_lsu_bus_buffer.scala 494:112] - wire _T_3525 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 494:144] - wire _T_3526 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] - wire _T_3527 = _T_3525 & _T_3526; // @[el2_lsu_bus_buffer.scala 494:161] - wire _T_3528 = _T_3524 | _T_3527; // @[el2_lsu_bus_buffer.scala 494:132] - wire _T_3529 = _T_845 & _T_3528; // @[el2_lsu_bus_buffer.scala 494:63] - wire _T_3530 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] - wire _T_3531 = ibuf_drain_vld & _T_3530; // @[el2_lsu_bus_buffer.scala 494:201] - wire _T_3532 = _T_3529 | _T_3531; // @[el2_lsu_bus_buffer.scala 494:183] - wire _T_3542 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 501:46] - wire _T_3577 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 612:38] - wire _T_3622 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 519:73] - wire _T_3623 = bus_rsp_write & _T_3622; // @[el2_lsu_bus_buffer.scala 519:52] - wire _T_3624 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 520:46] - reg _T_4295; // @[Reg.scala 27:20] - reg _T_4293; // @[Reg.scala 27:20] - reg _T_4291; // @[Reg.scala 27:20] - reg _T_4289; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4295,_T_4293,_T_4291,_T_4289}; // @[Cat.scala 29:58] + wire _T_1866 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] + wire _T_1867 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 420:97] + wire _T_1868 = ibuf_valid & _T_1867; // @[el2_lsu_bus_buffer.scala 420:86] + wire _T_1869 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 421:33] + wire _T_1870 = io_lsu_busreq_r & _T_1869; // @[el2_lsu_bus_buffer.scala 421:22] + wire _T_1871 = _T_1868 | _T_1870; // @[el2_lsu_bus_buffer.scala 420:106] + wire _T_1872 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 421:72] + wire _T_1873 = io_ldst_dual_r & _T_1872; // @[el2_lsu_bus_buffer.scala 421:60] + wire _T_1874 = _T_1871 | _T_1873; // @[el2_lsu_bus_buffer.scala 421:42] + wire _T_1875 = ~_T_1874; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1876 = _T_1866 & _T_1875; // @[el2_lsu_bus_buffer.scala 420:70] + wire _T_1877 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] + wire _T_1878 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 420:97] + wire _T_1879 = ibuf_valid & _T_1878; // @[el2_lsu_bus_buffer.scala 420:86] + wire _T_1880 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 421:33] + wire _T_1881 = io_lsu_busreq_r & _T_1880; // @[el2_lsu_bus_buffer.scala 421:22] + wire _T_1882 = _T_1879 | _T_1881; // @[el2_lsu_bus_buffer.scala 420:106] + wire _T_1883 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 421:72] + wire _T_1884 = io_ldst_dual_r & _T_1883; // @[el2_lsu_bus_buffer.scala 421:60] + wire _T_1885 = _T_1882 | _T_1884; // @[el2_lsu_bus_buffer.scala 421:42] + wire _T_1886 = ~_T_1885; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1887 = _T_1877 & _T_1886; // @[el2_lsu_bus_buffer.scala 420:70] + wire _T_1888 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] + wire _T_1889 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 420:97] + wire _T_1890 = ibuf_valid & _T_1889; // @[el2_lsu_bus_buffer.scala 420:86] + wire _T_1891 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 421:33] + wire _T_1892 = io_lsu_busreq_r & _T_1891; // @[el2_lsu_bus_buffer.scala 421:22] + wire _T_1893 = _T_1890 | _T_1892; // @[el2_lsu_bus_buffer.scala 420:106] + wire _T_1894 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 421:72] + wire _T_1895 = io_ldst_dual_r & _T_1894; // @[el2_lsu_bus_buffer.scala 421:60] + wire _T_1896 = _T_1893 | _T_1895; // @[el2_lsu_bus_buffer.scala 421:42] + wire _T_1897 = ~_T_1896; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1898 = _T_1888 & _T_1897; // @[el2_lsu_bus_buffer.scala 420:70] + wire _T_1899 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] + wire _T_1900 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 420:97] + wire _T_1901 = ibuf_valid & _T_1900; // @[el2_lsu_bus_buffer.scala 420:86] + wire _T_1902 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 421:33] + wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 421:22] + wire _T_1904 = _T_1901 | _T_1903; // @[el2_lsu_bus_buffer.scala 420:106] + wire _T_1905 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 421:72] + wire _T_1906 = io_ldst_dual_r & _T_1905; // @[el2_lsu_bus_buffer.scala 421:60] + wire _T_1907 = _T_1904 | _T_1906; // @[el2_lsu_bus_buffer.scala 421:42] + wire _T_1908 = ~_T_1907; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1909 = _T_1899 & _T_1908; // @[el2_lsu_bus_buffer.scala 420:70] + wire [1:0] _T_1910 = _T_1909 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1911 = _T_1898 ? 2'h2 : _T_1910; // @[Mux.scala 98:16] + wire [1:0] _T_1912 = _T_1887 ? 2'h1 : _T_1911; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1876 ? 2'h0 : _T_1912; // @[Mux.scala 98:16] + wire _T_1917 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1918 = io_lsu_busreq_m & _T_1917; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1919 = _T_1868 | _T_1918; // @[el2_lsu_bus_buffer.scala 423:106] + wire _T_1922 = _T_1919 | _T_1870; // @[el2_lsu_bus_buffer.scala 424:42] + wire _T_1925 = _T_1922 | _T_1873; // @[el2_lsu_bus_buffer.scala 424:83] + wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 423:72] + wire _T_1927 = _T_1866 & _T_1926; // @[el2_lsu_bus_buffer.scala 423:70] + wire _T_1931 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1932 = io_lsu_busreq_m & _T_1931; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1933 = _T_1879 | _T_1932; // @[el2_lsu_bus_buffer.scala 423:106] + wire _T_1936 = _T_1933 | _T_1881; // @[el2_lsu_bus_buffer.scala 424:42] + wire _T_1939 = _T_1936 | _T_1884; // @[el2_lsu_bus_buffer.scala 424:83] + wire _T_1940 = ~_T_1939; // @[el2_lsu_bus_buffer.scala 423:72] + wire _T_1941 = _T_1877 & _T_1940; // @[el2_lsu_bus_buffer.scala 423:70] + wire _T_1945 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1946 = io_lsu_busreq_m & _T_1945; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1947 = _T_1890 | _T_1946; // @[el2_lsu_bus_buffer.scala 423:106] + wire _T_1950 = _T_1947 | _T_1892; // @[el2_lsu_bus_buffer.scala 424:42] + wire _T_1953 = _T_1950 | _T_1895; // @[el2_lsu_bus_buffer.scala 424:83] + wire _T_1954 = ~_T_1953; // @[el2_lsu_bus_buffer.scala 423:72] + wire _T_1955 = _T_1888 & _T_1954; // @[el2_lsu_bus_buffer.scala 423:70] + wire _T_1959 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1960 = io_lsu_busreq_m & _T_1959; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1961 = _T_1901 | _T_1960; // @[el2_lsu_bus_buffer.scala 423:106] + wire _T_1964 = _T_1961 | _T_1903; // @[el2_lsu_bus_buffer.scala 424:42] + wire _T_1967 = _T_1964 | _T_1906; // @[el2_lsu_bus_buffer.scala 424:83] + wire _T_1968 = ~_T_1967; // @[el2_lsu_bus_buffer.scala 423:72] + wire _T_1969 = _T_1899 & _T_1968; // @[el2_lsu_bus_buffer.scala 423:70] + wire [1:0] _T_1970 = _T_1969 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1971 = _T_1955 ? 2'h2 : _T_1970; // @[Mux.scala 98:16] + wire [1:0] _T_1972 = _T_1941 ? 2'h1 : _T_1971; // @[Mux.scala 98:16] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2742 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2743 = buf_rspageQ_0[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2739 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2740 = buf_rspageQ_0[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2736 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2737 = buf_rspageQ_0[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2733 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2734 = buf_rspageQ_0[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2743,_T_2740,_T_2737,_T_2734}; // @[Cat.scala 29:58] + wire _T_2045 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 434:65] + wire _T_2046 = ~_T_2045; // @[el2_lsu_bus_buffer.scala 434:44] + wire _T_2048 = _T_2046 & _T_2733; // @[el2_lsu_bus_buffer.scala 434:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2758 = buf_rspageQ_1[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2755 = buf_rspageQ_1[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2752 = buf_rspageQ_1[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2749 = buf_rspageQ_1[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2758,_T_2755,_T_2752,_T_2749}; // @[Cat.scala 29:58] + wire _T_2049 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 434:65] + wire _T_2050 = ~_T_2049; // @[el2_lsu_bus_buffer.scala 434:44] + wire _T_2052 = _T_2050 & _T_2736; // @[el2_lsu_bus_buffer.scala 434:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2773 = buf_rspageQ_2[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2770 = buf_rspageQ_2[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2767 = buf_rspageQ_2[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2764 = buf_rspageQ_2[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2773,_T_2770,_T_2767,_T_2764}; // @[Cat.scala 29:58] + wire _T_2053 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 434:65] + wire _T_2054 = ~_T_2053; // @[el2_lsu_bus_buffer.scala 434:44] + wire _T_2056 = _T_2054 & _T_2739; // @[el2_lsu_bus_buffer.scala 434:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2788 = buf_rspageQ_3[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2785 = buf_rspageQ_3[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2782 = buf_rspageQ_3[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2779 = buf_rspageQ_3[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2788,_T_2785,_T_2782,_T_2779}; // @[Cat.scala 29:58] + wire _T_2057 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 434:65] + wire _T_2058 = ~_T_2057; // @[el2_lsu_bus_buffer.scala 434:44] + wire _T_2060 = _T_2058 & _T_2742; // @[el2_lsu_bus_buffer.scala 434:70] + wire [7:0] _T_2116 = {4'h0,_T_2060,_T_2056,_T_2052,_T_2048}; // @[Cat.scala 29:58] + wire _T_2119 = _T_2116[4] | _T_2116[5]; // @[el2_lsu_bus_buffer.scala 438:42] + wire _T_2121 = _T_2119 | _T_2116[6]; // @[el2_lsu_bus_buffer.scala 438:48] + wire _T_2123 = _T_2121 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:54] + wire _T_2126 = _T_2116[2] | _T_2116[3]; // @[el2_lsu_bus_buffer.scala 438:67] + wire _T_2128 = _T_2126 | _T_2116[6]; // @[el2_lsu_bus_buffer.scala 438:73] + wire _T_2130 = _T_2128 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:79] + wire _T_2133 = _T_2116[1] | _T_2116[3]; // @[el2_lsu_bus_buffer.scala 438:92] + wire _T_2135 = _T_2133 | _T_2116[5]; // @[el2_lsu_bus_buffer.scala 438:98] + wire _T_2137 = _T_2135 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:104] + wire [2:0] _T_2139 = {_T_2123,_T_2130,_T_2137}; // @[Cat.scala 29:58] + wire _T_3528 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 495:77] + wire _T_3529 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 495:97] + wire _T_3530 = _T_3528 & _T_3529; // @[el2_lsu_bus_buffer.scala 495:95] + wire _T_3531 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_3532 = _T_3530 & _T_3531; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_3533 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 495:144] + wire _T_3534 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_3535 = _T_3533 & _T_3534; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_3536 = _T_3532 | _T_3535; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_3537 = _T_845 & _T_3536; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_3538 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_3539 = ibuf_drain_vld & _T_3538; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_3540 = _T_3537 | _T_3539; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_3550 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 502:46] + wire _T_3585 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 613:38] + wire _T_3630 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_3631 = bus_rsp_write & _T_3630; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_3632 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 521:46] + reg _T_4303; // @[Reg.scala 27:20] + reg _T_4301; // @[Reg.scala 27:20] + reg _T_4299; // @[Reg.scala 27:20] + reg _T_4297; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4303,_T_4301,_T_4299,_T_4297}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_3626 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_3627 = buf_ldfwd[0] & _T_3626; // @[el2_lsu_bus_buffer.scala 521:27] - wire _T_3628 = _T_3624 | _T_3627; // @[el2_lsu_bus_buffer.scala 520:77] - wire _T_3629 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 522:26] - wire _T_3632 = _T_3629 & _T_1209; // @[el2_lsu_bus_buffer.scala 522:42] - wire _T_3633 = _T_3632 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 522:58] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3634 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3635 = buf_ldfwd[0] & _T_3634; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_3636 = _T_3632 | _T_3635; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_3637 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_3640 = _T_3637 & _T_1217; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_3641 = _T_3640 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_3634 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_3635 = _T_3633 & _T_3634; // @[el2_lsu_bus_buffer.scala 522:74] - wire _T_3636 = _T_3628 | _T_3635; // @[el2_lsu_bus_buffer.scala 521:71] - wire _T_3637 = bus_rsp_read & _T_3636; // @[el2_lsu_bus_buffer.scala 520:25] - wire _T_3638 = _T_3623 | _T_3637; // @[el2_lsu_bus_buffer.scala 519:105] - wire _GEN_42 = _T_3577 & _T_3638; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3543 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3539 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3516 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3664 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3674 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 534:21] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3642 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3643 = _T_3641 & _T_3642; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_3644 = _T_3636 | _T_3643; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_3645 = bus_rsp_read & _T_3644; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_3646 = _T_3631 | _T_3645; // @[el2_lsu_bus_buffer.scala 520:105] + wire _GEN_42 = _T_3585 & _T_3646; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3551 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3547 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3672 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3682 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 535:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 534:58] - wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_3676 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_3677 = _T_3674[0] & _T_3676; // @[el2_lsu_bus_buffer.scala 534:38] - wire _T_3678 = _T_3634 | _T_3677; // @[el2_lsu_bus_buffer.scala 533:95] - wire _T_3679 = bus_rsp_read & _T_3678; // @[el2_lsu_bus_buffer.scala 533:45] - wire _GEN_36 = _T_3664 & _T_3679; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3577 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3543 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3539 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3516 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3556 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] - wire _T_3557 = _T_3556 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] - wire _T_3682 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2131[1:0]; // @[el2_lsu_bus_buffer.scala 445:10] - wire _T_3685 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 539:37] - wire _T_3686 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] - wire _T_3687 = buf_dual_0 & _T_3686; // @[el2_lsu_bus_buffer.scala 539:80] - wire _T_3688 = _T_3685 | _T_3687; // @[el2_lsu_bus_buffer.scala 539:65] - wire _T_3689 = _T_3688 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] - wire _T_3690 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3682 ? _T_3689 : _T_3690; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3664 ? _T_3557 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3577 ? _T_3557 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3543 ? _T_3557 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3539 ? _T_3542 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3516 ? _T_3532 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2133 = _T_1858 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 457:94] - wire _T_2139 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 459:23] - wire _T_2141 = _T_2139 & _T_3520; // @[el2_lsu_bus_buffer.scala 459:41] - wire _T_2143 = _T_2141 & _T_1861; // @[el2_lsu_bus_buffer.scala 459:71] - wire _T_2145 = _T_2143 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2146 = _T_4454 | _T_2145; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2147 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 460:17] - wire _T_2148 = _T_2147 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:35] - wire _T_2150 = _T_2148 & _T_1864; // @[el2_lsu_bus_buffer.scala 460:52] - wire _T_2152 = _T_2150 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2153 = _T_2146 | _T_2152; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2154 = _T_2133 & _T_2153; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2156 = _T_2154 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2170 = _T_2143 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2171 = _T_4459 | _T_2170; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2177 = _T_2150 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2178 = _T_2171 | _T_2177; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2179 = _T_2133 & _T_2178; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2181 = _T_2179 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2195 = _T_2143 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2196 = _T_4464 | _T_2195; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2202 = _T_2150 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2203 = _T_2196 | _T_2202; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2204 = _T_2133 & _T_2203; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2206 = _T_2204 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2220 = _T_2143 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2221 = _T_4469 | _T_2220; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2227 = _T_2150 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2228 = _T_2221 | _T_2227; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2229 = _T_2133 & _T_2228; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2231 = _T_2229 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 460:97] - wire [2:0] _T_2233 = {_T_2231,_T_2206,_T_2181}; // @[Cat.scala 29:58] - wire _T_3716 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] - wire _T_3717 = _T_3522 & _T_3716; // @[el2_lsu_bus_buffer.scala 494:112] - wire _T_3719 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] - wire _T_3720 = _T_3525 & _T_3719; // @[el2_lsu_bus_buffer.scala 494:161] - wire _T_3721 = _T_3717 | _T_3720; // @[el2_lsu_bus_buffer.scala 494:132] - wire _T_3722 = _T_845 & _T_3721; // @[el2_lsu_bus_buffer.scala 494:63] - wire _T_3723 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] - wire _T_3724 = ibuf_drain_vld & _T_3723; // @[el2_lsu_bus_buffer.scala 494:201] - wire _T_3725 = _T_3722 | _T_3724; // @[el2_lsu_bus_buffer.scala 494:183] - wire _T_3770 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3815 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 519:73] - wire _T_3816 = bus_rsp_write & _T_3815; // @[el2_lsu_bus_buffer.scala 519:52] - wire _T_3817 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 520:46] - wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_3819 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_3820 = buf_ldfwd[1] & _T_3819; // @[el2_lsu_bus_buffer.scala 521:27] - wire _T_3821 = _T_3817 | _T_3820; // @[el2_lsu_bus_buffer.scala 520:77] - wire _T_3822 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 522:26] - wire _T_3824 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 522:44] - wire _T_3825 = _T_3822 & _T_3824; // @[el2_lsu_bus_buffer.scala 522:42] - wire _T_3826 = _T_3825 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 522:58] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3684 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3685 = _T_3682[0] & _T_3684; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_3686 = _T_3642 | _T_3685; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_3687 = bus_rsp_read & _T_3686; // @[el2_lsu_bus_buffer.scala 534:45] + wire _GEN_36 = _T_3672 & _T_3687; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3585 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3551 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3547 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3564 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_3565 = _T_3564 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_3690 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2139[1:0]; // @[el2_lsu_bus_buffer.scala 446:10] + wire _T_3693 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_3694 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_3695 = buf_dual_0 & _T_3694; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_3696 = _T_3693 | _T_3695; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_3697 = _T_3696 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_3698 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3690 ? _T_3697 : _T_3698; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3672 ? _T_3565 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3585 ? _T_3565 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3551 ? _T_3565 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3547 ? _T_3550 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3524 ? _T_3540 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2141 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 458:94] + wire _T_2147 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 460:23] + wire _T_2149 = _T_2147 & _T_3528; // @[el2_lsu_bus_buffer.scala 460:41] + wire _T_2151 = _T_2149 & _T_1869; // @[el2_lsu_bus_buffer.scala 460:71] + wire _T_2153 = _T_2151 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2154 = _T_4462 | _T_2153; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2155 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 461:17] + wire _T_2156 = _T_2155 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:35] + wire _T_2158 = _T_2156 & _T_1872; // @[el2_lsu_bus_buffer.scala 461:52] + wire _T_2160 = _T_2158 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2161 = _T_2154 | _T_2160; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2162 = _T_2141 & _T_2161; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2164 = _T_2162 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2178 = _T_2151 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2179 = _T_4467 | _T_2178; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2185 = _T_2158 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2186 = _T_2179 | _T_2185; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2187 = _T_2141 & _T_2186; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2189 = _T_2187 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2203 = _T_2151 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2204 = _T_4472 | _T_2203; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2210 = _T_2158 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2211 = _T_2204 | _T_2210; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2212 = _T_2141 & _T_2211; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2214 = _T_2212 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2228 = _T_2151 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2229 = _T_4477 | _T_2228; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2235 = _T_2158 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2236 = _T_2229 | _T_2235; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2237 = _T_2141 & _T_2236; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2239 = _T_2237 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire [2:0] _T_2241 = {_T_2239,_T_2214,_T_2189}; // @[Cat.scala 29:58] + wire _T_3724 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_3725 = _T_3530 & _T_3724; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_3727 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_3728 = _T_3533 & _T_3727; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_3729 = _T_3725 | _T_3728; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_3730 = _T_845 & _T_3729; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_3731 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_3732 = ibuf_drain_vld & _T_3731; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_3733 = _T_3730 | _T_3732; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_3778 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3823 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_3824 = bus_rsp_write & _T_3823; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_3825 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 521:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3827 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3828 = buf_ldfwd[1] & _T_3827; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_3829 = _T_3825 | _T_3828; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_3830 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_3832 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 523:44] + wire _T_3833 = _T_3830 & _T_3832; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_3834 = _T_3833 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_3827 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_3828 = _T_3826 & _T_3827; // @[el2_lsu_bus_buffer.scala 522:74] - wire _T_3829 = _T_3821 | _T_3828; // @[el2_lsu_bus_buffer.scala 521:71] - wire _T_3830 = bus_rsp_read & _T_3829; // @[el2_lsu_bus_buffer.scala 520:25] - wire _T_3831 = _T_3816 | _T_3830; // @[el2_lsu_bus_buffer.scala 519:105] - wire _GEN_118 = _T_3770 & _T_3831; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3736 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3732 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3709 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3857 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3867 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 534:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 534:58] - wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_3869 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_3870 = _T_3867[0] & _T_3869; // @[el2_lsu_bus_buffer.scala 534:38] - wire _T_3871 = _T_3827 | _T_3870; // @[el2_lsu_bus_buffer.scala 533:95] - wire _T_3872 = bus_rsp_read & _T_3871; // @[el2_lsu_bus_buffer.scala 533:45] - wire _GEN_112 = _T_3857 & _T_3872; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3770 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3736 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3732 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3709 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3749 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] - wire _T_3750 = _T_3749 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] - wire _T_3875 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3878 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 539:37] - wire _T_3879 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] - wire _T_3880 = buf_dual_1 & _T_3879; // @[el2_lsu_bus_buffer.scala 539:80] - wire _T_3881 = _T_3878 | _T_3880; // @[el2_lsu_bus_buffer.scala 539:65] - wire _T_3882 = _T_3881 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] - wire _T_3883 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3875 ? _T_3882 : _T_3883; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3857 ? _T_3750 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3770 ? _T_3750 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3736 ? _T_3750 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3732 ? _T_3542 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3709 ? _T_3725 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2235 = _T_1869 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 457:94] - wire _T_2245 = _T_2141 & _T_1872; // @[el2_lsu_bus_buffer.scala 459:71] - wire _T_2247 = _T_2245 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2248 = _T_4454 | _T_2247; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2252 = _T_2148 & _T_1875; // @[el2_lsu_bus_buffer.scala 460:52] - wire _T_2254 = _T_2252 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2255 = _T_2248 | _T_2254; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2256 = _T_2235 & _T_2255; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2258 = _T_2256 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2272 = _T_2245 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2273 = _T_4459 | _T_2272; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2279 = _T_2252 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2280 = _T_2273 | _T_2279; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2281 = _T_2235 & _T_2280; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2283 = _T_2281 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2297 = _T_2245 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2298 = _T_4464 | _T_2297; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2304 = _T_2252 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2305 = _T_2298 | _T_2304; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2306 = _T_2235 & _T_2305; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2308 = _T_2306 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2322 = _T_2245 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2323 = _T_4469 | _T_2322; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2329 = _T_2252 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2330 = _T_2323 | _T_2329; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2331 = _T_2235 & _T_2330; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2333 = _T_2331 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 460:97] - wire [2:0] _T_2335 = {_T_2333,_T_2308,_T_2283}; // @[Cat.scala 29:58] - wire _T_3909 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] - wire _T_3910 = _T_3522 & _T_3909; // @[el2_lsu_bus_buffer.scala 494:112] - wire _T_3912 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] - wire _T_3913 = _T_3525 & _T_3912; // @[el2_lsu_bus_buffer.scala 494:161] - wire _T_3914 = _T_3910 | _T_3913; // @[el2_lsu_bus_buffer.scala 494:132] - wire _T_3915 = _T_845 & _T_3914; // @[el2_lsu_bus_buffer.scala 494:63] - wire _T_3916 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] - wire _T_3917 = ibuf_drain_vld & _T_3916; // @[el2_lsu_bus_buffer.scala 494:201] - wire _T_3918 = _T_3915 | _T_3917; // @[el2_lsu_bus_buffer.scala 494:183] - wire _T_3963 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4008 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 519:73] - wire _T_4009 = bus_rsp_write & _T_4008; // @[el2_lsu_bus_buffer.scala 519:52] - wire _T_4010 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 520:46] - wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_4012 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_4013 = buf_ldfwd[2] & _T_4012; // @[el2_lsu_bus_buffer.scala 521:27] - wire _T_4014 = _T_4010 | _T_4013; // @[el2_lsu_bus_buffer.scala 520:77] - wire _T_4015 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 522:26] - wire _T_4017 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 522:44] - wire _T_4018 = _T_4015 & _T_4017; // @[el2_lsu_bus_buffer.scala 522:42] - wire _T_4019 = _T_4018 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 522:58] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3835 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3836 = _T_3834 & _T_3835; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_3837 = _T_3829 | _T_3836; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_3838 = bus_rsp_read & _T_3837; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_3839 = _T_3824 | _T_3838; // @[el2_lsu_bus_buffer.scala 520:105] + wire _GEN_118 = _T_3778 & _T_3839; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3744 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3740 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3865 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3875 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 535:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3877 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3878 = _T_3875[0] & _T_3877; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_3879 = _T_3835 | _T_3878; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_3880 = bus_rsp_read & _T_3879; // @[el2_lsu_bus_buffer.scala 534:45] + wire _GEN_112 = _T_3865 & _T_3880; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3778 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3744 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3740 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3757 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_3758 = _T_3757 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_3883 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3886 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_3887 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_3888 = buf_dual_1 & _T_3887; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_3889 = _T_3886 | _T_3888; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_3890 = _T_3889 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_3891 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3883 ? _T_3890 : _T_3891; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3865 ? _T_3758 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3778 ? _T_3758 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3744 ? _T_3758 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3740 ? _T_3550 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3717 ? _T_3733 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2243 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 458:94] + wire _T_2253 = _T_2149 & _T_1880; // @[el2_lsu_bus_buffer.scala 460:71] + wire _T_2255 = _T_2253 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2256 = _T_4462 | _T_2255; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2260 = _T_2156 & _T_1883; // @[el2_lsu_bus_buffer.scala 461:52] + wire _T_2262 = _T_2260 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2263 = _T_2256 | _T_2262; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2264 = _T_2243 & _T_2263; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2266 = _T_2264 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2280 = _T_2253 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2281 = _T_4467 | _T_2280; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2287 = _T_2260 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2288 = _T_2281 | _T_2287; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2289 = _T_2243 & _T_2288; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2291 = _T_2289 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2305 = _T_2253 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2306 = _T_4472 | _T_2305; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2312 = _T_2260 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2313 = _T_2306 | _T_2312; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2314 = _T_2243 & _T_2313; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2316 = _T_2314 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2330 = _T_2253 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2331 = _T_4477 | _T_2330; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2337 = _T_2260 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2338 = _T_2331 | _T_2337; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2339 = _T_2243 & _T_2338; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2341 = _T_2339 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire [2:0] _T_2343 = {_T_2341,_T_2316,_T_2291}; // @[Cat.scala 29:58] + wire _T_3917 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_3918 = _T_3530 & _T_3917; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_3920 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_3921 = _T_3533 & _T_3920; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_3922 = _T_3918 | _T_3921; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_3923 = _T_845 & _T_3922; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_3924 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_3925 = ibuf_drain_vld & _T_3924; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_3926 = _T_3923 | _T_3925; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_3971 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4016 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_4017 = bus_rsp_write & _T_4016; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_4018 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 521:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4020 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4021 = buf_ldfwd[2] & _T_4020; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_4022 = _T_4018 | _T_4021; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_4023 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_4025 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 523:44] + wire _T_4026 = _T_4023 & _T_4025; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_4027 = _T_4026 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_4020 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_4021 = _T_4019 & _T_4020; // @[el2_lsu_bus_buffer.scala 522:74] - wire _T_4022 = _T_4014 | _T_4021; // @[el2_lsu_bus_buffer.scala 521:71] - wire _T_4023 = bus_rsp_read & _T_4022; // @[el2_lsu_bus_buffer.scala 520:25] - wire _T_4024 = _T_4009 | _T_4023; // @[el2_lsu_bus_buffer.scala 519:105] - wire _GEN_194 = _T_3963 & _T_4024; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3929 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3925 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3902 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_4050 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4060 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 534:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 534:58] - wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_4062 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_4063 = _T_4060[0] & _T_4062; // @[el2_lsu_bus_buffer.scala 534:38] - wire _T_4064 = _T_4020 | _T_4063; // @[el2_lsu_bus_buffer.scala 533:95] - wire _T_4065 = bus_rsp_read & _T_4064; // @[el2_lsu_bus_buffer.scala 533:45] - wire _GEN_188 = _T_4050 & _T_4065; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3963 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3929 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3925 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3902 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3942 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] - wire _T_3943 = _T_3942 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] - wire _T_4068 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4071 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 539:37] - wire _T_4072 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] - wire _T_4073 = buf_dual_2 & _T_4072; // @[el2_lsu_bus_buffer.scala 539:80] - wire _T_4074 = _T_4071 | _T_4073; // @[el2_lsu_bus_buffer.scala 539:65] - wire _T_4075 = _T_4074 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] - wire _T_4076 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_4068 ? _T_4075 : _T_4076; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_4050 ? _T_3943 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3963 ? _T_3943 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3929 ? _T_3943 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3925 ? _T_3542 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3902 ? _T_3918 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2337 = _T_1880 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 457:94] - wire _T_2347 = _T_2141 & _T_1883; // @[el2_lsu_bus_buffer.scala 459:71] - wire _T_2349 = _T_2347 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2350 = _T_4454 | _T_2349; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2354 = _T_2148 & _T_1886; // @[el2_lsu_bus_buffer.scala 460:52] - wire _T_2356 = _T_2354 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2357 = _T_2350 | _T_2356; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2358 = _T_2337 & _T_2357; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2360 = _T_2358 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2374 = _T_2347 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2375 = _T_4459 | _T_2374; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2381 = _T_2354 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2382 = _T_2375 | _T_2381; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2383 = _T_2337 & _T_2382; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2385 = _T_2383 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2399 = _T_2347 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2400 = _T_4464 | _T_2399; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2406 = _T_2354 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2407 = _T_2400 | _T_2406; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2408 = _T_2337 & _T_2407; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2410 = _T_2408 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2424 = _T_2347 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2425 = _T_4469 | _T_2424; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2431 = _T_2354 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2432 = _T_2425 | _T_2431; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2433 = _T_2337 & _T_2432; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2435 = _T_2433 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 460:97] - wire [2:0] _T_2437 = {_T_2435,_T_2410,_T_2385}; // @[Cat.scala 29:58] - wire _T_4102 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] - wire _T_4103 = _T_3522 & _T_4102; // @[el2_lsu_bus_buffer.scala 494:112] - wire _T_4105 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] - wire _T_4106 = _T_3525 & _T_4105; // @[el2_lsu_bus_buffer.scala 494:161] - wire _T_4107 = _T_4103 | _T_4106; // @[el2_lsu_bus_buffer.scala 494:132] - wire _T_4108 = _T_845 & _T_4107; // @[el2_lsu_bus_buffer.scala 494:63] - wire _T_4109 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] - wire _T_4110 = ibuf_drain_vld & _T_4109; // @[el2_lsu_bus_buffer.scala 494:201] - wire _T_4111 = _T_4108 | _T_4110; // @[el2_lsu_bus_buffer.scala 494:183] - wire _T_4156 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4201 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 519:73] - wire _T_4202 = bus_rsp_write & _T_4201; // @[el2_lsu_bus_buffer.scala 519:52] - wire _T_4203 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 520:46] - wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_4205 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_4206 = buf_ldfwd[3] & _T_4205; // @[el2_lsu_bus_buffer.scala 521:27] - wire _T_4207 = _T_4203 | _T_4206; // @[el2_lsu_bus_buffer.scala 520:77] - wire _T_4208 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 522:26] - wire _T_4210 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 522:44] - wire _T_4211 = _T_4208 & _T_4210; // @[el2_lsu_bus_buffer.scala 522:42] - wire _T_4212 = _T_4211 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 522:58] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4028 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4029 = _T_4027 & _T_4028; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_4030 = _T_4022 | _T_4029; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_4031 = bus_rsp_read & _T_4030; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_4032 = _T_4017 | _T_4031; // @[el2_lsu_bus_buffer.scala 520:105] + wire _GEN_194 = _T_3971 & _T_4032; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3937 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3933 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4058 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4068 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 535:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4070 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4071 = _T_4068[0] & _T_4070; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_4072 = _T_4028 | _T_4071; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_4073 = bus_rsp_read & _T_4072; // @[el2_lsu_bus_buffer.scala 534:45] + wire _GEN_188 = _T_4058 & _T_4073; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3971 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3937 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3933 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3950 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_3951 = _T_3950 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_4076 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4079 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_4080 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_4081 = buf_dual_2 & _T_4080; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_4082 = _T_4079 | _T_4081; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_4083 = _T_4082 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_4084 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4076 ? _T_4083 : _T_4084; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4058 ? _T_3951 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3971 ? _T_3951 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3937 ? _T_3951 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3933 ? _T_3550 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3910 ? _T_3926 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2345 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 458:94] + wire _T_2355 = _T_2149 & _T_1891; // @[el2_lsu_bus_buffer.scala 460:71] + wire _T_2357 = _T_2355 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2358 = _T_4462 | _T_2357; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2362 = _T_2156 & _T_1894; // @[el2_lsu_bus_buffer.scala 461:52] + wire _T_2364 = _T_2362 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2365 = _T_2358 | _T_2364; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2366 = _T_2345 & _T_2365; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2368 = _T_2366 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2382 = _T_2355 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2383 = _T_4467 | _T_2382; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2389 = _T_2362 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2390 = _T_2383 | _T_2389; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2391 = _T_2345 & _T_2390; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2393 = _T_2391 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2407 = _T_2355 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2408 = _T_4472 | _T_2407; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2414 = _T_2362 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2415 = _T_2408 | _T_2414; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2416 = _T_2345 & _T_2415; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2418 = _T_2416 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2432 = _T_2355 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2433 = _T_4477 | _T_2432; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2439 = _T_2362 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2440 = _T_2433 | _T_2439; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2441 = _T_2345 & _T_2440; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2443 = _T_2441 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire [2:0] _T_2445 = {_T_2443,_T_2418,_T_2393}; // @[Cat.scala 29:58] + wire _T_4110 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_4111 = _T_3530 & _T_4110; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_4113 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_4114 = _T_3533 & _T_4113; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_4115 = _T_4111 | _T_4114; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_4116 = _T_845 & _T_4115; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_4117 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_4118 = ibuf_drain_vld & _T_4117; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_4119 = _T_4116 | _T_4118; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_4164 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4209 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_4210 = bus_rsp_write & _T_4209; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_4211 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 521:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4213 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_4215 = _T_4211 | _T_4214; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_4218 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 523:44] + wire _T_4219 = _T_4216 & _T_4218; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_4220 = _T_4219 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_4213 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_4214 = _T_4212 & _T_4213; // @[el2_lsu_bus_buffer.scala 522:74] - wire _T_4215 = _T_4207 | _T_4214; // @[el2_lsu_bus_buffer.scala 521:71] - wire _T_4216 = bus_rsp_read & _T_4215; // @[el2_lsu_bus_buffer.scala 520:25] - wire _T_4217 = _T_4202 | _T_4216; // @[el2_lsu_bus_buffer.scala 519:105] - wire _GEN_270 = _T_4156 & _T_4217; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4122 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4118 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4095 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4243 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4253 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 534:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 534:58] - wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_4255 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_4256 = _T_4253[0] & _T_4255; // @[el2_lsu_bus_buffer.scala 534:38] - wire _T_4257 = _T_4213 | _T_4256; // @[el2_lsu_bus_buffer.scala 533:95] - wire _T_4258 = bus_rsp_read & _T_4257; // @[el2_lsu_bus_buffer.scala 533:45] - wire _GEN_264 = _T_4243 & _T_4258; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4156 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_4122 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4118 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4095 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4135 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] - wire _T_4136 = _T_4135 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] - wire _T_4261 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4264 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 539:37] - wire _T_4265 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] - wire _T_4266 = buf_dual_3 & _T_4265; // @[el2_lsu_bus_buffer.scala 539:80] - wire _T_4267 = _T_4264 | _T_4266; // @[el2_lsu_bus_buffer.scala 539:65] - wire _T_4268 = _T_4267 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] - wire _T_4269 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4261 ? _T_4268 : _T_4269; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4243 ? _T_4136 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4156 ? _T_4136 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4122 ? _T_4136 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4118 ? _T_3542 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_4095 ? _T_4111 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2439 = _T_1891 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 457:94] - wire _T_2449 = _T_2141 & _T_1894; // @[el2_lsu_bus_buffer.scala 459:71] - wire _T_2451 = _T_2449 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2452 = _T_4454 | _T_2451; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2456 = _T_2148 & _T_1897; // @[el2_lsu_bus_buffer.scala 460:52] - wire _T_2458 = _T_2456 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2459 = _T_2452 | _T_2458; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2460 = _T_2439 & _T_2459; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2462 = _T_2460 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2476 = _T_2449 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2477 = _T_4459 | _T_2476; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2483 = _T_2456 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2484 = _T_2477 | _T_2483; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2485 = _T_2439 & _T_2484; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2487 = _T_2485 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2501 = _T_2449 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2502 = _T_4464 | _T_2501; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2508 = _T_2456 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2509 = _T_2502 | _T_2508; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2510 = _T_2439 & _T_2509; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2512 = _T_2510 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2526 = _T_2449 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2527 = _T_4469 | _T_2526; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2533 = _T_2456 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2534 = _T_2527 | _T_2533; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2535 = _T_2439 & _T_2534; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2537 = _T_2535 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 460:97] - wire [2:0] _T_2539 = {_T_2537,_T_2512,_T_2487}; // @[Cat.scala 29:58] - wire _T_2787 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] - wire _T_2788 = _T_1858 | _T_2787; // @[el2_lsu_bus_buffer.scala 468:34] - wire _T_2789 = ~_T_2788; // @[el2_lsu_bus_buffer.scala 468:8] - wire _T_2797 = _T_2789 | _T_2145; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2804 = _T_2797 | _T_2152; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2805 = _T_2133 & _T_2804; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2809 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] - wire _T_2810 = _T_1869 | _T_2809; // @[el2_lsu_bus_buffer.scala 468:34] - wire _T_2811 = ~_T_2810; // @[el2_lsu_bus_buffer.scala 468:8] - wire _T_2819 = _T_2811 | _T_2170; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2826 = _T_2819 | _T_2177; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2827 = _T_2133 & _T_2826; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2831 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] - wire _T_2832 = _T_1880 | _T_2831; // @[el2_lsu_bus_buffer.scala 468:34] - wire _T_2833 = ~_T_2832; // @[el2_lsu_bus_buffer.scala 468:8] - wire _T_2841 = _T_2833 | _T_2195; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2848 = _T_2841 | _T_2202; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2849 = _T_2133 & _T_2848; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2853 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] - wire _T_2854 = _T_1891 | _T_2853; // @[el2_lsu_bus_buffer.scala 468:34] - wire _T_2855 = ~_T_2854; // @[el2_lsu_bus_buffer.scala 468:8] - wire _T_2863 = _T_2855 | _T_2220; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2870 = _T_2863 | _T_2227; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2871 = _T_2133 & _T_2870; // @[el2_lsu_bus_buffer.scala 467:114] - wire [3:0] buf_rspage_set_0 = {_T_2871,_T_2849,_T_2827,_T_2805}; // @[Cat.scala 29:58] - wire _T_2888 = _T_2789 | _T_2247; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2895 = _T_2888 | _T_2254; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2896 = _T_2235 & _T_2895; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2910 = _T_2811 | _T_2272; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2917 = _T_2910 | _T_2279; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2918 = _T_2235 & _T_2917; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2932 = _T_2833 | _T_2297; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2939 = _T_2932 | _T_2304; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2940 = _T_2235 & _T_2939; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2954 = _T_2855 | _T_2322; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2961 = _T_2954 | _T_2329; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2962 = _T_2235 & _T_2961; // @[el2_lsu_bus_buffer.scala 467:114] - wire [3:0] buf_rspage_set_1 = {_T_2962,_T_2940,_T_2918,_T_2896}; // @[Cat.scala 29:58] - wire _T_2979 = _T_2789 | _T_2349; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2986 = _T_2979 | _T_2356; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2987 = _T_2337 & _T_2986; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3001 = _T_2811 | _T_2374; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3008 = _T_3001 | _T_2381; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3009 = _T_2337 & _T_3008; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3023 = _T_2833 | _T_2399; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3030 = _T_3023 | _T_2406; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3031 = _T_2337 & _T_3030; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3045 = _T_2855 | _T_2424; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3052 = _T_3045 | _T_2431; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3053 = _T_2337 & _T_3052; // @[el2_lsu_bus_buffer.scala 467:114] - wire [3:0] buf_rspage_set_2 = {_T_3053,_T_3031,_T_3009,_T_2987}; // @[Cat.scala 29:58] - wire _T_3070 = _T_2789 | _T_2451; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3077 = _T_3070 | _T_2458; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3078 = _T_2439 & _T_3077; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3092 = _T_2811 | _T_2476; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3099 = _T_3092 | _T_2483; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3100 = _T_2439 & _T_3099; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3114 = _T_2833 | _T_2501; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3121 = _T_3114 | _T_2508; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3122 = _T_2439 & _T_3121; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3136 = _T_2855 | _T_2526; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3143 = _T_3136 | _T_2533; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3144 = _T_2439 & _T_3143; // @[el2_lsu_bus_buffer.scala 467:114] - wire [3:0] buf_rspage_set_3 = {_T_3144,_T_3122,_T_3100,_T_3078}; // @[Cat.scala 29:58] - wire _T_3229 = _T_2853 | _T_1891; // @[el2_lsu_bus_buffer.scala 472:112] - wire _T_3230 = ~_T_3229; // @[el2_lsu_bus_buffer.scala 472:86] - wire _T_3231 = buf_rspageQ_0[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3223 = _T_2831 | _T_1880; // @[el2_lsu_bus_buffer.scala 472:112] - wire _T_3224 = ~_T_3223; // @[el2_lsu_bus_buffer.scala 472:86] - wire _T_3225 = buf_rspageQ_0[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3217 = _T_2809 | _T_1869; // @[el2_lsu_bus_buffer.scala 472:112] - wire _T_3218 = ~_T_3217; // @[el2_lsu_bus_buffer.scala 472:86] - wire _T_3219 = buf_rspageQ_0[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3211 = _T_2787 | _T_1858; // @[el2_lsu_bus_buffer.scala 472:112] - wire _T_3212 = ~_T_3211; // @[el2_lsu_bus_buffer.scala 472:86] - wire _T_3213 = buf_rspageQ_0[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] - wire [3:0] buf_rspage_0 = {_T_3231,_T_3225,_T_3219,_T_3213}; // @[Cat.scala 29:58] - wire _T_3150 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3153 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3156 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3159 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 471:90] - wire [2:0] _T_3161 = {_T_3159,_T_3156,_T_3153}; // @[Cat.scala 29:58] - wire _T_3258 = buf_rspageQ_1[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3252 = buf_rspageQ_1[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3246 = buf_rspageQ_1[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3240 = buf_rspageQ_1[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] - wire [3:0] buf_rspage_1 = {_T_3258,_T_3252,_T_3246,_T_3240}; // @[Cat.scala 29:58] - wire _T_3165 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3168 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3171 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3174 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 471:90] - wire [2:0] _T_3176 = {_T_3174,_T_3171,_T_3168}; // @[Cat.scala 29:58] - wire _T_3285 = buf_rspageQ_2[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3279 = buf_rspageQ_2[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3273 = buf_rspageQ_2[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3267 = buf_rspageQ_2[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] - wire [3:0] buf_rspage_2 = {_T_3285,_T_3279,_T_3273,_T_3267}; // @[Cat.scala 29:58] - wire _T_3180 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3183 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3186 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3189 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 471:90] - wire [2:0] _T_3191 = {_T_3189,_T_3186,_T_3183}; // @[Cat.scala 29:58] - wire _T_3312 = buf_rspageQ_3[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3306 = buf_rspageQ_3[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3300 = buf_rspageQ_3[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3294 = buf_rspageQ_3[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] - wire [3:0] buf_rspage_3 = {_T_3312,_T_3306,_T_3300,_T_3294}; // @[Cat.scala 29:58] - wire _T_3195 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3198 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3201 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3204 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 471:90] - wire [2:0] _T_3206 = {_T_3204,_T_3201,_T_3198}; // @[Cat.scala 29:58] - wire _T_3317 = ibuf_drain_vld & _T_1859; // @[el2_lsu_bus_buffer.scala 477:65] - wire _T_3319 = ibuf_drain_vld & _T_1870; // @[el2_lsu_bus_buffer.scala 477:65] - wire _T_3321 = ibuf_drain_vld & _T_1881; // @[el2_lsu_bus_buffer.scala 477:65] - wire _T_3323 = ibuf_drain_vld & _T_1892; // @[el2_lsu_bus_buffer.scala 477:65] - wire [3:0] ibuf_drainvec_vld = {_T_3323,_T_3321,_T_3319,_T_3317}; // @[Cat.scala 29:58] - wire _T_3331 = _T_3525 & _T_1864; // @[el2_lsu_bus_buffer.scala 478:123] - wire [3:0] _T_3334 = _T_3331 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] - wire [3:0] _T_3335 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3334; // @[el2_lsu_bus_buffer.scala 478:48] - wire _T_3340 = _T_3525 & _T_1875; // @[el2_lsu_bus_buffer.scala 478:123] - wire [3:0] _T_3343 = _T_3340 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] - wire [3:0] _T_3344 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3343; // @[el2_lsu_bus_buffer.scala 478:48] - wire _T_3349 = _T_3525 & _T_1886; // @[el2_lsu_bus_buffer.scala 478:123] - wire [3:0] _T_3352 = _T_3349 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] - wire [3:0] _T_3353 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3352; // @[el2_lsu_bus_buffer.scala 478:48] - wire _T_3358 = _T_3525 & _T_1897; // @[el2_lsu_bus_buffer.scala 478:123] - wire [3:0] _T_3361 = _T_3358 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] - wire [3:0] _T_3362 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3361; // @[el2_lsu_bus_buffer.scala 478:48] - wire _T_3388 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] - wire _T_3390 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] - wire _T_3392 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] - wire _T_3394 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] - wire [3:0] buf_dual_in = {_T_3394,_T_3392,_T_3390,_T_3388}; // @[Cat.scala 29:58] - wire _T_3399 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] - wire _T_3401 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] - wire _T_3403 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] - wire _T_3405 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] - wire [3:0] buf_samedw_in = {_T_3405,_T_3403,_T_3401,_T_3399}; // @[Cat.scala 29:58] - wire _T_3410 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 482:86] - wire _T_3411 = ibuf_drainvec_vld[0] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] - wire _T_3414 = ibuf_drainvec_vld[1] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] - wire _T_3417 = ibuf_drainvec_vld[2] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] - wire _T_3420 = ibuf_drainvec_vld[3] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] - wire [3:0] buf_nomerge_in = {_T_3420,_T_3417,_T_3414,_T_3411}; // @[Cat.scala 29:58] - wire _T_3428 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3331; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3433 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3340; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3438 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3349; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3443 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3358; // @[el2_lsu_bus_buffer.scala 483:49] - wire [3:0] buf_dualhi_in = {_T_3443,_T_3438,_T_3433,_T_3428}; // @[Cat.scala 29:58] - wire _T_3472 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3474 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3476 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3478 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] - wire [3:0] buf_sideeffect_in = {_T_3478,_T_3476,_T_3474,_T_3472}; // @[Cat.scala 29:58] - wire _T_3483 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] - wire _T_3485 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] - wire _T_3487 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] - wire _T_3489 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] - wire [3:0] buf_unsign_in = {_T_3489,_T_3487,_T_3485,_T_3483}; // @[Cat.scala 29:58] - wire _T_3506 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] - wire _T_3508 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] - wire _T_3510 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] - wire _T_3512 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] - wire [3:0] buf_write_in = {_T_3512,_T_3510,_T_3508,_T_3506}; // @[Cat.scala 29:58] - wire _T_3545 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 504:89] - wire _T_3547 = _T_3545 & _T_1356; // @[el2_lsu_bus_buffer.scala 504:104] - wire _T_3560 = buf_state_en_0 & _T_1209; // @[el2_lsu_bus_buffer.scala 509:44] - wire _T_3561 = _T_3560 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] - wire _T_3563 = _T_3561 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] - wire _T_3566 = _T_3556 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] - wire _T_3567 = _T_3566 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] - wire _T_4852 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 616:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4852; // @[el2_lsu_bus_buffer.scala 616:38] - wire _T_3570 = _T_3566 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] - wire _T_3645 = bus_rsp_read_error & _T_3624; // @[el2_lsu_bus_buffer.scala 526:91] - wire _T_3647 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_3649 = _T_3647 & _T_3626; // @[el2_lsu_bus_buffer.scala 527:46] - wire _T_3650 = _T_3645 | _T_3649; // @[el2_lsu_bus_buffer.scala 526:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4852; // @[el2_lsu_bus_buffer.scala 615:40] - wire _T_3653 = bus_rsp_write_error & _T_3622; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_3654 = _T_3650 | _T_3653; // @[el2_lsu_bus_buffer.scala 527:88] - wire _T_3655 = _T_3556 & _T_3654; // @[el2_lsu_bus_buffer.scala 526:68] - wire _GEN_46 = _T_3577 & _T_3655; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3543 ? _T_3570 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3539 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3516 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3580 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 516:73] - wire _T_3581 = buf_write[0] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] - wire _T_3582 = io_dec_tlu_force_halt | _T_3581; // @[el2_lsu_bus_buffer.scala 516:55] - wire _T_3584 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 517:30] - wire _T_3585 = buf_dual_0 & _T_3584; // @[el2_lsu_bus_buffer.scala 517:28] - wire _T_3588 = _T_3585 & _T_1209; // @[el2_lsu_bus_buffer.scala 517:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3589 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3590 = _T_3588 & _T_3589; // @[el2_lsu_bus_buffer.scala 517:61] - wire _T_4477 = _T_2734 | _T_2731; // @[el2_lsu_bus_buffer.scala 575:93] - wire _T_4478 = _T_4477 | _T_2728; // @[el2_lsu_bus_buffer.scala 575:93] - wire any_done_wait_state = _T_4478 | _T_2725; // @[el2_lsu_bus_buffer.scala 575:93] - wire _T_3592 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] - wire _T_3598 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3600 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3602 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3604 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3606 = _T_3598 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3607 = _T_3600 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3608 = _T_3602 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3609 = _T_3604 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3610 = _T_3606 | _T_3607; // @[Mux.scala 27:72] - wire _T_3611 = _T_3610 | _T_3608; // @[Mux.scala 27:72] - wire _T_3612 = _T_3611 | _T_3609; // @[Mux.scala 27:72] - wire _T_3614 = _T_3588 & _T_3612; // @[el2_lsu_bus_buffer.scala 518:101] - wire _T_3615 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] - wire _T_3616 = _T_3614 & _T_3615; // @[el2_lsu_bus_buffer.scala 518:138] - wire _T_3617 = _T_3616 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] - wire _T_3618 = _T_3592 | _T_3617; // @[el2_lsu_bus_buffer.scala 518:53] - wire _T_3641 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] - wire _T_3642 = _T_3641 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] - wire _T_3656 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 529:50] - wire _T_3657 = buf_state_en_0 & _T_3656; // @[el2_lsu_bus_buffer.scala 529:48] - wire _T_3669 = buf_ldfwd[0] | _T_3674[0]; // @[el2_lsu_bus_buffer.scala 532:90] - wire _T_3670 = _T_3669 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] - wire _GEN_29 = _T_3690 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3682 ? 1'h0 : _T_3690; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3682 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3664 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3664 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3577 & _T_3642; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3577 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3577 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3543 ? _T_3563 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3543 ? _T_3567 : _GEN_45; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3543 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3539 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3539 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3539 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3516 & buf_state_en_0; // @[Conditional.scala 40:58] - wire buf_data_en_0 = _T_3516 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3516 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3516 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3753 = buf_state_en_1 & _T_3824; // @[el2_lsu_bus_buffer.scala 509:44] - wire _T_3754 = _T_3753 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] - wire _T_3756 = _T_3754 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] - wire _T_3759 = _T_3749 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] - wire _T_3760 = _T_3759 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] - wire _T_3763 = _T_3759 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] - wire _T_3838 = bus_rsp_read_error & _T_3817; // @[el2_lsu_bus_buffer.scala 526:91] - wire _T_3840 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_3842 = _T_3840 & _T_3819; // @[el2_lsu_bus_buffer.scala 527:46] - wire _T_3843 = _T_3838 | _T_3842; // @[el2_lsu_bus_buffer.scala 526:143] - wire _T_3846 = bus_rsp_write_error & _T_3815; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_3847 = _T_3843 | _T_3846; // @[el2_lsu_bus_buffer.scala 527:88] - wire _T_3848 = _T_3749 & _T_3847; // @[el2_lsu_bus_buffer.scala 526:68] - wire _GEN_122 = _T_3770 & _T_3848; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3736 ? _T_3763 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3732 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3709 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3774 = buf_write[1] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] - wire _T_3775 = io_dec_tlu_force_halt | _T_3774; // @[el2_lsu_bus_buffer.scala 516:55] - wire _T_3777 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 517:30] - wire _T_3778 = buf_dual_1 & _T_3777; // @[el2_lsu_bus_buffer.scala 517:28] - wire _T_3781 = _T_3778 & _T_3824; // @[el2_lsu_bus_buffer.scala 517:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3782 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3783 = _T_3781 & _T_3782; // @[el2_lsu_bus_buffer.scala 517:61] - wire _T_3785 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] - wire _T_3791 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3793 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3795 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3797 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3799 = _T_3791 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3800 = _T_3793 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3801 = _T_3795 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3802 = _T_3797 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3803 = _T_3799 | _T_3800; // @[Mux.scala 27:72] - wire _T_3804 = _T_3803 | _T_3801; // @[Mux.scala 27:72] - wire _T_3805 = _T_3804 | _T_3802; // @[Mux.scala 27:72] - wire _T_3807 = _T_3781 & _T_3805; // @[el2_lsu_bus_buffer.scala 518:101] - wire _T_3808 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] - wire _T_3809 = _T_3807 & _T_3808; // @[el2_lsu_bus_buffer.scala 518:138] - wire _T_3810 = _T_3809 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] - wire _T_3811 = _T_3785 | _T_3810; // @[el2_lsu_bus_buffer.scala 518:53] - wire _T_3834 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] - wire _T_3835 = _T_3834 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] - wire _T_3849 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 529:50] - wire _T_3850 = buf_state_en_1 & _T_3849; // @[el2_lsu_bus_buffer.scala 529:48] - wire _T_3862 = buf_ldfwd[1] | _T_3867[0]; // @[el2_lsu_bus_buffer.scala 532:90] - wire _T_3863 = _T_3862 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] - wire _GEN_105 = _T_3883 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3875 ? 1'h0 : _T_3883; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3875 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3857 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3857 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3770 & _T_3835; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3770 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3770 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3736 ? _T_3756 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3736 ? _T_3760 : _GEN_121; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3736 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3732 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3732 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3732 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3709 & buf_state_en_1; // @[Conditional.scala 40:58] - wire buf_data_en_1 = _T_3709 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3709 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3709 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3946 = buf_state_en_2 & _T_4017; // @[el2_lsu_bus_buffer.scala 509:44] - wire _T_3947 = _T_3946 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] - wire _T_3949 = _T_3947 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] - wire _T_3952 = _T_3942 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] - wire _T_3953 = _T_3952 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] - wire _T_3956 = _T_3952 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] - wire _T_4031 = bus_rsp_read_error & _T_4010; // @[el2_lsu_bus_buffer.scala 526:91] - wire _T_4033 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_4035 = _T_4033 & _T_4012; // @[el2_lsu_bus_buffer.scala 527:46] - wire _T_4036 = _T_4031 | _T_4035; // @[el2_lsu_bus_buffer.scala 526:143] - wire _T_4039 = bus_rsp_write_error & _T_4008; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_4040 = _T_4036 | _T_4039; // @[el2_lsu_bus_buffer.scala 527:88] - wire _T_4041 = _T_3942 & _T_4040; // @[el2_lsu_bus_buffer.scala 526:68] - wire _GEN_198 = _T_3963 & _T_4041; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3929 ? _T_3956 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3925 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3902 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_3967 = buf_write[2] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] - wire _T_3968 = io_dec_tlu_force_halt | _T_3967; // @[el2_lsu_bus_buffer.scala 516:55] - wire _T_3970 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 517:30] - wire _T_3971 = buf_dual_2 & _T_3970; // @[el2_lsu_bus_buffer.scala 517:28] - wire _T_3974 = _T_3971 & _T_4017; // @[el2_lsu_bus_buffer.scala 517:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3975 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3976 = _T_3974 & _T_3975; // @[el2_lsu_bus_buffer.scala 517:61] - wire _T_3978 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] - wire _T_3984 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3986 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3988 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3990 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3992 = _T_3984 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3993 = _T_3986 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3994 = _T_3988 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3995 = _T_3990 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3996 = _T_3992 | _T_3993; // @[Mux.scala 27:72] - wire _T_3997 = _T_3996 | _T_3994; // @[Mux.scala 27:72] - wire _T_3998 = _T_3997 | _T_3995; // @[Mux.scala 27:72] - wire _T_4000 = _T_3974 & _T_3998; // @[el2_lsu_bus_buffer.scala 518:101] - wire _T_4001 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] - wire _T_4002 = _T_4000 & _T_4001; // @[el2_lsu_bus_buffer.scala 518:138] - wire _T_4003 = _T_4002 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] - wire _T_4004 = _T_3978 | _T_4003; // @[el2_lsu_bus_buffer.scala 518:53] - wire _T_4027 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] - wire _T_4028 = _T_4027 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] - wire _T_4042 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 529:50] - wire _T_4043 = buf_state_en_2 & _T_4042; // @[el2_lsu_bus_buffer.scala 529:48] - wire _T_4055 = buf_ldfwd[2] | _T_4060[0]; // @[el2_lsu_bus_buffer.scala 532:90] - wire _T_4056 = _T_4055 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] - wire _GEN_181 = _T_4076 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_4068 ? 1'h0 : _T_4076; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_4068 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_4050 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_4050 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3963 & _T_4028; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3963 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3963 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3929 ? _T_3949 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3929 ? _T_3953 : _GEN_197; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3929 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3925 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3925 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3925 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3902 & buf_state_en_2; // @[Conditional.scala 40:58] - wire buf_data_en_2 = _T_3902 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3902 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3902 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4139 = buf_state_en_3 & _T_4210; // @[el2_lsu_bus_buffer.scala 509:44] - wire _T_4140 = _T_4139 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] - wire _T_4142 = _T_4140 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] - wire _T_4145 = _T_4135 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] - wire _T_4146 = _T_4145 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] - wire _T_4149 = _T_4145 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] - wire _T_4224 = bus_rsp_read_error & _T_4203; // @[el2_lsu_bus_buffer.scala 526:91] - wire _T_4226 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_4228 = _T_4226 & _T_4205; // @[el2_lsu_bus_buffer.scala 527:46] - wire _T_4229 = _T_4224 | _T_4228; // @[el2_lsu_bus_buffer.scala 526:143] - wire _T_4232 = bus_rsp_write_error & _T_4201; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_4233 = _T_4229 | _T_4232; // @[el2_lsu_bus_buffer.scala 527:88] - wire _T_4234 = _T_4135 & _T_4233; // @[el2_lsu_bus_buffer.scala 526:68] - wire _GEN_274 = _T_4156 & _T_4234; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4122 ? _T_4149 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4118 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4095 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4160 = buf_write[3] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] - wire _T_4161 = io_dec_tlu_force_halt | _T_4160; // @[el2_lsu_bus_buffer.scala 516:55] - wire _T_4163 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 517:30] - wire _T_4164 = buf_dual_3 & _T_4163; // @[el2_lsu_bus_buffer.scala 517:28] - wire _T_4167 = _T_4164 & _T_4210; // @[el2_lsu_bus_buffer.scala 517:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_4168 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_4169 = _T_4167 & _T_4168; // @[el2_lsu_bus_buffer.scala 517:61] - wire _T_4171 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] - wire _T_4177 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4179 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4181 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4183 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4185 = _T_4177 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4186 = _T_4179 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4187 = _T_4181 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4188 = _T_4183 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4189 = _T_4185 | _T_4186; // @[Mux.scala 27:72] - wire _T_4190 = _T_4189 | _T_4187; // @[Mux.scala 27:72] - wire _T_4191 = _T_4190 | _T_4188; // @[Mux.scala 27:72] - wire _T_4193 = _T_4167 & _T_4191; // @[el2_lsu_bus_buffer.scala 518:101] - wire _T_4194 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] - wire _T_4195 = _T_4193 & _T_4194; // @[el2_lsu_bus_buffer.scala 518:138] - wire _T_4196 = _T_4195 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] - wire _T_4197 = _T_4171 | _T_4196; // @[el2_lsu_bus_buffer.scala 518:53] - wire _T_4220 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] - wire _T_4221 = _T_4220 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] - wire _T_4235 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 529:50] - wire _T_4236 = buf_state_en_3 & _T_4235; // @[el2_lsu_bus_buffer.scala 529:48] - wire _T_4248 = buf_ldfwd[3] | _T_4253[0]; // @[el2_lsu_bus_buffer.scala 532:90] - wire _T_4249 = _T_4248 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] - wire _GEN_257 = _T_4269 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4261 ? 1'h0 : _T_4269; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4261 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4243 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4243 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4156 & _T_4221; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4156 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4156 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_4122 ? _T_4142 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4122 ? _T_4146 : _GEN_273; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_4122 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_4118 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4118 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_4118 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_4095 & buf_state_en_3; // @[Conditional.scala 40:58] - wire buf_data_en_3 = _T_4095 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4095 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4095 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4324; // @[Reg.scala 27:20] - reg _T_4327; // @[Reg.scala 27:20] - reg _T_4330; // @[Reg.scala 27:20] - reg _T_4333; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4333,_T_4330,_T_4327,_T_4324}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3335[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] - wire [2:0] buf_byteen_in_1 = _T_3344[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] - wire [2:0] buf_byteen_in_2 = _T_3353[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] - wire [2:0] buf_byteen_in_3 = _T_3362[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] - reg _T_4399; // @[el2_lsu_bus_buffer.scala 568:82] - reg _T_4394; // @[el2_lsu_bus_buffer.scala 568:82] - reg _T_4389; // @[el2_lsu_bus_buffer.scala 568:82] - reg _T_4384; // @[el2_lsu_bus_buffer.scala 568:82] - wire [3:0] buf_error = {_T_4399,_T_4394,_T_4389,_T_4384}; // @[Cat.scala 29:58] - wire _T_4381 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 568:86] - wire _T_4382 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 568:128] - wire _T_4386 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 568:86] - wire _T_4387 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 568:128] - wire _T_4391 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 568:86] - wire _T_4392 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 568:128] - wire _T_4396 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 568:86] - wire _T_4397 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 568:128] - wire [2:0] _T_4404 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4410 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 571:96] - wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 571:96] - wire [2:0] _T_4411 = _T_4410 + _GEN_388; // @[el2_lsu_bus_buffer.scala 571:96] - wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 571:96] - wire [3:0] buf_numvld_any = _T_4411 + _GEN_389; // @[el2_lsu_bus_buffer.scala 571:96] - wire _T_4481 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 577:52] - wire _T_4482 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 577:92] - wire _T_4483 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 577:119] - wire _T_4485 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 578:52] - wire _T_4486 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 578:52] - wire _T_4487 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 578:52] - wire _T_4488 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 578:52] - wire _T_4489 = _T_4485 | _T_4486; // @[el2_lsu_bus_buffer.scala 578:65] - wire _T_4490 = _T_4489 | _T_4487; // @[el2_lsu_bus_buffer.scala 578:65] - wire _T_4491 = _T_4490 | _T_4488; // @[el2_lsu_bus_buffer.scala 578:65] - wire _T_4492 = ~_T_4491; // @[el2_lsu_bus_buffer.scala 578:34] - wire _T_4494 = _T_4492 & _T_844; // @[el2_lsu_bus_buffer.scala 578:70] - wire _T_4497 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 580:51] - wire _T_4498 = _T_4497 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 580:72] - wire _T_4499 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 580:94] - wire _T_4500 = _T_4498 & _T_4499; // @[el2_lsu_bus_buffer.scala 580:92] - wire _T_4501 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 580:111] - wire _T_4503 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 583:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 669:66] - wire _T_4521 = _T_2787 & _T_1209; // @[Mux.scala 27:72] - wire _T_4522 = _T_2809 & _T_3824; // @[Mux.scala 27:72] - wire _T_4523 = _T_2831 & _T_4017; // @[Mux.scala 27:72] - wire _T_4524 = _T_2853 & _T_4210; // @[Mux.scala 27:72] - wire _T_4525 = _T_4521 | _T_4522; // @[Mux.scala 27:72] - wire _T_4526 = _T_4525 | _T_4523; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4526 | _T_4524; // @[Mux.scala 27:72] - wire _T_4532 = buf_error[0] & _T_1209; // @[el2_lsu_bus_buffer.scala 586:108] - wire _T_4537 = buf_error[1] & _T_3824; // @[el2_lsu_bus_buffer.scala 586:108] - wire _T_4542 = buf_error[2] & _T_4017; // @[el2_lsu_bus_buffer.scala 586:108] - wire _T_4547 = buf_error[3] & _T_4210; // @[el2_lsu_bus_buffer.scala 586:108] - wire _T_4548 = _T_2787 & _T_4532; // @[Mux.scala 27:72] - wire _T_4549 = _T_2809 & _T_4537; // @[Mux.scala 27:72] - wire _T_4550 = _T_2831 & _T_4542; // @[Mux.scala 27:72] - wire _T_4551 = _T_2853 & _T_4547; // @[Mux.scala 27:72] - wire _T_4552 = _T_4548 | _T_4549; // @[Mux.scala 27:72] - wire _T_4553 = _T_4552 | _T_4550; // @[Mux.scala 27:72] - wire _T_4560 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 587:109] - wire _T_4561 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 587:124] - wire _T_4562 = _T_4560 | _T_4561; // @[el2_lsu_bus_buffer.scala 587:122] - wire _T_4563 = _T_4521 & _T_4562; // @[el2_lsu_bus_buffer.scala 587:106] - wire _T_4568 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 587:109] - wire _T_4569 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 587:124] - wire _T_4570 = _T_4568 | _T_4569; // @[el2_lsu_bus_buffer.scala 587:122] - wire _T_4571 = _T_4522 & _T_4570; // @[el2_lsu_bus_buffer.scala 587:106] - wire _T_4576 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 587:109] - wire _T_4577 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 587:124] - wire _T_4578 = _T_4576 | _T_4577; // @[el2_lsu_bus_buffer.scala 587:122] - wire _T_4579 = _T_4523 & _T_4578; // @[el2_lsu_bus_buffer.scala 587:106] - wire _T_4584 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 587:109] - wire _T_4585 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 587:124] - wire _T_4586 = _T_4584 | _T_4585; // @[el2_lsu_bus_buffer.scala 587:122] - wire _T_4587 = _T_4524 & _T_4586; // @[el2_lsu_bus_buffer.scala 587:106] - wire [1:0] _T_4590 = _T_4579 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4591 = _T_4587 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_390 = {{1'd0}, _T_4571}; // @[Mux.scala 27:72] - wire [1:0] _T_4593 = _GEN_390 | _T_4590; // @[Mux.scala 27:72] - wire [31:0] _T_4628 = _T_4563 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4629 = _T_4571 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4630 = _T_4579 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4631 = _T_4587 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4632 = _T_4628 | _T_4629; // @[Mux.scala 27:72] - wire [31:0] _T_4633 = _T_4632 | _T_4630; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4633 | _T_4631; // @[Mux.scala 27:72] - wire _T_4639 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 589:120] - wire _T_4640 = _T_4521 & _T_4639; // @[el2_lsu_bus_buffer.scala 589:105] - wire _T_4645 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 589:120] - wire _T_4646 = _T_4522 & _T_4645; // @[el2_lsu_bus_buffer.scala 589:105] - wire _T_4651 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 589:120] - wire _T_4652 = _T_4523 & _T_4651; // @[el2_lsu_bus_buffer.scala 589:105] - wire _T_4657 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 589:120] - wire _T_4658 = _T_4524 & _T_4657; // @[el2_lsu_bus_buffer.scala 589:105] - wire [31:0] _T_4659 = _T_4640 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4660 = _T_4646 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4661 = _T_4652 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4662 = _T_4658 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4663 = _T_4659 | _T_4660; // @[Mux.scala 27:72] - wire [31:0] _T_4664 = _T_4663 | _T_4661; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4664 | _T_4662; // @[Mux.scala 27:72] - wire _T_4666 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4667 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4668 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4669 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 119:123] - wire [31:0] _T_4670 = _T_4666 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4671 = _T_4667 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4672 = _T_4668 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4673 = _T_4669 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4674 = _T_4670 | _T_4671; // @[Mux.scala 27:72] - wire [31:0] _T_4675 = _T_4674 | _T_4672; // @[Mux.scala 27:72] - wire [31:0] _T_4676 = _T_4675 | _T_4673; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4676[1:0]; // @[el2_lsu_bus_buffer.scala 590:83] - wire [1:0] _T_4682 = _T_4666 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4683 = _T_4667 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4684 = _T_4668 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4685 = _T_4669 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4686 = _T_4682 | _T_4683; // @[Mux.scala 27:72] - wire [1:0] _T_4687 = _T_4686 | _T_4684; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4687 | _T_4685; // @[Mux.scala 27:72] - wire _T_4697 = _T_4666 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4698 = _T_4667 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4699 = _T_4668 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4700 = _T_4669 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4701 = _T_4697 | _T_4698; // @[Mux.scala 27:72] - wire _T_4702 = _T_4701 | _T_4699; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4702 | _T_4700; // @[Mux.scala 27:72] - wire [63:0] _T_4722 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 594:121] - wire [5:0] _T_4723 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 594:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4722 >> _T_4723; // @[el2_lsu_bus_buffer.scala 594:92] - wire _T_4724 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 597:69] - wire _T_4726 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 598:81] - wire _T_4727 = lsu_nonblock_unsign & _T_4726; // @[el2_lsu_bus_buffer.scala 598:63] - wire [31:0] _T_4729 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4730 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 599:45] - wire _T_4731 = lsu_nonblock_unsign & _T_4730; // @[el2_lsu_bus_buffer.scala 599:26] - wire [31:0] _T_4733 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4734 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 600:6] - wire _T_4736 = _T_4734 & _T_4726; // @[el2_lsu_bus_buffer.scala 600:27] - wire [23:0] _T_4739 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4741 = {_T_4739,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4744 = _T_4734 & _T_4730; // @[el2_lsu_bus_buffer.scala 601:27] - wire [15:0] _T_4747 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4749 = {_T_4747,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4750 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 602:21] - wire [31:0] _T_4751 = _T_4727 ? _T_4729 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4752 = _T_4731 ? _T_4733 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4753 = _T_4736 ? _T_4741 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4754 = _T_4744 ? _T_4749 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4755 = _T_4750 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4756 = _T_4751 | _T_4752; // @[Mux.scala 27:72] - wire [31:0] _T_4757 = _T_4756 | _T_4753; // @[Mux.scala 27:72] - wire [31:0] _T_4758 = _T_4757 | _T_4754; // @[Mux.scala 27:72] - wire [63:0] _GEN_392 = {{32'd0}, _T_4758}; // @[Mux.scala 27:72] - wire [63:0] _T_4759 = _GEN_392 | _T_4755; // @[Mux.scala 27:72] - wire _T_4854 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 620:36] - wire _T_4855 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 620:51] - wire _T_4856 = _T_4854 & _T_4855; // @[el2_lsu_bus_buffer.scala 620:49] - wire [31:0] _T_4860 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4862 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4867 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 632:50] - wire _T_4868 = _T_4854 & _T_4867; // @[el2_lsu_bus_buffer.scala 632:48] - wire [7:0] _T_4872 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4875 = obuf_valid & _T_1348; // @[el2_lsu_bus_buffer.scala 637:36] - wire _T_4877 = _T_4875 & _T_1354; // @[el2_lsu_bus_buffer.scala 637:50] - wire _T_4889 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 650:114] - wire _T_4891 = _T_4889 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 650:129] - wire _T_4894 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 650:114] - wire _T_4896 = _T_4894 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 650:129] - wire _T_4899 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 650:114] - wire _T_4901 = _T_4899 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 650:129] - wire _T_4904 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 650:114] - wire _T_4906 = _T_4904 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 650:129] - wire _T_4907 = _T_2787 & _T_4891; // @[Mux.scala 27:72] - wire _T_4908 = _T_2809 & _T_4896; // @[Mux.scala 27:72] - wire _T_4909 = _T_2831 & _T_4901; // @[Mux.scala 27:72] - wire _T_4910 = _T_2853 & _T_4906; // @[Mux.scala 27:72] - wire _T_4911 = _T_4907 | _T_4908; // @[Mux.scala 27:72] - wire _T_4912 = _T_4911 | _T_4909; // @[Mux.scala 27:72] - wire _T_4922 = _T_2809 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 651:98] - wire lsu_imprecise_error_store_tag = _T_4922 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 651:113] - wire _T_4928 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 653:72] - wire _T_4930 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] - wire [31:0] _T_4932 = _T_4930 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4933 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4934 = _T_4932 | _T_4933; // @[Mux.scala 27:72] - wire _T_4951 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 660:68] - wire _T_4954 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 661:48] - wire _T_4957 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 664:48] - wire _T_4958 = io_lsu_axi_awvalid & _T_4957; // @[el2_lsu_bus_buffer.scala 664:46] - wire _T_4959 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 664:92] - wire _T_4960 = io_lsu_axi_wvalid & _T_4959; // @[el2_lsu_bus_buffer.scala 664:90] - wire _T_4961 = _T_4958 | _T_4960; // @[el2_lsu_bus_buffer.scala 664:69] - wire _T_4962 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 664:136] - wire _T_4963 = io_lsu_axi_arvalid & _T_4962; // @[el2_lsu_bus_buffer.scala 664:134] - wire _T_4967 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 668:75] - wire _T_4968 = io_lsu_busreq_m & _T_4967; // @[el2_lsu_bus_buffer.scala 668:73] - reg _T_4971; // @[el2_lsu_bus_buffer.scala 668:56] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4221 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4222 = _T_4220 & _T_4221; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_4223 = _T_4215 | _T_4222; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_4224 = bus_rsp_read & _T_4223; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_4225 = _T_4210 | _T_4224; // @[el2_lsu_bus_buffer.scala 520:105] + wire _GEN_270 = _T_4164 & _T_4225; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4130 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4126 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4251 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4261 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 535:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4263 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4264 = _T_4261[0] & _T_4263; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_4265 = _T_4221 | _T_4264; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_4266 = bus_rsp_read & _T_4265; // @[el2_lsu_bus_buffer.scala 534:45] + wire _GEN_264 = _T_4251 & _T_4266; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4164 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4130 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4126 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4143 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_4144 = _T_4143 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_4269 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4272 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_4273 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_4274 = buf_dual_3 & _T_4273; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_4275 = _T_4272 | _T_4274; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_4276 = _T_4275 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_4277 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4269 ? _T_4276 : _T_4277; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4251 ? _T_4144 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4164 ? _T_4144 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4130 ? _T_4144 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4126 ? _T_3550 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4103 ? _T_4119 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2447 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 458:94] + wire _T_2457 = _T_2149 & _T_1902; // @[el2_lsu_bus_buffer.scala 460:71] + wire _T_2459 = _T_2457 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2460 = _T_4462 | _T_2459; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2464 = _T_2156 & _T_1905; // @[el2_lsu_bus_buffer.scala 461:52] + wire _T_2466 = _T_2464 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2467 = _T_2460 | _T_2466; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2468 = _T_2447 & _T_2467; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2470 = _T_2468 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2484 = _T_2457 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2485 = _T_4467 | _T_2484; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2491 = _T_2464 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2492 = _T_2485 | _T_2491; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2493 = _T_2447 & _T_2492; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2495 = _T_2493 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2509 = _T_2457 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2510 = _T_4472 | _T_2509; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2516 = _T_2464 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2517 = _T_2510 | _T_2516; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2518 = _T_2447 & _T_2517; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2520 = _T_2518 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2534 = _T_2457 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2535 = _T_4477 | _T_2534; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2541 = _T_2464 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2542 = _T_2535 | _T_2541; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2543 = _T_2447 & _T_2542; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2545 = _T_2543 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire [2:0] _T_2547 = {_T_2545,_T_2520,_T_2495}; // @[Cat.scala 29:58] + wire _T_2795 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2796 = _T_1866 | _T_2795; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2797 = ~_T_2796; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2805 = _T_2797 | _T_2153; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2812 = _T_2805 | _T_2160; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2813 = _T_2141 & _T_2812; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2817 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2818 = _T_1877 | _T_2817; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2819 = ~_T_2818; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2827 = _T_2819 | _T_2178; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2834 = _T_2827 | _T_2185; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2835 = _T_2141 & _T_2834; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2839 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2840 = _T_1888 | _T_2839; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2841 = ~_T_2840; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2849 = _T_2841 | _T_2203; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2856 = _T_2849 | _T_2210; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2857 = _T_2141 & _T_2856; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2861 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2862 = _T_1899 | _T_2861; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2863 = ~_T_2862; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2871 = _T_2863 | _T_2228; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2878 = _T_2871 | _T_2235; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2879 = _T_2141 & _T_2878; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_0 = {_T_2879,_T_2857,_T_2835,_T_2813}; // @[Cat.scala 29:58] + wire _T_2896 = _T_2797 | _T_2255; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2903 = _T_2896 | _T_2262; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2904 = _T_2243 & _T_2903; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2918 = _T_2819 | _T_2280; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2925 = _T_2918 | _T_2287; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2926 = _T_2243 & _T_2925; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2940 = _T_2841 | _T_2305; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2947 = _T_2940 | _T_2312; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2948 = _T_2243 & _T_2947; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2962 = _T_2863 | _T_2330; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2969 = _T_2962 | _T_2337; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2970 = _T_2243 & _T_2969; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_1 = {_T_2970,_T_2948,_T_2926,_T_2904}; // @[Cat.scala 29:58] + wire _T_2987 = _T_2797 | _T_2357; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2994 = _T_2987 | _T_2364; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2995 = _T_2345 & _T_2994; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3009 = _T_2819 | _T_2382; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3016 = _T_3009 | _T_2389; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3017 = _T_2345 & _T_3016; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3031 = _T_2841 | _T_2407; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3038 = _T_3031 | _T_2414; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3039 = _T_2345 & _T_3038; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3053 = _T_2863 | _T_2432; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3060 = _T_3053 | _T_2439; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3061 = _T_2345 & _T_3060; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_2 = {_T_3061,_T_3039,_T_3017,_T_2995}; // @[Cat.scala 29:58] + wire _T_3078 = _T_2797 | _T_2459; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3085 = _T_3078 | _T_2466; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3086 = _T_2447 & _T_3085; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3100 = _T_2819 | _T_2484; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3107 = _T_3100 | _T_2491; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3108 = _T_2447 & _T_3107; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3122 = _T_2841 | _T_2509; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3129 = _T_3122 | _T_2516; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3130 = _T_2447 & _T_3129; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3144 = _T_2863 | _T_2534; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3151 = _T_3144 | _T_2541; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3152 = _T_2447 & _T_3151; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_3 = {_T_3152,_T_3130,_T_3108,_T_3086}; // @[Cat.scala 29:58] + wire _T_3237 = _T_2861 | _T_1899; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3238 = ~_T_3237; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3239 = buf_rspageQ_0[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3231 = _T_2839 | _T_1888; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3232 = ~_T_3231; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3233 = buf_rspageQ_0[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3225 = _T_2817 | _T_1877; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3226 = ~_T_3225; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3227 = buf_rspageQ_0[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3219 = _T_2795 | _T_1866; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3220 = ~_T_3219; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3221 = buf_rspageQ_0[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_0 = {_T_3239,_T_3233,_T_3227,_T_3221}; // @[Cat.scala 29:58] + wire _T_3158 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3161 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3164 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3167 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3169 = {_T_3167,_T_3164,_T_3161}; // @[Cat.scala 29:58] + wire _T_3266 = buf_rspageQ_1[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3260 = buf_rspageQ_1[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3254 = buf_rspageQ_1[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3248 = buf_rspageQ_1[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_1 = {_T_3266,_T_3260,_T_3254,_T_3248}; // @[Cat.scala 29:58] + wire _T_3173 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3176 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3179 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3182 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3184 = {_T_3182,_T_3179,_T_3176}; // @[Cat.scala 29:58] + wire _T_3293 = buf_rspageQ_2[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3287 = buf_rspageQ_2[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3281 = buf_rspageQ_2[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3275 = buf_rspageQ_2[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_2 = {_T_3293,_T_3287,_T_3281,_T_3275}; // @[Cat.scala 29:58] + wire _T_3188 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3191 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3194 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3197 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3199 = {_T_3197,_T_3194,_T_3191}; // @[Cat.scala 29:58] + wire _T_3320 = buf_rspageQ_3[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3314 = buf_rspageQ_3[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3308 = buf_rspageQ_3[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3302 = buf_rspageQ_3[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_3 = {_T_3320,_T_3314,_T_3308,_T_3302}; // @[Cat.scala 29:58] + wire _T_3203 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3206 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3209 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3212 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3214 = {_T_3212,_T_3209,_T_3206}; // @[Cat.scala 29:58] + wire _T_3325 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 478:65] + wire _T_3327 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 478:65] + wire _T_3329 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 478:65] + wire _T_3331 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 478:65] + wire [3:0] ibuf_drainvec_vld = {_T_3331,_T_3329,_T_3327,_T_3325}; // @[Cat.scala 29:58] + wire _T_3339 = _T_3533 & _T_1872; // @[el2_lsu_bus_buffer.scala 479:123] + wire [3:0] _T_3342 = _T_3339 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] + wire [3:0] _T_3343 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3342; // @[el2_lsu_bus_buffer.scala 479:48] + wire _T_3348 = _T_3533 & _T_1883; // @[el2_lsu_bus_buffer.scala 479:123] + wire [3:0] _T_3351 = _T_3348 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] + wire [3:0] _T_3352 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3351; // @[el2_lsu_bus_buffer.scala 479:48] + wire _T_3357 = _T_3533 & _T_1894; // @[el2_lsu_bus_buffer.scala 479:123] + wire [3:0] _T_3360 = _T_3357 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] + wire [3:0] _T_3361 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3360; // @[el2_lsu_bus_buffer.scala 479:48] + wire _T_3366 = _T_3533 & _T_1905; // @[el2_lsu_bus_buffer.scala 479:123] + wire [3:0] _T_3369 = _T_3366 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] + wire [3:0] _T_3370 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3369; // @[el2_lsu_bus_buffer.scala 479:48] + wire _T_3396 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire _T_3398 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire _T_3400 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire _T_3402 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire [3:0] buf_dual_in = {_T_3402,_T_3400,_T_3398,_T_3396}; // @[Cat.scala 29:58] + wire _T_3407 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3409 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3411 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3413 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire [3:0] buf_samedw_in = {_T_3413,_T_3411,_T_3409,_T_3407}; // @[Cat.scala 29:58] + wire _T_3418 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 483:86] + wire _T_3419 = ibuf_drainvec_vld[0] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire _T_3422 = ibuf_drainvec_vld[1] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire _T_3425 = ibuf_drainvec_vld[2] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire _T_3428 = ibuf_drainvec_vld[3] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire [3:0] buf_nomerge_in = {_T_3428,_T_3425,_T_3422,_T_3419}; // @[Cat.scala 29:58] + wire _T_3436 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3339; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3441 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3348; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3446 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3357; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3451 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3366; // @[el2_lsu_bus_buffer.scala 484:49] + wire [3:0] buf_dualhi_in = {_T_3451,_T_3446,_T_3441,_T_3436}; // @[Cat.scala 29:58] + wire _T_3480 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire _T_3482 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire _T_3484 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire _T_3486 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire [3:0] buf_sideeffect_in = {_T_3486,_T_3484,_T_3482,_T_3480}; // @[Cat.scala 29:58] + wire _T_3491 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire _T_3493 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire _T_3495 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire _T_3497 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire [3:0] buf_unsign_in = {_T_3497,_T_3495,_T_3493,_T_3491}; // @[Cat.scala 29:58] + wire _T_3514 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3516 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3518 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3520 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire [3:0] buf_write_in = {_T_3520,_T_3518,_T_3516,_T_3514}; // @[Cat.scala 29:58] + wire _T_3553 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 505:89] + wire _T_3555 = _T_3553 & _T_1364; // @[el2_lsu_bus_buffer.scala 505:104] + wire _T_3568 = buf_state_en_0 & _T_1217; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_3569 = _T_3568 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_3571 = _T_3569 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_3574 = _T_3564 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_3575 = _T_3574 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_4860 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 617:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4860; // @[el2_lsu_bus_buffer.scala 617:38] + wire _T_3578 = _T_3574 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_3653 = bus_rsp_read_error & _T_3632; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_3655 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_3657 = _T_3655 & _T_3634; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_3658 = _T_3653 | _T_3657; // @[el2_lsu_bus_buffer.scala 527:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4860; // @[el2_lsu_bus_buffer.scala 616:40] + wire _T_3661 = bus_rsp_write_error & _T_3630; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_3662 = _T_3658 | _T_3661; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_3663 = _T_3564 & _T_3662; // @[el2_lsu_bus_buffer.scala 527:68] + wire _GEN_46 = _T_3585 & _T_3663; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3551 ? _T_3578 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3547 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3524 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3588 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 517:73] + wire _T_3589 = buf_write[0] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_3590 = io_dec_tlu_force_halt | _T_3589; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_3592 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_3593 = buf_dual_0 & _T_3592; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_3596 = _T_3593 & _T_1217; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3597 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3598 = _T_3596 & _T_3597; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_4485 = _T_2742 | _T_2739; // @[el2_lsu_bus_buffer.scala 576:93] + wire _T_4486 = _T_4485 | _T_2736; // @[el2_lsu_bus_buffer.scala 576:93] + wire any_done_wait_state = _T_4486 | _T_2733; // @[el2_lsu_bus_buffer.scala 576:93] + wire _T_3600 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_3606 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3608 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3610 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3612 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3614 = _T_3606 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3615 = _T_3608 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3616 = _T_3610 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3617 = _T_3612 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3618 = _T_3614 | _T_3615; // @[Mux.scala 27:72] + wire _T_3619 = _T_3618 | _T_3616; // @[Mux.scala 27:72] + wire _T_3620 = _T_3619 | _T_3617; // @[Mux.scala 27:72] + wire _T_3622 = _T_3596 & _T_3620; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_3623 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_3624 = _T_3622 & _T_3623; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_3625 = _T_3624 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_3626 = _T_3600 | _T_3625; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_3649 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_3650 = _T_3649 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_3664 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_3665 = buf_state_en_0 & _T_3664; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_3677 = buf_ldfwd[0] | _T_3682[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_3678 = _T_3677 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _GEN_29 = _T_3698 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3690 ? 1'h0 : _T_3698; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3690 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3672 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3672 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3585 & _T_3650; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3585 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3585 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3551 ? _T_3571 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3551 ? _T_3575 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3551 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3547 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3547 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3547 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3524 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_data_en_0 = _T_3524 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3524 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3524 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3761 = buf_state_en_1 & _T_3832; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_3762 = _T_3761 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_3764 = _T_3762 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_3767 = _T_3757 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_3768 = _T_3767 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_3771 = _T_3767 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_3846 = bus_rsp_read_error & _T_3825; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_3848 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_3850 = _T_3848 & _T_3827; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_3851 = _T_3846 | _T_3850; // @[el2_lsu_bus_buffer.scala 527:143] + wire _T_3854 = bus_rsp_write_error & _T_3823; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_3855 = _T_3851 | _T_3854; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_3856 = _T_3757 & _T_3855; // @[el2_lsu_bus_buffer.scala 527:68] + wire _GEN_122 = _T_3778 & _T_3856; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3744 ? _T_3771 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3740 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3717 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3782 = buf_write[1] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_3783 = io_dec_tlu_force_halt | _T_3782; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_3785 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_3786 = buf_dual_1 & _T_3785; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_3789 = _T_3786 & _T_3832; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3790 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3791 = _T_3789 & _T_3790; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_3793 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_3799 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3801 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3803 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3805 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3807 = _T_3799 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3808 = _T_3801 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3809 = _T_3803 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3810 = _T_3805 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3811 = _T_3807 | _T_3808; // @[Mux.scala 27:72] + wire _T_3812 = _T_3811 | _T_3809; // @[Mux.scala 27:72] + wire _T_3813 = _T_3812 | _T_3810; // @[Mux.scala 27:72] + wire _T_3815 = _T_3789 & _T_3813; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_3816 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_3817 = _T_3815 & _T_3816; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_3818 = _T_3817 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_3819 = _T_3793 | _T_3818; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_3842 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_3843 = _T_3842 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_3857 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_3858 = buf_state_en_1 & _T_3857; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_3870 = buf_ldfwd[1] | _T_3875[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_3871 = _T_3870 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _GEN_105 = _T_3891 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3883 ? 1'h0 : _T_3891; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3883 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3865 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3865 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3778 & _T_3843; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3778 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3778 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3744 ? _T_3764 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3744 ? _T_3768 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3744 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3740 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3740 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3740 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3717 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_data_en_1 = _T_3717 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3717 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3717 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3954 = buf_state_en_2 & _T_4025; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_3955 = _T_3954 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_3957 = _T_3955 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_3960 = _T_3950 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_3961 = _T_3960 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_3964 = _T_3960 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_4039 = bus_rsp_read_error & _T_4018; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_4041 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_4043 = _T_4041 & _T_4020; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_4044 = _T_4039 | _T_4043; // @[el2_lsu_bus_buffer.scala 527:143] + wire _T_4047 = bus_rsp_write_error & _T_4016; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_4048 = _T_4044 | _T_4047; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_4049 = _T_3950 & _T_4048; // @[el2_lsu_bus_buffer.scala 527:68] + wire _GEN_198 = _T_3971 & _T_4049; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3937 ? _T_3964 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3933 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3910 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_3975 = buf_write[2] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_3976 = io_dec_tlu_force_halt | _T_3975; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_3978 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_3979 = buf_dual_2 & _T_3978; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_3982 = _T_3979 & _T_4025; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3983 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3984 = _T_3982 & _T_3983; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_3986 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_3992 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3994 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3996 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3998 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4000 = _T_3992 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4001 = _T_3994 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4002 = _T_3996 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4003 = _T_3998 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4004 = _T_4000 | _T_4001; // @[Mux.scala 27:72] + wire _T_4005 = _T_4004 | _T_4002; // @[Mux.scala 27:72] + wire _T_4006 = _T_4005 | _T_4003; // @[Mux.scala 27:72] + wire _T_4008 = _T_3982 & _T_4006; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_4009 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_4010 = _T_4008 & _T_4009; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_4011 = _T_4010 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_4012 = _T_3986 | _T_4011; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_4035 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_4036 = _T_4035 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_4050 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_4051 = buf_state_en_2 & _T_4050; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_4063 = buf_ldfwd[2] | _T_4068[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_4064 = _T_4063 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _GEN_181 = _T_4084 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4076 ? 1'h0 : _T_4084; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4076 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4058 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4058 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3971 & _T_4036; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3971 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3971 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3937 ? _T_3957 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3937 ? _T_3961 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3937 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3933 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3933 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3933 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3910 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_data_en_2 = _T_3910 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3910 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3910 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4147 = buf_state_en_3 & _T_4218; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_4148 = _T_4147 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_4150 = _T_4148 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_4153 = _T_4143 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_4154 = _T_4153 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_4157 = _T_4153 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_4232 = bus_rsp_read_error & _T_4211; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_4236 = _T_4234 & _T_4213; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_4237 = _T_4232 | _T_4236; // @[el2_lsu_bus_buffer.scala 527:143] + wire _T_4240 = bus_rsp_write_error & _T_4209; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_4241 = _T_4237 | _T_4240; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_4242 = _T_4143 & _T_4241; // @[el2_lsu_bus_buffer.scala 527:68] + wire _GEN_274 = _T_4164 & _T_4242; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4130 ? _T_4157 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4126 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4103 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4168 = buf_write[3] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_4171 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_4172 = buf_dual_3 & _T_4171; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_4175 = _T_4172 & _T_4218; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_4176 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_4177 = _T_4175 & _T_4176; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_4185 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4187 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4189 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4191 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4193 = _T_4185 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4194 = _T_4187 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4195 = _T_4189 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4196 = _T_4191 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4197 = _T_4193 | _T_4194; // @[Mux.scala 27:72] + wire _T_4198 = _T_4197 | _T_4195; // @[Mux.scala 27:72] + wire _T_4199 = _T_4198 | _T_4196; // @[Mux.scala 27:72] + wire _T_4201 = _T_4175 & _T_4199; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_4202 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_4203 = _T_4201 & _T_4202; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_4204 = _T_4203 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_4205 = _T_4179 | _T_4204; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_4243 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_4244 = buf_state_en_3 & _T_4243; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_4256 = buf_ldfwd[3] | _T_4261[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_4257 = _T_4256 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _GEN_257 = _T_4277 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4269 ? 1'h0 : _T_4277; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4269 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4251 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4251 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4164 & _T_4229; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4164 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4164 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4130 ? _T_4150 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4130 ? _T_4154 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4130 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4126 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4126 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4126 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4103 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_data_en_3 = _T_4103 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4103 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4103 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4332; // @[Reg.scala 27:20] + reg _T_4335; // @[Reg.scala 27:20] + reg _T_4338; // @[Reg.scala 27:20] + reg _T_4341; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4341,_T_4338,_T_4335,_T_4332}; // @[Cat.scala 29:58] + wire [2:0] buf_byteen_in_0 = _T_3343[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] + wire [2:0] buf_byteen_in_1 = _T_3352[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] + wire [2:0] buf_byteen_in_2 = _T_3361[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] + wire [2:0] buf_byteen_in_3 = _T_3370[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] + reg _T_4407; // @[el2_lsu_bus_buffer.scala 569:82] + reg _T_4402; // @[el2_lsu_bus_buffer.scala 569:82] + reg _T_4397; // @[el2_lsu_bus_buffer.scala 569:82] + reg _T_4392; // @[el2_lsu_bus_buffer.scala 569:82] + wire [3:0] buf_error = {_T_4407,_T_4402,_T_4397,_T_4392}; // @[Cat.scala 29:58] + wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4390 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 569:128] + wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4395 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 569:128] + wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4400 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 569:128] + wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4405 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 569:128] + wire [2:0] _T_4412 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] + wire [1:0] _T_4418 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 572:96] + wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 572:96] + wire [2:0] _T_4419 = _T_4418 + _GEN_388; // @[el2_lsu_bus_buffer.scala 572:96] + wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 572:96] + wire [3:0] buf_numvld_any = _T_4419 + _GEN_389; // @[el2_lsu_bus_buffer.scala 572:96] + wire _T_4489 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 578:52] + wire _T_4490 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 578:92] + wire _T_4491 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 578:119] + wire _T_4493 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4494 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4495 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4496 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4497 = _T_4493 | _T_4494; // @[el2_lsu_bus_buffer.scala 579:65] + wire _T_4498 = _T_4497 | _T_4495; // @[el2_lsu_bus_buffer.scala 579:65] + wire _T_4499 = _T_4498 | _T_4496; // @[el2_lsu_bus_buffer.scala 579:65] + wire _T_4500 = ~_T_4499; // @[el2_lsu_bus_buffer.scala 579:34] + wire _T_4502 = _T_4500 & _T_844; // @[el2_lsu_bus_buffer.scala 579:70] + wire _T_4505 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 581:51] + wire _T_4506 = _T_4505 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 581:72] + wire _T_4507 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 581:94] + wire _T_4508 = _T_4506 & _T_4507; // @[el2_lsu_bus_buffer.scala 581:92] + wire _T_4509 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 581:111] + wire _T_4511 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 584:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 670:66] + wire _T_4529 = _T_2795 & _T_1217; // @[Mux.scala 27:72] + wire _T_4530 = _T_2817 & _T_3832; // @[Mux.scala 27:72] + wire _T_4531 = _T_2839 & _T_4025; // @[Mux.scala 27:72] + wire _T_4532 = _T_2861 & _T_4218; // @[Mux.scala 27:72] + wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] + wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] + wire _T_4540 = buf_error[0] & _T_1217; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4545 = buf_error[1] & _T_3832; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4550 = buf_error[2] & _T_4025; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4555 = buf_error[3] & _T_4218; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4556 = _T_2795 & _T_4540; // @[Mux.scala 27:72] + wire _T_4557 = _T_2817 & _T_4545; // @[Mux.scala 27:72] + wire _T_4558 = _T_2839 & _T_4550; // @[Mux.scala 27:72] + wire _T_4559 = _T_2861 & _T_4555; // @[Mux.scala 27:72] + wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] + wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] + wire _T_4568 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4569 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4570 = _T_4568 | _T_4569; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4571 = _T_4529 & _T_4570; // @[el2_lsu_bus_buffer.scala 588:106] + wire _T_4576 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4577 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4578 = _T_4576 | _T_4577; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4579 = _T_4530 & _T_4578; // @[el2_lsu_bus_buffer.scala 588:106] + wire _T_4584 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4585 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4586 = _T_4584 | _T_4585; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4587 = _T_4531 & _T_4586; // @[el2_lsu_bus_buffer.scala 588:106] + wire _T_4592 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4593 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4594 = _T_4592 | _T_4593; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4595 = _T_4532 & _T_4594; // @[el2_lsu_bus_buffer.scala 588:106] + wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_390 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] + wire [1:0] _T_4601 = _GEN_390 | _T_4598; // @[Mux.scala 27:72] + wire [31:0] _T_4636 = _T_4571 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4637 = _T_4579 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4638 = _T_4587 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4639 = _T_4595 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] + wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] + wire _T_4647 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4648 = _T_4529 & _T_4647; // @[el2_lsu_bus_buffer.scala 590:105] + wire _T_4653 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4654 = _T_4530 & _T_4653; // @[el2_lsu_bus_buffer.scala 590:105] + wire _T_4659 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4660 = _T_4531 & _T_4659; // @[el2_lsu_bus_buffer.scala 590:105] + wire _T_4665 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4666 = _T_4532 & _T_4665; // @[el2_lsu_bus_buffer.scala 590:105] + wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4670 = _T_4666 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] + wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4672 | _T_4670; // @[Mux.scala 27:72] + wire _T_4674 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4675 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4676 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4677 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 119:123] + wire [31:0] _T_4678 = _T_4674 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4675 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4676 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4681 = _T_4677 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] + wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] + wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[el2_lsu_bus_buffer.scala 591:83] + wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4693 = _T_4677 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4694 = _T_4690 | _T_4691; // @[Mux.scala 27:72] + wire [1:0] _T_4695 = _T_4694 | _T_4692; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4695 | _T_4693; // @[Mux.scala 27:72] + wire _T_4705 = _T_4674 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4706 = _T_4675 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4707 = _T_4676 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4708 = _T_4677 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4709 = _T_4705 | _T_4706; // @[Mux.scala 27:72] + wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] + wire [63:0] _T_4730 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 595:121] + wire [5:0] _T_4731 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 595:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4730 >> _T_4731; // @[el2_lsu_bus_buffer.scala 595:92] + wire _T_4732 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 598:69] + wire _T_4734 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 599:81] + wire _T_4735 = lsu_nonblock_unsign & _T_4734; // @[el2_lsu_bus_buffer.scala 599:63] + wire [31:0] _T_4737 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4738 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 600:45] + wire _T_4739 = lsu_nonblock_unsign & _T_4738; // @[el2_lsu_bus_buffer.scala 600:26] + wire [31:0] _T_4741 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4742 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 601:6] + wire _T_4744 = _T_4742 & _T_4734; // @[el2_lsu_bus_buffer.scala 601:27] + wire [23:0] _T_4747 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4749 = {_T_4747,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4752 = _T_4742 & _T_4738; // @[el2_lsu_bus_buffer.scala 602:27] + wire [15:0] _T_4755 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4757 = {_T_4755,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4758 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 603:21] + wire [31:0] _T_4759 = _T_4735 ? _T_4737 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4760 = _T_4739 ? _T_4741 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4761 = _T_4744 ? _T_4749 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4762 = _T_4752 ? _T_4757 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4763 = _T_4758 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4764 = _T_4759 | _T_4760; // @[Mux.scala 27:72] + wire [31:0] _T_4765 = _T_4764 | _T_4761; // @[Mux.scala 27:72] + wire [31:0] _T_4766 = _T_4765 | _T_4762; // @[Mux.scala 27:72] + wire [63:0] _GEN_392 = {{32'd0}, _T_4766}; // @[Mux.scala 27:72] + wire [63:0] _T_4767 = _GEN_392 | _T_4763; // @[Mux.scala 27:72] + wire _T_4862 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 621:36] + wire _T_4863 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 621:51] + wire _T_4864 = _T_4862 & _T_4863; // @[el2_lsu_bus_buffer.scala 621:49] + wire [31:0] _T_4868 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4870 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4875 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 633:50] + wire _T_4876 = _T_4862 & _T_4875; // @[el2_lsu_bus_buffer.scala 633:48] + wire [7:0] _T_4880 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4883 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 638:36] + wire _T_4885 = _T_4883 & _T_1362; // @[el2_lsu_bus_buffer.scala 638:50] + wire _T_4897 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 651:114] + wire _T_4899 = _T_4897 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 651:129] + wire _T_4902 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 651:114] + wire _T_4904 = _T_4902 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 651:129] + wire _T_4907 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 651:114] + wire _T_4909 = _T_4907 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 651:129] + wire _T_4912 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 651:114] + wire _T_4914 = _T_4912 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 651:129] + wire _T_4915 = _T_2795 & _T_4899; // @[Mux.scala 27:72] + wire _T_4916 = _T_2817 & _T_4904; // @[Mux.scala 27:72] + wire _T_4917 = _T_2839 & _T_4909; // @[Mux.scala 27:72] + wire _T_4918 = _T_2861 & _T_4914; // @[Mux.scala 27:72] + wire _T_4919 = _T_4915 | _T_4916; // @[Mux.scala 27:72] + wire _T_4920 = _T_4919 | _T_4917; // @[Mux.scala 27:72] + wire _T_4930 = _T_2817 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 652:98] + wire lsu_imprecise_error_store_tag = _T_4930 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 652:113] + wire _T_4936 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 654:72] + wire _T_4938 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] + wire [31:0] _T_4940 = _T_4938 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4941 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4942 = _T_4940 | _T_4941; // @[Mux.scala 27:72] + wire _T_4959 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 661:68] + wire _T_4962 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 662:48] + wire _T_4965 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 665:48] + wire _T_4966 = io_lsu_axi_awvalid & _T_4965; // @[el2_lsu_bus_buffer.scala 665:46] + wire _T_4967 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 665:92] + wire _T_4968 = io_lsu_axi_wvalid & _T_4967; // @[el2_lsu_bus_buffer.scala 665:90] + wire _T_4969 = _T_4966 | _T_4968; // @[el2_lsu_bus_buffer.scala 665:69] + wire _T_4970 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 665:136] + wire _T_4971 = io_lsu_axi_arvalid & _T_4970; // @[el2_lsu_bus_buffer.scala 665:134] + wire _T_4975 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 669:75] + wire _T_4976 = io_lsu_busreq_m & _T_4975; // @[el2_lsu_bus_buffer.scala 669:73] + reg _T_4979; // @[el2_lsu_bus_buffer.scala 669:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2575,67 +2579,67 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4971; // @[el2_lsu_bus_buffer.scala 668:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 576:30] - assign io_lsu_bus_buffer_full_any = _T_4481 ? _T_4482 : _T_4483; // @[el2_lsu_bus_buffer.scala 577:30] - assign io_lsu_bus_buffer_empty_any = _T_4494 & _T_1236; // @[el2_lsu_bus_buffer.scala 578:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 657:23] + assign io_lsu_busreq_r = _T_4979; // @[el2_lsu_bus_buffer.scala 669:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 577:30] + assign io_lsu_bus_buffer_full_any = _T_4489 ? _T_4490 : _T_4491; // @[el2_lsu_bus_buffer.scala 578:30] + assign io_lsu_bus_buffer_empty_any = _T_4502 & _T_1244; // @[el2_lsu_bus_buffer.scala 579:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 658:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 196:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 197:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 222:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 227:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4928; // @[el2_lsu_bus_buffer.scala 653:35] - assign io_lsu_imprecise_error_store_any = _T_4912 | _T_4910; // @[el2_lsu_bus_buffer.scala 650:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4934 : _T_4676; // @[el2_lsu_bus_buffer.scala 654:35] - assign io_lsu_nonblock_load_valid_m = _T_4500 & _T_4501; // @[el2_lsu_bus_buffer.scala 580:32] - assign io_lsu_nonblock_load_tag_m = _T_1868 ? 2'h0 : _T_1904; // @[el2_lsu_bus_buffer.scala 581:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4503; // @[el2_lsu_bus_buffer.scala 583:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 584:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4724; // @[el2_lsu_bus_buffer.scala 597:35] - assign io_lsu_nonblock_load_data_error = _T_4553 | _T_4551; // @[el2_lsu_bus_buffer.scala 586:35] - assign io_lsu_nonblock_load_data_tag = _T_4593 | _T_4591; // @[el2_lsu_bus_buffer.scala 587:33] - assign io_lsu_nonblock_load_data = _T_4759[31:0]; // @[el2_lsu_bus_buffer.scala 598:29] - assign io_lsu_pmu_bus_trxn = _T_4951 | _T_4846; // @[el2_lsu_bus_buffer.scala 660:23] - assign io_lsu_pmu_bus_misaligned = _T_4954 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 661:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 662:24] - assign io_lsu_pmu_bus_busy = _T_4961 | _T_4963; // @[el2_lsu_bus_buffer.scala 664:23] - assign io_lsu_axi_awvalid = _T_4856 & _T_1244; // @[el2_lsu_bus_buffer.scala 620:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1853}; // @[el2_lsu_bus_buffer.scala 621:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4860; // @[el2_lsu_bus_buffer.scala 622:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 626:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 627:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4862 : 3'h3; // @[el2_lsu_bus_buffer.scala 623:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 628:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 630:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 625:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 624:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 629:20] - assign io_lsu_axi_wvalid = _T_4868 & _T_1244; // @[el2_lsu_bus_buffer.scala 632:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 634:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4872; // @[el2_lsu_bus_buffer.scala 633:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 635:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 648:21] - assign io_lsu_axi_arvalid = _T_4877 & _T_1244; // @[el2_lsu_bus_buffer.scala 637:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1853}; // @[el2_lsu_bus_buffer.scala 638:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4860; // @[el2_lsu_bus_buffer.scala 639:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 643:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 644:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4862 : 3'h3; // @[el2_lsu_bus_buffer.scala 640:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 645:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 647:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 642:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 641:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 646:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 649:21] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4936; // @[el2_lsu_bus_buffer.scala 654:35] + assign io_lsu_imprecise_error_store_any = _T_4920 | _T_4918; // @[el2_lsu_bus_buffer.scala 651:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4942 : _T_4684; // @[el2_lsu_bus_buffer.scala 655:35] + assign io_lsu_nonblock_load_valid_m = _T_4508 & _T_4509; // @[el2_lsu_bus_buffer.scala 581:32] + assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 582:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4511; // @[el2_lsu_bus_buffer.scala 584:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 585:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4732; // @[el2_lsu_bus_buffer.scala 598:35] + assign io_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[el2_lsu_bus_buffer.scala 587:35] + assign io_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[el2_lsu_bus_buffer.scala 588:33] + assign io_lsu_nonblock_load_data = _T_4767[31:0]; // @[el2_lsu_bus_buffer.scala 599:29] + assign io_lsu_pmu_bus_trxn = _T_4959 | _T_4854; // @[el2_lsu_bus_buffer.scala 661:23] + assign io_lsu_pmu_bus_misaligned = _T_4962 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 662:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 663:24] + assign io_lsu_pmu_bus_busy = _T_4969 | _T_4971; // @[el2_lsu_bus_buffer.scala 665:23] + assign io_lsu_axi_awvalid = _T_4864 & _T_1252; // @[el2_lsu_bus_buffer.scala 621:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 622:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4868; // @[el2_lsu_bus_buffer.scala 623:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 627:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 628:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4870 : 3'h3; // @[el2_lsu_bus_buffer.scala 624:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 629:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 631:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 626:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 625:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 630:20] + assign io_lsu_axi_wvalid = _T_4876 & _T_1252; // @[el2_lsu_bus_buffer.scala 633:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 635:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4880; // @[el2_lsu_bus_buffer.scala 634:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 636:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 649:21] + assign io_lsu_axi_arvalid = _T_4885 & _T_1252; // @[el2_lsu_bus_buffer.scala 638:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 639:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4868; // @[el2_lsu_bus_buffer.scala 640:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 644:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 645:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4870 : 3'h3; // @[el2_lsu_bus_buffer.scala 641:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 646:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 648:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 643:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 642:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 647:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 650:21] assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 256:11] - assign io_data_hi = _T_4664 | _T_4662; // @[el2_lsu_bus_buffer.scala 595:14] - assign io_data_lo = _T_4633 | _T_4631; // @[el2_lsu_bus_buffer.scala 596:14] - assign io_data_en = {_T_4404,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 569:14] - assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 314:14] - assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 356:14] + assign io_data_hi = _T_4672 | _T_4670; // @[el2_lsu_bus_buffer.scala 596:14] + assign io_data_lo = _T_4641 | _T_4639; // @[el2_lsu_bus_buffer.scala 597:14] + assign io_data_en = {_T_4412,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 570:14] + assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 315:14] + assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 357:14] assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 276:15] - assign io_WrPtr1_m = _T_1919 ? 2'h0 : _T_1964; // @[el2_lsu_bus_buffer.scala 425:15] - assign io_wdata_in = {_T_1625,_T_1584}; // @[el2_lsu_bus_buffer.scala 391:15] + assign io_WrPtr1_m = _T_1927 ? 2'h0 : _T_1972; // @[el2_lsu_bus_buffer.scala 426:15] + assign io_wdata_in = {_T_1633,_T_1592}; // @[el2_lsu_bus_buffer.scala 392:15] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_845 & _T_846; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] @@ -2643,34 +2647,34 @@ module el2_lsu_bus_buffer( assign rvclkhdr_1_io_en = _T_845 & _T_846; // @[el2_lib.scala 488:17] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_2_io_clk = io_lsu_busm_clk; // @[el2_lib.scala 487:18] - assign rvclkhdr_2_io_en = _T_1245 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_3_io_clk = io_lsu_busm_clk; // @[el2_lib.scala 487:18] - assign rvclkhdr_3_io_en = _T_1245 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3516 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3524 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3709 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3717 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3902 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3910 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_4095 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4103 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3516 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3524 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3709 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3717 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3902 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3910 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_4095 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4103 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2710,13 +2714,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4348 = _RAND_1[0:0]; + _T_4356 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4345 = _RAND_2[0:0]; + _T_4353 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4342 = _RAND_3[0:0]; + _T_4350 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4339 = _RAND_4[0:0]; + _T_4347 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2732,17 +2736,17 @@ initial begin _RAND_11 = {1{`RANDOM}}; buf_state_3 = _RAND_11[2:0]; _RAND_12 = {1{`RANDOM}}; - _T_4375 = _RAND_12[2:0]; + _T_4383 = _RAND_12[2:0]; _RAND_13 = {1{`RANDOM}}; - _T_4373 = _RAND_13[2:0]; + _T_4381 = _RAND_13[2:0]; _RAND_14 = {1{`RANDOM}}; - _T_4371 = _RAND_14[2:0]; + _T_4379 = _RAND_14[2:0]; _RAND_15 = {1{`RANDOM}}; - _T_4369 = _RAND_15[2:0]; + _T_4377 = _RAND_15[2:0]; _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; - _T_1853 = _RAND_17[1:0]; + _T_1861 = _RAND_17[1:0]; _RAND_18 = {1{`RANDOM}}; obuf_merge = _RAND_18[0:0]; _RAND_19 = {1{`RANDOM}}; @@ -2808,13 +2812,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4318 = _RAND_50[0:0]; + _T_4326 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4315 = _RAND_51[0:0]; + _T_4323 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4312 = _RAND_52[0:0]; + _T_4320 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4309 = _RAND_53[0:0]; + _T_4317 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -2878,13 +2882,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4295 = _RAND_85[0:0]; + _T_4303 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4293 = _RAND_86[0:0]; + _T_4301 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4291 = _RAND_87[0:0]; + _T_4299 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4289 = _RAND_88[0:0]; + _T_4297 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -2902,40 +2906,40 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4324 = _RAND_97[0:0]; + _T_4332 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4327 = _RAND_98[0:0]; + _T_4335 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4330 = _RAND_99[0:0]; + _T_4338 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4333 = _RAND_100[0:0]; + _T_4341 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4399 = _RAND_101[0:0]; + _T_4407 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4394 = _RAND_102[0:0]; + _T_4402 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4389 = _RAND_103[0:0]; + _T_4397 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4384 = _RAND_104[0:0]; + _T_4392 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4971 = _RAND_106[0:0]; + _T_4979 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end if (reset) begin - _T_4348 = 1'h0; + _T_4356 = 1'h0; end if (reset) begin - _T_4345 = 1'h0; + _T_4353 = 1'h0; end if (reset) begin - _T_4342 = 1'h0; + _T_4350 = 1'h0; end if (reset) begin - _T_4339 = 1'h0; + _T_4347 = 1'h0; end if (reset) begin buf_state_0 = 3'h0; @@ -2959,22 +2963,22 @@ initial begin buf_state_3 = 3'h0; end if (reset) begin - _T_4375 = 3'h0; + _T_4383 = 3'h0; end if (reset) begin - _T_4373 = 3'h0; + _T_4381 = 3'h0; end if (reset) begin - _T_4371 = 3'h0; + _T_4379 = 3'h0; end if (reset) begin - _T_4369 = 3'h0; + _T_4377 = 3'h0; end if (reset) begin buf_ageQ_3 = 4'h0; end if (reset) begin - _T_1853 = 2'h0; + _T_1861 = 2'h0; end if (reset) begin obuf_merge = 1'h0; @@ -3073,16 +3077,16 @@ initial begin buf_nomerge_3 = 1'h0; end if (reset) begin - _T_4318 = 1'h0; + _T_4326 = 1'h0; end if (reset) begin - _T_4315 = 1'h0; + _T_4323 = 1'h0; end if (reset) begin - _T_4312 = 1'h0; + _T_4320 = 1'h0; end if (reset) begin - _T_4309 = 1'h0; + _T_4317 = 1'h0; end if (reset) begin buf_dual_3 = 1'h0; @@ -3178,16 +3182,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4295 = 1'h0; + _T_4303 = 1'h0; end if (reset) begin - _T_4293 = 1'h0; + _T_4301 = 1'h0; end if (reset) begin - _T_4291 = 1'h0; + _T_4299 = 1'h0; end if (reset) begin - _T_4289 = 1'h0; + _T_4297 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3214,34 +3218,34 @@ initial begin buf_dualtag_3 = 2'h0; end if (reset) begin - _T_4324 = 1'h0; + _T_4332 = 1'h0; end if (reset) begin - _T_4327 = 1'h0; + _T_4335 = 1'h0; end if (reset) begin - _T_4330 = 1'h0; + _T_4338 = 1'h0; end if (reset) begin - _T_4333 = 1'h0; + _T_4341 = 1'h0; end if (reset) begin - _T_4399 = 1'h0; + _T_4407 = 1'h0; end if (reset) begin - _T_4394 = 1'h0; + _T_4402 = 1'h0; end if (reset) begin - _T_4389 = 1'h0; + _T_4397 = 1'h0; end if (reset) begin - _T_4384 = 1'h0; + _T_4392 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4971 = 1'h0; + _T_4979 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3254,7 +3258,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3331) begin + end else if (_T_3339) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3262,75 +3266,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4348 <= 1'h0; + _T_4356 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4348 <= buf_write_in[3]; + _T_4356 <= buf_write_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4345 <= 1'h0; + _T_4353 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4345 <= buf_write_in[2]; + _T_4353 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4342 <= 1'h0; + _T_4350 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4342 <= buf_write_in[1]; + _T_4350 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4339 <= 1'h0; + _T_4347 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4339 <= buf_write_in[0]; + _T_4347 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3516) begin + if (_T_3524) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3539) begin + end else if (_T_3547) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3543) begin + end else if (_T_3551) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3547) begin + end else if (_T_3555) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3577) begin - if (_T_3582) begin + end else if (_T_3585) begin + if (_T_3590) begin buf_state_0 <= 3'h0; - end else if (_T_3590) begin + end else if (_T_3598) begin buf_state_0 <= 3'h4; - end else if (_T_3618) begin + end else if (_T_3626) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3664) begin + end else if (_T_3672) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3670) begin + end else if (_T_3678) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3682) begin + end else if (_T_3690) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3346,7 +3350,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3340) begin + end else if (_T_3348) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3356,45 +3360,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3709) begin + if (_T_3717) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3732) begin + end else if (_T_3740) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3736) begin + end else if (_T_3744) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3547) begin + end else if (_T_3555) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3770) begin - if (_T_3775) begin + end else if (_T_3778) begin + if (_T_3783) begin buf_state_1 <= 3'h0; - end else if (_T_3783) begin + end else if (_T_3791) begin buf_state_1 <= 3'h4; - end else if (_T_3811) begin + end else if (_T_3819) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3857) begin + end else if (_T_3865) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3863) begin + end else if (_T_3871) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3875) begin + end else if (_T_3883) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3410,7 +3414,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3349) begin + end else if (_T_3357) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3420,45 +3424,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3902) begin + if (_T_3910) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3925) begin + end else if (_T_3933) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3929) begin + end else if (_T_3937) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3547) begin + end else if (_T_3555) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3963) begin - if (_T_3968) begin + end else if (_T_3971) begin + if (_T_3976) begin buf_state_2 <= 3'h0; - end else if (_T_3976) begin + end else if (_T_3984) begin buf_state_2 <= 3'h4; - end else if (_T_4004) begin + end else if (_T_4012) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4050) begin + end else if (_T_4058) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_4056) begin + end else if (_T_4064) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4068) begin + end else if (_T_4076) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3474,7 +3478,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3358) begin + end else if (_T_3366) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3484,45 +3488,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_4095) begin + if (_T_4103) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4118) begin + end else if (_T_4126) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4122) begin + end else if (_T_4130) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3547) begin + end else if (_T_3555) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4156) begin - if (_T_4161) begin + end else if (_T_4164) begin + if (_T_4169) begin buf_state_3 <= 3'h0; - end else if (_T_4169) begin + end else if (_T_4177) begin buf_state_3 <= 3'h4; - end else if (_T_4197) begin + end else if (_T_4205) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4243) begin + end else if (_T_4251) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4249) begin + end else if (_T_4257) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4261) begin + end else if (_T_4269) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3535,47 +3539,47 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4375 <= 3'h0; + _T_4383 <= 3'h0; end else if (buf_wr_en_3) begin - _T_4375 <= buf_byteen_in_3; + _T_4383 <= buf_byteen_in_3; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4373 <= 3'h0; + _T_4381 <= 3'h0; end else if (buf_wr_en_2) begin - _T_4373 <= buf_byteen_in_2; + _T_4381 <= buf_byteen_in_2; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4371 <= 3'h0; + _T_4379 <= 3'h0; end else if (buf_wr_en_1) begin - _T_4371 <= buf_byteen_in_1; + _T_4379 <= buf_byteen_in_1; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4369 <= 3'h0; + _T_4377 <= 3'h0; end else if (buf_wr_en_0) begin - _T_4369 <= buf_byteen_in_0; + _T_4377 <= buf_byteen_in_0; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2539,_T_2462}; + buf_ageQ_3 <= {_T_2547,_T_2470}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin - _T_1853 <= 2'h0; + _T_1861 <= 2'h0; end else if (obuf_wr_en) begin if (ibuf_buf_byp) begin - _T_1853 <= WrPtr0_r; + _T_1861 <= WrPtr0_r; end else begin - _T_1853 <= 2'h0; + _T_1861 <= 2'h0; end end end @@ -3601,14 +3605,14 @@ end // initial if (reset) begin obuf_valid <= 1'h0; end else begin - obuf_valid <= _T_1844 & _T_1845; + obuf_valid <= _T_1852 & _T_1853; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_wr_enQ <= 1'h0; end else begin - obuf_wr_enQ <= _T_1245 & io_lsu_bus_clk_en; + obuf_wr_enQ <= _T_1253 & io_lsu_bus_clk_en; end end always @(posedge rvclkhdr_io_l1clk or posedge reset) begin @@ -3631,7 +3635,7 @@ end // initial if (reset) begin ibuf_valid <= 1'h0; end else begin - ibuf_valid <= _T_989 & _T_990; + ibuf_valid <= _T_997 & _T_998; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin @@ -3651,35 +3655,35 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2437,_T_2360}; + buf_ageQ_2 <= {_T_2445,_T_2368}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2335,_T_2258}; + buf_ageQ_1 <= {_T_2343,_T_2266}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2233,_T_2156}; + buf_ageQ_0 <= {_T_2241,_T_2164}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin buf_data_0 <= 32'h0; - end else if (_T_3516) begin - if (_T_3531) begin + end else if (_T_3524) begin + if (_T_3539) begin buf_data_0 <= ibuf_data_out; end else begin buf_data_0 <= store_data_lo_r; end - end else if (_T_3539) begin + end else if (_T_3547) begin buf_data_0 <= 32'h0; - end else if (_T_3543) begin + end else if (_T_3551) begin if (buf_error_en_0) begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_0[2]) begin @@ -3687,8 +3691,8 @@ end // initial end else begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3577) begin - if (_T_3657) begin + end else if (_T_3585) begin + if (_T_3665) begin if (buf_addr_0[2]) begin buf_data_0 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3704,15 +3708,15 @@ end // initial always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin buf_data_1 <= 32'h0; - end else if (_T_3709) begin - if (_T_3724) begin + end else if (_T_3717) begin + if (_T_3732) begin buf_data_1 <= ibuf_data_out; end else begin buf_data_1 <= store_data_lo_r; end - end else if (_T_3732) begin + end else if (_T_3740) begin buf_data_1 <= 32'h0; - end else if (_T_3736) begin + end else if (_T_3744) begin if (buf_error_en_1) begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_1[2]) begin @@ -3720,8 +3724,8 @@ end // initial end else begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3770) begin - if (_T_3850) begin + end else if (_T_3778) begin + if (_T_3858) begin if (buf_addr_1[2]) begin buf_data_1 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3737,15 +3741,15 @@ end // initial always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin buf_data_2 <= 32'h0; - end else if (_T_3902) begin - if (_T_3917) begin + end else if (_T_3910) begin + if (_T_3925) begin buf_data_2 <= ibuf_data_out; end else begin buf_data_2 <= store_data_lo_r; end - end else if (_T_3925) begin + end else if (_T_3933) begin buf_data_2 <= 32'h0; - end else if (_T_3929) begin + end else if (_T_3937) begin if (buf_error_en_2) begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_2[2]) begin @@ -3753,8 +3757,8 @@ end // initial end else begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3963) begin - if (_T_4043) begin + end else if (_T_3971) begin + if (_T_4051) begin if (buf_addr_2[2]) begin buf_data_2 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3770,15 +3774,15 @@ end // initial always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin buf_data_3 <= 32'h0; - end else if (_T_4095) begin - if (_T_4110) begin + end else if (_T_4103) begin + if (_T_4118) begin buf_data_3 <= ibuf_data_out; end else begin buf_data_3 <= store_data_lo_r; end - end else if (_T_4118) begin + end else if (_T_4126) begin buf_data_3 <= 32'h0; - end else if (_T_4122) begin + end else if (_T_4130) begin if (buf_error_en_3) begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_3[2]) begin @@ -3786,8 +3790,8 @@ end // initial end else begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_4156) begin - if (_T_4236) begin + end else if (_T_4164) begin + if (_T_4244) begin if (buf_addr_3[2]) begin buf_data_3 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3805,27 +3809,27 @@ end // initial ibuf_timer <= 3'h0; end else if (ibuf_wr_en) begin ibuf_timer <= 3'h0; - end else if (_T_907) begin - ibuf_timer <= _T_910; + end else if (_T_915) begin + ibuf_timer <= _T_918; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_sideeffect <= 1'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_sideeffect <= io_is_sideeffects_r; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr1_r <= 2'h0; - end else if (_T_1919) begin + end else if (_T_1927) begin WrPtr1_r <= 2'h0; - end else if (_T_1933) begin + end else if (_T_1941) begin WrPtr1_r <= 2'h1; - end else if (_T_1947) begin + end else if (_T_1955) begin WrPtr1_r <= 2'h2; - end else if (_T_1961) begin + end else if (_T_1969) begin WrPtr1_r <= 2'h3; end else begin WrPtr1_r <= 2'h0; @@ -3834,13 +3838,13 @@ end // initial always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr0_r <= 2'h0; - end else if (_T_1868) begin + end else if (_T_1876) begin WrPtr0_r <= 2'h0; - end else if (_T_1879) begin + end else if (_T_1887) begin WrPtr0_r <= 2'h1; - end else if (_T_1890) begin + end else if (_T_1898) begin WrPtr0_r <= 2'h2; - end else if (_T_1901) begin + end else if (_T_1909) begin WrPtr0_r <= 2'h3; end else begin WrPtr0_r <= 2'h0; @@ -3849,7 +3853,7 @@ end // initial always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_tag <= 2'h0; - end else if (_T_995) begin + end else if (_T_1003) begin if (!(_T_858)) begin if (io_ldst_dual_r) begin ibuf_tag <= WrPtr1_r; @@ -3863,41 +3867,41 @@ end // initial if (reset) begin ibuf_data <= 32'h0; end else begin - ibuf_data <= {_T_906,_T_883}; + ibuf_data <= {_T_914,_T_885}; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_dualtag <= 2'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_dualtag <= WrPtr0_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_dual <= 1'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_dual <= io_ldst_dual_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_samedw <= 1'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_samedw <= ldst_samedw_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_nomerge <= 1'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_nomerge <= io_no_dword_merge_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_unsign <= 1'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_unsign <= io_lsu_pkt_r_unsign; end end @@ -3913,8 +3917,8 @@ end // initial obuf_wr_timer <= 3'h0; end else if (obuf_wr_en) begin obuf_wr_timer <= 3'h0; - end else if (_T_1063) begin - obuf_wr_timer <= _T_1065; + end else if (_T_1071) begin + obuf_wr_timer <= _T_1073; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -3947,30 +3951,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4318 <= 1'h0; + _T_4326 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4318 <= buf_sideeffect_in[3]; + _T_4326 <= buf_sideeffect_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4315 <= 1'h0; + _T_4323 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4315 <= buf_sideeffect_in[2]; + _T_4323 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4312 <= 1'h0; + _T_4320 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4312 <= buf_sideeffect_in[1]; + _T_4320 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4309 <= 1'h0; + _T_4317 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4309 <= buf_sideeffect_in[0]; + _T_4317 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4044,14 +4048,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1310 & _T_4843; + obuf_cmd_done <= _T_1318 & _T_4851; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1310 & _T_4844; + obuf_data_done <= _T_1318 & _T_4852; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4129,13 +4133,13 @@ end // initial if (reset) begin obuf_rdrsp_pend <= 1'h0; end else begin - obuf_rdrsp_pend <= _T_1335 | _T_1339; + obuf_rdrsp_pend <= _T_1343 | _T_1347; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_rdrsp_tag <= 3'h0; - end else if (_T_1341) begin + end else if (_T_1349) begin obuf_rdrsp_tag <= obuf_tag0; end end @@ -4189,86 +4193,86 @@ end // initial if (reset) begin obuf_data <= 64'h0; end else begin - obuf_data <= {_T_1625,_T_1584}; + obuf_data <= {_T_1633,_T_1592}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3161,_T_3150}; + buf_rspageQ_0 <= {_T_3169,_T_3158}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3176,_T_3165}; + buf_rspageQ_1 <= {_T_3184,_T_3173}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3191,_T_3180}; + buf_rspageQ_2 <= {_T_3199,_T_3188}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3206,_T_3195}; + buf_rspageQ_3 <= {_T_3214,_T_3203}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4295 <= 1'h0; + _T_4303 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4095) begin - _T_4295 <= 1'h0; - end else if (_T_4118) begin - _T_4295 <= 1'h0; + if (_T_4103) begin + _T_4303 <= 1'h0; + end else if (_T_4126) begin + _T_4303 <= 1'h0; end else begin - _T_4295 <= _T_4122; + _T_4303 <= _T_4130; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4293 <= 1'h0; + _T_4301 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3902) begin - _T_4293 <= 1'h0; - end else if (_T_3925) begin - _T_4293 <= 1'h0; + if (_T_3910) begin + _T_4301 <= 1'h0; + end else if (_T_3933) begin + _T_4301 <= 1'h0; end else begin - _T_4293 <= _T_3929; + _T_4301 <= _T_3937; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4291 <= 1'h0; + _T_4299 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3709) begin - _T_4291 <= 1'h0; - end else if (_T_3732) begin - _T_4291 <= 1'h0; + if (_T_3717) begin + _T_4299 <= 1'h0; + end else if (_T_3740) begin + _T_4299 <= 1'h0; end else begin - _T_4291 <= _T_3736; + _T_4299 <= _T_3744; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4289 <= 1'h0; + _T_4297 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3516) begin - _T_4289 <= 1'h0; - end else if (_T_3539) begin - _T_4289 <= 1'h0; + if (_T_3524) begin + _T_4297 <= 1'h0; + end else if (_T_3547) begin + _T_4297 <= 1'h0; end else begin - _T_4289 <= _T_3543; + _T_4297 <= _T_3551; end end end @@ -4276,11 +4280,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3516) begin + if (_T_3524) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3539) begin + end else if (_T_3547) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3543) begin + end else if (_T_3551) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4293,7 +4297,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3331) begin + end else if (_T_3339) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4304,11 +4308,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4095) begin + if (_T_4103) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4118) begin + end else if (_T_4126) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4122) begin + end else if (_T_4130) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4319,11 +4323,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3902) begin + if (_T_3910) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3925) begin + end else if (_T_3933) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3929) begin + end else if (_T_3937) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4334,11 +4338,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3709) begin + if (_T_3717) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3732) begin + end else if (_T_3740) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3736) begin + end else if (_T_3744) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4351,7 +4355,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3340) begin + end else if (_T_3348) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4364,7 +4368,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3349) begin + end else if (_T_3357) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4377,7 +4381,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3358) begin + end else if (_T_3366) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; @@ -4386,58 +4390,58 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4324 <= 1'h0; + _T_4332 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4324 <= buf_unsign_in[0]; + _T_4332 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4327 <= 1'h0; + _T_4335 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4327 <= buf_unsign_in[1]; + _T_4335 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4330 <= 1'h0; + _T_4338 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4330 <= buf_unsign_in[2]; + _T_4338 <= buf_unsign_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4333 <= 1'h0; + _T_4341 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4333 <= buf_unsign_in[3]; + _T_4341 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4399 <= 1'h0; + _T_4407 <= 1'h0; end else begin - _T_4399 <= _T_4396 & _T_4397; + _T_4407 <= _T_4404 & _T_4405; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4394 <= 1'h0; + _T_4402 <= 1'h0; end else begin - _T_4394 <= _T_4391 & _T_4392; + _T_4402 <= _T_4399 & _T_4400; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4389 <= 1'h0; + _T_4397 <= 1'h0; end else begin - _T_4389 <= _T_4386 & _T_4387; + _T_4397 <= _T_4394 & _T_4395; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4384 <= 1'h0; + _T_4392 <= 1'h0; end else begin - _T_4384 <= _T_4381 & _T_4382; + _T_4392 <= _T_4389 & _T_4390; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4449,9 +4453,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4971 <= 1'h0; + _T_4979 <= 1'h0; end else begin - _T_4971 <= _T_4968 & _T_4501; + _T_4979 <= _T_4976 & _T_4509; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index f8b05c32..73cbd4ed 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -283,7 +283,8 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val ibuf_data = WireInit(UInt(32.W), 0.U) val ibuf_data_in = (0 until 4).map(i => Mux(ibuf_merge_en & ibuf_merge_in, - Mux(ldst_byteen_lo_r(i), store_data_lo_r((8 * i) + 7, 8 * i), ibuf_data((8 * i) + 7, 8 * i)), ibuf_data((8 * i) + 7, 8 * i))).reverse.reduce(Cat(_, _)) + Mux(ldst_byteen_lo_r(i), store_data_lo_r((8 * i) + 7, 8 * i), ibuf_data((8 * i) + 7, 8 * i)), + Mux(io.ldst_dual_r, store_data_hi_r((8 * i) + 7, 8 * i), store_data_lo_r((8 * i) + 7, 8 * i)))).reverse.reduce(Cat(_, _)) val ibuf_timer_in = Mux(ibuf_wr_en, 0.U, Mux((ibuf_timer