From fc5c6e098213f9947520b8169927529ffc66efbd Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Sun, 8 Nov 2020 13:40:12 +0500 Subject: [PATCH] Bus-buffer testing start --- el2_lsu_bus_buffer.fir | 9576 +++++++++-------- el2_lsu_bus_buffer.v | 3896 +++---- src/main/scala/lsu/el2_lsu_bus_buffer.scala | 3 +- .../scala-2.12/classes/lsu/BusBufmain$.class | Bin 3935 -> 3935 bytes .../lsu/BusBufmain$delayedInit$body.class | Bin 757 -> 757 bytes .../classes/lsu/el2_lsu_bus_buffer.class | Bin 553064 -> 553522 bytes 6 files changed, 6744 insertions(+), 6731 deletions(-) diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index ceb37107..e05f6822 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -1412,181 +1412,189 @@ circuit el2_lsu_bus_buffer : node _T_879 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 286:45] node _T_880 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 286:76] node _T_881 = mux(_T_878, _T_879, _T_880) @[el2_lsu_bus_buffer.scala 286:8] - node _T_882 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 286:108] - node _T_883 = mux(_T_877, _T_881, _T_882) @[el2_lsu_bus_buffer.scala 285:46] - node _T_884 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] - node _T_885 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 286:25] - node _T_886 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 286:45] - node _T_887 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:76] - node _T_888 = mux(_T_885, _T_886, _T_887) @[el2_lsu_bus_buffer.scala 286:8] - node _T_889 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:108] - node _T_890 = mux(_T_884, _T_888, _T_889) @[el2_lsu_bus_buffer.scala 285:46] - node _T_891 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] - node _T_892 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 286:25] - node _T_893 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 286:45] - node _T_894 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:76] - node _T_895 = mux(_T_892, _T_893, _T_894) @[el2_lsu_bus_buffer.scala 286:8] - node _T_896 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:108] - node _T_897 = mux(_T_891, _T_895, _T_896) @[el2_lsu_bus_buffer.scala 285:46] - node _T_898 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] - node _T_899 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 286:25] - node _T_900 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 286:45] - node _T_901 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:76] - node _T_902 = mux(_T_899, _T_900, _T_901) @[el2_lsu_bus_buffer.scala 286:8] - node _T_903 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:108] - node _T_904 = mux(_T_898, _T_902, _T_903) @[el2_lsu_bus_buffer.scala 285:46] - node _T_905 = cat(_T_904, _T_897) @[Cat.scala 29:58] - node _T_906 = cat(_T_905, _T_890) @[Cat.scala 29:58] - node ibuf_data_in = cat(_T_906, _T_883) @[Cat.scala 29:58] - node _T_907 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 287:59] - node _T_908 = bits(_T_907, 0, 0) @[el2_lsu_bus_buffer.scala 287:79] - node _T_909 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 287:93] - node _T_910 = tail(_T_909, 1) @[el2_lsu_bus_buffer.scala 287:93] - node _T_911 = mux(_T_908, _T_910, ibuf_timer) @[el2_lsu_bus_buffer.scala 287:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_911) @[el2_lsu_bus_buffer.scala 287:26] - node _T_912 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 289:36] - node _T_913 = and(_T_912, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 289:54] - node _T_914 = and(_T_913, ibuf_valid) @[el2_lsu_bus_buffer.scala 289:75] - node _T_915 = and(_T_914, ibuf_write) @[el2_lsu_bus_buffer.scala 289:88] - node _T_916 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 289:117] - node _T_917 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 289:137] - node _T_918 = eq(_T_916, _T_917) @[el2_lsu_bus_buffer.scala 289:124] - node _T_919 = and(_T_915, _T_918) @[el2_lsu_bus_buffer.scala 289:101] - node _T_920 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 289:147] - node _T_921 = and(_T_919, _T_920) @[el2_lsu_bus_buffer.scala 289:145] - node _T_922 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 289:170] - node _T_923 = and(_T_921, _T_922) @[el2_lsu_bus_buffer.scala 289:168] - ibuf_merge_en <= _T_923 @[el2_lsu_bus_buffer.scala 289:17] - node _T_924 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 290:20] - ibuf_merge_in <= _T_924 @[el2_lsu_bus_buffer.scala 290:17] - node _T_925 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] - node _T_926 = and(ibuf_merge_en, _T_925) @[el2_lsu_bus_buffer.scala 291:63] - node _T_927 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 291:92] - node _T_928 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 291:114] - node _T_929 = or(_T_927, _T_928) @[el2_lsu_bus_buffer.scala 291:96] - node _T_930 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 291:130] - node _T_931 = mux(_T_926, _T_929, _T_930) @[el2_lsu_bus_buffer.scala 291:48] - node _T_932 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] - node _T_933 = and(ibuf_merge_en, _T_932) @[el2_lsu_bus_buffer.scala 291:63] - node _T_934 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 291:92] - node _T_935 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 291:114] - node _T_936 = or(_T_934, _T_935) @[el2_lsu_bus_buffer.scala 291:96] - node _T_937 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 291:130] - node _T_938 = mux(_T_933, _T_936, _T_937) @[el2_lsu_bus_buffer.scala 291:48] - node _T_939 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] - node _T_940 = and(ibuf_merge_en, _T_939) @[el2_lsu_bus_buffer.scala 291:63] - node _T_941 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 291:92] - node _T_942 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 291:114] - node _T_943 = or(_T_941, _T_942) @[el2_lsu_bus_buffer.scala 291:96] - node _T_944 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 291:130] - node _T_945 = mux(_T_940, _T_943, _T_944) @[el2_lsu_bus_buffer.scala 291:48] - node _T_946 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:65] - node _T_947 = and(ibuf_merge_en, _T_946) @[el2_lsu_bus_buffer.scala 291:63] - node _T_948 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 291:92] - node _T_949 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 291:114] - node _T_950 = or(_T_948, _T_949) @[el2_lsu_bus_buffer.scala 291:96] - node _T_951 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 291:130] - node _T_952 = mux(_T_947, _T_950, _T_951) @[el2_lsu_bus_buffer.scala 291:48] - node _T_953 = cat(_T_952, _T_945) @[Cat.scala 29:58] - node _T_954 = cat(_T_953, _T_938) @[Cat.scala 29:58] - node ibuf_byteen_out = cat(_T_954, _T_931) @[Cat.scala 29:58] - node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] - node _T_956 = and(ibuf_merge_en, _T_955) @[el2_lsu_bus_buffer.scala 292:60] - node _T_957 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 292:98] - node _T_958 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 292:118] - node _T_959 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 292:143] - node _T_960 = mux(_T_957, _T_958, _T_959) @[el2_lsu_bus_buffer.scala 292:81] - node _T_961 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 292:169] - node _T_962 = mux(_T_956, _T_960, _T_961) @[el2_lsu_bus_buffer.scala 292:45] - node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] - node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 292:60] - node _T_965 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 292:98] - node _T_966 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 292:118] - node _T_967 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 292:143] - node _T_968 = mux(_T_965, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 292:81] - node _T_969 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 292:169] - node _T_970 = mux(_T_964, _T_968, _T_969) @[el2_lsu_bus_buffer.scala 292:45] - node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] - node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 292:60] - node _T_973 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 292:98] - node _T_974 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 292:118] - node _T_975 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 292:143] - node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 292:81] - node _T_977 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 292:169] - node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 292:45] - node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:62] - node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 292:60] - node _T_981 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 292:98] - node _T_982 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 292:118] - node _T_983 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 292:143] - node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 292:81] - node _T_985 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 292:169] - node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 292:45] - node _T_987 = cat(_T_986, _T_978) @[Cat.scala 29:58] - node _T_988 = cat(_T_987, _T_970) @[Cat.scala 29:58] - node ibuf_data_out = cat(_T_988, _T_962) @[Cat.scala 29:58] - node _T_989 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 294:28] - node _T_990 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 294:63] - node _T_991 = and(_T_989, _T_990) @[el2_lsu_bus_buffer.scala 294:61] - reg _T_992 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 294:24] - _T_992 <= _T_991 @[el2_lsu_bus_buffer.scala 294:24] - ibuf_valid <= _T_992 @[el2_lsu_bus_buffer.scala 294:14] - node _T_993 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 295:120] - node _T_994 = bits(_T_993, 0, 0) @[el2_lsu_bus_buffer.scala 295:120] - node _T_995 = and(ibuf_wr_en, _T_994) @[el2_lsu_bus_buffer.scala 295:89] - reg _T_996 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_995 : @[Reg.scala 28:19] - _T_996 <= ibuf_tag_in @[Reg.scala 28:23] + node _T_882 = bits(store_data_hi_r, 7, 0) @[el2_lsu_bus_buffer.scala 287:40] + node _T_883 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 287:77] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[el2_lsu_bus_buffer.scala 287:8] + node _T_885 = mux(_T_877, _T_881, _T_884) @[el2_lsu_bus_buffer.scala 285:46] + node _T_886 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] + node _T_887 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 286:25] + node _T_888 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 286:45] + node _T_889 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 286:76] + node _T_890 = mux(_T_887, _T_888, _T_889) @[el2_lsu_bus_buffer.scala 286:8] + node _T_891 = bits(store_data_hi_r, 15, 8) @[el2_lsu_bus_buffer.scala 287:40] + node _T_892 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 287:77] + node _T_893 = mux(io.ldst_dual_r, _T_891, _T_892) @[el2_lsu_bus_buffer.scala 287:8] + node _T_894 = mux(_T_886, _T_890, _T_893) @[el2_lsu_bus_buffer.scala 285:46] + node _T_895 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] + node _T_896 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 286:25] + node _T_897 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 286:45] + node _T_898 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 286:76] + node _T_899 = mux(_T_896, _T_897, _T_898) @[el2_lsu_bus_buffer.scala 286:8] + node _T_900 = bits(store_data_hi_r, 23, 16) @[el2_lsu_bus_buffer.scala 287:40] + node _T_901 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 287:77] + node _T_902 = mux(io.ldst_dual_r, _T_900, _T_901) @[el2_lsu_bus_buffer.scala 287:8] + node _T_903 = mux(_T_895, _T_899, _T_902) @[el2_lsu_bus_buffer.scala 285:46] + node _T_904 = and(ibuf_merge_en, ibuf_merge_in) @[el2_lsu_bus_buffer.scala 285:61] + node _T_905 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 286:25] + node _T_906 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 286:45] + node _T_907 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 286:76] + node _T_908 = mux(_T_905, _T_906, _T_907) @[el2_lsu_bus_buffer.scala 286:8] + node _T_909 = bits(store_data_hi_r, 31, 24) @[el2_lsu_bus_buffer.scala 287:40] + node _T_910 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 287:77] + node _T_911 = mux(io.ldst_dual_r, _T_909, _T_910) @[el2_lsu_bus_buffer.scala 287:8] + node _T_912 = mux(_T_904, _T_908, _T_911) @[el2_lsu_bus_buffer.scala 285:46] + node _T_913 = cat(_T_912, _T_903) @[Cat.scala 29:58] + node _T_914 = cat(_T_913, _T_894) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_914, _T_885) @[Cat.scala 29:58] + node _T_915 = lt(ibuf_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 288:59] + node _T_916 = bits(_T_915, 0, 0) @[el2_lsu_bus_buffer.scala 288:79] + node _T_917 = add(ibuf_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 288:93] + node _T_918 = tail(_T_917, 1) @[el2_lsu_bus_buffer.scala 288:93] + node _T_919 = mux(_T_916, _T_918, ibuf_timer) @[el2_lsu_bus_buffer.scala 288:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_919) @[el2_lsu_bus_buffer.scala 288:26] + node _T_920 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 290:36] + node _T_921 = and(_T_920, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 290:54] + node _T_922 = and(_T_921, ibuf_valid) @[el2_lsu_bus_buffer.scala 290:75] + node _T_923 = and(_T_922, ibuf_write) @[el2_lsu_bus_buffer.scala 290:88] + node _T_924 = bits(io.lsu_addr_r, 31, 2) @[el2_lsu_bus_buffer.scala 290:117] + node _T_925 = bits(ibuf_addr, 31, 2) @[el2_lsu_bus_buffer.scala 290:137] + node _T_926 = eq(_T_924, _T_925) @[el2_lsu_bus_buffer.scala 290:124] + node _T_927 = and(_T_923, _T_926) @[el2_lsu_bus_buffer.scala 290:101] + node _T_928 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 290:147] + node _T_929 = and(_T_927, _T_928) @[el2_lsu_bus_buffer.scala 290:145] + node _T_930 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 290:170] + node _T_931 = and(_T_929, _T_930) @[el2_lsu_bus_buffer.scala 290:168] + ibuf_merge_en <= _T_931 @[el2_lsu_bus_buffer.scala 290:17] + node _T_932 = eq(io.ldst_dual_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 291:20] + ibuf_merge_in <= _T_932 @[el2_lsu_bus_buffer.scala 291:17] + node _T_933 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] + node _T_934 = and(ibuf_merge_en, _T_933) @[el2_lsu_bus_buffer.scala 292:63] + node _T_935 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 292:92] + node _T_936 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 292:114] + node _T_937 = or(_T_935, _T_936) @[el2_lsu_bus_buffer.scala 292:96] + node _T_938 = bits(ibuf_byteen, 0, 0) @[el2_lsu_bus_buffer.scala 292:130] + node _T_939 = mux(_T_934, _T_937, _T_938) @[el2_lsu_bus_buffer.scala 292:48] + node _T_940 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] + node _T_941 = and(ibuf_merge_en, _T_940) @[el2_lsu_bus_buffer.scala 292:63] + node _T_942 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 292:92] + node _T_943 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 292:114] + node _T_944 = or(_T_942, _T_943) @[el2_lsu_bus_buffer.scala 292:96] + node _T_945 = bits(ibuf_byteen, 1, 1) @[el2_lsu_bus_buffer.scala 292:130] + node _T_946 = mux(_T_941, _T_944, _T_945) @[el2_lsu_bus_buffer.scala 292:48] + node _T_947 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] + node _T_948 = and(ibuf_merge_en, _T_947) @[el2_lsu_bus_buffer.scala 292:63] + node _T_949 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 292:92] + node _T_950 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 292:114] + node _T_951 = or(_T_949, _T_950) @[el2_lsu_bus_buffer.scala 292:96] + node _T_952 = bits(ibuf_byteen, 2, 2) @[el2_lsu_bus_buffer.scala 292:130] + node _T_953 = mux(_T_948, _T_951, _T_952) @[el2_lsu_bus_buffer.scala 292:48] + node _T_954 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 292:65] + node _T_955 = and(ibuf_merge_en, _T_954) @[el2_lsu_bus_buffer.scala 292:63] + node _T_956 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 292:92] + node _T_957 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 292:114] + node _T_958 = or(_T_956, _T_957) @[el2_lsu_bus_buffer.scala 292:96] + node _T_959 = bits(ibuf_byteen, 3, 3) @[el2_lsu_bus_buffer.scala 292:130] + node _T_960 = mux(_T_955, _T_958, _T_959) @[el2_lsu_bus_buffer.scala 292:48] + node _T_961 = cat(_T_960, _T_953) @[Cat.scala 29:58] + node _T_962 = cat(_T_961, _T_946) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_962, _T_939) @[Cat.scala 29:58] + node _T_963 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] + node _T_964 = and(ibuf_merge_en, _T_963) @[el2_lsu_bus_buffer.scala 293:60] + node _T_965 = bits(ldst_byteen_lo_r, 0, 0) @[el2_lsu_bus_buffer.scala 293:98] + node _T_966 = bits(store_data_lo_r, 7, 0) @[el2_lsu_bus_buffer.scala 293:118] + node _T_967 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 293:143] + node _T_968 = mux(_T_965, _T_966, _T_967) @[el2_lsu_bus_buffer.scala 293:81] + node _T_969 = bits(ibuf_data, 7, 0) @[el2_lsu_bus_buffer.scala 293:169] + node _T_970 = mux(_T_964, _T_968, _T_969) @[el2_lsu_bus_buffer.scala 293:45] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[el2_lsu_bus_buffer.scala 293:60] + node _T_973 = bits(ldst_byteen_lo_r, 1, 1) @[el2_lsu_bus_buffer.scala 293:98] + node _T_974 = bits(store_data_lo_r, 15, 8) @[el2_lsu_bus_buffer.scala 293:118] + node _T_975 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 293:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[el2_lsu_bus_buffer.scala 293:81] + node _T_977 = bits(ibuf_data, 15, 8) @[el2_lsu_bus_buffer.scala 293:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[el2_lsu_bus_buffer.scala 293:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[el2_lsu_bus_buffer.scala 293:60] + node _T_981 = bits(ldst_byteen_lo_r, 2, 2) @[el2_lsu_bus_buffer.scala 293:98] + node _T_982 = bits(store_data_lo_r, 23, 16) @[el2_lsu_bus_buffer.scala 293:118] + node _T_983 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 293:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[el2_lsu_bus_buffer.scala 293:81] + node _T_985 = bits(ibuf_data, 23, 16) @[el2_lsu_bus_buffer.scala 293:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[el2_lsu_bus_buffer.scala 293:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 293:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[el2_lsu_bus_buffer.scala 293:60] + node _T_989 = bits(ldst_byteen_lo_r, 3, 3) @[el2_lsu_bus_buffer.scala 293:98] + node _T_990 = bits(store_data_lo_r, 31, 24) @[el2_lsu_bus_buffer.scala 293:118] + node _T_991 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 293:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[el2_lsu_bus_buffer.scala 293:81] + node _T_993 = bits(ibuf_data, 31, 24) @[el2_lsu_bus_buffer.scala 293:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[el2_lsu_bus_buffer.scala 293:45] + node _T_995 = cat(_T_994, _T_986) @[Cat.scala 29:58] + node _T_996 = cat(_T_995, _T_978) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_996, _T_970) @[Cat.scala 29:58] + node _T_997 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[el2_lsu_bus_buffer.scala 295:28] + node _T_998 = eq(ibuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 295:63] + node _T_999 = and(_T_997, _T_998) @[el2_lsu_bus_buffer.scala 295:61] + reg _T_1000 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 295:24] + _T_1000 <= _T_999 @[el2_lsu_bus_buffer.scala 295:24] + ibuf_valid <= _T_1000 @[el2_lsu_bus_buffer.scala 295:14] + node _T_1001 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 296:120] + node _T_1002 = bits(_T_1001, 0, 0) @[el2_lsu_bus_buffer.scala 296:120] + node _T_1003 = and(ibuf_wr_en, _T_1002) @[el2_lsu_bus_buffer.scala 296:89] + reg _T_1004 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1003 : @[Reg.scala 28:19] + _T_1004 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_996 @[el2_lsu_bus_buffer.scala 295:12] - node _T_997 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 296:131] - node _T_998 = bits(_T_997, 0, 0) @[el2_lsu_bus_buffer.scala 296:131] - node _T_999 = and(ibuf_wr_en, _T_998) @[el2_lsu_bus_buffer.scala 296:100] + ibuf_tag <= _T_1004 @[el2_lsu_bus_buffer.scala 296:12] + node _T_1005 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 297:131] + node _T_1006 = bits(_T_1005, 0, 0) @[el2_lsu_bus_buffer.scala 297:131] + node _T_1007 = and(ibuf_wr_en, _T_1006) @[el2_lsu_bus_buffer.scala 297:100] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_999 : @[Reg.scala 28:19] + when _T_1007 : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1000 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 297:127] - node _T_1001 = bits(_T_1000, 0, 0) @[el2_lsu_bus_buffer.scala 297:127] - node _T_1002 = and(ibuf_wr_en, _T_1001) @[el2_lsu_bus_buffer.scala 297:96] + node _T_1008 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 298:127] + node _T_1009 = bits(_T_1008, 0, 0) @[el2_lsu_bus_buffer.scala 298:127] + node _T_1010 = and(ibuf_wr_en, _T_1009) @[el2_lsu_bus_buffer.scala 298:96] reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1002 : @[Reg.scala 28:19] + when _T_1010 : @[Reg.scala 28:19] ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1003 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 298:128] - node _T_1004 = bits(_T_1003, 0, 0) @[el2_lsu_bus_buffer.scala 298:128] - node _T_1005 = and(ibuf_wr_en, _T_1004) @[el2_lsu_bus_buffer.scala 298:97] + node _T_1011 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:128] + node _T_1012 = bits(_T_1011, 0, 0) @[el2_lsu_bus_buffer.scala 299:128] + node _T_1013 = and(ibuf_wr_en, _T_1012) @[el2_lsu_bus_buffer.scala 299:97] reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1005 : @[Reg.scala 28:19] + when _T_1013 : @[Reg.scala 28:19] ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1006 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 299:135] - node _T_1007 = bits(_T_1006, 0, 0) @[el2_lsu_bus_buffer.scala 299:135] - node _T_1008 = and(ibuf_wr_en, _T_1007) @[el2_lsu_bus_buffer.scala 299:104] + node _T_1014 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:135] + node _T_1015 = bits(_T_1014, 0, 0) @[el2_lsu_bus_buffer.scala 300:135] + node _T_1016 = and(ibuf_wr_en, _T_1015) @[el2_lsu_bus_buffer.scala 300:104] reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1008 : @[Reg.scala 28:19] + when _T_1016 : @[Reg.scala 28:19] ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_1009 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 300:135] - node _T_1010 = bits(_T_1009, 0, 0) @[el2_lsu_bus_buffer.scala 300:135] - node _T_1011 = and(ibuf_wr_en, _T_1010) @[el2_lsu_bus_buffer.scala 300:104] - reg _T_1012 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1011 : @[Reg.scala 28:19] - _T_1012 <= io.is_sideeffects_r @[Reg.scala 28:23] + node _T_1017 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:135] + node _T_1018 = bits(_T_1017, 0, 0) @[el2_lsu_bus_buffer.scala 301:135] + node _T_1019 = and(ibuf_wr_en, _T_1018) @[el2_lsu_bus_buffer.scala 301:104] + reg _T_1020 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_1019 : @[Reg.scala 28:19] + _T_1020 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1012 @[el2_lsu_bus_buffer.scala 300:19] - node _T_1013 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 301:134] - node _T_1014 = bits(_T_1013, 0, 0) @[el2_lsu_bus_buffer.scala 301:134] - node _T_1015 = and(ibuf_wr_en, _T_1014) @[el2_lsu_bus_buffer.scala 301:103] + ibuf_sideeffect <= _T_1020 @[el2_lsu_bus_buffer.scala 301:19] + node _T_1021 = asUInt(io.lsu_bus_ibuf_c1_clk) @[el2_lsu_bus_buffer.scala 302:134] + node _T_1022 = bits(_T_1021, 0, 0) @[el2_lsu_bus_buffer.scala 302:134] + node _T_1023 = and(ibuf_wr_en, _T_1022) @[el2_lsu_bus_buffer.scala 302:103] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_1015 : @[Reg.scala 28:19] + when _T_1023 : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.unsign @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_1016 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1024 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] - _T_1016 <= io.lsu_pkt_r.store @[Reg.scala 28:23] + _T_1024 <= io.lsu_pkt_r.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1016 @[el2_lsu_bus_buffer.scala 302:14] + ibuf_write <= _T_1024 @[el2_lsu_bus_buffer.scala 303:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -1597,149 +1605,149 @@ circuit el2_lsu_bus_buffer : rvclkhdr.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr.io.en <= ibuf_wr_en @[el2_lib.scala 488:17] rvclkhdr.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1017 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1017 <= ibuf_addr_in @[el2_lib.scala 491:16] - ibuf_addr <= _T_1017 @[el2_lsu_bus_buffer.scala 304:13] - reg _T_1018 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1025 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1025 <= ibuf_addr_in @[el2_lib.scala 491:16] + ibuf_addr <= _T_1025 @[el2_lsu_bus_buffer.scala 305:13] + reg _T_1026 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] - _T_1018 <= ibuf_byteen_in @[Reg.scala 28:23] + _T_1026 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1018 @[el2_lsu_bus_buffer.scala 305:15] + ibuf_byteen <= _T_1026 @[el2_lsu_bus_buffer.scala 306:15] inst rvclkhdr_1 of rvclkhdr_1 @[el2_lib.scala 485:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset rvclkhdr_1.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_1.io.en <= ibuf_wr_en @[el2_lib.scala 488:17] rvclkhdr_1.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1019 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1019 <= ibuf_data_in @[el2_lib.scala 491:16] - ibuf_data <= _T_1019 @[el2_lsu_bus_buffer.scala 306:13] - reg _T_1020 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 307:59] - _T_1020 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 307:59] - ibuf_timer <= _T_1020 @[el2_lsu_bus_buffer.scala 307:14] + reg _T_1027 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1027 <= ibuf_data_in @[el2_lib.scala 491:16] + ibuf_data <= _T_1027 @[el2_lsu_bus_buffer.scala 307:13] + reg _T_1028 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 308:59] + _T_1028 <= ibuf_timer_in @[el2_lsu_bus_buffer.scala 308:59] + ibuf_timer <= _T_1028 @[el2_lsu_bus_buffer.scala 308:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 311:25] - buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] - buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] - buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] - buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 312:15] + wire buf_nomerge : UInt<1>[4] @[el2_lsu_bus_buffer.scala 312:25] + buf_nomerge[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] + buf_nomerge[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] + buf_nomerge[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] + buf_nomerge[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 313:15] wire Cmdptr0 : UInt<2> Cmdptr0 <= UInt<1>("h00") - io.Cmdptr0 <= Cmdptr0 @[el2_lsu_bus_buffer.scala 314:14] + io.Cmdptr0 <= Cmdptr0 @[el2_lsu_bus_buffer.scala 315:14] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_1021 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 318:43] - node _T_1022 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 318:72] - node _T_1023 = and(_T_1021, _T_1022) @[el2_lsu_bus_buffer.scala 318:51] - node _T_1024 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 318:97] - node _T_1025 = and(_T_1023, _T_1024) @[el2_lsu_bus_buffer.scala 318:80] - node _T_1026 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:5] - node _T_1027 = and(_T_1025, _T_1026) @[el2_lsu_bus_buffer.scala 318:114] - node _T_1028 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:114] - node _T_1029 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 319:114] - node _T_1030 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 319:114] - node _T_1031 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 319:114] - node _T_1032 = mux(_T_1028, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1033 = mux(_T_1029, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1034 = mux(_T_1030, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1035 = mux(_T_1031, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1036 = or(_T_1032, _T_1033) @[Mux.scala 27:72] - node _T_1037 = or(_T_1036, _T_1034) @[Mux.scala 27:72] - node _T_1038 = or(_T_1037, _T_1035) @[Mux.scala 27:72] - wire _T_1039 : UInt<1> @[Mux.scala 27:72] - _T_1039 <= _T_1038 @[Mux.scala 27:72] - node _T_1040 = eq(_T_1039, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 319:31] - node _T_1041 = and(_T_1027, _T_1040) @[el2_lsu_bus_buffer.scala 319:29] - node _T_1042 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:88] - node _T_1043 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 320:111] - node _T_1044 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:88] - node _T_1045 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 320:111] - node _T_1046 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 320:88] - node _T_1047 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 320:111] - node _T_1048 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 320:88] - node _T_1049 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 320:111] - node _T_1050 = mux(_T_1042, _T_1043, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1051 = mux(_T_1044, _T_1045, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1052 = mux(_T_1046, _T_1047, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1053 = mux(_T_1048, _T_1049, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1054 = or(_T_1050, _T_1051) @[Mux.scala 27:72] - node _T_1055 = or(_T_1054, _T_1052) @[Mux.scala 27:72] - node _T_1056 = or(_T_1055, _T_1053) @[Mux.scala 27:72] - wire _T_1057 : UInt<1> @[Mux.scala 27:72] - _T_1057 <= _T_1056 @[Mux.scala 27:72] - node _T_1058 = eq(_T_1057, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:5] - node _T_1059 = and(_T_1041, _T_1058) @[el2_lsu_bus_buffer.scala 319:140] - node _T_1060 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:119] - node obuf_wr_wait = and(_T_1059, _T_1060) @[el2_lsu_bus_buffer.scala 320:117] - node _T_1061 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 321:75] - node _T_1062 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 321:95] - node _T_1063 = and(_T_1061, _T_1062) @[el2_lsu_bus_buffer.scala 321:79] - node _T_1064 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:121] - node _T_1065 = tail(_T_1064, 1) @[el2_lsu_bus_buffer.scala 321:121] - node _T_1066 = mux(_T_1063, _T_1065, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 321:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1066) @[el2_lsu_bus_buffer.scala 321:29] - node _T_1067 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:41] - node _T_1068 = and(io.lsu_busreq_m, _T_1067) @[el2_lsu_bus_buffer.scala 322:39] - node _T_1069 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:60] - node _T_1070 = and(_T_1068, _T_1069) @[el2_lsu_bus_buffer.scala 322:58] - node _T_1071 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:93] - node _T_1072 = and(_T_1070, _T_1071) @[el2_lsu_bus_buffer.scala 322:72] - node _T_1073 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 322:117] - node _T_1074 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 322:208] - node _T_1075 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] - node _T_1076 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:208] - node _T_1077 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] - node _T_1078 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 322:208] - node _T_1079 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] - node _T_1080 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 322:208] - node _T_1081 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 322:228] - node _T_1082 = mux(_T_1074, _T_1075, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1083 = mux(_T_1076, _T_1077, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1084 = mux(_T_1078, _T_1079, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1085 = mux(_T_1080, _T_1081, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1086 = or(_T_1082, _T_1083) @[Mux.scala 27:72] - node _T_1087 = or(_T_1086, _T_1084) @[Mux.scala 27:72] - node _T_1088 = or(_T_1087, _T_1085) @[Mux.scala 27:72] - wire _T_1089 : UInt<30> @[Mux.scala 27:72] - _T_1089 <= _T_1088 @[Mux.scala 27:72] - node _T_1090 = neq(_T_1073, _T_1089) @[el2_lsu_bus_buffer.scala 322:123] - node _T_1091 = and(_T_1072, _T_1090) @[el2_lsu_bus_buffer.scala 322:101] - obuf_force_wr_en <= _T_1091 @[el2_lsu_bus_buffer.scala 322:20] + node _T_1029 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 319:43] + node _T_1030 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 319:72] + node _T_1031 = and(_T_1029, _T_1030) @[el2_lsu_bus_buffer.scala 319:51] + node _T_1032 = neq(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 319:97] + node _T_1033 = and(_T_1031, _T_1032) @[el2_lsu_bus_buffer.scala 319:80] + node _T_1034 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:5] + node _T_1035 = and(_T_1033, _T_1034) @[el2_lsu_bus_buffer.scala 319:114] + node _T_1036 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1037 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1038 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1039 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 320:114] + node _T_1040 = mux(_T_1036, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1041 = mux(_T_1037, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1042 = mux(_T_1038, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1043 = mux(_T_1039, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1044 = or(_T_1040, _T_1041) @[Mux.scala 27:72] + node _T_1045 = or(_T_1044, _T_1042) @[Mux.scala 27:72] + node _T_1046 = or(_T_1045, _T_1043) @[Mux.scala 27:72] + wire _T_1047 : UInt<1> @[Mux.scala 27:72] + _T_1047 <= _T_1046 @[Mux.scala 27:72] + node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 320:31] + node _T_1049 = and(_T_1035, _T_1048) @[el2_lsu_bus_buffer.scala 320:29] + node _T_1050 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1051 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 321:111] + node _T_1052 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1053 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 321:111] + node _T_1054 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1055 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 321:111] + node _T_1056 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 321:88] + node _T_1057 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 321:111] + node _T_1058 = mux(_T_1050, _T_1051, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1059 = mux(_T_1052, _T_1053, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1060 = mux(_T_1054, _T_1055, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1061 = mux(_T_1056, _T_1057, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1062 = or(_T_1058, _T_1059) @[Mux.scala 27:72] + node _T_1063 = or(_T_1062, _T_1060) @[Mux.scala 27:72] + node _T_1064 = or(_T_1063, _T_1061) @[Mux.scala 27:72] + wire _T_1065 : UInt<1> @[Mux.scala 27:72] + _T_1065 <= _T_1064 @[Mux.scala 27:72] + node _T_1066 = eq(_T_1065, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:5] + node _T_1067 = and(_T_1049, _T_1066) @[el2_lsu_bus_buffer.scala 320:140] + node _T_1068 = eq(obuf_force_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 321:119] + node obuf_wr_wait = and(_T_1067, _T_1068) @[el2_lsu_bus_buffer.scala 321:117] + node _T_1069 = orr(buf_numvld_cmd_any) @[el2_lsu_bus_buffer.scala 322:75] + node _T_1070 = lt(obuf_wr_timer, UInt<3>("h07")) @[el2_lsu_bus_buffer.scala 322:95] + node _T_1071 = and(_T_1069, _T_1070) @[el2_lsu_bus_buffer.scala 322:79] + node _T_1072 = add(obuf_wr_timer, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 322:121] + node _T_1073 = tail(_T_1072, 1) @[el2_lsu_bus_buffer.scala 322:121] + node _T_1074 = mux(_T_1071, _T_1073, obuf_wr_timer) @[el2_lsu_bus_buffer.scala 322:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1074) @[el2_lsu_bus_buffer.scala 322:29] + node _T_1075 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:41] + node _T_1076 = and(io.lsu_busreq_m, _T_1075) @[el2_lsu_bus_buffer.scala 323:39] + node _T_1077 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:60] + node _T_1078 = and(_T_1076, _T_1077) @[el2_lsu_bus_buffer.scala 323:58] + node _T_1079 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:93] + node _T_1080 = and(_T_1078, _T_1079) @[el2_lsu_bus_buffer.scala 323:72] + node _T_1081 = bits(io.lsu_addr_m, 31, 2) @[el2_lsu_bus_buffer.scala 323:117] + node _T_1082 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1083 = bits(buf_addr[0], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] + node _T_1084 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1085 = bits(buf_addr[1], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] + node _T_1086 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1087 = bits(buf_addr[2], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] + node _T_1088 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 323:208] + node _T_1089 = bits(buf_addr[3], 31, 2) @[el2_lsu_bus_buffer.scala 323:228] + node _T_1090 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1091 = mux(_T_1084, _T_1085, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1092 = mux(_T_1086, _T_1087, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1093 = mux(_T_1088, _T_1089, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1094 = or(_T_1090, _T_1091) @[Mux.scala 27:72] + node _T_1095 = or(_T_1094, _T_1092) @[Mux.scala 27:72] + node _T_1096 = or(_T_1095, _T_1093) @[Mux.scala 27:72] + wire _T_1097 : UInt<30> @[Mux.scala 27:72] + _T_1097 <= _T_1096 @[Mux.scala 27:72] + node _T_1098 = neq(_T_1081, _T_1097) @[el2_lsu_bus_buffer.scala 323:123] + node _T_1099 = and(_T_1080, _T_1098) @[el2_lsu_bus_buffer.scala 323:101] + obuf_force_wr_en <= _T_1099 @[el2_lsu_bus_buffer.scala 323:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1092 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:53] - node _T_1093 = and(ibuf_byp, _T_1092) @[el2_lsu_bus_buffer.scala 324:31] - node _T_1094 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 324:64] - node _T_1095 = or(_T_1094, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 324:84] - node ibuf_buf_byp = and(_T_1093, _T_1095) @[el2_lsu_bus_buffer.scala 324:61] + node _T_1100 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:53] + node _T_1101 = and(ibuf_byp, _T_1100) @[el2_lsu_bus_buffer.scala 325:31] + node _T_1102 = eq(io.lsu_pkt_r.store, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 325:64] + node _T_1103 = or(_T_1102, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 325:84] + node ibuf_buf_byp = and(_T_1101, _T_1103) @[el2_lsu_bus_buffer.scala 325:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 327:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 328:24] - wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 329:22] - buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] - buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] - buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] - buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 330:12] - wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 331:24] - buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] - buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] - buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] - buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 332:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 328:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 329:24] + wire buf_dual : UInt<1>[4] @[el2_lsu_bus_buffer.scala 330:22] + buf_dual[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] + buf_dual[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] + buf_dual[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] + buf_dual[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 331:12] + wire buf_samedw : UInt<1>[4] @[el2_lsu_bus_buffer.scala 332:24] + buf_samedw[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] + buf_samedw[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] + buf_samedw[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] + buf_samedw[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 333:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -1752,280 +1760,280 @@ circuit el2_lsu_bus_buffer : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1096 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 339:32] - node _T_1097 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 339:74] - node _T_1098 = eq(_T_1097, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 339:52] - node _T_1099 = and(_T_1096, _T_1098) @[el2_lsu_bus_buffer.scala 339:50] - node _T_1100 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1101 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1102 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1103 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1104 = mux(_T_1100, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1105 = mux(_T_1101, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1106 = mux(_T_1102, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1107 = mux(_T_1103, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1108 = or(_T_1104, _T_1105) @[Mux.scala 27:72] - node _T_1109 = or(_T_1108, _T_1106) @[Mux.scala 27:72] - node _T_1110 = or(_T_1109, _T_1107) @[Mux.scala 27:72] - wire _T_1111 : UInt<3> @[Mux.scala 27:72] - _T_1111 <= _T_1110 @[Mux.scala 27:72] - node _T_1112 = eq(_T_1111, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 340:36] - node _T_1113 = and(_T_1112, found_cmdptr0) @[el2_lsu_bus_buffer.scala 340:47] - node _T_1114 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] - node _T_1115 = cat(_T_1114, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] - node _T_1116 = cat(_T_1115, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1117 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1118 = bits(_T_1116, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1119 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1120 = bits(_T_1116, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1121 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1122 = bits(_T_1116, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1123 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1124 = bits(_T_1116, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1125 = mux(_T_1117, _T_1118, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1126 = mux(_T_1119, _T_1120, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1127 = mux(_T_1121, _T_1122, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1128 = mux(_T_1123, _T_1124, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1129 = or(_T_1125, _T_1126) @[Mux.scala 27:72] - node _T_1130 = or(_T_1129, _T_1127) @[Mux.scala 27:72] - node _T_1131 = or(_T_1130, _T_1128) @[Mux.scala 27:72] - wire _T_1132 : UInt<1> @[Mux.scala 27:72] - _T_1132 <= _T_1131 @[Mux.scala 27:72] - node _T_1133 = eq(_T_1132, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:23] - node _T_1134 = and(_T_1113, _T_1133) @[el2_lsu_bus_buffer.scala 341:21] - node _T_1135 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1136 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1137 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1138 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1139 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1140 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1141 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1142 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1143 = mux(_T_1135, _T_1136, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1144 = mux(_T_1137, _T_1138, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1145 = mux(_T_1139, _T_1140, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1146 = mux(_T_1141, _T_1142, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1147 = or(_T_1143, _T_1144) @[Mux.scala 27:72] - node _T_1148 = or(_T_1147, _T_1145) @[Mux.scala 27:72] - node _T_1149 = or(_T_1148, _T_1146) @[Mux.scala 27:72] - wire _T_1150 : UInt<1> @[Mux.scala 27:72] - _T_1150 <= _T_1149 @[Mux.scala 27:72] - node _T_1151 = and(_T_1150, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 341:141] - node _T_1152 = eq(_T_1151, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 341:105] - node _T_1153 = and(_T_1134, _T_1152) @[el2_lsu_bus_buffer.scala 341:103] - node _T_1154 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_1155 = cat(_T_1154, buf_dual[1]) @[Cat.scala 29:58] - node _T_1156 = cat(_T_1155, buf_dual[0]) @[Cat.scala 29:58] - node _T_1157 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1158 = bits(_T_1156, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1159 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1160 = bits(_T_1156, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1161 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1162 = bits(_T_1156, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1163 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1164 = bits(_T_1156, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1165 = mux(_T_1157, _T_1158, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1166 = mux(_T_1159, _T_1160, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1167 = mux(_T_1161, _T_1162, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1168 = mux(_T_1163, _T_1164, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1169 = or(_T_1165, _T_1166) @[Mux.scala 27:72] - node _T_1170 = or(_T_1169, _T_1167) @[Mux.scala 27:72] - node _T_1171 = or(_T_1170, _T_1168) @[Mux.scala 27:72] - wire _T_1172 : UInt<1> @[Mux.scala 27:72] - _T_1172 <= _T_1171 @[Mux.scala 27:72] - node _T_1173 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] - node _T_1174 = cat(_T_1173, buf_samedw[1]) @[Cat.scala 29:58] - node _T_1175 = cat(_T_1174, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1176 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1177 = bits(_T_1175, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1178 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1179 = bits(_T_1175, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1180 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1181 = bits(_T_1175, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1182 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1183 = bits(_T_1175, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1184 = mux(_T_1176, _T_1177, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1185 = mux(_T_1178, _T_1179, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1186 = mux(_T_1180, _T_1181, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1187 = mux(_T_1182, _T_1183, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1188 = or(_T_1184, _T_1185) @[Mux.scala 27:72] - node _T_1189 = or(_T_1188, _T_1186) @[Mux.scala 27:72] - node _T_1190 = or(_T_1189, _T_1187) @[Mux.scala 27:72] - wire _T_1191 : UInt<1> @[Mux.scala 27:72] - _T_1191 <= _T_1190 @[Mux.scala 27:72] - node _T_1192 = and(_T_1172, _T_1191) @[el2_lsu_bus_buffer.scala 342:77] - node _T_1193 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1194 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1195 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1196 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1197 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1198 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1199 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1200 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1201 = mux(_T_1193, _T_1194, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1202 = mux(_T_1195, _T_1196, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1203 = mux(_T_1197, _T_1198, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1204 = mux(_T_1199, _T_1200, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1205 = or(_T_1201, _T_1202) @[Mux.scala 27:72] - node _T_1206 = or(_T_1205, _T_1203) @[Mux.scala 27:72] - node _T_1207 = or(_T_1206, _T_1204) @[Mux.scala 27:72] - wire _T_1208 : UInt<1> @[Mux.scala 27:72] - _T_1208 <= _T_1207 @[Mux.scala 27:72] - node _T_1209 = eq(_T_1208, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:150] - node _T_1210 = and(_T_1192, _T_1209) @[el2_lsu_bus_buffer.scala 342:148] - node _T_1211 = eq(_T_1210, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:8] - node _T_1212 = or(_T_1211, found_cmdptr1) @[el2_lsu_bus_buffer.scala 342:181] - node _T_1213 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] - node _T_1214 = cat(_T_1213, buf_nomerge[1]) @[Cat.scala 29:58] - node _T_1215 = cat(_T_1214, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1216 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1217 = bits(_T_1215, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1218 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1219 = bits(_T_1215, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1220 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1221 = bits(_T_1215, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1222 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1223 = bits(_T_1215, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1224 = mux(_T_1216, _T_1217, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1225 = mux(_T_1218, _T_1219, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1226 = mux(_T_1220, _T_1221, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1227 = mux(_T_1222, _T_1223, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1228 = or(_T_1224, _T_1225) @[Mux.scala 27:72] - node _T_1229 = or(_T_1228, _T_1226) @[Mux.scala 27:72] - node _T_1230 = or(_T_1229, _T_1227) @[Mux.scala 27:72] - wire _T_1231 : UInt<1> @[Mux.scala 27:72] - _T_1231 <= _T_1230 @[Mux.scala 27:72] - node _T_1232 = or(_T_1212, _T_1231) @[el2_lsu_bus_buffer.scala 342:197] - node _T_1233 = or(_T_1232, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 342:269] - node _T_1234 = and(_T_1153, _T_1233) @[el2_lsu_bus_buffer.scala 341:164] - node _T_1235 = or(_T_1099, _T_1234) @[el2_lsu_bus_buffer.scala 339:98] - node _T_1236 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:48] - node _T_1237 = or(bus_cmd_ready, _T_1236) @[el2_lsu_bus_buffer.scala 343:46] - node _T_1238 = or(_T_1237, obuf_nosend) @[el2_lsu_bus_buffer.scala 343:60] - node _T_1239 = and(_T_1235, _T_1238) @[el2_lsu_bus_buffer.scala 343:29] - node _T_1240 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:77] - node _T_1241 = and(_T_1239, _T_1240) @[el2_lsu_bus_buffer.scala 343:75] - node _T_1242 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:93] - node _T_1243 = and(_T_1241, _T_1242) @[el2_lsu_bus_buffer.scala 343:91] - node _T_1244 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:118] - node _T_1245 = and(_T_1243, _T_1244) @[el2_lsu_bus_buffer.scala 343:116] - node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 343:142] - obuf_wr_en <= _T_1246 @[el2_lsu_bus_buffer.scala 339:14] + node _T_1104 = and(ibuf_buf_byp, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 340:32] + node _T_1105 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 340:74] + node _T_1106 = eq(_T_1105, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 340:52] + node _T_1107 = and(_T_1104, _T_1106) @[el2_lsu_bus_buffer.scala 340:50] + node _T_1108 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1109 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1110 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1111 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1112 = mux(_T_1108, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1113 = mux(_T_1109, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1114 = mux(_T_1110, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1115 = mux(_T_1111, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1116 = or(_T_1112, _T_1113) @[Mux.scala 27:72] + node _T_1117 = or(_T_1116, _T_1114) @[Mux.scala 27:72] + node _T_1118 = or(_T_1117, _T_1115) @[Mux.scala 27:72] + wire _T_1119 : UInt<3> @[Mux.scala 27:72] + _T_1119 <= _T_1118 @[Mux.scala 27:72] + node _T_1120 = eq(_T_1119, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 341:36] + node _T_1121 = and(_T_1120, found_cmdptr0) @[el2_lsu_bus_buffer.scala 341:47] + node _T_1122 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1123 = cat(_T_1122, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1124 = cat(_T_1123, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1125 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1126 = bits(_T_1124, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1127 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1128 = bits(_T_1124, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1129 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1130 = bits(_T_1124, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1131 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1132 = bits(_T_1124, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1133 = mux(_T_1125, _T_1126, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1134 = mux(_T_1127, _T_1128, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1135 = mux(_T_1129, _T_1130, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1136 = mux(_T_1131, _T_1132, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1137 = or(_T_1133, _T_1134) @[Mux.scala 27:72] + node _T_1138 = or(_T_1137, _T_1135) @[Mux.scala 27:72] + node _T_1139 = or(_T_1138, _T_1136) @[Mux.scala 27:72] + wire _T_1140 : UInt<1> @[Mux.scala 27:72] + _T_1140 <= _T_1139 @[Mux.scala 27:72] + node _T_1141 = eq(_T_1140, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:23] + node _T_1142 = and(_T_1121, _T_1141) @[el2_lsu_bus_buffer.scala 342:21] + node _T_1143 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1144 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1145 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1146 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1147 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1148 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1149 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1150 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1151 = mux(_T_1143, _T_1144, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1152 = mux(_T_1145, _T_1146, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1153 = mux(_T_1147, _T_1148, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1154 = mux(_T_1149, _T_1150, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1155 = or(_T_1151, _T_1152) @[Mux.scala 27:72] + node _T_1156 = or(_T_1155, _T_1153) @[Mux.scala 27:72] + node _T_1157 = or(_T_1156, _T_1154) @[Mux.scala 27:72] + wire _T_1158 : UInt<1> @[Mux.scala 27:72] + _T_1158 <= _T_1157 @[Mux.scala 27:72] + node _T_1159 = and(_T_1158, bus_sideeffect_pend) @[el2_lsu_bus_buffer.scala 342:141] + node _T_1160 = eq(_T_1159, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 342:105] + node _T_1161 = and(_T_1142, _T_1160) @[el2_lsu_bus_buffer.scala 342:103] + node _T_1162 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1163 = cat(_T_1162, buf_dual[1]) @[Cat.scala 29:58] + node _T_1164 = cat(_T_1163, buf_dual[0]) @[Cat.scala 29:58] + node _T_1165 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1166 = bits(_T_1164, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1167 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1168 = bits(_T_1164, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1169 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1170 = bits(_T_1164, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1171 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1172 = bits(_T_1164, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1173 = mux(_T_1165, _T_1166, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1174 = mux(_T_1167, _T_1168, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1175 = mux(_T_1169, _T_1170, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1176 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1177 = or(_T_1173, _T_1174) @[Mux.scala 27:72] + node _T_1178 = or(_T_1177, _T_1175) @[Mux.scala 27:72] + node _T_1179 = or(_T_1178, _T_1176) @[Mux.scala 27:72] + wire _T_1180 : UInt<1> @[Mux.scala 27:72] + _T_1180 <= _T_1179 @[Mux.scala 27:72] + node _T_1181 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1182 = cat(_T_1181, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1183 = cat(_T_1182, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1184 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1185 = bits(_T_1183, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1186 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1187 = bits(_T_1183, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1188 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1189 = bits(_T_1183, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1190 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1191 = bits(_T_1183, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1192 = mux(_T_1184, _T_1185, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1193 = mux(_T_1186, _T_1187, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1194 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1195 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1196 = or(_T_1192, _T_1193) @[Mux.scala 27:72] + node _T_1197 = or(_T_1196, _T_1194) @[Mux.scala 27:72] + node _T_1198 = or(_T_1197, _T_1195) @[Mux.scala 27:72] + wire _T_1199 : UInt<1> @[Mux.scala 27:72] + _T_1199 <= _T_1198 @[Mux.scala 27:72] + node _T_1200 = and(_T_1180, _T_1199) @[el2_lsu_bus_buffer.scala 343:77] + node _T_1201 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1202 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1203 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1204 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1205 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1206 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1207 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1208 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1209 = mux(_T_1201, _T_1202, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1210 = mux(_T_1203, _T_1204, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1211 = mux(_T_1205, _T_1206, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1212 = mux(_T_1207, _T_1208, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1213 = or(_T_1209, _T_1210) @[Mux.scala 27:72] + node _T_1214 = or(_T_1213, _T_1211) @[Mux.scala 27:72] + node _T_1215 = or(_T_1214, _T_1212) @[Mux.scala 27:72] + wire _T_1216 : UInt<1> @[Mux.scala 27:72] + _T_1216 <= _T_1215 @[Mux.scala 27:72] + node _T_1217 = eq(_T_1216, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:150] + node _T_1218 = and(_T_1200, _T_1217) @[el2_lsu_bus_buffer.scala 343:148] + node _T_1219 = eq(_T_1218, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 343:8] + node _T_1220 = or(_T_1219, found_cmdptr1) @[el2_lsu_bus_buffer.scala 343:181] + node _T_1221 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1222 = cat(_T_1221, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1223 = cat(_T_1222, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1224 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1225 = bits(_T_1223, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1226 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1227 = bits(_T_1223, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1228 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1229 = bits(_T_1223, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1230 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1231 = bits(_T_1223, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1232 = mux(_T_1224, _T_1225, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1233 = mux(_T_1226, _T_1227, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1234 = mux(_T_1228, _T_1229, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1235 = mux(_T_1230, _T_1231, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1236 = or(_T_1232, _T_1233) @[Mux.scala 27:72] + node _T_1237 = or(_T_1236, _T_1234) @[Mux.scala 27:72] + node _T_1238 = or(_T_1237, _T_1235) @[Mux.scala 27:72] + wire _T_1239 : UInt<1> @[Mux.scala 27:72] + _T_1239 <= _T_1238 @[Mux.scala 27:72] + node _T_1240 = or(_T_1220, _T_1239) @[el2_lsu_bus_buffer.scala 343:197] + node _T_1241 = or(_T_1240, obuf_force_wr_en) @[el2_lsu_bus_buffer.scala 343:269] + node _T_1242 = and(_T_1161, _T_1241) @[el2_lsu_bus_buffer.scala 342:164] + node _T_1243 = or(_T_1107, _T_1242) @[el2_lsu_bus_buffer.scala 340:98] + node _T_1244 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:48] + node _T_1245 = or(bus_cmd_ready, _T_1244) @[el2_lsu_bus_buffer.scala 344:46] + node _T_1246 = or(_T_1245, obuf_nosend) @[el2_lsu_bus_buffer.scala 344:60] + node _T_1247 = and(_T_1243, _T_1246) @[el2_lsu_bus_buffer.scala 344:29] + node _T_1248 = eq(obuf_wr_wait, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:77] + node _T_1249 = and(_T_1247, _T_1248) @[el2_lsu_bus_buffer.scala 344:75] + node _T_1250 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:93] + node _T_1251 = and(_T_1249, _T_1250) @[el2_lsu_bus_buffer.scala 344:91] + node _T_1252 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 344:118] + node _T_1253 = and(_T_1251, _T_1252) @[el2_lsu_bus_buffer.scala 344:116] + node _T_1254 = and(_T_1253, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 344:142] + obuf_wr_en <= _T_1254 @[el2_lsu_bus_buffer.scala 340:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1247 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 345:47] - node _T_1248 = or(bus_cmd_sent, _T_1247) @[el2_lsu_bus_buffer.scala 345:33] - node _T_1249 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 345:65] - node _T_1250 = and(_T_1248, _T_1249) @[el2_lsu_bus_buffer.scala 345:63] - node _T_1251 = and(_T_1250, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 345:77] - node obuf_rst = or(_T_1251, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 345:98] - node _T_1252 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1253 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1254 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1255 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1256 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1257 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1258 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1259 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1260 = mux(_T_1252, _T_1253, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1261 = mux(_T_1254, _T_1255, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1262 = mux(_T_1256, _T_1257, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1263 = mux(_T_1258, _T_1259, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1264 = or(_T_1260, _T_1261) @[Mux.scala 27:72] - node _T_1265 = or(_T_1264, _T_1262) @[Mux.scala 27:72] - node _T_1266 = or(_T_1265, _T_1263) @[Mux.scala 27:72] - wire _T_1267 : UInt<1> @[Mux.scala 27:72] - _T_1267 <= _T_1266 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1267) @[el2_lsu_bus_buffer.scala 346:26] - node _T_1268 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1269 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1270 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1271 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1272 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1273 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1274 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1275 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1276 = mux(_T_1268, _T_1269, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1277 = mux(_T_1270, _T_1271, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1278 = mux(_T_1272, _T_1273, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1279 = mux(_T_1274, _T_1275, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1280 = or(_T_1276, _T_1277) @[Mux.scala 27:72] - node _T_1281 = or(_T_1280, _T_1278) @[Mux.scala 27:72] - node _T_1282 = or(_T_1281, _T_1279) @[Mux.scala 27:72] - wire _T_1283 : UInt<1> @[Mux.scala 27:72] - _T_1283 <= _T_1282 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1283) @[el2_lsu_bus_buffer.scala 347:31] - node _T_1284 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1285 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1286 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1287 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1288 = mux(_T_1284, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1289 = mux(_T_1285, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1290 = mux(_T_1286, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1291 = mux(_T_1287, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1292 = or(_T_1288, _T_1289) @[Mux.scala 27:72] - node _T_1293 = or(_T_1292, _T_1290) @[Mux.scala 27:72] - node _T_1294 = or(_T_1293, _T_1291) @[Mux.scala 27:72] - wire _T_1295 : UInt<32> @[Mux.scala 27:72] - _T_1295 <= _T_1294 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1295) @[el2_lsu_bus_buffer.scala 348:25] - wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 349:20] - buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] - buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] - buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] - buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 350:10] - node _T_1296 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_1297 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1298 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1299 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1300 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1301 = mux(_T_1297, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1302 = mux(_T_1298, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1303 = mux(_T_1299, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1304 = mux(_T_1300, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1305 = or(_T_1301, _T_1302) @[Mux.scala 27:72] - node _T_1306 = or(_T_1305, _T_1303) @[Mux.scala 27:72] - node _T_1307 = or(_T_1306, _T_1304) @[Mux.scala 27:72] - wire _T_1308 : UInt<2> @[Mux.scala 27:72] - _T_1308 <= _T_1307 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1296, _T_1308) @[el2_lsu_bus_buffer.scala 351:23] + node _T_1255 = and(obuf_valid, obuf_nosend) @[el2_lsu_bus_buffer.scala 346:47] + node _T_1256 = or(bus_cmd_sent, _T_1255) @[el2_lsu_bus_buffer.scala 346:33] + node _T_1257 = eq(obuf_wr_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 346:65] + node _T_1258 = and(_T_1256, _T_1257) @[el2_lsu_bus_buffer.scala 346:63] + node _T_1259 = and(_T_1258, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 346:77] + node obuf_rst = or(_T_1259, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 346:98] + node _T_1260 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1261 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1262 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1263 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1264 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1265 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1266 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1267 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1268 = mux(_T_1260, _T_1261, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1269 = mux(_T_1262, _T_1263, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1270 = mux(_T_1264, _T_1265, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1271 = mux(_T_1266, _T_1267, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1272 = or(_T_1268, _T_1269) @[Mux.scala 27:72] + node _T_1273 = or(_T_1272, _T_1270) @[Mux.scala 27:72] + node _T_1274 = or(_T_1273, _T_1271) @[Mux.scala 27:72] + wire _T_1275 : UInt<1> @[Mux.scala 27:72] + _T_1275 <= _T_1274 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.store, _T_1275) @[el2_lsu_bus_buffer.scala 347:26] + node _T_1276 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1277 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1278 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1279 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1280 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1281 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1282 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1283 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1284 = mux(_T_1276, _T_1277, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1285 = mux(_T_1278, _T_1279, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1286 = mux(_T_1280, _T_1281, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1287 = mux(_T_1282, _T_1283, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1288 = or(_T_1284, _T_1285) @[Mux.scala 27:72] + node _T_1289 = or(_T_1288, _T_1286) @[Mux.scala 27:72] + node _T_1290 = or(_T_1289, _T_1287) @[Mux.scala 27:72] + wire _T_1291 : UInt<1> @[Mux.scala 27:72] + _T_1291 <= _T_1290 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1291) @[el2_lsu_bus_buffer.scala 348:31] + node _T_1292 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1293 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1294 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1295 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1296 = mux(_T_1292, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1297 = mux(_T_1293, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1298 = mux(_T_1294, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1299 = mux(_T_1295, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1300 = or(_T_1296, _T_1297) @[Mux.scala 27:72] + node _T_1301 = or(_T_1300, _T_1298) @[Mux.scala 27:72] + node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72] + wire _T_1303 : UInt<32> @[Mux.scala 27:72] + _T_1303 <= _T_1302 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1303) @[el2_lsu_bus_buffer.scala 349:25] + wire buf_sz : UInt<2>[4] @[el2_lsu_bus_buffer.scala 350:20] + buf_sz[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] + buf_sz[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] + buf_sz[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] + buf_sz[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 351:10] + node _T_1304 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_1305 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1306 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1307 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1308 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1309 = mux(_T_1305, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1310 = mux(_T_1306, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1311 = mux(_T_1307, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1312 = mux(_T_1308, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1313 = or(_T_1309, _T_1310) @[Mux.scala 27:72] + node _T_1314 = or(_T_1313, _T_1311) @[Mux.scala 27:72] + node _T_1315 = or(_T_1314, _T_1312) @[Mux.scala 27:72] + wire _T_1316 : UInt<2> @[Mux.scala 27:72] + _T_1316 <= _T_1315 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1304, _T_1316) @[el2_lsu_bus_buffer.scala 352:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 354:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, Cmdptr0) @[el2_lsu_bus_buffer.scala 355:25] wire Cmdptr1 : UInt<2> Cmdptr1 <= UInt<1>("h00") - io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 356:14] - node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 357:25] + io.Cmdptr1 <= Cmdptr1 @[el2_lsu_bus_buffer.scala 357:14] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, Cmdptr1) @[el2_lsu_bus_buffer.scala 358:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") wire bus_wcmd_sent : UInt<1> bus_wcmd_sent <= UInt<1>("h00") - node _T_1309 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 360:39] - node _T_1310 = eq(_T_1309, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 360:26] - node _T_1311 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 360:68] - node obuf_cmd_done_in = and(_T_1310, _T_1311) @[el2_lsu_bus_buffer.scala 360:51] + node _T_1317 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 361:39] + node _T_1318 = eq(_T_1317, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 361:26] + node _T_1319 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 361:68] + node obuf_cmd_done_in = and(_T_1318, _T_1319) @[el2_lsu_bus_buffer.scala 361:51] wire obuf_data_done : UInt<1> obuf_data_done <= UInt<1>("h00") wire bus_wdata_sent : UInt<1> bus_wdata_sent <= UInt<1>("h00") - node _T_1312 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 363:40] - node _T_1313 = eq(_T_1312, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 363:27] - node _T_1314 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 363:70] - node obuf_data_done_in = and(_T_1313, _T_1314) @[el2_lsu_bus_buffer.scala 363:52] - node _T_1315 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 364:67] - node _T_1316 = eq(_T_1315, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:72] - node _T_1317 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 364:92] - node _T_1318 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 364:111] - node _T_1319 = eq(_T_1318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:98] - node _T_1320 = and(_T_1317, _T_1319) @[el2_lsu_bus_buffer.scala 364:96] - node _T_1321 = or(_T_1316, _T_1320) @[el2_lsu_bus_buffer.scala 364:79] - node _T_1322 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 364:129] - node _T_1323 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 364:147] - node _T_1324 = orr(_T_1323) @[el2_lsu_bus_buffer.scala 364:153] - node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:134] - node _T_1326 = and(_T_1322, _T_1325) @[el2_lsu_bus_buffer.scala 364:132] - node _T_1327 = or(_T_1321, _T_1326) @[el2_lsu_bus_buffer.scala 364:116] - node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1327) @[el2_lsu_bus_buffer.scala 364:28] + node _T_1320 = or(obuf_wr_en, obuf_rst) @[el2_lsu_bus_buffer.scala 364:40] + node _T_1321 = eq(_T_1320, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 364:27] + node _T_1322 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 364:70] + node obuf_data_done_in = and(_T_1321, _T_1322) @[el2_lsu_bus_buffer.scala 364:52] + node _T_1323 = bits(obuf_sz_in, 1, 0) @[el2_lsu_bus_buffer.scala 365:67] + node _T_1324 = eq(_T_1323, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:72] + node _T_1325 = bits(obuf_sz_in, 0, 0) @[el2_lsu_bus_buffer.scala 365:92] + node _T_1326 = bits(obuf_addr_in, 0, 0) @[el2_lsu_bus_buffer.scala 365:111] + node _T_1327 = eq(_T_1326, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:98] + node _T_1328 = and(_T_1325, _T_1327) @[el2_lsu_bus_buffer.scala 365:96] + node _T_1329 = or(_T_1324, _T_1328) @[el2_lsu_bus_buffer.scala 365:79] + node _T_1330 = bits(obuf_sz_in, 1, 1) @[el2_lsu_bus_buffer.scala 365:129] + node _T_1331 = bits(obuf_addr_in, 1, 0) @[el2_lsu_bus_buffer.scala 365:147] + node _T_1332 = orr(_T_1331) @[el2_lsu_bus_buffer.scala 365:153] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 365:134] + node _T_1334 = and(_T_1330, _T_1333) @[el2_lsu_bus_buffer.scala 365:132] + node _T_1335 = or(_T_1329, _T_1334) @[el2_lsu_bus_buffer.scala 365:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1335) @[el2_lsu_bus_buffer.scala 365:28] wire obuf_nosend_in : UInt<1> obuf_nosend_in <= UInt<1>("h00") wire obuf_rdrsp_pend : UInt<1> @@ -2038,598 +2046,598 @@ circuit el2_lsu_bus_buffer : obuf_rdrsp_tag <= UInt<1>("h00") wire obuf_write : UInt<1> obuf_write <= UInt<1>("h00") - node _T_1328 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:44] - node _T_1329 = and(obuf_wr_en, _T_1328) @[el2_lsu_bus_buffer.scala 372:42] - node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:29] - node _T_1331 = and(_T_1330, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 372:61] - node _T_1332 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 372:116] - node _T_1333 = and(bus_rsp_read, _T_1332) @[el2_lsu_bus_buffer.scala 372:96] - node _T_1334 = eq(_T_1333, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 372:81] - node _T_1335 = and(_T_1331, _T_1334) @[el2_lsu_bus_buffer.scala 372:79] - node _T_1336 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:22] - node _T_1337 = and(bus_cmd_sent, _T_1336) @[el2_lsu_bus_buffer.scala 373:20] - node _T_1338 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:37] - node _T_1339 = and(_T_1337, _T_1338) @[el2_lsu_bus_buffer.scala 373:35] - node obuf_rdrsp_pend_in = or(_T_1335, _T_1339) @[el2_lsu_bus_buffer.scala 372:138] + node _T_1336 = eq(obuf_nosend_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:44] + node _T_1337 = and(obuf_wr_en, _T_1336) @[el2_lsu_bus_buffer.scala 373:42] + node _T_1338 = eq(_T_1337, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:29] + node _T_1339 = and(_T_1338, obuf_rdrsp_pend) @[el2_lsu_bus_buffer.scala 373:61] + node _T_1340 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 373:116] + node _T_1341 = and(bus_rsp_read, _T_1340) @[el2_lsu_bus_buffer.scala 373:96] + node _T_1342 = eq(_T_1341, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 373:81] + node _T_1343 = and(_T_1339, _T_1342) @[el2_lsu_bus_buffer.scala 373:79] + node _T_1344 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:22] + node _T_1345 = and(bus_cmd_sent, _T_1344) @[el2_lsu_bus_buffer.scala 374:20] + node _T_1346 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 374:37] + node _T_1347 = and(_T_1345, _T_1346) @[el2_lsu_bus_buffer.scala 374:35] + node obuf_rdrsp_pend_in = or(_T_1343, _T_1347) @[el2_lsu_bus_buffer.scala 373:138] wire obuf_tag0 : UInt<3> obuf_tag0 <= UInt<1>("h00") - node _T_1340 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 375:46] - node _T_1341 = or(bus_cmd_sent, _T_1340) @[el2_lsu_bus_buffer.scala 375:44] - node obuf_rdrsp_tag_in = mux(_T_1341, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 375:30] + node _T_1348 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 376:46] + node _T_1349 = or(bus_cmd_sent, _T_1348) @[el2_lsu_bus_buffer.scala 376:44] + node obuf_rdrsp_tag_in = mux(_T_1349, obuf_tag0, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 376:30] wire obuf_addr : UInt<32> obuf_addr <= UInt<1>("h00") wire obuf_sideeffect : UInt<1> obuf_sideeffect <= UInt<1>("h00") - node _T_1342 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 378:34] - node _T_1343 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 378:52] - node _T_1344 = eq(_T_1342, _T_1343) @[el2_lsu_bus_buffer.scala 378:40] - node _T_1345 = and(_T_1344, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 378:60] - node _T_1346 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:80] - node _T_1347 = and(_T_1345, _T_1346) @[el2_lsu_bus_buffer.scala 378:78] - node _T_1348 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:99] - node _T_1349 = and(_T_1347, _T_1348) @[el2_lsu_bus_buffer.scala 378:97] - node _T_1350 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:113] - node _T_1351 = and(_T_1349, _T_1350) @[el2_lsu_bus_buffer.scala 378:111] - node _T_1352 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 378:130] - node _T_1353 = and(_T_1351, _T_1352) @[el2_lsu_bus_buffer.scala 378:128] - node _T_1354 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:20] - node _T_1355 = and(obuf_valid, _T_1354) @[el2_lsu_bus_buffer.scala 379:18] - node _T_1356 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 379:90] - node _T_1357 = and(bus_rsp_read, _T_1356) @[el2_lsu_bus_buffer.scala 379:70] - node _T_1358 = eq(_T_1357, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:55] - node _T_1359 = and(obuf_rdrsp_pend, _T_1358) @[el2_lsu_bus_buffer.scala 379:53] - node _T_1360 = or(_T_1355, _T_1359) @[el2_lsu_bus_buffer.scala 379:34] - node _T_1361 = and(_T_1353, _T_1360) @[el2_lsu_bus_buffer.scala 378:165] - obuf_nosend_in <= _T_1361 @[el2_lsu_bus_buffer.scala 378:18] - node _T_1362 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 380:60] - node _T_1363 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1364 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] - node _T_1365 = mux(_T_1362, _T_1363, _T_1364) @[el2_lsu_bus_buffer.scala 380:46] - node _T_1366 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1367 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1368 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1369 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1370 = mux(_T_1366, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1371 = mux(_T_1367, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1372 = mux(_T_1368, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1373 = mux(_T_1369, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1374 = or(_T_1370, _T_1371) @[Mux.scala 27:72] - node _T_1375 = or(_T_1374, _T_1372) @[Mux.scala 27:72] - node _T_1376 = or(_T_1375, _T_1373) @[Mux.scala 27:72] - wire _T_1377 : UInt<32> @[Mux.scala 27:72] - _T_1377 <= _T_1376 @[Mux.scala 27:72] - node _T_1378 = bits(_T_1377, 2, 2) @[el2_lsu_bus_buffer.scala 381:36] - node _T_1379 = bits(_T_1378, 0, 0) @[el2_lsu_bus_buffer.scala 381:46] - node _T_1380 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1381 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1382 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1383 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1384 = mux(_T_1380, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1385 = mux(_T_1381, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1386 = mux(_T_1382, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1387 = mux(_T_1383, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1388 = or(_T_1384, _T_1385) @[Mux.scala 27:72] - node _T_1389 = or(_T_1388, _T_1386) @[Mux.scala 27:72] - node _T_1390 = or(_T_1389, _T_1387) @[Mux.scala 27:72] - wire _T_1391 : UInt<4> @[Mux.scala 27:72] - _T_1391 <= _T_1390 @[Mux.scala 27:72] - node _T_1392 = cat(_T_1391, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1393 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1394 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1395 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1396 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1397 = mux(_T_1393, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1398 = mux(_T_1394, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1399 = mux(_T_1395, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1400 = mux(_T_1396, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1401 = or(_T_1397, _T_1398) @[Mux.scala 27:72] - node _T_1402 = or(_T_1401, _T_1399) @[Mux.scala 27:72] - node _T_1403 = or(_T_1402, _T_1400) @[Mux.scala 27:72] - wire _T_1404 : UInt<4> @[Mux.scala 27:72] - _T_1404 <= _T_1403 @[Mux.scala 27:72] - node _T_1405 = cat(UInt<4>("h00"), _T_1404) @[Cat.scala 29:58] - node _T_1406 = mux(_T_1379, _T_1392, _T_1405) @[el2_lsu_bus_buffer.scala 381:8] - node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1365, _T_1406) @[el2_lsu_bus_buffer.scala 380:28] - node _T_1407 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 382:60] - node _T_1408 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1409 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] - node _T_1410 = mux(_T_1407, _T_1408, _T_1409) @[el2_lsu_bus_buffer.scala 382:46] - node _T_1411 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1412 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1413 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1414 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1415 = mux(_T_1411, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1416 = mux(_T_1412, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1417 = mux(_T_1413, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1418 = mux(_T_1414, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1419 = or(_T_1415, _T_1416) @[Mux.scala 27:72] - node _T_1420 = or(_T_1419, _T_1417) @[Mux.scala 27:72] - node _T_1421 = or(_T_1420, _T_1418) @[Mux.scala 27:72] - wire _T_1422 : UInt<32> @[Mux.scala 27:72] - _T_1422 <= _T_1421 @[Mux.scala 27:72] - node _T_1423 = bits(_T_1422, 2, 2) @[el2_lsu_bus_buffer.scala 383:36] - node _T_1424 = bits(_T_1423, 0, 0) @[el2_lsu_bus_buffer.scala 383:46] - node _T_1425 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1426 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1427 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1428 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1429 = mux(_T_1425, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1430 = mux(_T_1426, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1431 = mux(_T_1427, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1432 = mux(_T_1428, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1433 = or(_T_1429, _T_1430) @[Mux.scala 27:72] - node _T_1434 = or(_T_1433, _T_1431) @[Mux.scala 27:72] - node _T_1435 = or(_T_1434, _T_1432) @[Mux.scala 27:72] - wire _T_1436 : UInt<4> @[Mux.scala 27:72] - _T_1436 <= _T_1435 @[Mux.scala 27:72] - node _T_1437 = cat(_T_1436, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1438 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1439 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1440 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1441 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1442 = mux(_T_1438, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1443 = mux(_T_1439, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1444 = mux(_T_1440, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1445 = mux(_T_1441, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1446 = or(_T_1442, _T_1443) @[Mux.scala 27:72] - node _T_1447 = or(_T_1446, _T_1444) @[Mux.scala 27:72] - node _T_1448 = or(_T_1447, _T_1445) @[Mux.scala 27:72] - wire _T_1449 : UInt<4> @[Mux.scala 27:72] - _T_1449 <= _T_1448 @[Mux.scala 27:72] - node _T_1450 = cat(UInt<4>("h00"), _T_1449) @[Cat.scala 29:58] - node _T_1451 = mux(_T_1424, _T_1437, _T_1450) @[el2_lsu_bus_buffer.scala 383:8] - node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1410, _T_1451) @[el2_lsu_bus_buffer.scala 382:28] - node _T_1452 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 385:58] - node _T_1453 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1454 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] - node _T_1455 = mux(_T_1452, _T_1453, _T_1454) @[el2_lsu_bus_buffer.scala 385:44] - node _T_1456 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1457 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1458 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1459 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1460 = mux(_T_1456, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1461 = mux(_T_1457, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1462 = mux(_T_1458, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1463 = mux(_T_1459, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1464 = or(_T_1460, _T_1461) @[Mux.scala 27:72] - node _T_1465 = or(_T_1464, _T_1462) @[Mux.scala 27:72] - node _T_1466 = or(_T_1465, _T_1463) @[Mux.scala 27:72] - wire _T_1467 : UInt<32> @[Mux.scala 27:72] - _T_1467 <= _T_1466 @[Mux.scala 27:72] - node _T_1468 = bits(_T_1467, 2, 2) @[el2_lsu_bus_buffer.scala 386:36] - node _T_1469 = bits(_T_1468, 0, 0) @[el2_lsu_bus_buffer.scala 386:46] - node _T_1470 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1471 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1472 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1473 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1474 = mux(_T_1470, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1475 = mux(_T_1471, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1476 = mux(_T_1472, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1477 = mux(_T_1473, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1478 = or(_T_1474, _T_1475) @[Mux.scala 27:72] - node _T_1479 = or(_T_1478, _T_1476) @[Mux.scala 27:72] - node _T_1480 = or(_T_1479, _T_1477) @[Mux.scala 27:72] - wire _T_1481 : UInt<32> @[Mux.scala 27:72] - _T_1481 <= _T_1480 @[Mux.scala 27:72] - node _T_1482 = cat(_T_1481, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1483 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1484 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1485 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1486 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1487 = mux(_T_1483, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1488 = mux(_T_1484, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1489 = mux(_T_1485, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1490 = mux(_T_1486, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1491 = or(_T_1487, _T_1488) @[Mux.scala 27:72] - node _T_1492 = or(_T_1491, _T_1489) @[Mux.scala 27:72] - node _T_1493 = or(_T_1492, _T_1490) @[Mux.scala 27:72] - wire _T_1494 : UInt<32> @[Mux.scala 27:72] - _T_1494 <= _T_1493 @[Mux.scala 27:72] - node _T_1495 = cat(UInt<32>("h00"), _T_1494) @[Cat.scala 29:58] - node _T_1496 = mux(_T_1469, _T_1482, _T_1495) @[el2_lsu_bus_buffer.scala 386:8] - node obuf_data0_in = mux(ibuf_buf_byp, _T_1455, _T_1496) @[el2_lsu_bus_buffer.scala 385:26] - node _T_1497 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 387:58] - node _T_1498 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1499 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] - node _T_1500 = mux(_T_1497, _T_1498, _T_1499) @[el2_lsu_bus_buffer.scala 387:44] - node _T_1501 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1502 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1503 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1504 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1505 = mux(_T_1501, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1506 = mux(_T_1502, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1507 = mux(_T_1503, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1508 = mux(_T_1504, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1509 = or(_T_1505, _T_1506) @[Mux.scala 27:72] - node _T_1510 = or(_T_1509, _T_1507) @[Mux.scala 27:72] - node _T_1511 = or(_T_1510, _T_1508) @[Mux.scala 27:72] - wire _T_1512 : UInt<32> @[Mux.scala 27:72] - _T_1512 <= _T_1511 @[Mux.scala 27:72] - node _T_1513 = bits(_T_1512, 2, 2) @[el2_lsu_bus_buffer.scala 388:36] - node _T_1514 = bits(_T_1513, 0, 0) @[el2_lsu_bus_buffer.scala 388:46] - node _T_1515 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1516 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1517 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1518 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1519 = mux(_T_1515, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1520 = mux(_T_1516, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1521 = mux(_T_1517, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1522 = mux(_T_1518, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1523 = or(_T_1519, _T_1520) @[Mux.scala 27:72] - node _T_1524 = or(_T_1523, _T_1521) @[Mux.scala 27:72] - node _T_1525 = or(_T_1524, _T_1522) @[Mux.scala 27:72] - wire _T_1526 : UInt<32> @[Mux.scala 27:72] - _T_1526 <= _T_1525 @[Mux.scala 27:72] - node _T_1527 = cat(_T_1526, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1528 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1529 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1530 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1531 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1532 = mux(_T_1528, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1533 = mux(_T_1529, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1534 = mux(_T_1530, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1535 = mux(_T_1531, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1536 = or(_T_1532, _T_1533) @[Mux.scala 27:72] - node _T_1537 = or(_T_1536, _T_1534) @[Mux.scala 27:72] - node _T_1538 = or(_T_1537, _T_1535) @[Mux.scala 27:72] - wire _T_1539 : UInt<32> @[Mux.scala 27:72] - _T_1539 <= _T_1538 @[Mux.scala 27:72] - node _T_1540 = cat(UInt<32>("h00"), _T_1539) @[Cat.scala 29:58] - node _T_1541 = mux(_T_1514, _T_1527, _T_1540) @[el2_lsu_bus_buffer.scala 388:8] - node obuf_data1_in = mux(ibuf_buf_byp, _T_1500, _T_1541) @[el2_lsu_bus_buffer.scala 387:26] - node _T_1542 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1543 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1544 = and(obuf_merge_en, _T_1543) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1545 = or(_T_1542, _T_1544) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1546 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1547 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1548 = and(obuf_merge_en, _T_1547) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1549 = or(_T_1546, _T_1548) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1550 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1551 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1552 = and(obuf_merge_en, _T_1551) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1553 = or(_T_1550, _T_1552) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1554 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1555 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1556 = and(obuf_merge_en, _T_1555) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1557 = or(_T_1554, _T_1556) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1558 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1559 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1562 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1563 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1566 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1567 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1570 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 389:59] - node _T_1571 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 389:97] - node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 389:80] - node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 389:63] - node _T_1574 = cat(_T_1573, _T_1569) @[Cat.scala 29:58] - node _T_1575 = cat(_T_1574, _T_1565) @[Cat.scala 29:58] - node _T_1576 = cat(_T_1575, _T_1561) @[Cat.scala 29:58] - node _T_1577 = cat(_T_1576, _T_1557) @[Cat.scala 29:58] - node _T_1578 = cat(_T_1577, _T_1553) @[Cat.scala 29:58] - node _T_1579 = cat(_T_1578, _T_1549) @[Cat.scala 29:58] - node obuf_byteen_in = cat(_T_1579, _T_1545) @[Cat.scala 29:58] - node _T_1580 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1581 = and(obuf_merge_en, _T_1580) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1582 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1583 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1585 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1586 = and(obuf_merge_en, _T_1585) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1587 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1588 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1590 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1591 = and(obuf_merge_en, _T_1590) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1592 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1593 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1595 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1596 = and(obuf_merge_en, _T_1595) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1597 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1598 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1600 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1601 = and(obuf_merge_en, _T_1600) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1602 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1603 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1605 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1606 = and(obuf_merge_en, _T_1605) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1607 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1608 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1610 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1611 = and(obuf_merge_en, _T_1610) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1612 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1613 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1615 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 390:76] - node _T_1616 = and(obuf_merge_en, _T_1615) @[el2_lsu_bus_buffer.scala 390:59] - node _T_1617 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 390:94] - node _T_1618 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 390:123] - node _T_1619 = mux(_T_1616, _T_1617, _T_1618) @[el2_lsu_bus_buffer.scala 390:44] - node _T_1620 = cat(_T_1619, _T_1614) @[Cat.scala 29:58] - node _T_1621 = cat(_T_1620, _T_1609) @[Cat.scala 29:58] - node _T_1622 = cat(_T_1621, _T_1604) @[Cat.scala 29:58] - node _T_1623 = cat(_T_1622, _T_1599) @[Cat.scala 29:58] - node _T_1624 = cat(_T_1623, _T_1594) @[Cat.scala 29:58] - node _T_1625 = cat(_T_1624, _T_1589) @[Cat.scala 29:58] - node obuf_data_in = cat(_T_1625, _T_1584) @[Cat.scala 29:58] - io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 391:15] - wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 392:24] - buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] - buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] - buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] - buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 393:14] - node _T_1626 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 394:30] - node _T_1627 = and(_T_1626, found_cmdptr0) @[el2_lsu_bus_buffer.scala 394:43] - node _T_1628 = and(_T_1627, found_cmdptr1) @[el2_lsu_bus_buffer.scala 394:59] - node _T_1629 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1630 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1631 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1632 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1633 = mux(_T_1629, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1634 = mux(_T_1630, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1635 = mux(_T_1631, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1636 = mux(_T_1632, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1637 = or(_T_1633, _T_1634) @[Mux.scala 27:72] - node _T_1638 = or(_T_1637, _T_1635) @[Mux.scala 27:72] - node _T_1639 = or(_T_1638, _T_1636) @[Mux.scala 27:72] - wire _T_1640 : UInt<3> @[Mux.scala 27:72] - _T_1640 <= _T_1639 @[Mux.scala 27:72] - node _T_1641 = eq(_T_1640, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 394:107] - node _T_1642 = and(_T_1628, _T_1641) @[el2_lsu_bus_buffer.scala 394:75] - node _T_1643 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1644 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1645 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1646 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1647 = mux(_T_1643, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1648 = mux(_T_1644, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1649 = mux(_T_1645, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1650 = mux(_T_1646, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1651 = or(_T_1647, _T_1648) @[Mux.scala 27:72] - node _T_1652 = or(_T_1651, _T_1649) @[Mux.scala 27:72] - node _T_1653 = or(_T_1652, _T_1650) @[Mux.scala 27:72] - wire _T_1654 : UInt<3> @[Mux.scala 27:72] - _T_1654 <= _T_1653 @[Mux.scala 27:72] - node _T_1655 = eq(_T_1654, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 394:150] - node _T_1656 = and(_T_1642, _T_1655) @[el2_lsu_bus_buffer.scala 394:118] - node _T_1657 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] - node _T_1658 = cat(_T_1657, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] - node _T_1659 = cat(_T_1658, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1660 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1661 = bits(_T_1659, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1662 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1663 = bits(_T_1659, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1664 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1665 = bits(_T_1659, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1666 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1667 = bits(_T_1659, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1668 = mux(_T_1660, _T_1661, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1669 = mux(_T_1662, _T_1663, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1670 = mux(_T_1664, _T_1665, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1671 = mux(_T_1666, _T_1667, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1672 = or(_T_1668, _T_1669) @[Mux.scala 27:72] - node _T_1673 = or(_T_1672, _T_1670) @[Mux.scala 27:72] - node _T_1674 = or(_T_1673, _T_1671) @[Mux.scala 27:72] - wire _T_1675 : UInt<1> @[Mux.scala 27:72] - _T_1675 <= _T_1674 @[Mux.scala 27:72] - node _T_1676 = eq(_T_1675, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:3] - node _T_1677 = and(_T_1656, _T_1676) @[el2_lsu_bus_buffer.scala 394:161] - node _T_1678 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1679 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1680 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1681 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1682 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1683 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1684 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1685 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1686 = mux(_T_1678, _T_1679, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1687 = mux(_T_1680, _T_1681, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1688 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1689 = mux(_T_1684, _T_1685, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1690 = or(_T_1686, _T_1687) @[Mux.scala 27:72] - node _T_1691 = or(_T_1690, _T_1688) @[Mux.scala 27:72] - node _T_1692 = or(_T_1691, _T_1689) @[Mux.scala 27:72] - wire _T_1693 : UInt<1> @[Mux.scala 27:72] - _T_1693 <= _T_1692 @[Mux.scala 27:72] - node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:85] - node _T_1695 = and(_T_1677, _T_1694) @[el2_lsu_bus_buffer.scala 395:83] - node _T_1696 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1697 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1698 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1699 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1700 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1701 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1702 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1703 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1704 = mux(_T_1696, _T_1697, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1705 = mux(_T_1698, _T_1699, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1706 = mux(_T_1700, _T_1701, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1707 = mux(_T_1702, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1708 = or(_T_1704, _T_1705) @[Mux.scala 27:72] - node _T_1709 = or(_T_1708, _T_1706) @[Mux.scala 27:72] - node _T_1710 = or(_T_1709, _T_1707) @[Mux.scala 27:72] - wire _T_1711 : UInt<1> @[Mux.scala 27:72] - _T_1711 <= _T_1710 @[Mux.scala 27:72] - node _T_1712 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1713 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1714 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1715 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1716 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1717 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1718 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1719 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1720 = mux(_T_1712, _T_1713, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1721 = mux(_T_1714, _T_1715, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1722 = mux(_T_1716, _T_1717, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1723 = mux(_T_1718, _T_1719, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1724 = or(_T_1720, _T_1721) @[Mux.scala 27:72] - node _T_1725 = or(_T_1724, _T_1722) @[Mux.scala 27:72] - node _T_1726 = or(_T_1725, _T_1723) @[Mux.scala 27:72] - wire _T_1727 : UInt<1> @[Mux.scala 27:72] - _T_1727 <= _T_1726 @[Mux.scala 27:72] - node _T_1728 = and(_T_1711, _T_1727) @[el2_lsu_bus_buffer.scala 396:36] - node _T_1729 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1730 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1731 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1732 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1733 = mux(_T_1729, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1734 = mux(_T_1730, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1735 = mux(_T_1731, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1736 = mux(_T_1732, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1737 = or(_T_1733, _T_1734) @[Mux.scala 27:72] - node _T_1738 = or(_T_1737, _T_1735) @[Mux.scala 27:72] - node _T_1739 = or(_T_1738, _T_1736) @[Mux.scala 27:72] - wire _T_1740 : UInt<32> @[Mux.scala 27:72] - _T_1740 <= _T_1739 @[Mux.scala 27:72] - node _T_1741 = bits(_T_1740, 31, 3) @[el2_lsu_bus_buffer.scala 397:33] - node _T_1742 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1743 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1744 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1745 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_1746 = mux(_T_1742, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1747 = mux(_T_1743, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1748 = mux(_T_1744, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1749 = mux(_T_1745, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1750 = or(_T_1746, _T_1747) @[Mux.scala 27:72] - node _T_1751 = or(_T_1750, _T_1748) @[Mux.scala 27:72] - node _T_1752 = or(_T_1751, _T_1749) @[Mux.scala 27:72] - wire _T_1753 : UInt<32> @[Mux.scala 27:72] - _T_1753 <= _T_1752 @[Mux.scala 27:72] - node _T_1754 = bits(_T_1753, 31, 3) @[el2_lsu_bus_buffer.scala 397:69] - node _T_1755 = eq(_T_1741, _T_1754) @[el2_lsu_bus_buffer.scala 397:39] - node _T_1756 = and(_T_1728, _T_1755) @[el2_lsu_bus_buffer.scala 396:67] - node _T_1757 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:79] - node _T_1758 = and(_T_1756, _T_1757) @[el2_lsu_bus_buffer.scala 397:77] - node _T_1759 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 397:105] - node _T_1760 = and(_T_1758, _T_1759) @[el2_lsu_bus_buffer.scala 397:103] - node _T_1761 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1762 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1763 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1764 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1765 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1766 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1767 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1768 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1769 = mux(_T_1761, _T_1762, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1770 = mux(_T_1763, _T_1764, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1771 = mux(_T_1765, _T_1766, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1772 = mux(_T_1767, _T_1768, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1773 = or(_T_1769, _T_1770) @[Mux.scala 27:72] - node _T_1774 = or(_T_1773, _T_1771) @[Mux.scala 27:72] - node _T_1775 = or(_T_1774, _T_1772) @[Mux.scala 27:72] - wire _T_1776 : UInt<1> @[Mux.scala 27:72] - _T_1776 <= _T_1775 @[Mux.scala 27:72] - node _T_1777 = eq(_T_1776, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:6] - node _T_1778 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_1779 = cat(_T_1778, buf_dual[1]) @[Cat.scala 29:58] - node _T_1780 = cat(_T_1779, buf_dual[0]) @[Cat.scala 29:58] - node _T_1781 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1782 = bits(_T_1780, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1783 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1784 = bits(_T_1780, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1785 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1786 = bits(_T_1780, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1787 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1788 = bits(_T_1780, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1789 = mux(_T_1781, _T_1782, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1790 = mux(_T_1783, _T_1784, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1791 = mux(_T_1785, _T_1786, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1792 = mux(_T_1787, _T_1788, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1793 = or(_T_1789, _T_1790) @[Mux.scala 27:72] - node _T_1794 = or(_T_1793, _T_1791) @[Mux.scala 27:72] - node _T_1795 = or(_T_1794, _T_1792) @[Mux.scala 27:72] - wire _T_1796 : UInt<1> @[Mux.scala 27:72] - _T_1796 <= _T_1795 @[Mux.scala 27:72] - node _T_1797 = and(_T_1777, _T_1796) @[el2_lsu_bus_buffer.scala 398:36] - node _T_1798 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] - node _T_1799 = cat(_T_1798, buf_dualhi[1]) @[Cat.scala 29:58] - node _T_1800 = cat(_T_1799, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1801 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1802 = bits(_T_1800, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1803 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1804 = bits(_T_1800, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1805 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1806 = bits(_T_1800, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1807 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1808 = bits(_T_1800, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1809 = mux(_T_1801, _T_1802, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1810 = mux(_T_1803, _T_1804, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1811 = mux(_T_1805, _T_1806, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1812 = mux(_T_1807, _T_1808, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1813 = or(_T_1809, _T_1810) @[Mux.scala 27:72] - node _T_1814 = or(_T_1813, _T_1811) @[Mux.scala 27:72] - node _T_1815 = or(_T_1814, _T_1812) @[Mux.scala 27:72] - wire _T_1816 : UInt<1> @[Mux.scala 27:72] - _T_1816 <= _T_1815 @[Mux.scala 27:72] - node _T_1817 = eq(_T_1816, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:107] - node _T_1818 = and(_T_1797, _T_1817) @[el2_lsu_bus_buffer.scala 398:105] - node _T_1819 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] - node _T_1820 = cat(_T_1819, buf_samedw[1]) @[Cat.scala 29:58] - node _T_1821 = cat(_T_1820, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1822 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1823 = bits(_T_1821, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1824 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1825 = bits(_T_1821, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1826 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1827 = bits(_T_1821, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1828 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_1829 = bits(_T_1821, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_1830 = mux(_T_1822, _T_1823, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1831 = mux(_T_1824, _T_1825, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1832 = mux(_T_1826, _T_1827, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1833 = mux(_T_1828, _T_1829, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1834 = or(_T_1830, _T_1831) @[Mux.scala 27:72] - node _T_1835 = or(_T_1834, _T_1832) @[Mux.scala 27:72] - node _T_1836 = or(_T_1835, _T_1833) @[Mux.scala 27:72] - wire _T_1837 : UInt<1> @[Mux.scala 27:72] - _T_1837 <= _T_1836 @[Mux.scala 27:72] - node _T_1838 = and(_T_1818, _T_1837) @[el2_lsu_bus_buffer.scala 398:177] - node _T_1839 = or(_T_1760, _T_1838) @[el2_lsu_bus_buffer.scala 397:126] - node _T_1840 = and(_T_1695, _T_1839) @[el2_lsu_bus_buffer.scala 395:120] - node _T_1841 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 399:19] - node _T_1842 = and(_T_1841, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 399:35] - node _T_1843 = or(_T_1840, _T_1842) @[el2_lsu_bus_buffer.scala 398:251] - obuf_merge_en <= _T_1843 @[el2_lsu_bus_buffer.scala 394:17] - reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 401:55] - obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 401:55] - node _T_1844 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 402:58] - node _T_1845 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:93] - node _T_1846 = and(_T_1844, _T_1845) @[el2_lsu_bus_buffer.scala 402:91] - reg _T_1847 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 402:54] - _T_1847 <= _T_1846 @[el2_lsu_bus_buffer.scala 402:54] - obuf_valid <= _T_1847 @[el2_lsu_bus_buffer.scala 402:14] - reg _T_1848 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_1350 = bits(obuf_addr_in, 31, 3) @[el2_lsu_bus_buffer.scala 379:34] + node _T_1351 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 379:52] + node _T_1352 = eq(_T_1350, _T_1351) @[el2_lsu_bus_buffer.scala 379:40] + node _T_1353 = and(_T_1352, obuf_aligned_in) @[el2_lsu_bus_buffer.scala 379:60] + node _T_1354 = eq(obuf_sideeffect, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:80] + node _T_1355 = and(_T_1353, _T_1354) @[el2_lsu_bus_buffer.scala 379:78] + node _T_1356 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:99] + node _T_1357 = and(_T_1355, _T_1356) @[el2_lsu_bus_buffer.scala 379:97] + node _T_1358 = eq(obuf_write_in, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:113] + node _T_1359 = and(_T_1357, _T_1358) @[el2_lsu_bus_buffer.scala 379:111] + node _T_1360 = eq(io.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 379:130] + node _T_1361 = and(_T_1359, _T_1360) @[el2_lsu_bus_buffer.scala 379:128] + node _T_1362 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:20] + node _T_1363 = and(obuf_valid, _T_1362) @[el2_lsu_bus_buffer.scala 380:18] + node _T_1364 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 380:90] + node _T_1365 = and(bus_rsp_read, _T_1364) @[el2_lsu_bus_buffer.scala 380:70] + node _T_1366 = eq(_T_1365, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 380:55] + node _T_1367 = and(obuf_rdrsp_pend, _T_1366) @[el2_lsu_bus_buffer.scala 380:53] + node _T_1368 = or(_T_1363, _T_1367) @[el2_lsu_bus_buffer.scala 380:34] + node _T_1369 = and(_T_1361, _T_1368) @[el2_lsu_bus_buffer.scala 379:165] + obuf_nosend_in <= _T_1369 @[el2_lsu_bus_buffer.scala 379:18] + node _T_1370 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 381:60] + node _T_1371 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1372 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1373 = mux(_T_1370, _T_1371, _T_1372) @[el2_lsu_bus_buffer.scala 381:46] + node _T_1374 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1375 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1376 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1377 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1378 = mux(_T_1374, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1379 = mux(_T_1375, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1380 = mux(_T_1376, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1381 = mux(_T_1377, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1382 = or(_T_1378, _T_1379) @[Mux.scala 27:72] + node _T_1383 = or(_T_1382, _T_1380) @[Mux.scala 27:72] + node _T_1384 = or(_T_1383, _T_1381) @[Mux.scala 27:72] + wire _T_1385 : UInt<32> @[Mux.scala 27:72] + _T_1385 <= _T_1384 @[Mux.scala 27:72] + node _T_1386 = bits(_T_1385, 2, 2) @[el2_lsu_bus_buffer.scala 382:36] + node _T_1387 = bits(_T_1386, 0, 0) @[el2_lsu_bus_buffer.scala 382:46] + node _T_1388 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1389 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1390 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1391 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1395 = mux(_T_1391, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1396 = or(_T_1392, _T_1393) @[Mux.scala 27:72] + node _T_1397 = or(_T_1396, _T_1394) @[Mux.scala 27:72] + node _T_1398 = or(_T_1397, _T_1395) @[Mux.scala 27:72] + wire _T_1399 : UInt<4> @[Mux.scala 27:72] + _T_1399 <= _T_1398 @[Mux.scala 27:72] + node _T_1400 = cat(_T_1399, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1401 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1402 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1403 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1404 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1405 = mux(_T_1401, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1406 = mux(_T_1402, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1407 = mux(_T_1403, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1408 = mux(_T_1404, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1409 = or(_T_1405, _T_1406) @[Mux.scala 27:72] + node _T_1410 = or(_T_1409, _T_1407) @[Mux.scala 27:72] + node _T_1411 = or(_T_1410, _T_1408) @[Mux.scala 27:72] + wire _T_1412 : UInt<4> @[Mux.scala 27:72] + _T_1412 <= _T_1411 @[Mux.scala 27:72] + node _T_1413 = cat(UInt<4>("h00"), _T_1412) @[Cat.scala 29:58] + node _T_1414 = mux(_T_1387, _T_1400, _T_1413) @[el2_lsu_bus_buffer.scala 382:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1373, _T_1414) @[el2_lsu_bus_buffer.scala 381:28] + node _T_1415 = bits(io.end_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 383:60] + node _T_1416 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1417 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1418 = mux(_T_1415, _T_1416, _T_1417) @[el2_lsu_bus_buffer.scala 383:46] + node _T_1419 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1420 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1421 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1422 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1423 = mux(_T_1419, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1424 = mux(_T_1420, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1425 = mux(_T_1421, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1426 = mux(_T_1422, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1427 = or(_T_1423, _T_1424) @[Mux.scala 27:72] + node _T_1428 = or(_T_1427, _T_1425) @[Mux.scala 27:72] + node _T_1429 = or(_T_1428, _T_1426) @[Mux.scala 27:72] + wire _T_1430 : UInt<32> @[Mux.scala 27:72] + _T_1430 <= _T_1429 @[Mux.scala 27:72] + node _T_1431 = bits(_T_1430, 2, 2) @[el2_lsu_bus_buffer.scala 384:36] + node _T_1432 = bits(_T_1431, 0, 0) @[el2_lsu_bus_buffer.scala 384:46] + node _T_1433 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1434 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1435 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1436 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1437 = mux(_T_1433, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1438 = mux(_T_1434, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1439 = mux(_T_1435, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1440 = mux(_T_1436, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1441 = or(_T_1437, _T_1438) @[Mux.scala 27:72] + node _T_1442 = or(_T_1441, _T_1439) @[Mux.scala 27:72] + node _T_1443 = or(_T_1442, _T_1440) @[Mux.scala 27:72] + wire _T_1444 : UInt<4> @[Mux.scala 27:72] + _T_1444 <= _T_1443 @[Mux.scala 27:72] + node _T_1445 = cat(_T_1444, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1446 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1447 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1448 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1449 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1450 = mux(_T_1446, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1451 = mux(_T_1447, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1452 = mux(_T_1448, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1453 = mux(_T_1449, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1454 = or(_T_1450, _T_1451) @[Mux.scala 27:72] + node _T_1455 = or(_T_1454, _T_1452) @[Mux.scala 27:72] + node _T_1456 = or(_T_1455, _T_1453) @[Mux.scala 27:72] + wire _T_1457 : UInt<4> @[Mux.scala 27:72] + _T_1457 <= _T_1456 @[Mux.scala 27:72] + node _T_1458 = cat(UInt<4>("h00"), _T_1457) @[Cat.scala 29:58] + node _T_1459 = mux(_T_1432, _T_1445, _T_1458) @[el2_lsu_bus_buffer.scala 384:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1418, _T_1459) @[el2_lsu_bus_buffer.scala 383:28] + node _T_1460 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 386:58] + node _T_1461 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1462 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1463 = mux(_T_1460, _T_1461, _T_1462) @[el2_lsu_bus_buffer.scala 386:44] + node _T_1464 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1465 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1466 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1467 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1468 = mux(_T_1464, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1469 = mux(_T_1465, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1466, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = mux(_T_1467, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1472 = or(_T_1468, _T_1469) @[Mux.scala 27:72] + node _T_1473 = or(_T_1472, _T_1470) @[Mux.scala 27:72] + node _T_1474 = or(_T_1473, _T_1471) @[Mux.scala 27:72] + wire _T_1475 : UInt<32> @[Mux.scala 27:72] + _T_1475 <= _T_1474 @[Mux.scala 27:72] + node _T_1476 = bits(_T_1475, 2, 2) @[el2_lsu_bus_buffer.scala 387:36] + node _T_1477 = bits(_T_1476, 0, 0) @[el2_lsu_bus_buffer.scala 387:46] + node _T_1478 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1479 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1480 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1481 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1481, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = or(_T_1482, _T_1483) @[Mux.scala 27:72] + node _T_1487 = or(_T_1486, _T_1484) @[Mux.scala 27:72] + node _T_1488 = or(_T_1487, _T_1485) @[Mux.scala 27:72] + wire _T_1489 : UInt<32> @[Mux.scala 27:72] + _T_1489 <= _T_1488 @[Mux.scala 27:72] + node _T_1490 = cat(_T_1489, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1491 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1492 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1493 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1494 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1495 = mux(_T_1491, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1496 = mux(_T_1492, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1497 = mux(_T_1493, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1498 = mux(_T_1494, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = or(_T_1495, _T_1496) @[Mux.scala 27:72] + node _T_1500 = or(_T_1499, _T_1497) @[Mux.scala 27:72] + node _T_1501 = or(_T_1500, _T_1498) @[Mux.scala 27:72] + wire _T_1502 : UInt<32> @[Mux.scala 27:72] + _T_1502 <= _T_1501 @[Mux.scala 27:72] + node _T_1503 = cat(UInt<32>("h00"), _T_1502) @[Cat.scala 29:58] + node _T_1504 = mux(_T_1477, _T_1490, _T_1503) @[el2_lsu_bus_buffer.scala 387:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1463, _T_1504) @[el2_lsu_bus_buffer.scala 386:26] + node _T_1505 = bits(io.lsu_addr_r, 2, 2) @[el2_lsu_bus_buffer.scala 388:58] + node _T_1506 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1507 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1508 = mux(_T_1505, _T_1506, _T_1507) @[el2_lsu_bus_buffer.scala 388:44] + node _T_1509 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1510 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1511 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1512 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1513 = mux(_T_1509, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1510, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1511, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1512, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = or(_T_1513, _T_1514) @[Mux.scala 27:72] + node _T_1518 = or(_T_1517, _T_1515) @[Mux.scala 27:72] + node _T_1519 = or(_T_1518, _T_1516) @[Mux.scala 27:72] + wire _T_1520 : UInt<32> @[Mux.scala 27:72] + _T_1520 <= _T_1519 @[Mux.scala 27:72] + node _T_1521 = bits(_T_1520, 2, 2) @[el2_lsu_bus_buffer.scala 389:36] + node _T_1522 = bits(_T_1521, 0, 0) @[el2_lsu_bus_buffer.scala 389:46] + node _T_1523 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1524 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1525 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1526 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1527 = mux(_T_1523, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1524, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = mux(_T_1525, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1530 = mux(_T_1526, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1531 = or(_T_1527, _T_1528) @[Mux.scala 27:72] + node _T_1532 = or(_T_1531, _T_1529) @[Mux.scala 27:72] + node _T_1533 = or(_T_1532, _T_1530) @[Mux.scala 27:72] + wire _T_1534 : UInt<32> @[Mux.scala 27:72] + _T_1534 <= _T_1533 @[Mux.scala 27:72] + node _T_1535 = cat(_T_1534, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1536 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1537 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1538 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1539 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1540 = mux(_T_1536, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1541 = mux(_T_1537, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1542 = mux(_T_1538, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1543 = mux(_T_1539, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1544 = or(_T_1540, _T_1541) @[Mux.scala 27:72] + node _T_1545 = or(_T_1544, _T_1542) @[Mux.scala 27:72] + node _T_1546 = or(_T_1545, _T_1543) @[Mux.scala 27:72] + wire _T_1547 : UInt<32> @[Mux.scala 27:72] + _T_1547 <= _T_1546 @[Mux.scala 27:72] + node _T_1548 = cat(UInt<32>("h00"), _T_1547) @[Cat.scala 29:58] + node _T_1549 = mux(_T_1522, _T_1535, _T_1548) @[el2_lsu_bus_buffer.scala 389:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1508, _T_1549) @[el2_lsu_bus_buffer.scala 388:26] + node _T_1550 = bits(obuf_byteen0_in, 0, 0) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1551 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1552 = and(obuf_merge_en, _T_1551) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1553 = or(_T_1550, _T_1552) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1554 = bits(obuf_byteen0_in, 1, 1) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1555 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1556 = and(obuf_merge_en, _T_1555) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1557 = or(_T_1554, _T_1556) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1558 = bits(obuf_byteen0_in, 2, 2) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1559 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1560 = and(obuf_merge_en, _T_1559) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1561 = or(_T_1558, _T_1560) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1562 = bits(obuf_byteen0_in, 3, 3) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1563 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1564 = and(obuf_merge_en, _T_1563) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1565 = or(_T_1562, _T_1564) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1566 = bits(obuf_byteen0_in, 4, 4) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1567 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1568 = and(obuf_merge_en, _T_1567) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1569 = or(_T_1566, _T_1568) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1570 = bits(obuf_byteen0_in, 5, 5) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1571 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1572 = and(obuf_merge_en, _T_1571) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1573 = or(_T_1570, _T_1572) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1574 = bits(obuf_byteen0_in, 6, 6) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1575 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1576 = and(obuf_merge_en, _T_1575) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1577 = or(_T_1574, _T_1576) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1578 = bits(obuf_byteen0_in, 7, 7) @[el2_lsu_bus_buffer.scala 390:59] + node _T_1579 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 390:97] + node _T_1580 = and(obuf_merge_en, _T_1579) @[el2_lsu_bus_buffer.scala 390:80] + node _T_1581 = or(_T_1578, _T_1580) @[el2_lsu_bus_buffer.scala 390:63] + node _T_1582 = cat(_T_1581, _T_1577) @[Cat.scala 29:58] + node _T_1583 = cat(_T_1582, _T_1573) @[Cat.scala 29:58] + node _T_1584 = cat(_T_1583, _T_1569) @[Cat.scala 29:58] + node _T_1585 = cat(_T_1584, _T_1565) @[Cat.scala 29:58] + node _T_1586 = cat(_T_1585, _T_1561) @[Cat.scala 29:58] + node _T_1587 = cat(_T_1586, _T_1557) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1587, _T_1553) @[Cat.scala 29:58] + node _T_1588 = bits(obuf_byteen1_in, 0, 0) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1589 = and(obuf_merge_en, _T_1588) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1590 = bits(obuf_data1_in, 7, 0) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1591 = bits(obuf_data0_in, 7, 0) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1592 = mux(_T_1589, _T_1590, _T_1591) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1593 = bits(obuf_byteen1_in, 1, 1) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1594 = and(obuf_merge_en, _T_1593) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1595 = bits(obuf_data1_in, 15, 8) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1596 = bits(obuf_data0_in, 15, 8) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1597 = mux(_T_1594, _T_1595, _T_1596) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1598 = bits(obuf_byteen1_in, 2, 2) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1599 = and(obuf_merge_en, _T_1598) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1600 = bits(obuf_data1_in, 23, 16) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1601 = bits(obuf_data0_in, 23, 16) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1602 = mux(_T_1599, _T_1600, _T_1601) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1603 = bits(obuf_byteen1_in, 3, 3) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1604 = and(obuf_merge_en, _T_1603) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1605 = bits(obuf_data1_in, 31, 24) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1606 = bits(obuf_data0_in, 31, 24) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1607 = mux(_T_1604, _T_1605, _T_1606) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1608 = bits(obuf_byteen1_in, 4, 4) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1609 = and(obuf_merge_en, _T_1608) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1610 = bits(obuf_data1_in, 39, 32) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1611 = bits(obuf_data0_in, 39, 32) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1612 = mux(_T_1609, _T_1610, _T_1611) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1613 = bits(obuf_byteen1_in, 5, 5) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1614 = and(obuf_merge_en, _T_1613) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1615 = bits(obuf_data1_in, 47, 40) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1616 = bits(obuf_data0_in, 47, 40) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1617 = mux(_T_1614, _T_1615, _T_1616) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1618 = bits(obuf_byteen1_in, 6, 6) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1619 = and(obuf_merge_en, _T_1618) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1620 = bits(obuf_data1_in, 55, 48) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1621 = bits(obuf_data0_in, 55, 48) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1622 = mux(_T_1619, _T_1620, _T_1621) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1623 = bits(obuf_byteen1_in, 7, 7) @[el2_lsu_bus_buffer.scala 391:76] + node _T_1624 = and(obuf_merge_en, _T_1623) @[el2_lsu_bus_buffer.scala 391:59] + node _T_1625 = bits(obuf_data1_in, 63, 56) @[el2_lsu_bus_buffer.scala 391:94] + node _T_1626 = bits(obuf_data0_in, 63, 56) @[el2_lsu_bus_buffer.scala 391:123] + node _T_1627 = mux(_T_1624, _T_1625, _T_1626) @[el2_lsu_bus_buffer.scala 391:44] + node _T_1628 = cat(_T_1627, _T_1622) @[Cat.scala 29:58] + node _T_1629 = cat(_T_1628, _T_1617) @[Cat.scala 29:58] + node _T_1630 = cat(_T_1629, _T_1612) @[Cat.scala 29:58] + node _T_1631 = cat(_T_1630, _T_1607) @[Cat.scala 29:58] + node _T_1632 = cat(_T_1631, _T_1602) @[Cat.scala 29:58] + node _T_1633 = cat(_T_1632, _T_1597) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1633, _T_1592) @[Cat.scala 29:58] + io.wdata_in <= obuf_data_in @[el2_lsu_bus_buffer.scala 392:15] + wire buf_dualhi : UInt<1>[4] @[el2_lsu_bus_buffer.scala 393:24] + buf_dualhi[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] + buf_dualhi[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] + buf_dualhi[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] + buf_dualhi[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 394:14] + node _T_1634 = neq(Cmdptr0, Cmdptr1) @[el2_lsu_bus_buffer.scala 395:30] + node _T_1635 = and(_T_1634, found_cmdptr0) @[el2_lsu_bus_buffer.scala 395:43] + node _T_1636 = and(_T_1635, found_cmdptr1) @[el2_lsu_bus_buffer.scala 395:59] + node _T_1637 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1638 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1639 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1640 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1641 = mux(_T_1637, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1642 = mux(_T_1638, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1643 = mux(_T_1639, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1644 = mux(_T_1640, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1645 = or(_T_1641, _T_1642) @[Mux.scala 27:72] + node _T_1646 = or(_T_1645, _T_1643) @[Mux.scala 27:72] + node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] + wire _T_1648 : UInt<3> @[Mux.scala 27:72] + _T_1648 <= _T_1647 @[Mux.scala 27:72] + node _T_1649 = eq(_T_1648, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 395:107] + node _T_1650 = and(_T_1636, _T_1649) @[el2_lsu_bus_buffer.scala 395:75] + node _T_1651 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1652 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1653 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1654 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1655 = mux(_T_1651, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1656 = mux(_T_1652, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1657 = mux(_T_1653, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1658 = mux(_T_1654, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1659 = or(_T_1655, _T_1656) @[Mux.scala 27:72] + node _T_1660 = or(_T_1659, _T_1657) @[Mux.scala 27:72] + node _T_1661 = or(_T_1660, _T_1658) @[Mux.scala 27:72] + wire _T_1662 : UInt<3> @[Mux.scala 27:72] + _T_1662 <= _T_1661 @[Mux.scala 27:72] + node _T_1663 = eq(_T_1662, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 395:150] + node _T_1664 = and(_T_1650, _T_1663) @[el2_lsu_bus_buffer.scala 395:118] + node _T_1665 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1666 = cat(_T_1665, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1667 = cat(_T_1666, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1668 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1669 = bits(_T_1667, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1670 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1671 = bits(_T_1667, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1672 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1673 = bits(_T_1667, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1674 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1675 = bits(_T_1667, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1676 = mux(_T_1668, _T_1669, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1677 = mux(_T_1670, _T_1671, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1678 = mux(_T_1672, _T_1673, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1679 = mux(_T_1674, _T_1675, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1680 = or(_T_1676, _T_1677) @[Mux.scala 27:72] + node _T_1681 = or(_T_1680, _T_1678) @[Mux.scala 27:72] + node _T_1682 = or(_T_1681, _T_1679) @[Mux.scala 27:72] + wire _T_1683 : UInt<1> @[Mux.scala 27:72] + _T_1683 <= _T_1682 @[Mux.scala 27:72] + node _T_1684 = eq(_T_1683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:3] + node _T_1685 = and(_T_1664, _T_1684) @[el2_lsu_bus_buffer.scala 395:161] + node _T_1686 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1687 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1688 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1689 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1690 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1691 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1692 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1693 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1694 = mux(_T_1686, _T_1687, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1695 = mux(_T_1688, _T_1689, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1696 = mux(_T_1690, _T_1691, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1697 = mux(_T_1692, _T_1693, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1698 = or(_T_1694, _T_1695) @[Mux.scala 27:72] + node _T_1699 = or(_T_1698, _T_1696) @[Mux.scala 27:72] + node _T_1700 = or(_T_1699, _T_1697) @[Mux.scala 27:72] + wire _T_1701 : UInt<1> @[Mux.scala 27:72] + _T_1701 <= _T_1700 @[Mux.scala 27:72] + node _T_1702 = eq(_T_1701, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:85] + node _T_1703 = and(_T_1685, _T_1702) @[el2_lsu_bus_buffer.scala 396:83] + node _T_1704 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1705 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1706 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1707 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1708 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1709 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1710 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1711 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1712 = mux(_T_1704, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1713 = mux(_T_1706, _T_1707, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1714 = mux(_T_1708, _T_1709, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1715 = mux(_T_1710, _T_1711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1716 = or(_T_1712, _T_1713) @[Mux.scala 27:72] + node _T_1717 = or(_T_1716, _T_1714) @[Mux.scala 27:72] + node _T_1718 = or(_T_1717, _T_1715) @[Mux.scala 27:72] + wire _T_1719 : UInt<1> @[Mux.scala 27:72] + _T_1719 <= _T_1718 @[Mux.scala 27:72] + node _T_1720 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1721 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1722 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1723 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1724 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1725 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1726 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1727 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1728 = mux(_T_1720, _T_1721, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1729 = mux(_T_1722, _T_1723, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1730 = mux(_T_1724, _T_1725, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1731 = mux(_T_1726, _T_1727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1732 = or(_T_1728, _T_1729) @[Mux.scala 27:72] + node _T_1733 = or(_T_1732, _T_1730) @[Mux.scala 27:72] + node _T_1734 = or(_T_1733, _T_1731) @[Mux.scala 27:72] + wire _T_1735 : UInt<1> @[Mux.scala 27:72] + _T_1735 <= _T_1734 @[Mux.scala 27:72] + node _T_1736 = and(_T_1719, _T_1735) @[el2_lsu_bus_buffer.scala 397:36] + node _T_1737 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1738 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1739 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1740 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1744 = mux(_T_1740, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1745 = or(_T_1741, _T_1742) @[Mux.scala 27:72] + node _T_1746 = or(_T_1745, _T_1743) @[Mux.scala 27:72] + node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72] + wire _T_1748 : UInt<32> @[Mux.scala 27:72] + _T_1748 <= _T_1747 @[Mux.scala 27:72] + node _T_1749 = bits(_T_1748, 31, 3) @[el2_lsu_bus_buffer.scala 398:33] + node _T_1750 = eq(Cmdptr1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1751 = eq(Cmdptr1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1752 = eq(Cmdptr1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1753 = eq(Cmdptr1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_1754 = mux(_T_1750, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1755 = mux(_T_1751, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1756 = mux(_T_1752, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1757 = mux(_T_1753, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1758 = or(_T_1754, _T_1755) @[Mux.scala 27:72] + node _T_1759 = or(_T_1758, _T_1756) @[Mux.scala 27:72] + node _T_1760 = or(_T_1759, _T_1757) @[Mux.scala 27:72] + wire _T_1761 : UInt<32> @[Mux.scala 27:72] + _T_1761 <= _T_1760 @[Mux.scala 27:72] + node _T_1762 = bits(_T_1761, 31, 3) @[el2_lsu_bus_buffer.scala 398:69] + node _T_1763 = eq(_T_1749, _T_1762) @[el2_lsu_bus_buffer.scala 398:39] + node _T_1764 = and(_T_1736, _T_1763) @[el2_lsu_bus_buffer.scala 397:67] + node _T_1765 = eq(bus_coalescing_disable, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:79] + node _T_1766 = and(_T_1764, _T_1765) @[el2_lsu_bus_buffer.scala 398:77] + node _T_1767 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 398:105] + node _T_1768 = and(_T_1766, _T_1767) @[el2_lsu_bus_buffer.scala 398:103] + node _T_1769 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1770 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1771 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1772 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1773 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1774 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1775 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1776 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1777 = mux(_T_1769, _T_1770, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1778 = mux(_T_1771, _T_1772, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1779 = mux(_T_1773, _T_1774, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1780 = mux(_T_1775, _T_1776, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1781 = or(_T_1777, _T_1778) @[Mux.scala 27:72] + node _T_1782 = or(_T_1781, _T_1779) @[Mux.scala 27:72] + node _T_1783 = or(_T_1782, _T_1780) @[Mux.scala 27:72] + wire _T_1784 : UInt<1> @[Mux.scala 27:72] + _T_1784 <= _T_1783 @[Mux.scala 27:72] + node _T_1785 = eq(_T_1784, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:6] + node _T_1786 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1787 = cat(_T_1786, buf_dual[1]) @[Cat.scala 29:58] + node _T_1788 = cat(_T_1787, buf_dual[0]) @[Cat.scala 29:58] + node _T_1789 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1790 = bits(_T_1788, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1791 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1792 = bits(_T_1788, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1793 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1794 = bits(_T_1788, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1795 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1796 = bits(_T_1788, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1797 = mux(_T_1789, _T_1790, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1798 = mux(_T_1791, _T_1792, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1799 = mux(_T_1793, _T_1794, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1800 = mux(_T_1795, _T_1796, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1801 = or(_T_1797, _T_1798) @[Mux.scala 27:72] + node _T_1802 = or(_T_1801, _T_1799) @[Mux.scala 27:72] + node _T_1803 = or(_T_1802, _T_1800) @[Mux.scala 27:72] + wire _T_1804 : UInt<1> @[Mux.scala 27:72] + _T_1804 <= _T_1803 @[Mux.scala 27:72] + node _T_1805 = and(_T_1785, _T_1804) @[el2_lsu_bus_buffer.scala 399:36] + node _T_1806 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1807 = cat(_T_1806, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1808 = cat(_T_1807, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1809 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1810 = bits(_T_1808, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1811 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1812 = bits(_T_1808, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1813 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1814 = bits(_T_1808, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1815 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1816 = bits(_T_1808, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1817 = mux(_T_1809, _T_1810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1818 = mux(_T_1811, _T_1812, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1819 = mux(_T_1813, _T_1814, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1820 = mux(_T_1815, _T_1816, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1821 = or(_T_1817, _T_1818) @[Mux.scala 27:72] + node _T_1822 = or(_T_1821, _T_1819) @[Mux.scala 27:72] + node _T_1823 = or(_T_1822, _T_1820) @[Mux.scala 27:72] + wire _T_1824 : UInt<1> @[Mux.scala 27:72] + _T_1824 <= _T_1823 @[Mux.scala 27:72] + node _T_1825 = eq(_T_1824, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 399:107] + node _T_1826 = and(_T_1805, _T_1825) @[el2_lsu_bus_buffer.scala 399:105] + node _T_1827 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1828 = cat(_T_1827, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1829 = cat(_T_1828, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1830 = eq(Cmdptr0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1831 = bits(_T_1829, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1832 = eq(Cmdptr0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1833 = bits(_T_1829, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1834 = eq(Cmdptr0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1835 = bits(_T_1829, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1836 = eq(Cmdptr0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_1837 = bits(_T_1829, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_1838 = mux(_T_1830, _T_1831, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1839 = mux(_T_1832, _T_1833, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1840 = mux(_T_1834, _T_1835, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1841 = mux(_T_1836, _T_1837, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1842 = or(_T_1838, _T_1839) @[Mux.scala 27:72] + node _T_1843 = or(_T_1842, _T_1840) @[Mux.scala 27:72] + node _T_1844 = or(_T_1843, _T_1841) @[Mux.scala 27:72] + wire _T_1845 : UInt<1> @[Mux.scala 27:72] + _T_1845 <= _T_1844 @[Mux.scala 27:72] + node _T_1846 = and(_T_1826, _T_1845) @[el2_lsu_bus_buffer.scala 399:177] + node _T_1847 = or(_T_1768, _T_1846) @[el2_lsu_bus_buffer.scala 398:126] + node _T_1848 = and(_T_1703, _T_1847) @[el2_lsu_bus_buffer.scala 396:120] + node _T_1849 = and(ibuf_buf_byp, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 400:19] + node _T_1850 = and(_T_1849, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 400:35] + node _T_1851 = or(_T_1848, _T_1850) @[el2_lsu_bus_buffer.scala 399:251] + obuf_merge_en <= _T_1851 @[el2_lsu_bus_buffer.scala 395:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 402:55] + obuf_wr_enQ <= obuf_wr_en @[el2_lsu_bus_buffer.scala 402:55] + node _T_1852 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 403:58] + node _T_1853 = eq(obuf_rst, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 403:93] + node _T_1854 = and(_T_1852, _T_1853) @[el2_lsu_bus_buffer.scala 403:91] + reg _T_1855 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 403:54] + _T_1855 <= _T_1854 @[el2_lsu_bus_buffer.scala 403:54] + obuf_valid <= _T_1855 @[el2_lsu_bus_buffer.scala 403:14] + reg _T_1856 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1848 <= obuf_nosend_in @[Reg.scala 28:23] + _T_1856 <= obuf_nosend_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_nosend <= _T_1848 @[el2_lsu_bus_buffer.scala 403:15] - reg _T_1849 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 404:54] - _T_1849 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 404:54] - obuf_cmd_done <= _T_1849 @[el2_lsu_bus_buffer.scala 404:17] - reg _T_1850 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 405:55] - _T_1850 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 405:55] - obuf_data_done <= _T_1850 @[el2_lsu_bus_buffer.scala 405:18] - reg _T_1851 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 406:56] - _T_1851 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 406:56] - obuf_rdrsp_pend <= _T_1851 @[el2_lsu_bus_buffer.scala 406:19] - reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 407:55] - _T_1852 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 407:55] - obuf_rdrsp_tag <= _T_1852 @[el2_lsu_bus_buffer.scala 407:18] - reg _T_1853 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_nosend <= _T_1856 @[el2_lsu_bus_buffer.scala 404:15] + reg _T_1857 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 405:54] + _T_1857 <= obuf_cmd_done_in @[el2_lsu_bus_buffer.scala 405:54] + obuf_cmd_done <= _T_1857 @[el2_lsu_bus_buffer.scala 405:17] + reg _T_1858 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 406:55] + _T_1858 <= obuf_data_done_in @[el2_lsu_bus_buffer.scala 406:55] + obuf_data_done <= _T_1858 @[el2_lsu_bus_buffer.scala 406:18] + reg _T_1859 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 407:56] + _T_1859 <= obuf_rdrsp_pend_in @[el2_lsu_bus_buffer.scala 407:56] + obuf_rdrsp_pend <= _T_1859 @[el2_lsu_bus_buffer.scala 407:19] + reg _T_1860 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 408:55] + _T_1860 <= obuf_rdrsp_tag_in @[el2_lsu_bus_buffer.scala 408:55] + obuf_rdrsp_tag <= _T_1860 @[el2_lsu_bus_buffer.scala 408:18] + reg _T_1861 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1853 <= obuf_tag0_in @[Reg.scala 28:23] + _T_1861 <= obuf_tag0_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_tag0 <= _T_1853 @[el2_lsu_bus_buffer.scala 408:13] + obuf_tag0 <= _T_1861 @[el2_lsu_bus_buffer.scala 409:13] reg obuf_tag1 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] @@ -2638,16 +2646,16 @@ circuit el2_lsu_bus_buffer : when obuf_wr_en : @[Reg.scala 28:19] obuf_merge <= obuf_merge_en @[Reg.scala 28:23] skip @[Reg.scala 28:19] - reg _T_1854 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_1862 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1854 <= obuf_write_in @[Reg.scala 28:23] + _T_1862 <= obuf_write_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_write <= _T_1854 @[el2_lsu_bus_buffer.scala 411:14] - reg _T_1855 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + obuf_write <= _T_1862 @[el2_lsu_bus_buffer.scala 412:14] + reg _T_1863 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] - _T_1855 <= obuf_sideeffect_in @[Reg.scala 28:23] + _T_1863 <= obuf_sideeffect_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - obuf_sideeffect <= _T_1855 @[el2_lsu_bus_buffer.scala 412:19] + obuf_sideeffect <= _T_1863 @[el2_lsu_bus_buffer.scala 413:19] reg obuf_sz : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_sz <= obuf_sz_in @[Reg.scala 28:23] @@ -2658,9 +2666,9 @@ circuit el2_lsu_bus_buffer : rvclkhdr_2.io.clk <= io.lsu_busm_clk @[el2_lib.scala 487:18] rvclkhdr_2.io.en <= obuf_wr_en @[el2_lib.scala 488:17] rvclkhdr_2.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_1856 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_1856 <= obuf_addr_in @[el2_lib.scala 491:16] - obuf_addr <= _T_1856 @[el2_lsu_bus_buffer.scala 414:13] + reg _T_1864 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_1864 <= obuf_addr_in @[el2_lib.scala 491:16] + obuf_addr <= _T_1864 @[el2_lsu_bus_buffer.scala 415:13] reg obuf_byteen : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when obuf_wr_en : @[Reg.scala 28:19] obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] @@ -2673,3837 +2681,3837 @@ circuit el2_lsu_bus_buffer : rvclkhdr_3.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] obuf_data <= obuf_data_in @[el2_lib.scala 491:16] - reg _T_1857 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 417:54] - _T_1857 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 417:54] - obuf_wr_timer <= _T_1857 @[el2_lsu_bus_buffer.scala 417:17] + reg _T_1865 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 418:54] + _T_1865 <= obuf_wr_timer_in @[el2_lsu_bus_buffer.scala 418:54] + obuf_wr_timer <= _T_1865 @[el2_lsu_bus_buffer.scala 418:17] wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") - node _T_1858 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] - node _T_1859 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:97] - node _T_1860 = and(ibuf_valid, _T_1859) @[el2_lsu_bus_buffer.scala 419:86] - node _T_1861 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1862 = and(io.lsu_busreq_r, _T_1861) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1863 = or(_T_1860, _T_1862) @[el2_lsu_bus_buffer.scala 419:106] - node _T_1864 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1865 = and(io.ldst_dual_r, _T_1864) @[el2_lsu_bus_buffer.scala 420:60] - node _T_1866 = or(_T_1863, _T_1865) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1867 = eq(_T_1866, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] - node _T_1868 = and(_T_1858, _T_1867) @[el2_lsu_bus_buffer.scala 419:70] - node _T_1869 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] - node _T_1870 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 419:97] - node _T_1871 = and(ibuf_valid, _T_1870) @[el2_lsu_bus_buffer.scala 419:86] - node _T_1872 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1873 = and(io.lsu_busreq_r, _T_1872) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 419:106] - node _T_1875 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1876 = and(io.ldst_dual_r, _T_1875) @[el2_lsu_bus_buffer.scala 420:60] - node _T_1877 = or(_T_1874, _T_1876) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1878 = eq(_T_1877, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] - node _T_1879 = and(_T_1869, _T_1878) @[el2_lsu_bus_buffer.scala 419:70] - node _T_1880 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] - node _T_1881 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 419:97] - node _T_1882 = and(ibuf_valid, _T_1881) @[el2_lsu_bus_buffer.scala 419:86] - node _T_1883 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1884 = and(io.lsu_busreq_r, _T_1883) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1885 = or(_T_1882, _T_1884) @[el2_lsu_bus_buffer.scala 419:106] - node _T_1886 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1887 = and(io.ldst_dual_r, _T_1886) @[el2_lsu_bus_buffer.scala 420:60] - node _T_1888 = or(_T_1885, _T_1887) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1889 = eq(_T_1888, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] - node _T_1890 = and(_T_1880, _T_1889) @[el2_lsu_bus_buffer.scala 419:70] - node _T_1891 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 419:59] - node _T_1892 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 419:97] - node _T_1893 = and(ibuf_valid, _T_1892) @[el2_lsu_bus_buffer.scala 419:86] - node _T_1894 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 420:33] - node _T_1895 = and(io.lsu_busreq_r, _T_1894) @[el2_lsu_bus_buffer.scala 420:22] - node _T_1896 = or(_T_1893, _T_1895) @[el2_lsu_bus_buffer.scala 419:106] - node _T_1897 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 420:72] - node _T_1898 = and(io.ldst_dual_r, _T_1897) @[el2_lsu_bus_buffer.scala 420:60] - node _T_1899 = or(_T_1896, _T_1898) @[el2_lsu_bus_buffer.scala 420:42] - node _T_1900 = eq(_T_1899, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 419:72] - node _T_1901 = and(_T_1891, _T_1900) @[el2_lsu_bus_buffer.scala 419:70] - node _T_1902 = mux(_T_1901, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1903 = mux(_T_1890, UInt<2>("h02"), _T_1902) @[Mux.scala 98:16] - node _T_1904 = mux(_T_1879, UInt<1>("h01"), _T_1903) @[Mux.scala 98:16] - node _T_1905 = mux(_T_1868, UInt<1>("h00"), _T_1904) @[Mux.scala 98:16] - WrPtr0_m <= _T_1905 @[el2_lsu_bus_buffer.scala 421:12] - node _T_1906 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1907 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1908 = and(ibuf_valid, _T_1907) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1909 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:33] - node _T_1910 = and(io.lsu_busreq_m, _T_1909) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1911 = or(_T_1908, _T_1910) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1912 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:73] - node _T_1913 = and(io.lsu_busreq_r, _T_1912) @[el2_lsu_bus_buffer.scala 423:61] - node _T_1914 = or(_T_1911, _T_1913) @[el2_lsu_bus_buffer.scala 423:42] - node _T_1915 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1916 = and(io.ldst_dual_r, _T_1915) @[el2_lsu_bus_buffer.scala 423:101] - node _T_1917 = or(_T_1914, _T_1916) @[el2_lsu_bus_buffer.scala 423:83] - node _T_1918 = eq(_T_1917, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1919 = and(_T_1906, _T_1918) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1920 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1921 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1922 = and(ibuf_valid, _T_1921) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1923 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:33] - node _T_1924 = and(io.lsu_busreq_m, _T_1923) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1925 = or(_T_1922, _T_1924) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1926 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:73] - node _T_1927 = and(io.lsu_busreq_r, _T_1926) @[el2_lsu_bus_buffer.scala 423:61] - node _T_1928 = or(_T_1925, _T_1927) @[el2_lsu_bus_buffer.scala 423:42] - node _T_1929 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1930 = and(io.ldst_dual_r, _T_1929) @[el2_lsu_bus_buffer.scala 423:101] - node _T_1931 = or(_T_1928, _T_1930) @[el2_lsu_bus_buffer.scala 423:83] - node _T_1932 = eq(_T_1931, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1933 = and(_T_1920, _T_1932) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1934 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1935 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1936 = and(ibuf_valid, _T_1935) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1937 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:33] - node _T_1938 = and(io.lsu_busreq_m, _T_1937) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1939 = or(_T_1936, _T_1938) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1940 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:73] - node _T_1941 = and(io.lsu_busreq_r, _T_1940) @[el2_lsu_bus_buffer.scala 423:61] - node _T_1942 = or(_T_1939, _T_1941) @[el2_lsu_bus_buffer.scala 423:42] - node _T_1943 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1944 = and(io.ldst_dual_r, _T_1943) @[el2_lsu_bus_buffer.scala 423:101] - node _T_1945 = or(_T_1942, _T_1944) @[el2_lsu_bus_buffer.scala 423:83] - node _T_1946 = eq(_T_1945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1947 = and(_T_1934, _T_1946) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1948 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 422:59] - node _T_1949 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 422:97] - node _T_1950 = and(ibuf_valid, _T_1949) @[el2_lsu_bus_buffer.scala 422:86] - node _T_1951 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:33] - node _T_1952 = and(io.lsu_busreq_m, _T_1951) @[el2_lsu_bus_buffer.scala 423:22] - node _T_1953 = or(_T_1950, _T_1952) @[el2_lsu_bus_buffer.scala 422:106] - node _T_1954 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:73] - node _T_1955 = and(io.lsu_busreq_r, _T_1954) @[el2_lsu_bus_buffer.scala 423:61] - node _T_1956 = or(_T_1953, _T_1955) @[el2_lsu_bus_buffer.scala 423:42] - node _T_1957 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:112] - node _T_1958 = and(io.ldst_dual_r, _T_1957) @[el2_lsu_bus_buffer.scala 423:101] - node _T_1959 = or(_T_1956, _T_1958) @[el2_lsu_bus_buffer.scala 423:83] - node _T_1960 = eq(_T_1959, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 422:72] - node _T_1961 = and(_T_1948, _T_1960) @[el2_lsu_bus_buffer.scala 422:70] - node _T_1962 = mux(_T_1961, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1963 = mux(_T_1947, UInt<2>("h02"), _T_1962) @[Mux.scala 98:16] - node _T_1964 = mux(_T_1933, UInt<1>("h01"), _T_1963) @[Mux.scala 98:16] - node WrPtr1_m = mux(_T_1919, UInt<1>("h00"), _T_1964) @[Mux.scala 98:16] - io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 425:15] - wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 426:21] - buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] - buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] - buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] - buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 427:11] - node _T_1965 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 429:58] - node _T_1966 = eq(_T_1965, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] - node _T_1967 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_1968 = and(_T_1966, _T_1967) @[el2_lsu_bus_buffer.scala 429:63] - node _T_1969 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] - node _T_1970 = and(_T_1968, _T_1969) @[el2_lsu_bus_buffer.scala 429:88] - node _T_1971 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 429:58] - node _T_1972 = eq(_T_1971, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] - node _T_1973 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_1974 = and(_T_1972, _T_1973) @[el2_lsu_bus_buffer.scala 429:63] - node _T_1975 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] - node _T_1976 = and(_T_1974, _T_1975) @[el2_lsu_bus_buffer.scala 429:88] - node _T_1977 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 429:58] - node _T_1978 = eq(_T_1977, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] - node _T_1979 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_1980 = and(_T_1978, _T_1979) @[el2_lsu_bus_buffer.scala 429:63] - node _T_1981 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] - node _T_1982 = and(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 429:88] - node _T_1983 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 429:58] - node _T_1984 = eq(_T_1983, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:45] - node _T_1985 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:78] - node _T_1986 = and(_T_1984, _T_1985) @[el2_lsu_bus_buffer.scala 429:63] - node _T_1987 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 429:90] - node _T_1988 = and(_T_1986, _T_1987) @[el2_lsu_bus_buffer.scala 429:88] - node _T_1989 = cat(_T_1988, _T_1982) @[Cat.scala 29:58] - node _T_1990 = cat(_T_1989, _T_1976) @[Cat.scala 29:58] - node CmdPtr0Dec = cat(_T_1990, _T_1970) @[Cat.scala 29:58] - node _T_1991 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] - node _T_1992 = and(buf_age[0], _T_1991) @[el2_lsu_bus_buffer.scala 430:59] - node _T_1993 = orr(_T_1992) @[el2_lsu_bus_buffer.scala 430:76] - node _T_1994 = eq(_T_1993, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_1995 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 430:94] - node _T_1996 = eq(_T_1995, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_1997 = and(_T_1994, _T_1996) @[el2_lsu_bus_buffer.scala 430:81] - node _T_1998 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] - node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 430:98] - node _T_2000 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] - node _T_2001 = and(_T_1999, _T_2000) @[el2_lsu_bus_buffer.scala 430:123] - node _T_2002 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] - node _T_2003 = and(buf_age[1], _T_2002) @[el2_lsu_bus_buffer.scala 430:59] - node _T_2004 = orr(_T_2003) @[el2_lsu_bus_buffer.scala 430:76] - node _T_2005 = eq(_T_2004, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_2006 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2007 = eq(_T_2006, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2008 = and(_T_2005, _T_2007) @[el2_lsu_bus_buffer.scala 430:81] - node _T_2009 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2010 = and(_T_2008, _T_2009) @[el2_lsu_bus_buffer.scala 430:98] - node _T_2011 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] - node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 430:123] - node _T_2013 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] - node _T_2014 = and(buf_age[2], _T_2013) @[el2_lsu_bus_buffer.scala 430:59] - node _T_2015 = orr(_T_2014) @[el2_lsu_bus_buffer.scala 430:76] - node _T_2016 = eq(_T_2015, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_2017 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2018 = eq(_T_2017, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2019 = and(_T_2016, _T_2018) @[el2_lsu_bus_buffer.scala 430:81] - node _T_2020 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2021 = and(_T_2019, _T_2020) @[el2_lsu_bus_buffer.scala 430:98] - node _T_2022 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] - node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 430:123] - node _T_2024 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 430:62] - node _T_2025 = and(buf_age[3], _T_2024) @[el2_lsu_bus_buffer.scala 430:59] - node _T_2026 = orr(_T_2025) @[el2_lsu_bus_buffer.scala 430:76] - node _T_2027 = eq(_T_2026, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] - node _T_2028 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 430:94] - node _T_2029 = eq(_T_2028, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:83] - node _T_2030 = and(_T_2027, _T_2029) @[el2_lsu_bus_buffer.scala 430:81] - node _T_2031 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:113] - node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 430:98] - node _T_2033 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:125] - node _T_2034 = and(_T_2032, _T_2033) @[el2_lsu_bus_buffer.scala 430:123] - node _T_2035 = cat(_T_2034, _T_2023) @[Cat.scala 29:58] - node _T_2036 = cat(_T_2035, _T_2012) @[Cat.scala 29:58] - node CmdPtr1Dec = cat(_T_2036, _T_2001) @[Cat.scala 29:58] - wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 431:29] - buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] - buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] - buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] - buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 432:19] - node _T_2037 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 433:65] - node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] - node _T_2039 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 433:70] - node _T_2041 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 433:65] - node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] - node _T_2043 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2044 = and(_T_2042, _T_2043) @[el2_lsu_bus_buffer.scala 433:70] - node _T_2045 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 433:65] - node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] - node _T_2047 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2048 = and(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 433:70] - node _T_2049 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 433:65] - node _T_2050 = eq(_T_2049, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 433:44] - node _T_2051 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 433:85] - node _T_2052 = and(_T_2050, _T_2051) @[el2_lsu_bus_buffer.scala 433:70] - node _T_2053 = cat(_T_2052, _T_2048) @[Cat.scala 29:58] - node _T_2054 = cat(_T_2053, _T_2044) @[Cat.scala 29:58] - node RspPtrDec = cat(_T_2054, _T_2040) @[Cat.scala 29:58] - node _T_2055 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 434:31] - found_cmdptr0 <= _T_2055 @[el2_lsu_bus_buffer.scala 434:17] - node _T_2056 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 435:31] - found_cmdptr1 <= _T_2056 @[el2_lsu_bus_buffer.scala 435:17] + node _T_1866 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] + node _T_1867 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:97] + node _T_1868 = and(ibuf_valid, _T_1867) @[el2_lsu_bus_buffer.scala 420:86] + node _T_1869 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:33] + node _T_1870 = and(io.lsu_busreq_r, _T_1869) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1871 = or(_T_1868, _T_1870) @[el2_lsu_bus_buffer.scala 420:106] + node _T_1872 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 421:72] + node _T_1873 = and(io.ldst_dual_r, _T_1872) @[el2_lsu_bus_buffer.scala 421:60] + node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 421:42] + node _T_1875 = eq(_T_1874, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1876 = and(_T_1866, _T_1875) @[el2_lsu_bus_buffer.scala 420:70] + node _T_1877 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] + node _T_1878 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 420:97] + node _T_1879 = and(ibuf_valid, _T_1878) @[el2_lsu_bus_buffer.scala 420:86] + node _T_1880 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 421:33] + node _T_1881 = and(io.lsu_busreq_r, _T_1880) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1882 = or(_T_1879, _T_1881) @[el2_lsu_bus_buffer.scala 420:106] + node _T_1883 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 421:72] + node _T_1884 = and(io.ldst_dual_r, _T_1883) @[el2_lsu_bus_buffer.scala 421:60] + node _T_1885 = or(_T_1882, _T_1884) @[el2_lsu_bus_buffer.scala 421:42] + node _T_1886 = eq(_T_1885, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1887 = and(_T_1877, _T_1886) @[el2_lsu_bus_buffer.scala 420:70] + node _T_1888 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] + node _T_1889 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 420:97] + node _T_1890 = and(ibuf_valid, _T_1889) @[el2_lsu_bus_buffer.scala 420:86] + node _T_1891 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 421:33] + node _T_1892 = and(io.lsu_busreq_r, _T_1891) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1893 = or(_T_1890, _T_1892) @[el2_lsu_bus_buffer.scala 420:106] + node _T_1894 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 421:72] + node _T_1895 = and(io.ldst_dual_r, _T_1894) @[el2_lsu_bus_buffer.scala 421:60] + node _T_1896 = or(_T_1893, _T_1895) @[el2_lsu_bus_buffer.scala 421:42] + node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1898 = and(_T_1888, _T_1897) @[el2_lsu_bus_buffer.scala 420:70] + node _T_1899 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 420:59] + node _T_1900 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 420:97] + node _T_1901 = and(ibuf_valid, _T_1900) @[el2_lsu_bus_buffer.scala 420:86] + node _T_1902 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 421:33] + node _T_1903 = and(io.lsu_busreq_r, _T_1902) @[el2_lsu_bus_buffer.scala 421:22] + node _T_1904 = or(_T_1901, _T_1903) @[el2_lsu_bus_buffer.scala 420:106] + node _T_1905 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 421:72] + node _T_1906 = and(io.ldst_dual_r, _T_1905) @[el2_lsu_bus_buffer.scala 421:60] + node _T_1907 = or(_T_1904, _T_1906) @[el2_lsu_bus_buffer.scala 421:42] + node _T_1908 = eq(_T_1907, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 420:72] + node _T_1909 = and(_T_1899, _T_1908) @[el2_lsu_bus_buffer.scala 420:70] + node _T_1910 = mux(_T_1909, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1911 = mux(_T_1898, UInt<2>("h02"), _T_1910) @[Mux.scala 98:16] + node _T_1912 = mux(_T_1887, UInt<1>("h01"), _T_1911) @[Mux.scala 98:16] + node _T_1913 = mux(_T_1876, UInt<1>("h00"), _T_1912) @[Mux.scala 98:16] + WrPtr0_m <= _T_1913 @[el2_lsu_bus_buffer.scala 422:12] + node _T_1914 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] + node _T_1915 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:97] + node _T_1916 = and(ibuf_valid, _T_1915) @[el2_lsu_bus_buffer.scala 423:86] + node _T_1917 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1918 = and(io.lsu_busreq_m, _T_1917) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1919 = or(_T_1916, _T_1918) @[el2_lsu_bus_buffer.scala 423:106] + node _T_1920 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:73] + node _T_1921 = and(io.lsu_busreq_r, _T_1920) @[el2_lsu_bus_buffer.scala 424:61] + node _T_1922 = or(_T_1919, _T_1921) @[el2_lsu_bus_buffer.scala 424:42] + node _T_1923 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:112] + node _T_1924 = and(io.ldst_dual_r, _T_1923) @[el2_lsu_bus_buffer.scala 424:101] + node _T_1925 = or(_T_1922, _T_1924) @[el2_lsu_bus_buffer.scala 424:83] + node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] + node _T_1927 = and(_T_1914, _T_1926) @[el2_lsu_bus_buffer.scala 423:70] + node _T_1928 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] + node _T_1929 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 423:97] + node _T_1930 = and(ibuf_valid, _T_1929) @[el2_lsu_bus_buffer.scala 423:86] + node _T_1931 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1932 = and(io.lsu_busreq_m, _T_1931) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1933 = or(_T_1930, _T_1932) @[el2_lsu_bus_buffer.scala 423:106] + node _T_1934 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:73] + node _T_1935 = and(io.lsu_busreq_r, _T_1934) @[el2_lsu_bus_buffer.scala 424:61] + node _T_1936 = or(_T_1933, _T_1935) @[el2_lsu_bus_buffer.scala 424:42] + node _T_1937 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 424:112] + node _T_1938 = and(io.ldst_dual_r, _T_1937) @[el2_lsu_bus_buffer.scala 424:101] + node _T_1939 = or(_T_1936, _T_1938) @[el2_lsu_bus_buffer.scala 424:83] + node _T_1940 = eq(_T_1939, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] + node _T_1941 = and(_T_1928, _T_1940) @[el2_lsu_bus_buffer.scala 423:70] + node _T_1942 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] + node _T_1943 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 423:97] + node _T_1944 = and(ibuf_valid, _T_1943) @[el2_lsu_bus_buffer.scala 423:86] + node _T_1945 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1946 = and(io.lsu_busreq_m, _T_1945) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1947 = or(_T_1944, _T_1946) @[el2_lsu_bus_buffer.scala 423:106] + node _T_1948 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:73] + node _T_1949 = and(io.lsu_busreq_r, _T_1948) @[el2_lsu_bus_buffer.scala 424:61] + node _T_1950 = or(_T_1947, _T_1949) @[el2_lsu_bus_buffer.scala 424:42] + node _T_1951 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 424:112] + node _T_1952 = and(io.ldst_dual_r, _T_1951) @[el2_lsu_bus_buffer.scala 424:101] + node _T_1953 = or(_T_1950, _T_1952) @[el2_lsu_bus_buffer.scala 424:83] + node _T_1954 = eq(_T_1953, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] + node _T_1955 = and(_T_1942, _T_1954) @[el2_lsu_bus_buffer.scala 423:70] + node _T_1956 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:59] + node _T_1957 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 423:97] + node _T_1958 = and(ibuf_valid, _T_1957) @[el2_lsu_bus_buffer.scala 423:86] + node _T_1959 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:33] + node _T_1960 = and(io.lsu_busreq_m, _T_1959) @[el2_lsu_bus_buffer.scala 424:22] + node _T_1961 = or(_T_1958, _T_1960) @[el2_lsu_bus_buffer.scala 423:106] + node _T_1962 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:73] + node _T_1963 = and(io.lsu_busreq_r, _T_1962) @[el2_lsu_bus_buffer.scala 424:61] + node _T_1964 = or(_T_1961, _T_1963) @[el2_lsu_bus_buffer.scala 424:42] + node _T_1965 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 424:112] + node _T_1966 = and(io.ldst_dual_r, _T_1965) @[el2_lsu_bus_buffer.scala 424:101] + node _T_1967 = or(_T_1964, _T_1966) @[el2_lsu_bus_buffer.scala 424:83] + node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 423:72] + node _T_1969 = and(_T_1956, _T_1968) @[el2_lsu_bus_buffer.scala 423:70] + node _T_1970 = mux(_T_1969, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1971 = mux(_T_1955, UInt<2>("h02"), _T_1970) @[Mux.scala 98:16] + node _T_1972 = mux(_T_1941, UInt<1>("h01"), _T_1971) @[Mux.scala 98:16] + node WrPtr1_m = mux(_T_1927, UInt<1>("h00"), _T_1972) @[Mux.scala 98:16] + io.WrPtr1_m <= WrPtr1_m @[el2_lsu_bus_buffer.scala 426:15] + wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:21] + buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] + buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] + buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] + buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:11] + node _T_1973 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 430:58] + node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_1975 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_1976 = and(_T_1974, _T_1975) @[el2_lsu_bus_buffer.scala 430:63] + node _T_1977 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] + node _T_1978 = and(_T_1976, _T_1977) @[el2_lsu_bus_buffer.scala 430:88] + node _T_1979 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 430:58] + node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_1981 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_1982 = and(_T_1980, _T_1981) @[el2_lsu_bus_buffer.scala 430:63] + node _T_1983 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] + node _T_1984 = and(_T_1982, _T_1983) @[el2_lsu_bus_buffer.scala 430:88] + node _T_1985 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 430:58] + node _T_1986 = eq(_T_1985, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_1987 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_1988 = and(_T_1986, _T_1987) @[el2_lsu_bus_buffer.scala 430:63] + node _T_1989 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] + node _T_1990 = and(_T_1988, _T_1989) @[el2_lsu_bus_buffer.scala 430:88] + node _T_1991 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 430:58] + node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:45] + node _T_1993 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_1994 = and(_T_1992, _T_1993) @[el2_lsu_bus_buffer.scala 430:63] + node _T_1995 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:90] + node _T_1996 = and(_T_1994, _T_1995) @[el2_lsu_bus_buffer.scala 430:88] + node _T_1997 = cat(_T_1996, _T_1990) @[Cat.scala 29:58] + node _T_1998 = cat(_T_1997, _T_1984) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1998, _T_1978) @[Cat.scala 29:58] + node _T_1999 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] + node _T_2000 = and(buf_age[0], _T_1999) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2001 = orr(_T_2000) @[el2_lsu_bus_buffer.scala 431:76] + node _T_2002 = eq(_T_2001, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] + node _T_2003 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 431:94] + node _T_2004 = eq(_T_2003, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2005 = and(_T_2002, _T_2004) @[el2_lsu_bus_buffer.scala 431:81] + node _T_2006 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] + node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 431:98] + node _T_2008 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] + node _T_2009 = and(_T_2007, _T_2008) @[el2_lsu_bus_buffer.scala 431:123] + node _T_2010 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] + node _T_2011 = and(buf_age[1], _T_2010) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2012 = orr(_T_2011) @[el2_lsu_bus_buffer.scala 431:76] + node _T_2013 = eq(_T_2012, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] + node _T_2014 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 431:94] + node _T_2015 = eq(_T_2014, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2016 = and(_T_2013, _T_2015) @[el2_lsu_bus_buffer.scala 431:81] + node _T_2017 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] + node _T_2018 = and(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 431:98] + node _T_2019 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] + node _T_2020 = and(_T_2018, _T_2019) @[el2_lsu_bus_buffer.scala 431:123] + node _T_2021 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] + node _T_2022 = and(buf_age[2], _T_2021) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2023 = orr(_T_2022) @[el2_lsu_bus_buffer.scala 431:76] + node _T_2024 = eq(_T_2023, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] + node _T_2025 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 431:94] + node _T_2026 = eq(_T_2025, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2027 = and(_T_2024, _T_2026) @[el2_lsu_bus_buffer.scala 431:81] + node _T_2028 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] + node _T_2029 = and(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 431:98] + node _T_2030 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] + node _T_2031 = and(_T_2029, _T_2030) @[el2_lsu_bus_buffer.scala 431:123] + node _T_2032 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 431:62] + node _T_2033 = and(buf_age[3], _T_2032) @[el2_lsu_bus_buffer.scala 431:59] + node _T_2034 = orr(_T_2033) @[el2_lsu_bus_buffer.scala 431:76] + node _T_2035 = eq(_T_2034, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:45] + node _T_2036 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 431:94] + node _T_2037 = eq(_T_2036, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:83] + node _T_2038 = and(_T_2035, _T_2037) @[el2_lsu_bus_buffer.scala 431:81] + node _T_2039 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 431:113] + node _T_2040 = and(_T_2038, _T_2039) @[el2_lsu_bus_buffer.scala 431:98] + node _T_2041 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 431:125] + node _T_2042 = and(_T_2040, _T_2041) @[el2_lsu_bus_buffer.scala 431:123] + node _T_2043 = cat(_T_2042, _T_2031) @[Cat.scala 29:58] + node _T_2044 = cat(_T_2043, _T_2020) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_2044, _T_2009) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 432:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 433:19] + node _T_2045 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 434:65] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] + node _T_2047 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] + node _T_2048 = and(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 434:70] + node _T_2049 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 434:65] + node _T_2050 = eq(_T_2049, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] + node _T_2051 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] + node _T_2052 = and(_T_2050, _T_2051) @[el2_lsu_bus_buffer.scala 434:70] + node _T_2053 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 434:65] + node _T_2054 = eq(_T_2053, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] + node _T_2055 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] + node _T_2056 = and(_T_2054, _T_2055) @[el2_lsu_bus_buffer.scala 434:70] + node _T_2057 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 434:65] + node _T_2058 = eq(_T_2057, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:44] + node _T_2059 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 434:85] + node _T_2060 = and(_T_2058, _T_2059) @[el2_lsu_bus_buffer.scala 434:70] + node _T_2061 = cat(_T_2060, _T_2056) @[Cat.scala 29:58] + node _T_2062 = cat(_T_2061, _T_2052) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_2062, _T_2048) @[Cat.scala 29:58] + node _T_2063 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 435:31] + found_cmdptr0 <= _T_2063 @[el2_lsu_bus_buffer.scala 435:17] + node _T_2064 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 436:31] + found_cmdptr1 <= _T_2064 @[el2_lsu_bus_buffer.scala 436:17] wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") wire CmdPtr1 : UInt<2> CmdPtr1 <= UInt<1>("h00") wire RspPtr : UInt<2> RspPtr <= UInt<1>("h00") - node _T_2057 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2058 = cat(_T_2057, CmdPtr0Dec) @[Cat.scala 29:58] - node _T_2059 = bits(_T_2058, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] - node _T_2060 = bits(_T_2058, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2061 = or(_T_2059, _T_2060) @[el2_lsu_bus_buffer.scala 437:42] - node _T_2062 = bits(_T_2058, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] - node _T_2063 = or(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 437:48] - node _T_2064 = bits(_T_2058, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] - node _T_2065 = or(_T_2063, _T_2064) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2066 = bits(_T_2058, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] - node _T_2067 = bits(_T_2058, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] - node _T_2068 = or(_T_2066, _T_2067) @[el2_lsu_bus_buffer.scala 437:67] - node _T_2069 = bits(_T_2058, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] - node _T_2070 = or(_T_2068, _T_2069) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2071 = bits(_T_2058, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] - node _T_2072 = or(_T_2070, _T_2071) @[el2_lsu_bus_buffer.scala 437:79] - node _T_2073 = bits(_T_2058, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] - node _T_2074 = bits(_T_2058, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2075 = or(_T_2073, _T_2074) @[el2_lsu_bus_buffer.scala 437:92] - node _T_2076 = bits(_T_2058, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] - node _T_2077 = or(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 437:98] - node _T_2078 = bits(_T_2058, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] - node _T_2079 = or(_T_2077, _T_2078) @[el2_lsu_bus_buffer.scala 437:104] - node _T_2080 = cat(_T_2065, _T_2072) @[Cat.scala 29:58] - node _T_2081 = cat(_T_2080, _T_2079) @[Cat.scala 29:58] - CmdPtr0 <= _T_2081 @[el2_lsu_bus_buffer.scala 442:11] - node _T_2082 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2083 = cat(_T_2082, CmdPtr1Dec) @[Cat.scala 29:58] - node _T_2084 = bits(_T_2083, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] - node _T_2085 = bits(_T_2083, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2086 = or(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 437:42] - node _T_2087 = bits(_T_2083, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] - node _T_2088 = or(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 437:48] - node _T_2089 = bits(_T_2083, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] - node _T_2090 = or(_T_2088, _T_2089) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2091 = bits(_T_2083, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] - node _T_2092 = bits(_T_2083, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] - node _T_2093 = or(_T_2091, _T_2092) @[el2_lsu_bus_buffer.scala 437:67] - node _T_2094 = bits(_T_2083, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] - node _T_2095 = or(_T_2093, _T_2094) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2096 = bits(_T_2083, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] - node _T_2097 = or(_T_2095, _T_2096) @[el2_lsu_bus_buffer.scala 437:79] - node _T_2098 = bits(_T_2083, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] - node _T_2099 = bits(_T_2083, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2100 = or(_T_2098, _T_2099) @[el2_lsu_bus_buffer.scala 437:92] - node _T_2101 = bits(_T_2083, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] - node _T_2102 = or(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 437:98] - node _T_2103 = bits(_T_2083, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] - node _T_2104 = or(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 437:104] - node _T_2105 = cat(_T_2090, _T_2097) @[Cat.scala 29:58] - node _T_2106 = cat(_T_2105, _T_2104) @[Cat.scala 29:58] - CmdPtr1 <= _T_2106 @[el2_lsu_bus_buffer.scala 444:11] - node _T_2107 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2108 = cat(_T_2107, RspPtrDec) @[Cat.scala 29:58] - node _T_2109 = bits(_T_2108, 4, 4) @[el2_lsu_bus_buffer.scala 437:39] - node _T_2110 = bits(_T_2108, 5, 5) @[el2_lsu_bus_buffer.scala 437:45] - node _T_2111 = or(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 437:42] - node _T_2112 = bits(_T_2108, 6, 6) @[el2_lsu_bus_buffer.scala 437:51] - node _T_2113 = or(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 437:48] - node _T_2114 = bits(_T_2108, 7, 7) @[el2_lsu_bus_buffer.scala 437:57] - node _T_2115 = or(_T_2113, _T_2114) @[el2_lsu_bus_buffer.scala 437:54] - node _T_2116 = bits(_T_2108, 2, 2) @[el2_lsu_bus_buffer.scala 437:64] - node _T_2117 = bits(_T_2108, 3, 3) @[el2_lsu_bus_buffer.scala 437:70] - node _T_2118 = or(_T_2116, _T_2117) @[el2_lsu_bus_buffer.scala 437:67] - node _T_2119 = bits(_T_2108, 6, 6) @[el2_lsu_bus_buffer.scala 437:76] - node _T_2120 = or(_T_2118, _T_2119) @[el2_lsu_bus_buffer.scala 437:73] - node _T_2121 = bits(_T_2108, 7, 7) @[el2_lsu_bus_buffer.scala 437:82] - node _T_2122 = or(_T_2120, _T_2121) @[el2_lsu_bus_buffer.scala 437:79] - node _T_2123 = bits(_T_2108, 1, 1) @[el2_lsu_bus_buffer.scala 437:89] - node _T_2124 = bits(_T_2108, 3, 3) @[el2_lsu_bus_buffer.scala 437:95] - node _T_2125 = or(_T_2123, _T_2124) @[el2_lsu_bus_buffer.scala 437:92] - node _T_2126 = bits(_T_2108, 5, 5) @[el2_lsu_bus_buffer.scala 437:101] - node _T_2127 = or(_T_2125, _T_2126) @[el2_lsu_bus_buffer.scala 437:98] - node _T_2128 = bits(_T_2108, 7, 7) @[el2_lsu_bus_buffer.scala 437:107] - node _T_2129 = or(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 437:104] - node _T_2130 = cat(_T_2115, _T_2122) @[Cat.scala 29:58] - node _T_2131 = cat(_T_2130, _T_2129) @[Cat.scala 29:58] - RspPtr <= _T_2131 @[el2_lsu_bus_buffer.scala 445:10] - wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 446:26] - buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] - buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] - buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] - buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 447:16] - wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 448:25] - buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] - buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] - buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] - buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 449:15] - wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 450:28] - buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] - buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] - buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] - buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 451:18] - wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 452:27] - buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] - buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] - buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] - buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 453:17] - wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 454:24] - buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] - buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] - buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] - buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 455:14] - node _T_2132 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2133 = and(_T_2132, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2134 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2135 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2136 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2137 = and(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2138 = or(_T_2134, _T_2137) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2139 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2140 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2142 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2143 = and(_T_2141, _T_2142) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2144 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2145 = and(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2146 = or(_T_2138, _T_2145) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2147 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2148 = and(_T_2147, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2149 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2150 = and(_T_2148, _T_2149) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2151 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2152 = and(_T_2150, _T_2151) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2153 = or(_T_2146, _T_2152) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2154 = and(_T_2133, _T_2153) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2155 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2156 = or(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2157 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2158 = and(_T_2157, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2159 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2160 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2161 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2162 = and(_T_2160, _T_2161) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2163 = or(_T_2159, _T_2162) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2164 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2165 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2167 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2168 = and(_T_2166, _T_2167) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2169 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2170 = and(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2171 = or(_T_2163, _T_2170) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2172 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2173 = and(_T_2172, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2174 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2176 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2177 = and(_T_2175, _T_2176) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2178 = or(_T_2171, _T_2177) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2179 = and(_T_2158, _T_2178) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2180 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2181 = or(_T_2179, _T_2180) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2182 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2183 = and(_T_2182, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2184 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2185 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2186 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2187 = and(_T_2185, _T_2186) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2188 = or(_T_2184, _T_2187) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2189 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2190 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2192 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2193 = and(_T_2191, _T_2192) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2194 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2195 = and(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2196 = or(_T_2188, _T_2195) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2197 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2198 = and(_T_2197, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2199 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2201 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2202 = and(_T_2200, _T_2201) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2203 = or(_T_2196, _T_2202) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2204 = and(_T_2183, _T_2203) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2205 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2206 = or(_T_2204, _T_2205) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2207 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2208 = and(_T_2207, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2209 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2210 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2211 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2212 = and(_T_2210, _T_2211) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2213 = or(_T_2209, _T_2212) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2214 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2215 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2217 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2219 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2221 = or(_T_2213, _T_2220) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2222 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2223 = and(_T_2222, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2224 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2225 = and(_T_2223, _T_2224) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2226 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2227 = and(_T_2225, _T_2226) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2228 = or(_T_2221, _T_2227) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2229 = and(_T_2208, _T_2228) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2230 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2231 = or(_T_2229, _T_2230) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2232 = cat(_T_2231, _T_2206) @[Cat.scala 29:58] - node _T_2233 = cat(_T_2232, _T_2181) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2233, _T_2156) @[Cat.scala 29:58] - node _T_2234 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2235 = and(_T_2234, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2236 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2237 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2238 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2239 = and(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2240 = or(_T_2236, _T_2239) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2241 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2242 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2244 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2245 = and(_T_2243, _T_2244) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2246 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2247 = and(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2248 = or(_T_2240, _T_2247) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2249 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2250 = and(_T_2249, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2251 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2252 = and(_T_2250, _T_2251) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2253 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2254 = and(_T_2252, _T_2253) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2255 = or(_T_2248, _T_2254) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2256 = and(_T_2235, _T_2255) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2257 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2258 = or(_T_2256, _T_2257) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2259 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2260 = and(_T_2259, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2261 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2262 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2263 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2264 = and(_T_2262, _T_2263) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2265 = or(_T_2261, _T_2264) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2266 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2267 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2269 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2270 = and(_T_2268, _T_2269) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2271 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2272 = and(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2273 = or(_T_2265, _T_2272) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2274 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2275 = and(_T_2274, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2276 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2278 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2279 = and(_T_2277, _T_2278) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2280 = or(_T_2273, _T_2279) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2281 = and(_T_2260, _T_2280) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2282 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2283 = or(_T_2281, _T_2282) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2284 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2285 = and(_T_2284, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2286 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2287 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2288 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2289 = and(_T_2287, _T_2288) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2290 = or(_T_2286, _T_2289) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2291 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2292 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2294 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2295 = and(_T_2293, _T_2294) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2296 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2297 = and(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2298 = or(_T_2290, _T_2297) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2299 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2300 = and(_T_2299, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2301 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2303 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2304 = and(_T_2302, _T_2303) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2305 = or(_T_2298, _T_2304) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2306 = and(_T_2285, _T_2305) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2307 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2308 = or(_T_2306, _T_2307) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2309 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2310 = and(_T_2309, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2311 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2312 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2313 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2314 = and(_T_2312, _T_2313) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2315 = or(_T_2311, _T_2314) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2316 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2317 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2319 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2321 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2323 = or(_T_2315, _T_2322) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2324 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2325 = and(_T_2324, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2326 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2327 = and(_T_2325, _T_2326) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2328 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2329 = and(_T_2327, _T_2328) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2330 = or(_T_2323, _T_2329) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2331 = and(_T_2310, _T_2330) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2332 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2333 = or(_T_2331, _T_2332) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2334 = cat(_T_2333, _T_2308) @[Cat.scala 29:58] - node _T_2335 = cat(_T_2334, _T_2283) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2335, _T_2258) @[Cat.scala 29:58] - node _T_2336 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2337 = and(_T_2336, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2338 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2339 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2340 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2341 = and(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2342 = or(_T_2338, _T_2341) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2343 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2344 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2346 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2347 = and(_T_2345, _T_2346) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2348 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2349 = and(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2350 = or(_T_2342, _T_2349) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2351 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2352 = and(_T_2351, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2353 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2354 = and(_T_2352, _T_2353) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2355 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2356 = and(_T_2354, _T_2355) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2357 = or(_T_2350, _T_2356) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2358 = and(_T_2337, _T_2357) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2359 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2360 = or(_T_2358, _T_2359) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2361 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2362 = and(_T_2361, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2363 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2364 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2365 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2366 = and(_T_2364, _T_2365) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2367 = or(_T_2363, _T_2366) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2368 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2369 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2371 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2372 = and(_T_2370, _T_2371) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2373 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2374 = and(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2375 = or(_T_2367, _T_2374) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2376 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2377 = and(_T_2376, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2378 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2380 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2381 = and(_T_2379, _T_2380) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2382 = or(_T_2375, _T_2381) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2383 = and(_T_2362, _T_2382) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2384 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2385 = or(_T_2383, _T_2384) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2386 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2387 = and(_T_2386, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2388 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2389 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2390 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2391 = and(_T_2389, _T_2390) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2392 = or(_T_2388, _T_2391) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2393 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2394 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2396 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2397 = and(_T_2395, _T_2396) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2398 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2399 = and(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2400 = or(_T_2392, _T_2399) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2401 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2402 = and(_T_2401, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2403 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2405 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2406 = and(_T_2404, _T_2405) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2407 = or(_T_2400, _T_2406) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2408 = and(_T_2387, _T_2407) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2409 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2410 = or(_T_2408, _T_2409) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2411 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2412 = and(_T_2411, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2413 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2414 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2415 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2416 = and(_T_2414, _T_2415) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2417 = or(_T_2413, _T_2416) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2418 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2419 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2420 = and(_T_2418, _T_2419) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2421 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2422 = and(_T_2420, _T_2421) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2423 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2424 = and(_T_2422, _T_2423) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2425 = or(_T_2417, _T_2424) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2426 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2427 = and(_T_2426, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2428 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2429 = and(_T_2427, _T_2428) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2430 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2431 = and(_T_2429, _T_2430) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2432 = or(_T_2425, _T_2431) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2433 = and(_T_2412, _T_2432) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2434 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2435 = or(_T_2433, _T_2434) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2436 = cat(_T_2435, _T_2410) @[Cat.scala 29:58] - node _T_2437 = cat(_T_2436, _T_2385) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2437, _T_2360) @[Cat.scala 29:58] - node _T_2438 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2439 = and(_T_2438, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2440 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2441 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2442 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2443 = and(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2444 = or(_T_2440, _T_2443) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2445 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2446 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2447 = and(_T_2445, _T_2446) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2448 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2449 = and(_T_2447, _T_2448) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2450 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2451 = and(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2452 = or(_T_2444, _T_2451) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2453 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2454 = and(_T_2453, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2455 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2456 = and(_T_2454, _T_2455) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2457 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2458 = and(_T_2456, _T_2457) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2459 = or(_T_2452, _T_2458) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2460 = and(_T_2439, _T_2459) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2461 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2462 = or(_T_2460, _T_2461) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2463 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2464 = and(_T_2463, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2465 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2466 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2467 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2468 = and(_T_2466, _T_2467) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2469 = or(_T_2465, _T_2468) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2470 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2471 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2472 = and(_T_2470, _T_2471) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2473 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2474 = and(_T_2472, _T_2473) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2475 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2476 = and(_T_2474, _T_2475) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2477 = or(_T_2469, _T_2476) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2478 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2479 = and(_T_2478, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2480 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2481 = and(_T_2479, _T_2480) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2482 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2483 = and(_T_2481, _T_2482) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2484 = or(_T_2477, _T_2483) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2485 = and(_T_2464, _T_2484) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2486 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2487 = or(_T_2485, _T_2486) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2488 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2489 = and(_T_2488, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2490 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2491 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2492 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2493 = and(_T_2491, _T_2492) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2494 = or(_T_2490, _T_2493) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2495 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2496 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2497 = and(_T_2495, _T_2496) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2498 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2499 = and(_T_2497, _T_2498) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2500 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2501 = and(_T_2499, _T_2500) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2502 = or(_T_2494, _T_2501) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2503 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2504 = and(_T_2503, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2505 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2506 = and(_T_2504, _T_2505) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2507 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2508 = and(_T_2506, _T_2507) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2509 = or(_T_2502, _T_2508) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2510 = and(_T_2489, _T_2509) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2511 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2512 = or(_T_2510, _T_2511) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2513 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 457:83] - node _T_2514 = and(_T_2513, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 457:94] - node _T_2515 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 458:20] - node _T_2516 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 458:47] - node _T_2517 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 458:59] - node _T_2518 = and(_T_2516, _T_2517) @[el2_lsu_bus_buffer.scala 458:57] - node _T_2519 = or(_T_2515, _T_2518) @[el2_lsu_bus_buffer.scala 458:31] - node _T_2520 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 459:23] - node _T_2521 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 459:53] - node _T_2522 = and(_T_2520, _T_2521) @[el2_lsu_bus_buffer.scala 459:41] - node _T_2523 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:83] - node _T_2524 = and(_T_2522, _T_2523) @[el2_lsu_bus_buffer.scala 459:71] - node _T_2525 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 459:104] - node _T_2526 = and(_T_2524, _T_2525) @[el2_lsu_bus_buffer.scala 459:92] - node _T_2527 = or(_T_2519, _T_2526) @[el2_lsu_bus_buffer.scala 458:86] - node _T_2528 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:17] - node _T_2529 = and(_T_2528, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:35] - node _T_2530 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:64] - node _T_2531 = and(_T_2529, _T_2530) @[el2_lsu_bus_buffer.scala 460:52] - node _T_2532 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:85] - node _T_2533 = and(_T_2531, _T_2532) @[el2_lsu_bus_buffer.scala 460:73] - node _T_2534 = or(_T_2527, _T_2533) @[el2_lsu_bus_buffer.scala 459:114] - node _T_2535 = and(_T_2514, _T_2534) @[el2_lsu_bus_buffer.scala 457:113] - node _T_2536 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 460:109] - node _T_2537 = or(_T_2535, _T_2536) @[el2_lsu_bus_buffer.scala 460:97] - node _T_2538 = cat(_T_2537, _T_2512) @[Cat.scala 29:58] - node _T_2539 = cat(_T_2538, _T_2487) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2539, _T_2462) @[Cat.scala 29:58] - wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 461:22] - buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] - buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] - buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] - buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 462:12] - node _T_2540 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2541 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2542 = and(_T_2541, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2543 = and(_T_2540, _T_2542) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2544 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2545 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2546 = and(_T_2545, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2547 = and(_T_2544, _T_2546) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2548 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2549 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2550 = and(_T_2549, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2551 = and(_T_2548, _T_2550) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2552 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2553 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2554 = and(_T_2553, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2555 = and(_T_2552, _T_2554) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2556 = cat(_T_2555, _T_2551) @[Cat.scala 29:58] - node _T_2557 = cat(_T_2556, _T_2547) @[Cat.scala 29:58] - node _T_2558 = cat(_T_2557, _T_2543) @[Cat.scala 29:58] - node _T_2559 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2562 = and(_T_2559, _T_2561) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2563 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2564 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2565 = and(_T_2564, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2566 = and(_T_2563, _T_2565) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2567 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2568 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2569 = and(_T_2568, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2570 = and(_T_2567, _T_2569) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2571 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2572 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2573 = and(_T_2572, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2574 = and(_T_2571, _T_2573) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2575 = cat(_T_2574, _T_2570) @[Cat.scala 29:58] - node _T_2576 = cat(_T_2575, _T_2566) @[Cat.scala 29:58] - node _T_2577 = cat(_T_2576, _T_2562) @[Cat.scala 29:58] - node _T_2578 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2579 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2580 = and(_T_2579, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2581 = and(_T_2578, _T_2580) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2582 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2583 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2585 = and(_T_2582, _T_2584) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2586 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2587 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2589 = and(_T_2586, _T_2588) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2590 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2591 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2592 = and(_T_2591, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2593 = and(_T_2590, _T_2592) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2594 = cat(_T_2593, _T_2589) @[Cat.scala 29:58] - node _T_2595 = cat(_T_2594, _T_2585) @[Cat.scala 29:58] - node _T_2596 = cat(_T_2595, _T_2581) @[Cat.scala 29:58] - node _T_2597 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2598 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2600 = and(_T_2597, _T_2599) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2601 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2602 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2603 = and(_T_2602, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2604 = and(_T_2601, _T_2603) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2605 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2606 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2608 = and(_T_2605, _T_2607) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2609 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 463:74] - node _T_2610 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 463:94] - node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 463:104] - node _T_2612 = and(_T_2609, _T_2611) @[el2_lsu_bus_buffer.scala 463:78] - node _T_2613 = cat(_T_2612, _T_2608) @[Cat.scala 29:58] - node _T_2614 = cat(_T_2613, _T_2604) @[Cat.scala 29:58] - node _T_2615 = cat(_T_2614, _T_2600) @[Cat.scala 29:58] - buf_age[0] <= _T_2558 @[el2_lsu_bus_buffer.scala 463:13] - buf_age[1] <= _T_2577 @[el2_lsu_bus_buffer.scala 463:13] - buf_age[2] <= _T_2596 @[el2_lsu_bus_buffer.scala 463:13] - buf_age[3] <= _T_2615 @[el2_lsu_bus_buffer.scala 463:13] - node _T_2616 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2617 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2619 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2620 = and(_T_2618, _T_2619) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2621 = mux(_T_2616, UInt<1>("h00"), _T_2620) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2622 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2623 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2624 = eq(_T_2623, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2625 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2626 = and(_T_2624, _T_2625) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2627 = mux(_T_2622, UInt<1>("h00"), _T_2626) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2628 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2629 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2631 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2632 = and(_T_2630, _T_2631) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2634 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2635 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2637 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2638 = and(_T_2636, _T_2637) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2640 = cat(_T_2639, _T_2633) @[Cat.scala 29:58] - node _T_2641 = cat(_T_2640, _T_2627) @[Cat.scala 29:58] - node _T_2642 = cat(_T_2641, _T_2621) @[Cat.scala 29:58] - node _T_2643 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2644 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2645 = eq(_T_2644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2646 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2647 = and(_T_2645, _T_2646) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2648 = mux(_T_2643, UInt<1>("h00"), _T_2647) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2649 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2650 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2652 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2653 = and(_T_2651, _T_2652) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2654 = mux(_T_2649, UInt<1>("h00"), _T_2653) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2655 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2656 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2658 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2659 = and(_T_2657, _T_2658) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2661 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2662 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2664 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2667 = cat(_T_2666, _T_2660) @[Cat.scala 29:58] - node _T_2668 = cat(_T_2667, _T_2654) @[Cat.scala 29:58] - node _T_2669 = cat(_T_2668, _T_2648) @[Cat.scala 29:58] - node _T_2670 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2671 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2672 = eq(_T_2671, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2673 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2674 = and(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2675 = mux(_T_2670, UInt<1>("h00"), _T_2674) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2676 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2677 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2678 = eq(_T_2677, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2679 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2681 = mux(_T_2676, UInt<1>("h00"), _T_2680) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2682 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2683 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2685 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2686 = and(_T_2684, _T_2685) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2688 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2689 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2691 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2692 = and(_T_2690, _T_2691) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2694 = cat(_T_2693, _T_2687) @[Cat.scala 29:58] - node _T_2695 = cat(_T_2694, _T_2681) @[Cat.scala 29:58] - node _T_2696 = cat(_T_2695, _T_2675) @[Cat.scala 29:58] - node _T_2697 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2698 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2699 = eq(_T_2698, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2700 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2701 = and(_T_2699, _T_2700) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2702 = mux(_T_2697, UInt<1>("h00"), _T_2701) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2703 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2704 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2705 = eq(_T_2704, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2706 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2708 = mux(_T_2703, UInt<1>("h00"), _T_2707) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2709 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2710 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2712 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2713 = and(_T_2711, _T_2712) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2715 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 464:78] - node _T_2716 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 464:102] - node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 464:91] - node _T_2718 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 464:121] - node _T_2719 = and(_T_2717, _T_2718) @[el2_lsu_bus_buffer.scala 464:106] - node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[el2_lsu_bus_buffer.scala 464:74] - node _T_2721 = cat(_T_2720, _T_2714) @[Cat.scala 29:58] - node _T_2722 = cat(_T_2721, _T_2708) @[Cat.scala 29:58] - node _T_2723 = cat(_T_2722, _T_2702) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2642 @[el2_lsu_bus_buffer.scala 464:21] - buf_age_younger[1] <= _T_2669 @[el2_lsu_bus_buffer.scala 464:21] - buf_age_younger[2] <= _T_2696 @[el2_lsu_bus_buffer.scala 464:21] - buf_age_younger[3] <= _T_2723 @[el2_lsu_bus_buffer.scala 464:21] - node _T_2724 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2725 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2726 = and(_T_2724, _T_2725) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2727 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2728 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2729 = and(_T_2727, _T_2728) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2730 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2731 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2732 = and(_T_2730, _T_2731) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2733 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2734 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2735 = and(_T_2733, _T_2734) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2736 = cat(_T_2735, _T_2732) @[Cat.scala 29:58] - node _T_2737 = cat(_T_2736, _T_2729) @[Cat.scala 29:58] - node _T_2738 = cat(_T_2737, _T_2726) @[Cat.scala 29:58] - node _T_2739 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2740 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2741 = and(_T_2739, _T_2740) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2742 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2743 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2745 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2746 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2748 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2749 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2750 = and(_T_2748, _T_2749) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2751 = cat(_T_2750, _T_2747) @[Cat.scala 29:58] - node _T_2752 = cat(_T_2751, _T_2744) @[Cat.scala 29:58] - node _T_2753 = cat(_T_2752, _T_2741) @[Cat.scala 29:58] - node _T_2754 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2755 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2757 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2758 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2759 = and(_T_2757, _T_2758) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2760 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2761 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2762 = and(_T_2760, _T_2761) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2763 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2764 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2765 = and(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2766 = cat(_T_2765, _T_2762) @[Cat.scala 29:58] - node _T_2767 = cat(_T_2766, _T_2759) @[Cat.scala 29:58] - node _T_2768 = cat(_T_2767, _T_2756) @[Cat.scala 29:58] - node _T_2769 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2770 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2772 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2773 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2774 = and(_T_2772, _T_2773) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2775 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2776 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2777 = and(_T_2775, _T_2776) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2778 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 465:85] - node _T_2779 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 465:104] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 465:89] - node _T_2781 = cat(_T_2780, _T_2777) @[Cat.scala 29:58] - node _T_2782 = cat(_T_2781, _T_2774) @[Cat.scala 29:58] - node _T_2783 = cat(_T_2782, _T_2771) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2738 @[el2_lsu_bus_buffer.scala 465:21] - buf_rsp_pickage[1] <= _T_2753 @[el2_lsu_bus_buffer.scala 465:21] - buf_rsp_pickage[2] <= _T_2768 @[el2_lsu_bus_buffer.scala 465:21] - buf_rsp_pickage[3] <= _T_2783 @[el2_lsu_bus_buffer.scala 465:21] - node _T_2784 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2785 = and(_T_2784, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2786 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2787 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2788 = or(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2789 = eq(_T_2788, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2790 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2791 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2792 = and(_T_2790, _T_2791) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2793 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2794 = and(_T_2792, _T_2793) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2795 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2796 = and(_T_2794, _T_2795) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2797 = or(_T_2789, _T_2796) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2798 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2799 = and(_T_2798, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2800 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2801 = and(_T_2799, _T_2800) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2802 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2803 = and(_T_2801, _T_2802) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2804 = or(_T_2797, _T_2803) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2805 = and(_T_2785, _T_2804) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2806 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2807 = and(_T_2806, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2808 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2809 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2810 = or(_T_2808, _T_2809) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2811 = eq(_T_2810, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2812 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2813 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2814 = and(_T_2812, _T_2813) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2815 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2816 = and(_T_2814, _T_2815) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2817 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2818 = and(_T_2816, _T_2817) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2819 = or(_T_2811, _T_2818) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2820 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2821 = and(_T_2820, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2822 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2823 = and(_T_2821, _T_2822) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2824 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2825 = and(_T_2823, _T_2824) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2826 = or(_T_2819, _T_2825) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2827 = and(_T_2807, _T_2826) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2828 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2829 = and(_T_2828, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2830 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2831 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2832 = or(_T_2830, _T_2831) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2833 = eq(_T_2832, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2834 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2835 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2836 = and(_T_2834, _T_2835) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2837 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2839 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2841 = or(_T_2833, _T_2840) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2842 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2843 = and(_T_2842, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2844 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2846 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2848 = or(_T_2841, _T_2847) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2849 = and(_T_2829, _T_2848) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2850 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2851 = and(_T_2850, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2852 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2853 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2854 = or(_T_2852, _T_2853) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2855 = eq(_T_2854, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2856 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2857 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2859 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2861 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2863 = or(_T_2855, _T_2862) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2864 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2865 = and(_T_2864, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2866 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2868 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2870 = or(_T_2863, _T_2869) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2871 = and(_T_2851, _T_2870) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2872 = cat(_T_2871, _T_2849) @[Cat.scala 29:58] - node _T_2873 = cat(_T_2872, _T_2827) @[Cat.scala 29:58] - node _T_2874 = cat(_T_2873, _T_2805) @[Cat.scala 29:58] - node _T_2875 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2876 = and(_T_2875, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2877 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2878 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2879 = or(_T_2877, _T_2878) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2880 = eq(_T_2879, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2881 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2882 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2883 = and(_T_2881, _T_2882) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2884 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2885 = and(_T_2883, _T_2884) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2886 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2887 = and(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2888 = or(_T_2880, _T_2887) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2889 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2890 = and(_T_2889, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2891 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2892 = and(_T_2890, _T_2891) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2893 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2894 = and(_T_2892, _T_2893) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2895 = or(_T_2888, _T_2894) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2896 = and(_T_2876, _T_2895) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2897 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2898 = and(_T_2897, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2899 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2900 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2901 = or(_T_2899, _T_2900) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2902 = eq(_T_2901, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2903 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2904 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2905 = and(_T_2903, _T_2904) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2906 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2907 = and(_T_2905, _T_2906) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2908 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2909 = and(_T_2907, _T_2908) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2910 = or(_T_2902, _T_2909) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2911 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2912 = and(_T_2911, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2913 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2914 = and(_T_2912, _T_2913) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2915 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2916 = and(_T_2914, _T_2915) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2917 = or(_T_2910, _T_2916) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2918 = and(_T_2898, _T_2917) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2919 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2920 = and(_T_2919, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2921 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2922 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2923 = or(_T_2921, _T_2922) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2924 = eq(_T_2923, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2925 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2926 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2927 = and(_T_2925, _T_2926) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2928 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2930 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2932 = or(_T_2924, _T_2931) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2933 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2934 = and(_T_2933, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2935 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2937 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2939 = or(_T_2932, _T_2938) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2940 = and(_T_2920, _T_2939) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2941 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2942 = and(_T_2941, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2943 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2944 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2945 = or(_T_2943, _T_2944) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2946 = eq(_T_2945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2947 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2948 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2950 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2952 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2954 = or(_T_2946, _T_2953) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2955 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2956 = and(_T_2955, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2957 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2959 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2961 = or(_T_2954, _T_2960) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2962 = and(_T_2942, _T_2961) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2963 = cat(_T_2962, _T_2940) @[Cat.scala 29:58] - node _T_2964 = cat(_T_2963, _T_2918) @[Cat.scala 29:58] - node _T_2965 = cat(_T_2964, _T_2896) @[Cat.scala 29:58] - node _T_2966 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2967 = and(_T_2966, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2968 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2969 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2970 = or(_T_2968, _T_2969) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2971 = eq(_T_2970, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2972 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2973 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2974 = and(_T_2972, _T_2973) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2975 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2976 = and(_T_2974, _T_2975) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2977 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_2978 = and(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 469:92] - node _T_2979 = or(_T_2971, _T_2978) @[el2_lsu_bus_buffer.scala 468:61] - node _T_2980 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_2981 = and(_T_2980, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_2982 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_2983 = and(_T_2981, _T_2982) @[el2_lsu_bus_buffer.scala 470:54] - node _T_2984 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_2985 = and(_T_2983, _T_2984) @[el2_lsu_bus_buffer.scala 470:73] - node _T_2986 = or(_T_2979, _T_2985) @[el2_lsu_bus_buffer.scala 469:112] - node _T_2987 = and(_T_2967, _T_2986) @[el2_lsu_bus_buffer.scala 467:114] - node _T_2988 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_2989 = and(_T_2988, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_2990 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_2991 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_2992 = or(_T_2990, _T_2991) @[el2_lsu_bus_buffer.scala 468:34] - node _T_2993 = eq(_T_2992, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_2994 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_2995 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_2996 = and(_T_2994, _T_2995) @[el2_lsu_bus_buffer.scala 469:43] - node _T_2997 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_2998 = and(_T_2996, _T_2997) @[el2_lsu_bus_buffer.scala 469:73] - node _T_2999 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3000 = and(_T_2998, _T_2999) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3001 = or(_T_2993, _T_3000) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3002 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3003 = and(_T_3002, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3004 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3005 = and(_T_3003, _T_3004) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3006 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3007 = and(_T_3005, _T_3006) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3008 = or(_T_3001, _T_3007) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3009 = and(_T_2989, _T_3008) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3010 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3011 = and(_T_3010, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3012 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3013 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3014 = or(_T_3012, _T_3013) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3015 = eq(_T_3014, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3016 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3017 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3018 = and(_T_3016, _T_3017) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3019 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3020 = and(_T_3018, _T_3019) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3021 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3022 = and(_T_3020, _T_3021) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3023 = or(_T_3015, _T_3022) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3024 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3025 = and(_T_3024, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3026 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3027 = and(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3028 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3029 = and(_T_3027, _T_3028) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3030 = or(_T_3023, _T_3029) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3031 = and(_T_3011, _T_3030) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3032 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3033 = and(_T_3032, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3034 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3035 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3036 = or(_T_3034, _T_3035) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3037 = eq(_T_3036, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3038 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3039 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3040 = and(_T_3038, _T_3039) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3041 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3042 = and(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3043 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3044 = and(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3045 = or(_T_3037, _T_3044) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3046 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3047 = and(_T_3046, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3048 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3049 = and(_T_3047, _T_3048) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3050 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3051 = and(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3052 = or(_T_3045, _T_3051) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3053 = and(_T_3033, _T_3052) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3054 = cat(_T_3053, _T_3031) @[Cat.scala 29:58] - node _T_3055 = cat(_T_3054, _T_3009) @[Cat.scala 29:58] - node _T_3056 = cat(_T_3055, _T_2987) @[Cat.scala 29:58] - node _T_3057 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3058 = and(_T_3057, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3059 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3060 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3061 = or(_T_3059, _T_3060) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3062 = eq(_T_3061, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3063 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3064 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3065 = and(_T_3063, _T_3064) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3066 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3067 = and(_T_3065, _T_3066) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3068 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3069 = and(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3070 = or(_T_3062, _T_3069) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3071 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3072 = and(_T_3071, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3073 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3074 = and(_T_3072, _T_3073) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3075 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3076 = and(_T_3074, _T_3075) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3077 = or(_T_3070, _T_3076) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3078 = and(_T_3058, _T_3077) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3079 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3080 = and(_T_3079, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3081 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3082 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3083 = or(_T_3081, _T_3082) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3084 = eq(_T_3083, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3085 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3086 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3087 = and(_T_3085, _T_3086) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3088 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3089 = and(_T_3087, _T_3088) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3090 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3091 = and(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3092 = or(_T_3084, _T_3091) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3093 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3094 = and(_T_3093, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3095 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3096 = and(_T_3094, _T_3095) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3097 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3098 = and(_T_3096, _T_3097) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3099 = or(_T_3092, _T_3098) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3100 = and(_T_3080, _T_3099) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3101 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3102 = and(_T_3101, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3103 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3104 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3105 = or(_T_3103, _T_3104) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3106 = eq(_T_3105, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3107 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3108 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3109 = and(_T_3107, _T_3108) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3110 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3111 = and(_T_3109, _T_3110) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3112 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3113 = and(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3114 = or(_T_3106, _T_3113) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3115 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3116 = and(_T_3115, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3117 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3118 = and(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3119 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3120 = and(_T_3118, _T_3119) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3121 = or(_T_3114, _T_3120) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3122 = and(_T_3102, _T_3121) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3123 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 467:84] - node _T_3124 = and(_T_3123, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 467:95] - node _T_3125 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:23] - node _T_3126 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 468:49] - node _T_3127 = or(_T_3125, _T_3126) @[el2_lsu_bus_buffer.scala 468:34] - node _T_3128 = eq(_T_3127, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 468:8] - node _T_3129 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 469:25] - node _T_3130 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 469:55] - node _T_3131 = and(_T_3129, _T_3130) @[el2_lsu_bus_buffer.scala 469:43] - node _T_3132 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:84] - node _T_3133 = and(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 469:73] - node _T_3134 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 469:103] - node _T_3135 = and(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 469:92] - node _T_3136 = or(_T_3128, _T_3135) @[el2_lsu_bus_buffer.scala 468:61] - node _T_3137 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:19] - node _T_3138 = and(_T_3137, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:37] - node _T_3139 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:65] - node _T_3140 = and(_T_3138, _T_3139) @[el2_lsu_bus_buffer.scala 470:54] - node _T_3141 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] - node _T_3142 = and(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 470:73] - node _T_3143 = or(_T_3136, _T_3142) @[el2_lsu_bus_buffer.scala 469:112] - node _T_3144 = and(_T_3124, _T_3143) @[el2_lsu_bus_buffer.scala 467:114] - node _T_3145 = cat(_T_3144, _T_3122) @[Cat.scala 29:58] - node _T_3146 = cat(_T_3145, _T_3100) @[Cat.scala 29:58] - node _T_3147 = cat(_T_3146, _T_3078) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2874 @[el2_lsu_bus_buffer.scala 467:20] - buf_rspage_set[1] <= _T_2965 @[el2_lsu_bus_buffer.scala 467:20] - buf_rspage_set[2] <= _T_3056 @[el2_lsu_bus_buffer.scala 467:20] - buf_rspage_set[3] <= _T_3147 @[el2_lsu_bus_buffer.scala 467:20] - node _T_3148 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3149 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3150 = or(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3151 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3152 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3153 = or(_T_3151, _T_3152) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3154 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3155 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3156 = or(_T_3154, _T_3155) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3157 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3158 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3159 = or(_T_3157, _T_3158) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3160 = cat(_T_3159, _T_3156) @[Cat.scala 29:58] - node _T_3161 = cat(_T_3160, _T_3153) @[Cat.scala 29:58] - node _T_3162 = cat(_T_3161, _T_3150) @[Cat.scala 29:58] - node _T_3163 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3164 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3165 = or(_T_3163, _T_3164) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3166 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3167 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3168 = or(_T_3166, _T_3167) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3169 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3170 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3171 = or(_T_3169, _T_3170) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3172 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3173 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3174 = or(_T_3172, _T_3173) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3175 = cat(_T_3174, _T_3171) @[Cat.scala 29:58] - node _T_3176 = cat(_T_3175, _T_3168) @[Cat.scala 29:58] - node _T_3177 = cat(_T_3176, _T_3165) @[Cat.scala 29:58] - node _T_3178 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3179 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3180 = or(_T_3178, _T_3179) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3181 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3182 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3183 = or(_T_3181, _T_3182) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3184 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3185 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3186 = or(_T_3184, _T_3185) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3187 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3188 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3189 = or(_T_3187, _T_3188) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3190 = cat(_T_3189, _T_3186) @[Cat.scala 29:58] - node _T_3191 = cat(_T_3190, _T_3183) @[Cat.scala 29:58] - node _T_3192 = cat(_T_3191, _T_3180) @[Cat.scala 29:58] - node _T_3193 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3194 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3195 = or(_T_3193, _T_3194) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3196 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3197 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3198 = or(_T_3196, _T_3197) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3199 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3200 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3201 = or(_T_3199, _T_3200) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3202 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:86] - node _T_3203 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 471:105] - node _T_3204 = or(_T_3202, _T_3203) @[el2_lsu_bus_buffer.scala 471:90] - node _T_3205 = cat(_T_3204, _T_3201) @[Cat.scala 29:58] - node _T_3206 = cat(_T_3205, _T_3198) @[Cat.scala 29:58] - node _T_3207 = cat(_T_3206, _T_3195) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3162 @[el2_lsu_bus_buffer.scala 471:19] - buf_rspage_in[1] <= _T_3177 @[el2_lsu_bus_buffer.scala 471:19] - buf_rspage_in[2] <= _T_3192 @[el2_lsu_bus_buffer.scala 471:19] - buf_rspage_in[3] <= _T_3207 @[el2_lsu_bus_buffer.scala 471:19] - node _T_3208 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3209 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3210 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3211 = or(_T_3209, _T_3210) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3212 = eq(_T_3211, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3213 = and(_T_3208, _T_3212) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3214 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3215 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3216 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3217 = or(_T_3215, _T_3216) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3218 = eq(_T_3217, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3219 = and(_T_3214, _T_3218) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3220 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3221 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3222 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3223 = or(_T_3221, _T_3222) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3225 = and(_T_3220, _T_3224) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3226 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3227 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3228 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3229 = or(_T_3227, _T_3228) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3231 = and(_T_3226, _T_3230) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3232 = cat(_T_3231, _T_3225) @[Cat.scala 29:58] - node _T_3233 = cat(_T_3232, _T_3219) @[Cat.scala 29:58] - node _T_3234 = cat(_T_3233, _T_3213) @[Cat.scala 29:58] - node _T_3235 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3236 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3237 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3238 = or(_T_3236, _T_3237) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3239 = eq(_T_3238, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3240 = and(_T_3235, _T_3239) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3241 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3242 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3243 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3244 = or(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3245 = eq(_T_3244, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3246 = and(_T_3241, _T_3245) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3247 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3248 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3249 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3250 = or(_T_3248, _T_3249) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3252 = and(_T_3247, _T_3251) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3253 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3254 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3255 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3256 = or(_T_3254, _T_3255) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3258 = and(_T_3253, _T_3257) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3259 = cat(_T_3258, _T_3252) @[Cat.scala 29:58] - node _T_3260 = cat(_T_3259, _T_3246) @[Cat.scala 29:58] - node _T_3261 = cat(_T_3260, _T_3240) @[Cat.scala 29:58] - node _T_3262 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3263 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3264 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3265 = or(_T_3263, _T_3264) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3266 = eq(_T_3265, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3267 = and(_T_3262, _T_3266) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3268 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3269 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3270 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3271 = or(_T_3269, _T_3270) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3272 = eq(_T_3271, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3273 = and(_T_3268, _T_3272) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3274 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3275 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3276 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3277 = or(_T_3275, _T_3276) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3279 = and(_T_3274, _T_3278) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3280 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3281 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3282 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3283 = or(_T_3281, _T_3282) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3285 = and(_T_3280, _T_3284) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3286 = cat(_T_3285, _T_3279) @[Cat.scala 29:58] - node _T_3287 = cat(_T_3286, _T_3273) @[Cat.scala 29:58] - node _T_3288 = cat(_T_3287, _T_3267) @[Cat.scala 29:58] - node _T_3289 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3290 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3291 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3292 = or(_T_3290, _T_3291) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3293 = eq(_T_3292, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3294 = and(_T_3289, _T_3293) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3295 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3296 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3297 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3298 = or(_T_3296, _T_3297) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3299 = eq(_T_3298, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3300 = and(_T_3295, _T_3299) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3301 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3302 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3303 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3304 = or(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3306 = and(_T_3301, _T_3305) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3307 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:80] - node _T_3308 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 472:101] - node _T_3309 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 472:127] - node _T_3310 = or(_T_3308, _T_3309) @[el2_lsu_bus_buffer.scala 472:112] - node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 472:86] - node _T_3312 = and(_T_3307, _T_3311) @[el2_lsu_bus_buffer.scala 472:84] - node _T_3313 = cat(_T_3312, _T_3306) @[Cat.scala 29:58] - node _T_3314 = cat(_T_3313, _T_3300) @[Cat.scala 29:58] - node _T_3315 = cat(_T_3314, _T_3294) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3234 @[el2_lsu_bus_buffer.scala 472:16] - buf_rspage[1] <= _T_3261 @[el2_lsu_bus_buffer.scala 472:16] - buf_rspage[2] <= _T_3288 @[el2_lsu_bus_buffer.scala 472:16] - buf_rspage[3] <= _T_3315 @[el2_lsu_bus_buffer.scala 472:16] - node _T_3316 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 477:77] - node _T_3317 = and(ibuf_drain_vld, _T_3316) @[el2_lsu_bus_buffer.scala 477:65] - node _T_3318 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 477:77] - node _T_3319 = and(ibuf_drain_vld, _T_3318) @[el2_lsu_bus_buffer.scala 477:65] - node _T_3320 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 477:77] - node _T_3321 = and(ibuf_drain_vld, _T_3320) @[el2_lsu_bus_buffer.scala 477:65] - node _T_3322 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 477:77] - node _T_3323 = and(ibuf_drain_vld, _T_3322) @[el2_lsu_bus_buffer.scala 477:65] - node _T_3324 = cat(_T_3323, _T_3321) @[Cat.scala 29:58] - node _T_3325 = cat(_T_3324, _T_3319) @[Cat.scala 29:58] - node _T_3326 = cat(_T_3325, _T_3317) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3326 @[el2_lsu_bus_buffer.scala 477:23] - node _T_3327 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 478:66] - node _T_3328 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] - node _T_3329 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] - node _T_3330 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:134] - node _T_3331 = and(_T_3329, _T_3330) @[el2_lsu_bus_buffer.scala 478:123] - node _T_3332 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] - node _T_3333 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] - node _T_3334 = mux(_T_3331, _T_3332, _T_3333) @[el2_lsu_bus_buffer.scala 478:96] - node _T_3335 = mux(_T_3327, _T_3328, _T_3334) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3336 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 478:66] - node _T_3337 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] - node _T_3338 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] - node _T_3339 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:134] - node _T_3340 = and(_T_3338, _T_3339) @[el2_lsu_bus_buffer.scala 478:123] - node _T_3341 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] - node _T_3342 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] - node _T_3343 = mux(_T_3340, _T_3341, _T_3342) @[el2_lsu_bus_buffer.scala 478:96] - node _T_3344 = mux(_T_3336, _T_3337, _T_3343) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3345 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 478:66] - node _T_3346 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] - node _T_3347 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] - node _T_3348 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:134] - node _T_3349 = and(_T_3347, _T_3348) @[el2_lsu_bus_buffer.scala 478:123] - node _T_3350 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] - node _T_3351 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] - node _T_3352 = mux(_T_3349, _T_3350, _T_3351) @[el2_lsu_bus_buffer.scala 478:96] - node _T_3353 = mux(_T_3345, _T_3346, _T_3352) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3354 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 478:66] - node _T_3355 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 478:86] - node _T_3356 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 478:106] - node _T_3357 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:134] - node _T_3358 = and(_T_3356, _T_3357) @[el2_lsu_bus_buffer.scala 478:123] - node _T_3359 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:159] - node _T_3360 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 478:182] - node _T_3361 = mux(_T_3358, _T_3359, _T_3360) @[el2_lsu_bus_buffer.scala 478:96] - node _T_3362 = mux(_T_3354, _T_3355, _T_3361) @[el2_lsu_bus_buffer.scala 478:48] - buf_byteen_in[0] <= _T_3335 @[el2_lsu_bus_buffer.scala 478:19] - buf_byteen_in[1] <= _T_3344 @[el2_lsu_bus_buffer.scala 478:19] - buf_byteen_in[2] <= _T_3353 @[el2_lsu_bus_buffer.scala 478:19] - buf_byteen_in[3] <= _T_3362 @[el2_lsu_bus_buffer.scala 478:19] - node _T_3363 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 479:64] - node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] - node _T_3365 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:121] - node _T_3366 = and(_T_3364, _T_3365) @[el2_lsu_bus_buffer.scala 479:110] - node _T_3367 = mux(_T_3366, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] - node _T_3368 = mux(_T_3363, ibuf_addr, _T_3367) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3369 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 479:64] - node _T_3370 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] - node _T_3371 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:121] - node _T_3372 = and(_T_3370, _T_3371) @[el2_lsu_bus_buffer.scala 479:110] - node _T_3373 = mux(_T_3372, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] - node _T_3374 = mux(_T_3369, ibuf_addr, _T_3373) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3375 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 479:64] - node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] - node _T_3377 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:121] - node _T_3378 = and(_T_3376, _T_3377) @[el2_lsu_bus_buffer.scala 479:110] - node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] - node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[el2_lsu_bus_buffer.scala 479:46] - node _T_3381 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 479:64] - node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:93] - node _T_3383 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:121] - node _T_3384 = and(_T_3382, _T_3383) @[el2_lsu_bus_buffer.scala 479:110] - node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 479:83] - node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[el2_lsu_bus_buffer.scala 479:46] - buf_addr_in[0] <= _T_3368 @[el2_lsu_bus_buffer.scala 479:17] - buf_addr_in[1] <= _T_3374 @[el2_lsu_bus_buffer.scala 479:17] - buf_addr_in[2] <= _T_3380 @[el2_lsu_bus_buffer.scala 479:17] - buf_addr_in[3] <= _T_3386 @[el2_lsu_bus_buffer.scala 479:17] - node _T_3387 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3388 = mux(_T_3387, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] - node _T_3389 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3390 = mux(_T_3389, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] - node _T_3391 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3392 = mux(_T_3391, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] - node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 480:65] - node _T_3394 = mux(_T_3393, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:47] - node _T_3395 = cat(_T_3394, _T_3392) @[Cat.scala 29:58] - node _T_3396 = cat(_T_3395, _T_3390) @[Cat.scala 29:58] - node _T_3397 = cat(_T_3396, _T_3388) @[Cat.scala 29:58] - buf_dual_in <= _T_3397 @[el2_lsu_bus_buffer.scala 480:17] - node _T_3398 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3399 = mux(_T_3398, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] - node _T_3400 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3401 = mux(_T_3400, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] - node _T_3402 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3403 = mux(_T_3402, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] - node _T_3404 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:67] - node _T_3405 = mux(_T_3404, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 481:49] - node _T_3406 = cat(_T_3405, _T_3403) @[Cat.scala 29:58] - node _T_3407 = cat(_T_3406, _T_3401) @[Cat.scala 29:58] - node _T_3408 = cat(_T_3407, _T_3399) @[Cat.scala 29:58] - buf_samedw_in <= _T_3408 @[el2_lsu_bus_buffer.scala 481:19] - node _T_3409 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3410 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3411 = mux(_T_3409, _T_3410, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] - node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3413 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3414 = mux(_T_3412, _T_3413, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] - node _T_3415 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3416 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3417 = mux(_T_3415, _T_3416, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] - node _T_3418 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:68] - node _T_3419 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 482:86] - node _T_3420 = mux(_T_3418, _T_3419, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 482:50] - node _T_3421 = cat(_T_3420, _T_3417) @[Cat.scala 29:58] - node _T_3422 = cat(_T_3421, _T_3414) @[Cat.scala 29:58] - node _T_3423 = cat(_T_3422, _T_3411) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3423 @[el2_lsu_bus_buffer.scala 482:20] - node _T_3424 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3425 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] - node _T_3426 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:120] - node _T_3427 = and(_T_3425, _T_3426) @[el2_lsu_bus_buffer.scala 483:109] - node _T_3428 = mux(_T_3424, ibuf_dual, _T_3427) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3429 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3430 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] - node _T_3431 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 483:120] - node _T_3432 = and(_T_3430, _T_3431) @[el2_lsu_bus_buffer.scala 483:109] - node _T_3433 = mux(_T_3429, ibuf_dual, _T_3432) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3434 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3435 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] - node _T_3436 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 483:120] - node _T_3437 = and(_T_3435, _T_3436) @[el2_lsu_bus_buffer.scala 483:109] - node _T_3438 = mux(_T_3434, ibuf_dual, _T_3437) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3439 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:67] - node _T_3440 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 483:92] - node _T_3441 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 483:120] - node _T_3442 = and(_T_3440, _T_3441) @[el2_lsu_bus_buffer.scala 483:109] - node _T_3443 = mux(_T_3439, ibuf_dual, _T_3442) @[el2_lsu_bus_buffer.scala 483:49] - node _T_3444 = cat(_T_3443, _T_3438) @[Cat.scala 29:58] - node _T_3445 = cat(_T_3444, _T_3433) @[Cat.scala 29:58] - node _T_3446 = cat(_T_3445, _T_3428) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3446 @[el2_lsu_bus_buffer.scala 483:19] - node _T_3447 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] - node _T_3449 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:127] - node _T_3450 = and(_T_3448, _T_3449) @[el2_lsu_bus_buffer.scala 484:116] - node _T_3451 = mux(_T_3450, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] - node _T_3452 = mux(_T_3447, ibuf_dualtag, _T_3451) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3453 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3454 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] - node _T_3455 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 484:127] - node _T_3456 = and(_T_3454, _T_3455) @[el2_lsu_bus_buffer.scala 484:116] - node _T_3457 = mux(_T_3456, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] - node _T_3458 = mux(_T_3453, ibuf_dualtag, _T_3457) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3459 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] - node _T_3461 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 484:127] - node _T_3462 = and(_T_3460, _T_3461) @[el2_lsu_bus_buffer.scala 484:116] - node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] - node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[el2_lsu_bus_buffer.scala 484:49] - node _T_3465 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:67] - node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:99] - node _T_3467 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 484:127] - node _T_3468 = and(_T_3466, _T_3467) @[el2_lsu_bus_buffer.scala 484:116] - node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 484:89] - node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[el2_lsu_bus_buffer.scala 484:49] - buf_dualtag_in[0] <= _T_3452 @[el2_lsu_bus_buffer.scala 484:20] - buf_dualtag_in[1] <= _T_3458 @[el2_lsu_bus_buffer.scala 484:20] - buf_dualtag_in[2] <= _T_3464 @[el2_lsu_bus_buffer.scala 484:20] - buf_dualtag_in[3] <= _T_3470 @[el2_lsu_bus_buffer.scala 484:20] - node _T_3471 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:71] - node _T_3472 = mux(_T_3471, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3473 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:71] - node _T_3474 = mux(_T_3473, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3475 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:71] - node _T_3476 = mux(_T_3475, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:71] - node _T_3478 = mux(_T_3477, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 485:53] - node _T_3479 = cat(_T_3478, _T_3476) @[Cat.scala 29:58] - node _T_3480 = cat(_T_3479, _T_3474) @[Cat.scala 29:58] - node _T_3481 = cat(_T_3480, _T_3472) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3481 @[el2_lsu_bus_buffer.scala 485:23] - node _T_3482 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3483 = mux(_T_3482, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3484 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3485 = mux(_T_3484, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3486 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3487 = mux(_T_3486, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3488 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:67] - node _T_3489 = mux(_T_3488, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 486:49] - node _T_3490 = cat(_T_3489, _T_3487) @[Cat.scala 29:58] - node _T_3491 = cat(_T_3490, _T_3485) @[Cat.scala 29:58] - node _T_3492 = cat(_T_3491, _T_3483) @[Cat.scala 29:58] - buf_unsign_in <= _T_3492 @[el2_lsu_bus_buffer.scala 486:19] - node _T_3493 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:62] - node _T_3494 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3495 = mux(_T_3493, ibuf_sz, _T_3494) @[el2_lsu_bus_buffer.scala 487:44] - node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:62] - node _T_3497 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3498 = mux(_T_3496, ibuf_sz, _T_3497) @[el2_lsu_bus_buffer.scala 487:44] - node _T_3499 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:62] - node _T_3500 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3501 = mux(_T_3499, ibuf_sz, _T_3500) @[el2_lsu_bus_buffer.scala 487:44] - node _T_3502 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:62] - node _T_3503 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3504 = mux(_T_3502, ibuf_sz, _T_3503) @[el2_lsu_bus_buffer.scala 487:44] - buf_sz_in[0] <= _T_3495 @[el2_lsu_bus_buffer.scala 487:15] - buf_sz_in[1] <= _T_3498 @[el2_lsu_bus_buffer.scala 487:15] - buf_sz_in[2] <= _T_3501 @[el2_lsu_bus_buffer.scala 487:15] - buf_sz_in[3] <= _T_3504 @[el2_lsu_bus_buffer.scala 487:15] - node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3506 = mux(_T_3505, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3507 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3508 = mux(_T_3507, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3509 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3510 = mux(_T_3509, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3511 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:66] - node _T_3512 = mux(_T_3511, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 488:48] - node _T_3513 = cat(_T_3512, _T_3510) @[Cat.scala 29:58] - node _T_3514 = cat(_T_3513, _T_3508) @[Cat.scala 29:58] - node _T_3515 = cat(_T_3514, _T_3506) @[Cat.scala 29:58] - buf_write_in <= _T_3515 @[el2_lsu_bus_buffer.scala 488:18] - node _T_3516 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3516 : @[Conditional.scala 40:58] - node _T_3517 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] - node _T_3518 = mux(_T_3517, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] - buf_nxtstate[0] <= _T_3518 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3519 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] - node _T_3520 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] - node _T_3521 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3522 = and(_T_3520, _T_3521) @[el2_lsu_bus_buffer.scala 494:95] - node _T_3523 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] - node _T_3524 = and(_T_3522, _T_3523) @[el2_lsu_bus_buffer.scala 494:112] - node _T_3525 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] - node _T_3526 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] - node _T_3527 = and(_T_3525, _T_3526) @[el2_lsu_bus_buffer.scala 494:161] - node _T_3528 = or(_T_3524, _T_3527) @[el2_lsu_bus_buffer.scala 494:132] - node _T_3529 = and(_T_3519, _T_3528) @[el2_lsu_bus_buffer.scala 494:63] - node _T_3530 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] - node _T_3531 = and(ibuf_drain_vld, _T_3530) @[el2_lsu_bus_buffer.scala 494:201] - node _T_3532 = or(_T_3529, _T_3531) @[el2_lsu_bus_buffer.scala 494:183] - buf_state_en[0] <= _T_3532 @[el2_lsu_bus_buffer.scala 494:25] - buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 495:22] - buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 496:24] - node _T_3533 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] - node _T_3534 = and(ibuf_drain_vld, _T_3533) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3535 = bits(_T_3534, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3536 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] - node _T_3537 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] - node _T_3538 = mux(_T_3535, _T_3536, _T_3537) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[0] <= _T_3538 @[el2_lsu_bus_buffer.scala 497:24] + node _T_2065 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2066 = cat(_T_2065, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_2067 = bits(_T_2066, 4, 4) @[el2_lsu_bus_buffer.scala 438:39] + node _T_2068 = bits(_T_2066, 5, 5) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2069 = or(_T_2067, _T_2068) @[el2_lsu_bus_buffer.scala 438:42] + node _T_2070 = bits(_T_2066, 6, 6) @[el2_lsu_bus_buffer.scala 438:51] + node _T_2071 = or(_T_2069, _T_2070) @[el2_lsu_bus_buffer.scala 438:48] + node _T_2072 = bits(_T_2066, 7, 7) @[el2_lsu_bus_buffer.scala 438:57] + node _T_2073 = or(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 438:54] + node _T_2074 = bits(_T_2066, 2, 2) @[el2_lsu_bus_buffer.scala 438:64] + node _T_2075 = bits(_T_2066, 3, 3) @[el2_lsu_bus_buffer.scala 438:70] + node _T_2076 = or(_T_2074, _T_2075) @[el2_lsu_bus_buffer.scala 438:67] + node _T_2077 = bits(_T_2066, 6, 6) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2078 = or(_T_2076, _T_2077) @[el2_lsu_bus_buffer.scala 438:73] + node _T_2079 = bits(_T_2066, 7, 7) @[el2_lsu_bus_buffer.scala 438:82] + node _T_2080 = or(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 438:79] + node _T_2081 = bits(_T_2066, 1, 1) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2082 = bits(_T_2066, 3, 3) @[el2_lsu_bus_buffer.scala 438:95] + node _T_2083 = or(_T_2081, _T_2082) @[el2_lsu_bus_buffer.scala 438:92] + node _T_2084 = bits(_T_2066, 5, 5) @[el2_lsu_bus_buffer.scala 438:101] + node _T_2085 = or(_T_2083, _T_2084) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2086 = bits(_T_2066, 7, 7) @[el2_lsu_bus_buffer.scala 438:107] + node _T_2087 = or(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2088 = cat(_T_2073, _T_2080) @[Cat.scala 29:58] + node _T_2089 = cat(_T_2088, _T_2087) @[Cat.scala 29:58] + CmdPtr0 <= _T_2089 @[el2_lsu_bus_buffer.scala 443:11] + node _T_2090 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2091 = cat(_T_2090, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2092 = bits(_T_2091, 4, 4) @[el2_lsu_bus_buffer.scala 438:39] + node _T_2093 = bits(_T_2091, 5, 5) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2094 = or(_T_2092, _T_2093) @[el2_lsu_bus_buffer.scala 438:42] + node _T_2095 = bits(_T_2091, 6, 6) @[el2_lsu_bus_buffer.scala 438:51] + node _T_2096 = or(_T_2094, _T_2095) @[el2_lsu_bus_buffer.scala 438:48] + node _T_2097 = bits(_T_2091, 7, 7) @[el2_lsu_bus_buffer.scala 438:57] + node _T_2098 = or(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 438:54] + node _T_2099 = bits(_T_2091, 2, 2) @[el2_lsu_bus_buffer.scala 438:64] + node _T_2100 = bits(_T_2091, 3, 3) @[el2_lsu_bus_buffer.scala 438:70] + node _T_2101 = or(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 438:67] + node _T_2102 = bits(_T_2091, 6, 6) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2103 = or(_T_2101, _T_2102) @[el2_lsu_bus_buffer.scala 438:73] + node _T_2104 = bits(_T_2091, 7, 7) @[el2_lsu_bus_buffer.scala 438:82] + node _T_2105 = or(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 438:79] + node _T_2106 = bits(_T_2091, 1, 1) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2107 = bits(_T_2091, 3, 3) @[el2_lsu_bus_buffer.scala 438:95] + node _T_2108 = or(_T_2106, _T_2107) @[el2_lsu_bus_buffer.scala 438:92] + node _T_2109 = bits(_T_2091, 5, 5) @[el2_lsu_bus_buffer.scala 438:101] + node _T_2110 = or(_T_2108, _T_2109) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2111 = bits(_T_2091, 7, 7) @[el2_lsu_bus_buffer.scala 438:107] + node _T_2112 = or(_T_2110, _T_2111) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2113 = cat(_T_2098, _T_2105) @[Cat.scala 29:58] + node _T_2114 = cat(_T_2113, _T_2112) @[Cat.scala 29:58] + CmdPtr1 <= _T_2114 @[el2_lsu_bus_buffer.scala 445:11] + node _T_2115 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2116 = cat(_T_2115, RspPtrDec) @[Cat.scala 29:58] + node _T_2117 = bits(_T_2116, 4, 4) @[el2_lsu_bus_buffer.scala 438:39] + node _T_2118 = bits(_T_2116, 5, 5) @[el2_lsu_bus_buffer.scala 438:45] + node _T_2119 = or(_T_2117, _T_2118) @[el2_lsu_bus_buffer.scala 438:42] + node _T_2120 = bits(_T_2116, 6, 6) @[el2_lsu_bus_buffer.scala 438:51] + node _T_2121 = or(_T_2119, _T_2120) @[el2_lsu_bus_buffer.scala 438:48] + node _T_2122 = bits(_T_2116, 7, 7) @[el2_lsu_bus_buffer.scala 438:57] + node _T_2123 = or(_T_2121, _T_2122) @[el2_lsu_bus_buffer.scala 438:54] + node _T_2124 = bits(_T_2116, 2, 2) @[el2_lsu_bus_buffer.scala 438:64] + node _T_2125 = bits(_T_2116, 3, 3) @[el2_lsu_bus_buffer.scala 438:70] + node _T_2126 = or(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 438:67] + node _T_2127 = bits(_T_2116, 6, 6) @[el2_lsu_bus_buffer.scala 438:76] + node _T_2128 = or(_T_2126, _T_2127) @[el2_lsu_bus_buffer.scala 438:73] + node _T_2129 = bits(_T_2116, 7, 7) @[el2_lsu_bus_buffer.scala 438:82] + node _T_2130 = or(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 438:79] + node _T_2131 = bits(_T_2116, 1, 1) @[el2_lsu_bus_buffer.scala 438:89] + node _T_2132 = bits(_T_2116, 3, 3) @[el2_lsu_bus_buffer.scala 438:95] + node _T_2133 = or(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 438:92] + node _T_2134 = bits(_T_2116, 5, 5) @[el2_lsu_bus_buffer.scala 438:101] + node _T_2135 = or(_T_2133, _T_2134) @[el2_lsu_bus_buffer.scala 438:98] + node _T_2136 = bits(_T_2116, 7, 7) @[el2_lsu_bus_buffer.scala 438:107] + node _T_2137 = or(_T_2135, _T_2136) @[el2_lsu_bus_buffer.scala 438:104] + node _T_2138 = cat(_T_2123, _T_2130) @[Cat.scala 29:58] + node _T_2139 = cat(_T_2138, _T_2137) @[Cat.scala 29:58] + RspPtr <= _T_2139 @[el2_lsu_bus_buffer.scala 446:10] + wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 447:26] + buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] + buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] + buf_state_en[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] + buf_state_en[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 448:16] + wire buf_rspageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 449:25] + buf_rspageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] + buf_rspageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] + buf_rspageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] + buf_rspageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 450:15] + wire buf_rspage_set : UInt<4>[4] @[el2_lsu_bus_buffer.scala 451:28] + buf_rspage_set[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] + buf_rspage_set[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] + buf_rspage_set[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] + buf_rspage_set[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 452:18] + wire buf_rspage_in : UInt<4>[4] @[el2_lsu_bus_buffer.scala 453:27] + buf_rspage_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] + buf_rspage_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] + buf_rspage_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] + buf_rspage_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 454:17] + wire buf_rspage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 455:24] + buf_rspage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] + buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] + buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] + buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 456:14] + node _T_2140 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2141 = and(_T_2140, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2142 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2143 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2144 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2145 = and(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2146 = or(_T_2142, _T_2145) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2147 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2148 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2149 = and(_T_2147, _T_2148) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2150 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2151 = and(_T_2149, _T_2150) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2152 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2153 = and(_T_2151, _T_2152) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2154 = or(_T_2146, _T_2153) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2155 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2156 = and(_T_2155, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2157 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2159 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2160 = and(_T_2158, _T_2159) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2161 = or(_T_2154, _T_2160) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2162 = and(_T_2141, _T_2161) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2163 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2164 = or(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2165 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2166 = and(_T_2165, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2167 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2168 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2169 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2170 = and(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2171 = or(_T_2167, _T_2170) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2172 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2173 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2174 = and(_T_2172, _T_2173) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2175 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2176 = and(_T_2174, _T_2175) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2177 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2178 = and(_T_2176, _T_2177) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2179 = or(_T_2171, _T_2178) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2180 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2181 = and(_T_2180, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2182 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2184 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2185 = and(_T_2183, _T_2184) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2186 = or(_T_2179, _T_2185) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2187 = and(_T_2166, _T_2186) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2188 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2189 = or(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2190 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2191 = and(_T_2190, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2192 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2193 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2194 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2195 = and(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2196 = or(_T_2192, _T_2195) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2197 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2198 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2199 = and(_T_2197, _T_2198) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2200 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2201 = and(_T_2199, _T_2200) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2202 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2203 = and(_T_2201, _T_2202) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2204 = or(_T_2196, _T_2203) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2205 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2206 = and(_T_2205, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2207 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2209 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2210 = and(_T_2208, _T_2209) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2211 = or(_T_2204, _T_2210) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2212 = and(_T_2191, _T_2211) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2213 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2214 = or(_T_2212, _T_2213) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2215 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2216 = and(_T_2215, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2217 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2218 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2219 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2220 = and(_T_2218, _T_2219) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2221 = or(_T_2217, _T_2220) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2222 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2223 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2224 = and(_T_2222, _T_2223) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2225 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2226 = and(_T_2224, _T_2225) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2227 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2228 = and(_T_2226, _T_2227) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2229 = or(_T_2221, _T_2228) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2230 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2231 = and(_T_2230, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2232 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2234 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2236 = or(_T_2229, _T_2235) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2237 = and(_T_2216, _T_2236) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2238 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2239 = or(_T_2237, _T_2238) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2240 = cat(_T_2239, _T_2214) @[Cat.scala 29:58] + node _T_2241 = cat(_T_2240, _T_2189) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2241, _T_2164) @[Cat.scala 29:58] + node _T_2242 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2243 = and(_T_2242, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2244 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2245 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2246 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2247 = and(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2248 = or(_T_2244, _T_2247) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2249 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2250 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2251 = and(_T_2249, _T_2250) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2252 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2253 = and(_T_2251, _T_2252) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2254 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2255 = and(_T_2253, _T_2254) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2256 = or(_T_2248, _T_2255) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2257 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2258 = and(_T_2257, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2259 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2261 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2262 = and(_T_2260, _T_2261) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2263 = or(_T_2256, _T_2262) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2264 = and(_T_2243, _T_2263) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2265 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2266 = or(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2267 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2268 = and(_T_2267, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2269 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2270 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2271 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2272 = and(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2273 = or(_T_2269, _T_2272) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2274 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2275 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2276 = and(_T_2274, _T_2275) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2277 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2278 = and(_T_2276, _T_2277) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2279 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2280 = and(_T_2278, _T_2279) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2281 = or(_T_2273, _T_2280) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2282 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2283 = and(_T_2282, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2284 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2286 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2287 = and(_T_2285, _T_2286) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2288 = or(_T_2281, _T_2287) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2289 = and(_T_2268, _T_2288) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2290 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2291 = or(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2292 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2293 = and(_T_2292, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2294 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2295 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2296 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2297 = and(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2298 = or(_T_2294, _T_2297) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2299 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2300 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2301 = and(_T_2299, _T_2300) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2302 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2303 = and(_T_2301, _T_2302) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2304 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2305 = and(_T_2303, _T_2304) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2306 = or(_T_2298, _T_2305) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2307 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2308 = and(_T_2307, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2309 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2311 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2312 = and(_T_2310, _T_2311) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2313 = or(_T_2306, _T_2312) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2314 = and(_T_2293, _T_2313) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2315 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2316 = or(_T_2314, _T_2315) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2317 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2318 = and(_T_2317, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2319 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2320 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2321 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2322 = and(_T_2320, _T_2321) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2323 = or(_T_2319, _T_2322) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2324 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2325 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2326 = and(_T_2324, _T_2325) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2327 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2328 = and(_T_2326, _T_2327) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2329 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2330 = and(_T_2328, _T_2329) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2331 = or(_T_2323, _T_2330) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2332 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2333 = and(_T_2332, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2334 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2336 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2338 = or(_T_2331, _T_2337) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2339 = and(_T_2318, _T_2338) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2340 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2341 = or(_T_2339, _T_2340) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2342 = cat(_T_2341, _T_2316) @[Cat.scala 29:58] + node _T_2343 = cat(_T_2342, _T_2291) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2343, _T_2266) @[Cat.scala 29:58] + node _T_2344 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2345 = and(_T_2344, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2346 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2347 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2348 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2349 = and(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2350 = or(_T_2346, _T_2349) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2351 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2352 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2353 = and(_T_2351, _T_2352) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2354 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2355 = and(_T_2353, _T_2354) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2356 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2357 = and(_T_2355, _T_2356) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2358 = or(_T_2350, _T_2357) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2359 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2360 = and(_T_2359, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2361 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2363 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2364 = and(_T_2362, _T_2363) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2365 = or(_T_2358, _T_2364) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2366 = and(_T_2345, _T_2365) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2367 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2368 = or(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2369 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2370 = and(_T_2369, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2371 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2372 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2373 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2374 = and(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2375 = or(_T_2371, _T_2374) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2376 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2377 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2378 = and(_T_2376, _T_2377) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2379 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2380 = and(_T_2378, _T_2379) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2381 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2382 = and(_T_2380, _T_2381) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2383 = or(_T_2375, _T_2382) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2384 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2385 = and(_T_2384, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2386 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2388 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2389 = and(_T_2387, _T_2388) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2390 = or(_T_2383, _T_2389) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2391 = and(_T_2370, _T_2390) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2392 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2393 = or(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2394 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2395 = and(_T_2394, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2396 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2397 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2398 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2399 = and(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2400 = or(_T_2396, _T_2399) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2401 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2402 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2403 = and(_T_2401, _T_2402) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2404 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2405 = and(_T_2403, _T_2404) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2406 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2407 = and(_T_2405, _T_2406) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2408 = or(_T_2400, _T_2407) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2409 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2410 = and(_T_2409, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2411 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2413 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2414 = and(_T_2412, _T_2413) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2415 = or(_T_2408, _T_2414) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2416 = and(_T_2395, _T_2415) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2417 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2418 = or(_T_2416, _T_2417) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2419 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2420 = and(_T_2419, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2421 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2422 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2423 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2424 = and(_T_2422, _T_2423) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2425 = or(_T_2421, _T_2424) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2426 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2427 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2428 = and(_T_2426, _T_2427) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2429 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2430 = and(_T_2428, _T_2429) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2431 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2432 = and(_T_2430, _T_2431) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2433 = or(_T_2425, _T_2432) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2434 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2435 = and(_T_2434, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2436 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2437 = and(_T_2435, _T_2436) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2438 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2439 = and(_T_2437, _T_2438) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2440 = or(_T_2433, _T_2439) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2441 = and(_T_2420, _T_2440) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2442 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2443 = or(_T_2441, _T_2442) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2444 = cat(_T_2443, _T_2418) @[Cat.scala 29:58] + node _T_2445 = cat(_T_2444, _T_2393) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2445, _T_2368) @[Cat.scala 29:58] + node _T_2446 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2447 = and(_T_2446, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2448 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2449 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2450 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2451 = and(_T_2449, _T_2450) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2452 = or(_T_2448, _T_2451) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2453 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2454 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2455 = and(_T_2453, _T_2454) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2456 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2457 = and(_T_2455, _T_2456) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2458 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2459 = and(_T_2457, _T_2458) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2460 = or(_T_2452, _T_2459) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2461 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2462 = and(_T_2461, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2463 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2464 = and(_T_2462, _T_2463) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2465 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2466 = and(_T_2464, _T_2465) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2467 = or(_T_2460, _T_2466) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2468 = and(_T_2447, _T_2467) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2469 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2470 = or(_T_2468, _T_2469) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2471 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2472 = and(_T_2471, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2473 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2474 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2475 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2476 = and(_T_2474, _T_2475) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2477 = or(_T_2473, _T_2476) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2478 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2479 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2480 = and(_T_2478, _T_2479) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2481 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2482 = and(_T_2480, _T_2481) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2483 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2484 = and(_T_2482, _T_2483) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2485 = or(_T_2477, _T_2484) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2486 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2487 = and(_T_2486, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2488 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2489 = and(_T_2487, _T_2488) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2490 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2491 = and(_T_2489, _T_2490) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2492 = or(_T_2485, _T_2491) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2493 = and(_T_2472, _T_2492) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2494 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2495 = or(_T_2493, _T_2494) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2496 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2497 = and(_T_2496, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2498 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2499 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2500 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2501 = and(_T_2499, _T_2500) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2502 = or(_T_2498, _T_2501) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2503 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2504 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2505 = and(_T_2503, _T_2504) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2506 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2507 = and(_T_2505, _T_2506) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2508 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2509 = and(_T_2507, _T_2508) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2510 = or(_T_2502, _T_2509) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2511 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2512 = and(_T_2511, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2513 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2514 = and(_T_2512, _T_2513) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2515 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2516 = and(_T_2514, _T_2515) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2517 = or(_T_2510, _T_2516) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2518 = and(_T_2497, _T_2517) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2519 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2520 = or(_T_2518, _T_2519) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2521 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 458:83] + node _T_2522 = and(_T_2521, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 458:94] + node _T_2523 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:20] + node _T_2524 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 459:47] + node _T_2525 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 459:59] + node _T_2526 = and(_T_2524, _T_2525) @[el2_lsu_bus_buffer.scala 459:57] + node _T_2527 = or(_T_2523, _T_2526) @[el2_lsu_bus_buffer.scala 459:31] + node _T_2528 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 460:23] + node _T_2529 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:53] + node _T_2530 = and(_T_2528, _T_2529) @[el2_lsu_bus_buffer.scala 460:41] + node _T_2531 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:83] + node _T_2532 = and(_T_2530, _T_2531) @[el2_lsu_bus_buffer.scala 460:71] + node _T_2533 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 460:104] + node _T_2534 = and(_T_2532, _T_2533) @[el2_lsu_bus_buffer.scala 460:92] + node _T_2535 = or(_T_2527, _T_2534) @[el2_lsu_bus_buffer.scala 459:86] + node _T_2536 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 461:17] + node _T_2537 = and(_T_2536, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 461:35] + node _T_2538 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:64] + node _T_2539 = and(_T_2537, _T_2538) @[el2_lsu_bus_buffer.scala 461:52] + node _T_2540 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 461:85] + node _T_2541 = and(_T_2539, _T_2540) @[el2_lsu_bus_buffer.scala 461:73] + node _T_2542 = or(_T_2535, _T_2541) @[el2_lsu_bus_buffer.scala 460:114] + node _T_2543 = and(_T_2522, _T_2542) @[el2_lsu_bus_buffer.scala 458:113] + node _T_2544 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 461:109] + node _T_2545 = or(_T_2543, _T_2544) @[el2_lsu_bus_buffer.scala 461:97] + node _T_2546 = cat(_T_2545, _T_2520) @[Cat.scala 29:58] + node _T_2547 = cat(_T_2546, _T_2495) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2547, _T_2470) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 462:22] + buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] + buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] + buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] + buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 463:12] + node _T_2548 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2549 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2550 = and(_T_2549, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2551 = and(_T_2548, _T_2550) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2552 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2553 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2554 = and(_T_2553, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2555 = and(_T_2552, _T_2554) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2556 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2557 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2558 = and(_T_2557, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2559 = and(_T_2556, _T_2558) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2560 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2561 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2562 = and(_T_2561, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2563 = and(_T_2560, _T_2562) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2564 = cat(_T_2563, _T_2559) @[Cat.scala 29:58] + node _T_2565 = cat(_T_2564, _T_2555) @[Cat.scala 29:58] + node _T_2566 = cat(_T_2565, _T_2551) @[Cat.scala 29:58] + node _T_2567 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2568 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2569 = and(_T_2568, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2570 = and(_T_2567, _T_2569) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2571 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2572 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2573 = and(_T_2572, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2574 = and(_T_2571, _T_2573) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2575 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2576 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2577 = and(_T_2576, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2578 = and(_T_2575, _T_2577) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2579 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2580 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2581 = and(_T_2580, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2582 = and(_T_2579, _T_2581) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2583 = cat(_T_2582, _T_2578) @[Cat.scala 29:58] + node _T_2584 = cat(_T_2583, _T_2574) @[Cat.scala 29:58] + node _T_2585 = cat(_T_2584, _T_2570) @[Cat.scala 29:58] + node _T_2586 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2587 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2588 = and(_T_2587, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2589 = and(_T_2586, _T_2588) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2590 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2591 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2592 = and(_T_2591, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2593 = and(_T_2590, _T_2592) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2594 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2595 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2596 = and(_T_2595, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2597 = and(_T_2594, _T_2596) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2598 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2599 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2600 = and(_T_2599, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2601 = and(_T_2598, _T_2600) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2602 = cat(_T_2601, _T_2597) @[Cat.scala 29:58] + node _T_2603 = cat(_T_2602, _T_2593) @[Cat.scala 29:58] + node _T_2604 = cat(_T_2603, _T_2589) @[Cat.scala 29:58] + node _T_2605 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2608 = and(_T_2605, _T_2607) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2609 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2610 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2611 = and(_T_2610, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2612 = and(_T_2609, _T_2611) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2613 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2614 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2615 = and(_T_2614, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2616 = and(_T_2613, _T_2615) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2617 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 464:74] + node _T_2618 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 464:94] + node _T_2619 = and(_T_2618, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 464:104] + node _T_2620 = and(_T_2617, _T_2619) @[el2_lsu_bus_buffer.scala 464:78] + node _T_2621 = cat(_T_2620, _T_2616) @[Cat.scala 29:58] + node _T_2622 = cat(_T_2621, _T_2612) @[Cat.scala 29:58] + node _T_2623 = cat(_T_2622, _T_2608) @[Cat.scala 29:58] + buf_age[0] <= _T_2566 @[el2_lsu_bus_buffer.scala 464:13] + buf_age[1] <= _T_2585 @[el2_lsu_bus_buffer.scala 464:13] + buf_age[2] <= _T_2604 @[el2_lsu_bus_buffer.scala 464:13] + buf_age[3] <= _T_2623 @[el2_lsu_bus_buffer.scala 464:13] + node _T_2624 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2625 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2626 = eq(_T_2625, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2627 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2628 = and(_T_2626, _T_2627) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2629 = mux(_T_2624, UInt<1>("h00"), _T_2628) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2630 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2631 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2632 = eq(_T_2631, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2633 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2634 = and(_T_2632, _T_2633) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2635 = mux(_T_2630, UInt<1>("h00"), _T_2634) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2636 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2637 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2638 = eq(_T_2637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2639 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2640 = and(_T_2638, _T_2639) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2641 = mux(_T_2636, UInt<1>("h00"), _T_2640) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2642 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2643 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2644 = eq(_T_2643, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2645 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2646 = and(_T_2644, _T_2645) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2647 = mux(_T_2642, UInt<1>("h00"), _T_2646) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2648 = cat(_T_2647, _T_2641) @[Cat.scala 29:58] + node _T_2649 = cat(_T_2648, _T_2635) @[Cat.scala 29:58] + node _T_2650 = cat(_T_2649, _T_2629) @[Cat.scala 29:58] + node _T_2651 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2652 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2653 = eq(_T_2652, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2654 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2655 = and(_T_2653, _T_2654) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2656 = mux(_T_2651, UInt<1>("h00"), _T_2655) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2657 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2658 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2659 = eq(_T_2658, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2660 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2661 = and(_T_2659, _T_2660) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2662 = mux(_T_2657, UInt<1>("h00"), _T_2661) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2663 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2664 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2665 = eq(_T_2664, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2666 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2667 = and(_T_2665, _T_2666) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2668 = mux(_T_2663, UInt<1>("h00"), _T_2667) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2669 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2670 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2671 = eq(_T_2670, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2672 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2673 = and(_T_2671, _T_2672) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2674 = mux(_T_2669, UInt<1>("h00"), _T_2673) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2675 = cat(_T_2674, _T_2668) @[Cat.scala 29:58] + node _T_2676 = cat(_T_2675, _T_2662) @[Cat.scala 29:58] + node _T_2677 = cat(_T_2676, _T_2656) @[Cat.scala 29:58] + node _T_2678 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2679 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2680 = eq(_T_2679, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2681 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2682 = and(_T_2680, _T_2681) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2683 = mux(_T_2678, UInt<1>("h00"), _T_2682) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2684 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2685 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2686 = eq(_T_2685, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2687 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2688 = and(_T_2686, _T_2687) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2689 = mux(_T_2684, UInt<1>("h00"), _T_2688) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2690 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2691 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2692 = eq(_T_2691, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2693 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2694 = and(_T_2692, _T_2693) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2695 = mux(_T_2690, UInt<1>("h00"), _T_2694) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2696 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2697 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2698 = eq(_T_2697, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2699 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2701 = mux(_T_2696, UInt<1>("h00"), _T_2700) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2702 = cat(_T_2701, _T_2695) @[Cat.scala 29:58] + node _T_2703 = cat(_T_2702, _T_2689) @[Cat.scala 29:58] + node _T_2704 = cat(_T_2703, _T_2683) @[Cat.scala 29:58] + node _T_2705 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2706 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2707 = eq(_T_2706, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2708 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2710 = mux(_T_2705, UInt<1>("h00"), _T_2709) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2711 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2712 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2713 = eq(_T_2712, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2714 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2715 = and(_T_2713, _T_2714) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2716 = mux(_T_2711, UInt<1>("h00"), _T_2715) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2717 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2718 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2719 = eq(_T_2718, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2720 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2721 = and(_T_2719, _T_2720) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2722 = mux(_T_2717, UInt<1>("h00"), _T_2721) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2723 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 465:78] + node _T_2724 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 465:102] + node _T_2725 = eq(_T_2724, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 465:91] + node _T_2726 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 465:121] + node _T_2727 = and(_T_2725, _T_2726) @[el2_lsu_bus_buffer.scala 465:106] + node _T_2728 = mux(_T_2723, UInt<1>("h00"), _T_2727) @[el2_lsu_bus_buffer.scala 465:74] + node _T_2729 = cat(_T_2728, _T_2722) @[Cat.scala 29:58] + node _T_2730 = cat(_T_2729, _T_2716) @[Cat.scala 29:58] + node _T_2731 = cat(_T_2730, _T_2710) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2650 @[el2_lsu_bus_buffer.scala 465:21] + buf_age_younger[1] <= _T_2677 @[el2_lsu_bus_buffer.scala 465:21] + buf_age_younger[2] <= _T_2704 @[el2_lsu_bus_buffer.scala 465:21] + buf_age_younger[3] <= _T_2731 @[el2_lsu_bus_buffer.scala 465:21] + node _T_2732 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2733 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2734 = and(_T_2732, _T_2733) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2735 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2736 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2737 = and(_T_2735, _T_2736) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2738 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2739 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2740 = and(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2741 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2742 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2743 = and(_T_2741, _T_2742) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2744 = cat(_T_2743, _T_2740) @[Cat.scala 29:58] + node _T_2745 = cat(_T_2744, _T_2737) @[Cat.scala 29:58] + node _T_2746 = cat(_T_2745, _T_2734) @[Cat.scala 29:58] + node _T_2747 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2748 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2750 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2751 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2752 = and(_T_2750, _T_2751) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2753 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2754 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2755 = and(_T_2753, _T_2754) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2756 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2757 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2758 = and(_T_2756, _T_2757) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2759 = cat(_T_2758, _T_2755) @[Cat.scala 29:58] + node _T_2760 = cat(_T_2759, _T_2752) @[Cat.scala 29:58] + node _T_2761 = cat(_T_2760, _T_2749) @[Cat.scala 29:58] + node _T_2762 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2763 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2764 = and(_T_2762, _T_2763) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2765 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2766 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2767 = and(_T_2765, _T_2766) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2768 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2769 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2770 = and(_T_2768, _T_2769) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2771 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2772 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2774 = cat(_T_2773, _T_2770) @[Cat.scala 29:58] + node _T_2775 = cat(_T_2774, _T_2767) @[Cat.scala 29:58] + node _T_2776 = cat(_T_2775, _T_2764) @[Cat.scala 29:58] + node _T_2777 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2778 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2779 = and(_T_2777, _T_2778) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2780 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2781 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2782 = and(_T_2780, _T_2781) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2783 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2784 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2785 = and(_T_2783, _T_2784) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2786 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 466:85] + node _T_2787 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 466:104] + node _T_2788 = and(_T_2786, _T_2787) @[el2_lsu_bus_buffer.scala 466:89] + node _T_2789 = cat(_T_2788, _T_2785) @[Cat.scala 29:58] + node _T_2790 = cat(_T_2789, _T_2782) @[Cat.scala 29:58] + node _T_2791 = cat(_T_2790, _T_2779) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2746 @[el2_lsu_bus_buffer.scala 466:21] + buf_rsp_pickage[1] <= _T_2761 @[el2_lsu_bus_buffer.scala 466:21] + buf_rsp_pickage[2] <= _T_2776 @[el2_lsu_bus_buffer.scala 466:21] + buf_rsp_pickage[3] <= _T_2791 @[el2_lsu_bus_buffer.scala 466:21] + node _T_2792 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2793 = and(_T_2792, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2794 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2795 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2796 = or(_T_2794, _T_2795) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2797 = eq(_T_2796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2798 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2799 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2801 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2803 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2804 = and(_T_2802, _T_2803) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2805 = or(_T_2797, _T_2804) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2806 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2807 = and(_T_2806, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2808 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2809 = and(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2810 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2811 = and(_T_2809, _T_2810) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2812 = or(_T_2805, _T_2811) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2813 = and(_T_2793, _T_2812) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2814 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2815 = and(_T_2814, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2816 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2817 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2818 = or(_T_2816, _T_2817) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2819 = eq(_T_2818, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2820 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2821 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2823 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2825 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2826 = and(_T_2824, _T_2825) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2827 = or(_T_2819, _T_2826) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2828 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2829 = and(_T_2828, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2830 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2831 = and(_T_2829, _T_2830) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2832 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2833 = and(_T_2831, _T_2832) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2834 = or(_T_2827, _T_2833) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2835 = and(_T_2815, _T_2834) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2836 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2837 = and(_T_2836, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2838 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2839 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2840 = or(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2841 = eq(_T_2840, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2842 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2843 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2844 = and(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2845 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2846 = and(_T_2844, _T_2845) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2847 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2848 = and(_T_2846, _T_2847) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2849 = or(_T_2841, _T_2848) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2850 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2851 = and(_T_2850, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2852 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2853 = and(_T_2851, _T_2852) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2854 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2855 = and(_T_2853, _T_2854) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2856 = or(_T_2849, _T_2855) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2857 = and(_T_2837, _T_2856) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2858 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2859 = and(_T_2858, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2860 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2861 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2862 = or(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2863 = eq(_T_2862, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2864 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2865 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2866 = and(_T_2864, _T_2865) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2867 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2868 = and(_T_2866, _T_2867) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2869 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2870 = and(_T_2868, _T_2869) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2871 = or(_T_2863, _T_2870) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2872 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2873 = and(_T_2872, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2874 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2875 = and(_T_2873, _T_2874) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2876 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2877 = and(_T_2875, _T_2876) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2878 = or(_T_2871, _T_2877) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2879 = and(_T_2859, _T_2878) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2880 = cat(_T_2879, _T_2857) @[Cat.scala 29:58] + node _T_2881 = cat(_T_2880, _T_2835) @[Cat.scala 29:58] + node _T_2882 = cat(_T_2881, _T_2813) @[Cat.scala 29:58] + node _T_2883 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2884 = and(_T_2883, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2885 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2886 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2887 = or(_T_2885, _T_2886) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2888 = eq(_T_2887, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2889 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2890 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2892 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2894 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2895 = and(_T_2893, _T_2894) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2896 = or(_T_2888, _T_2895) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2897 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2898 = and(_T_2897, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2899 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2900 = and(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2901 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2903 = or(_T_2896, _T_2902) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2904 = and(_T_2884, _T_2903) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2905 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2906 = and(_T_2905, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2907 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2908 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2909 = or(_T_2907, _T_2908) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2910 = eq(_T_2909, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2911 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2912 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2914 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2916 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2917 = and(_T_2915, _T_2916) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2918 = or(_T_2910, _T_2917) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2919 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2920 = and(_T_2919, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2921 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2922 = and(_T_2920, _T_2921) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2923 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2924 = and(_T_2922, _T_2923) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2925 = or(_T_2918, _T_2924) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2926 = and(_T_2906, _T_2925) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2927 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2928 = and(_T_2927, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2929 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2930 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2931 = or(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2932 = eq(_T_2931, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2933 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2934 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2935 = and(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2936 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2937 = and(_T_2935, _T_2936) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2938 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2939 = and(_T_2937, _T_2938) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2940 = or(_T_2932, _T_2939) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2941 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2942 = and(_T_2941, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2943 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2944 = and(_T_2942, _T_2943) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2945 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2946 = and(_T_2944, _T_2945) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2947 = or(_T_2940, _T_2946) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2948 = and(_T_2928, _T_2947) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2949 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2950 = and(_T_2949, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2951 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2952 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2953 = or(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2954 = eq(_T_2953, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2955 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2956 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2957 = and(_T_2955, _T_2956) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2958 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2959 = and(_T_2957, _T_2958) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2960 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2961 = and(_T_2959, _T_2960) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2962 = or(_T_2954, _T_2961) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2963 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2964 = and(_T_2963, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2965 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2966 = and(_T_2964, _T_2965) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2967 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2968 = and(_T_2966, _T_2967) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2969 = or(_T_2962, _T_2968) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2970 = and(_T_2950, _T_2969) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2971 = cat(_T_2970, _T_2948) @[Cat.scala 29:58] + node _T_2972 = cat(_T_2971, _T_2926) @[Cat.scala 29:58] + node _T_2973 = cat(_T_2972, _T_2904) @[Cat.scala 29:58] + node _T_2974 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2975 = and(_T_2974, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2976 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2977 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_2978 = or(_T_2976, _T_2977) @[el2_lsu_bus_buffer.scala 469:34] + node _T_2979 = eq(_T_2978, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_2980 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_2981 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 470:43] + node _T_2983 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 470:73] + node _T_2985 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_2986 = and(_T_2984, _T_2985) @[el2_lsu_bus_buffer.scala 470:92] + node _T_2987 = or(_T_2979, _T_2986) @[el2_lsu_bus_buffer.scala 469:61] + node _T_2988 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_2989 = and(_T_2988, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_2990 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_2991 = and(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 471:54] + node _T_2992 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 471:73] + node _T_2994 = or(_T_2987, _T_2993) @[el2_lsu_bus_buffer.scala 470:112] + node _T_2995 = and(_T_2975, _T_2994) @[el2_lsu_bus_buffer.scala 468:114] + node _T_2996 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_2997 = and(_T_2996, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_2998 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_2999 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3000 = or(_T_2998, _T_2999) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3001 = eq(_T_3000, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3002 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3003 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3005 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3007 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3008 = and(_T_3006, _T_3007) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3009 = or(_T_3001, _T_3008) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3010 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3011 = and(_T_3010, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3012 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3013 = and(_T_3011, _T_3012) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3014 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3015 = and(_T_3013, _T_3014) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3016 = or(_T_3009, _T_3015) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3017 = and(_T_2997, _T_3016) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3018 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3019 = and(_T_3018, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3020 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3021 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3022 = or(_T_3020, _T_3021) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3023 = eq(_T_3022, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3024 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3025 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3026 = and(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3027 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3028 = and(_T_3026, _T_3027) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3029 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3030 = and(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3031 = or(_T_3023, _T_3030) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3032 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3033 = and(_T_3032, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3034 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3035 = and(_T_3033, _T_3034) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3036 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3037 = and(_T_3035, _T_3036) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3038 = or(_T_3031, _T_3037) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3039 = and(_T_3019, _T_3038) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3040 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3041 = and(_T_3040, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3042 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3043 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3044 = or(_T_3042, _T_3043) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3045 = eq(_T_3044, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3046 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3047 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3048 = and(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3049 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3050 = and(_T_3048, _T_3049) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3051 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3052 = and(_T_3050, _T_3051) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3053 = or(_T_3045, _T_3052) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3054 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3055 = and(_T_3054, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3056 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3057 = and(_T_3055, _T_3056) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3058 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3059 = and(_T_3057, _T_3058) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3060 = or(_T_3053, _T_3059) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3061 = and(_T_3041, _T_3060) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3062 = cat(_T_3061, _T_3039) @[Cat.scala 29:58] + node _T_3063 = cat(_T_3062, _T_3017) @[Cat.scala 29:58] + node _T_3064 = cat(_T_3063, _T_2995) @[Cat.scala 29:58] + node _T_3065 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3066 = and(_T_3065, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3067 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3068 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3069 = or(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3070 = eq(_T_3069, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3071 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3072 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3073 = and(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3074 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3075 = and(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3076 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3077 = and(_T_3075, _T_3076) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3078 = or(_T_3070, _T_3077) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3079 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3080 = and(_T_3079, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3081 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3082 = and(_T_3080, _T_3081) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3083 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3084 = and(_T_3082, _T_3083) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3085 = or(_T_3078, _T_3084) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3086 = and(_T_3066, _T_3085) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3087 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3088 = and(_T_3087, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3089 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3090 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3091 = or(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3092 = eq(_T_3091, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3093 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3094 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3095 = and(_T_3093, _T_3094) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3096 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3097 = and(_T_3095, _T_3096) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3098 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3099 = and(_T_3097, _T_3098) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3100 = or(_T_3092, _T_3099) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3101 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3102 = and(_T_3101, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3103 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3104 = and(_T_3102, _T_3103) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3105 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3106 = and(_T_3104, _T_3105) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3107 = or(_T_3100, _T_3106) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3108 = and(_T_3088, _T_3107) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3109 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3110 = and(_T_3109, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3111 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3112 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3113 = or(_T_3111, _T_3112) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3114 = eq(_T_3113, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3115 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3116 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3117 = and(_T_3115, _T_3116) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3118 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3119 = and(_T_3117, _T_3118) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3120 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3121 = and(_T_3119, _T_3120) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3122 = or(_T_3114, _T_3121) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3123 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3124 = and(_T_3123, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3125 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3126 = and(_T_3124, _T_3125) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3127 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3128 = and(_T_3126, _T_3127) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3129 = or(_T_3122, _T_3128) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3130 = and(_T_3110, _T_3129) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3131 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 468:84] + node _T_3132 = and(_T_3131, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 468:95] + node _T_3133 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 469:23] + node _T_3134 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 469:49] + node _T_3135 = or(_T_3133, _T_3134) @[el2_lsu_bus_buffer.scala 469:34] + node _T_3136 = eq(_T_3135, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 469:8] + node _T_3137 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 470:25] + node _T_3138 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 470:55] + node _T_3139 = and(_T_3137, _T_3138) @[el2_lsu_bus_buffer.scala 470:43] + node _T_3140 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:84] + node _T_3141 = and(_T_3139, _T_3140) @[el2_lsu_bus_buffer.scala 470:73] + node _T_3142 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 470:103] + node _T_3143 = and(_T_3141, _T_3142) @[el2_lsu_bus_buffer.scala 470:92] + node _T_3144 = or(_T_3136, _T_3143) @[el2_lsu_bus_buffer.scala 469:61] + node _T_3145 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 471:19] + node _T_3146 = and(_T_3145, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 471:37] + node _T_3147 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:65] + node _T_3148 = and(_T_3146, _T_3147) @[el2_lsu_bus_buffer.scala 471:54] + node _T_3149 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 471:84] + node _T_3150 = and(_T_3148, _T_3149) @[el2_lsu_bus_buffer.scala 471:73] + node _T_3151 = or(_T_3144, _T_3150) @[el2_lsu_bus_buffer.scala 470:112] + node _T_3152 = and(_T_3132, _T_3151) @[el2_lsu_bus_buffer.scala 468:114] + node _T_3153 = cat(_T_3152, _T_3130) @[Cat.scala 29:58] + node _T_3154 = cat(_T_3153, _T_3108) @[Cat.scala 29:58] + node _T_3155 = cat(_T_3154, _T_3086) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2882 @[el2_lsu_bus_buffer.scala 468:20] + buf_rspage_set[1] <= _T_2973 @[el2_lsu_bus_buffer.scala 468:20] + buf_rspage_set[2] <= _T_3064 @[el2_lsu_bus_buffer.scala 468:20] + buf_rspage_set[3] <= _T_3155 @[el2_lsu_bus_buffer.scala 468:20] + node _T_3156 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3157 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3158 = or(_T_3156, _T_3157) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3159 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3160 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3161 = or(_T_3159, _T_3160) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3162 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3163 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3164 = or(_T_3162, _T_3163) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3165 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3166 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3167 = or(_T_3165, _T_3166) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3168 = cat(_T_3167, _T_3164) @[Cat.scala 29:58] + node _T_3169 = cat(_T_3168, _T_3161) @[Cat.scala 29:58] + node _T_3170 = cat(_T_3169, _T_3158) @[Cat.scala 29:58] + node _T_3171 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3172 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3173 = or(_T_3171, _T_3172) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3174 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3175 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3176 = or(_T_3174, _T_3175) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3177 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3178 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3179 = or(_T_3177, _T_3178) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3180 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3181 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3182 = or(_T_3180, _T_3181) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3183 = cat(_T_3182, _T_3179) @[Cat.scala 29:58] + node _T_3184 = cat(_T_3183, _T_3176) @[Cat.scala 29:58] + node _T_3185 = cat(_T_3184, _T_3173) @[Cat.scala 29:58] + node _T_3186 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3187 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3188 = or(_T_3186, _T_3187) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3189 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3190 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3191 = or(_T_3189, _T_3190) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3192 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3193 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3194 = or(_T_3192, _T_3193) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3195 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3196 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3197 = or(_T_3195, _T_3196) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3198 = cat(_T_3197, _T_3194) @[Cat.scala 29:58] + node _T_3199 = cat(_T_3198, _T_3191) @[Cat.scala 29:58] + node _T_3200 = cat(_T_3199, _T_3188) @[Cat.scala 29:58] + node _T_3201 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3202 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3203 = or(_T_3201, _T_3202) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3204 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3205 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3206 = or(_T_3204, _T_3205) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3207 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3208 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3209 = or(_T_3207, _T_3208) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3210 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:86] + node _T_3211 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 472:105] + node _T_3212 = or(_T_3210, _T_3211) @[el2_lsu_bus_buffer.scala 472:90] + node _T_3213 = cat(_T_3212, _T_3209) @[Cat.scala 29:58] + node _T_3214 = cat(_T_3213, _T_3206) @[Cat.scala 29:58] + node _T_3215 = cat(_T_3214, _T_3203) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3170 @[el2_lsu_bus_buffer.scala 472:19] + buf_rspage_in[1] <= _T_3185 @[el2_lsu_bus_buffer.scala 472:19] + buf_rspage_in[2] <= _T_3200 @[el2_lsu_bus_buffer.scala 472:19] + buf_rspage_in[3] <= _T_3215 @[el2_lsu_bus_buffer.scala 472:19] + node _T_3216 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3217 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3218 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3219 = or(_T_3217, _T_3218) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3220 = eq(_T_3219, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3221 = and(_T_3216, _T_3220) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3222 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3223 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3224 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3225 = or(_T_3223, _T_3224) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3226 = eq(_T_3225, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3227 = and(_T_3222, _T_3226) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3228 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3229 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3230 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3231 = or(_T_3229, _T_3230) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3232 = eq(_T_3231, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3233 = and(_T_3228, _T_3232) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3234 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3235 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3236 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3237 = or(_T_3235, _T_3236) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3238 = eq(_T_3237, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3239 = and(_T_3234, _T_3238) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3240 = cat(_T_3239, _T_3233) @[Cat.scala 29:58] + node _T_3241 = cat(_T_3240, _T_3227) @[Cat.scala 29:58] + node _T_3242 = cat(_T_3241, _T_3221) @[Cat.scala 29:58] + node _T_3243 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3244 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3245 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3246 = or(_T_3244, _T_3245) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3247 = eq(_T_3246, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3248 = and(_T_3243, _T_3247) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3249 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3250 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3251 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3252 = or(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3253 = eq(_T_3252, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3254 = and(_T_3249, _T_3253) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3255 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3256 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3257 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3258 = or(_T_3256, _T_3257) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3259 = eq(_T_3258, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3260 = and(_T_3255, _T_3259) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3261 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3262 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3263 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3264 = or(_T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3265 = eq(_T_3264, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3266 = and(_T_3261, _T_3265) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3267 = cat(_T_3266, _T_3260) @[Cat.scala 29:58] + node _T_3268 = cat(_T_3267, _T_3254) @[Cat.scala 29:58] + node _T_3269 = cat(_T_3268, _T_3248) @[Cat.scala 29:58] + node _T_3270 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3271 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3272 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3273 = or(_T_3271, _T_3272) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3274 = eq(_T_3273, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3275 = and(_T_3270, _T_3274) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3276 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3277 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3278 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3279 = or(_T_3277, _T_3278) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3280 = eq(_T_3279, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3281 = and(_T_3276, _T_3280) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3282 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3283 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3284 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3285 = or(_T_3283, _T_3284) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3286 = eq(_T_3285, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3287 = and(_T_3282, _T_3286) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3288 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3289 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3290 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3291 = or(_T_3289, _T_3290) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3292 = eq(_T_3291, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3293 = and(_T_3288, _T_3292) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3294 = cat(_T_3293, _T_3287) @[Cat.scala 29:58] + node _T_3295 = cat(_T_3294, _T_3281) @[Cat.scala 29:58] + node _T_3296 = cat(_T_3295, _T_3275) @[Cat.scala 29:58] + node _T_3297 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3298 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3299 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3300 = or(_T_3298, _T_3299) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3301 = eq(_T_3300, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3302 = and(_T_3297, _T_3301) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3303 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3304 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3305 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3306 = or(_T_3304, _T_3305) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3307 = eq(_T_3306, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3308 = and(_T_3303, _T_3307) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3309 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3310 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3311 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3312 = or(_T_3310, _T_3311) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3313 = eq(_T_3312, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3314 = and(_T_3309, _T_3313) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3315 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 473:80] + node _T_3316 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 473:101] + node _T_3317 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 473:127] + node _T_3318 = or(_T_3316, _T_3317) @[el2_lsu_bus_buffer.scala 473:112] + node _T_3319 = eq(_T_3318, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 473:86] + node _T_3320 = and(_T_3315, _T_3319) @[el2_lsu_bus_buffer.scala 473:84] + node _T_3321 = cat(_T_3320, _T_3314) @[Cat.scala 29:58] + node _T_3322 = cat(_T_3321, _T_3308) @[Cat.scala 29:58] + node _T_3323 = cat(_T_3322, _T_3302) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3242 @[el2_lsu_bus_buffer.scala 473:16] + buf_rspage[1] <= _T_3269 @[el2_lsu_bus_buffer.scala 473:16] + buf_rspage[2] <= _T_3296 @[el2_lsu_bus_buffer.scala 473:16] + buf_rspage[3] <= _T_3323 @[el2_lsu_bus_buffer.scala 473:16] + node _T_3324 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3325 = and(ibuf_drain_vld, _T_3324) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3326 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3327 = and(ibuf_drain_vld, _T_3326) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3328 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3329 = and(ibuf_drain_vld, _T_3328) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3330 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 478:77] + node _T_3331 = and(ibuf_drain_vld, _T_3330) @[el2_lsu_bus_buffer.scala 478:65] + node _T_3332 = cat(_T_3331, _T_3329) @[Cat.scala 29:58] + node _T_3333 = cat(_T_3332, _T_3327) @[Cat.scala 29:58] + node _T_3334 = cat(_T_3333, _T_3325) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3334 @[el2_lsu_bus_buffer.scala 478:23] + node _T_3335 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3336 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3337 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] + node _T_3338 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 479:134] + node _T_3339 = and(_T_3337, _T_3338) @[el2_lsu_bus_buffer.scala 479:123] + node _T_3340 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] + node _T_3341 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] + node _T_3342 = mux(_T_3339, _T_3340, _T_3341) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3343 = mux(_T_3335, _T_3336, _T_3342) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3344 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3345 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] + node _T_3347 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 479:134] + node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 479:123] + node _T_3349 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] + node _T_3350 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] + node _T_3351 = mux(_T_3348, _T_3349, _T_3350) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3352 = mux(_T_3344, _T_3345, _T_3351) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3353 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3354 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3355 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] + node _T_3356 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 479:134] + node _T_3357 = and(_T_3355, _T_3356) @[el2_lsu_bus_buffer.scala 479:123] + node _T_3358 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] + node _T_3359 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] + node _T_3360 = mux(_T_3357, _T_3358, _T_3359) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3361 = mux(_T_3353, _T_3354, _T_3360) @[el2_lsu_bus_buffer.scala 479:48] + node _T_3362 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 479:66] + node _T_3363 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 479:86] + node _T_3364 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 479:106] + node _T_3365 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 479:134] + node _T_3366 = and(_T_3364, _T_3365) @[el2_lsu_bus_buffer.scala 479:123] + node _T_3367 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:159] + node _T_3368 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 479:182] + node _T_3369 = mux(_T_3366, _T_3367, _T_3368) @[el2_lsu_bus_buffer.scala 479:96] + node _T_3370 = mux(_T_3362, _T_3363, _T_3369) @[el2_lsu_bus_buffer.scala 479:48] + buf_byteen_in[0] <= _T_3343 @[el2_lsu_bus_buffer.scala 479:19] + buf_byteen_in[1] <= _T_3352 @[el2_lsu_bus_buffer.scala 479:19] + buf_byteen_in[2] <= _T_3361 @[el2_lsu_bus_buffer.scala 479:19] + buf_byteen_in[3] <= _T_3370 @[el2_lsu_bus_buffer.scala 479:19] + node _T_3371 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3372 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3373 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3374 = and(_T_3372, _T_3373) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3375 = mux(_T_3374, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3376 = mux(_T_3371, ibuf_addr, _T_3375) @[el2_lsu_bus_buffer.scala 480:46] + node _T_3377 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3378 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3379 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3380 = and(_T_3378, _T_3379) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3381 = mux(_T_3380, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3382 = mux(_T_3377, ibuf_addr, _T_3381) @[el2_lsu_bus_buffer.scala 480:46] + node _T_3383 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3384 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3385 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3387 = mux(_T_3386, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3388 = mux(_T_3383, ibuf_addr, _T_3387) @[el2_lsu_bus_buffer.scala 480:46] + node _T_3389 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 480:64] + node _T_3390 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 480:93] + node _T_3391 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 480:121] + node _T_3392 = and(_T_3390, _T_3391) @[el2_lsu_bus_buffer.scala 480:110] + node _T_3393 = mux(_T_3392, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 480:83] + node _T_3394 = mux(_T_3389, ibuf_addr, _T_3393) @[el2_lsu_bus_buffer.scala 480:46] + buf_addr_in[0] <= _T_3376 @[el2_lsu_bus_buffer.scala 480:17] + buf_addr_in[1] <= _T_3382 @[el2_lsu_bus_buffer.scala 480:17] + buf_addr_in[2] <= _T_3388 @[el2_lsu_bus_buffer.scala 480:17] + buf_addr_in[3] <= _T_3394 @[el2_lsu_bus_buffer.scala 480:17] + node _T_3395 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3396 = mux(_T_3395, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3397 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3398 = mux(_T_3397, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3399 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3401 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 481:65] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 481:47] + node _T_3403 = cat(_T_3402, _T_3400) @[Cat.scala 29:58] + node _T_3404 = cat(_T_3403, _T_3398) @[Cat.scala 29:58] + node _T_3405 = cat(_T_3404, _T_3396) @[Cat.scala 29:58] + buf_dual_in <= _T_3405 @[el2_lsu_bus_buffer.scala 481:17] + node _T_3406 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3407 = mux(_T_3406, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3408 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3409 = mux(_T_3408, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3410 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3412 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 482:49] + node _T_3414 = cat(_T_3413, _T_3411) @[Cat.scala 29:58] + node _T_3415 = cat(_T_3414, _T_3409) @[Cat.scala 29:58] + node _T_3416 = cat(_T_3415, _T_3407) @[Cat.scala 29:58] + buf_samedw_in <= _T_3416 @[el2_lsu_bus_buffer.scala 482:19] + node _T_3417 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3418 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3419 = mux(_T_3417, _T_3418, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + node _T_3420 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3421 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3422 = mux(_T_3420, _T_3421, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + node _T_3423 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3424 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3425 = mux(_T_3423, _T_3424, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + node _T_3426 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 483:68] + node _T_3427 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 483:86] + node _T_3428 = mux(_T_3426, _T_3427, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 483:50] + node _T_3429 = cat(_T_3428, _T_3425) @[Cat.scala 29:58] + node _T_3430 = cat(_T_3429, _T_3422) @[Cat.scala 29:58] + node _T_3431 = cat(_T_3430, _T_3419) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3431 @[el2_lsu_bus_buffer.scala 483:20] + node _T_3432 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3433 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3434 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3435 = and(_T_3433, _T_3434) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3436 = mux(_T_3432, ibuf_dual, _T_3435) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3437 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3438 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3439 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3440 = and(_T_3438, _T_3439) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3441 = mux(_T_3437, ibuf_dual, _T_3440) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3442 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3443 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3444 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3445 = and(_T_3443, _T_3444) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3446 = mux(_T_3442, ibuf_dual, _T_3445) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3447 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 484:67] + node _T_3448 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 484:92] + node _T_3449 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 484:120] + node _T_3450 = and(_T_3448, _T_3449) @[el2_lsu_bus_buffer.scala 484:109] + node _T_3451 = mux(_T_3447, ibuf_dual, _T_3450) @[el2_lsu_bus_buffer.scala 484:49] + node _T_3452 = cat(_T_3451, _T_3446) @[Cat.scala 29:58] + node _T_3453 = cat(_T_3452, _T_3441) @[Cat.scala 29:58] + node _T_3454 = cat(_T_3453, _T_3436) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3454 @[el2_lsu_bus_buffer.scala 484:19] + node _T_3455 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3456 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3457 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3458 = and(_T_3456, _T_3457) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3459 = mux(_T_3458, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3460 = mux(_T_3455, ibuf_dualtag, _T_3459) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3461 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3462 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3463 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3464 = and(_T_3462, _T_3463) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3465 = mux(_T_3464, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3466 = mux(_T_3461, ibuf_dualtag, _T_3465) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3467 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3468 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3469 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3470 = and(_T_3468, _T_3469) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3471 = mux(_T_3470, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3472 = mux(_T_3467, ibuf_dualtag, _T_3471) @[el2_lsu_bus_buffer.scala 485:49] + node _T_3473 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 485:67] + node _T_3474 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 485:99] + node _T_3475 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 485:127] + node _T_3476 = and(_T_3474, _T_3475) @[el2_lsu_bus_buffer.scala 485:116] + node _T_3477 = mux(_T_3476, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 485:89] + node _T_3478 = mux(_T_3473, ibuf_dualtag, _T_3477) @[el2_lsu_bus_buffer.scala 485:49] + buf_dualtag_in[0] <= _T_3460 @[el2_lsu_bus_buffer.scala 485:20] + buf_dualtag_in[1] <= _T_3466 @[el2_lsu_bus_buffer.scala 485:20] + buf_dualtag_in[2] <= _T_3472 @[el2_lsu_bus_buffer.scala 485:20] + buf_dualtag_in[3] <= _T_3478 @[el2_lsu_bus_buffer.scala 485:20] + node _T_3479 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3480 = mux(_T_3479, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + node _T_3481 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3482 = mux(_T_3481, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + node _T_3483 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + node _T_3485 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 486:71] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 486:53] + node _T_3487 = cat(_T_3486, _T_3484) @[Cat.scala 29:58] + node _T_3488 = cat(_T_3487, _T_3482) @[Cat.scala 29:58] + node _T_3489 = cat(_T_3488, _T_3480) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3489 @[el2_lsu_bus_buffer.scala 486:23] + node _T_3490 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3491 = mux(_T_3490, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3492 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3493 = mux(_T_3492, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3494 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3496 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 487:67] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 487:49] + node _T_3498 = cat(_T_3497, _T_3495) @[Cat.scala 29:58] + node _T_3499 = cat(_T_3498, _T_3493) @[Cat.scala 29:58] + node _T_3500 = cat(_T_3499, _T_3491) @[Cat.scala 29:58] + buf_unsign_in <= _T_3500 @[el2_lsu_bus_buffer.scala 487:19] + node _T_3501 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 488:62] + node _T_3502 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3503 = mux(_T_3501, ibuf_sz, _T_3502) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3504 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 488:62] + node _T_3505 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3506 = mux(_T_3504, ibuf_sz, _T_3505) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3507 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 488:62] + node _T_3508 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3509 = mux(_T_3507, ibuf_sz, _T_3508) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3510 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 488:62] + node _T_3511 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3512 = mux(_T_3510, ibuf_sz, _T_3511) @[el2_lsu_bus_buffer.scala 488:44] + buf_sz_in[0] <= _T_3503 @[el2_lsu_bus_buffer.scala 488:15] + buf_sz_in[1] <= _T_3506 @[el2_lsu_bus_buffer.scala 488:15] + buf_sz_in[2] <= _T_3509 @[el2_lsu_bus_buffer.scala 488:15] + buf_sz_in[3] <= _T_3512 @[el2_lsu_bus_buffer.scala 488:15] + node _T_3513 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3514 = mux(_T_3513, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3515 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3516 = mux(_T_3515, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3517 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3519 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 489:66] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 489:48] + node _T_3521 = cat(_T_3520, _T_3518) @[Cat.scala 29:58] + node _T_3522 = cat(_T_3521, _T_3516) @[Cat.scala 29:58] + node _T_3523 = cat(_T_3522, _T_3514) @[Cat.scala 29:58] + buf_write_in <= _T_3523 @[el2_lsu_bus_buffer.scala 489:18] + node _T_3524 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3524 : @[Conditional.scala 40:58] + node _T_3525 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_3526 = mux(_T_3525, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[0] <= _T_3526 @[el2_lsu_bus_buffer.scala 494:25] + node _T_3527 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_3528 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3529 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_3530 = and(_T_3528, _T_3529) @[el2_lsu_bus_buffer.scala 495:95] + node _T_3531 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_3532 = and(_T_3530, _T_3531) @[el2_lsu_bus_buffer.scala 495:112] + node _T_3533 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_3534 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_3535 = and(_T_3533, _T_3534) @[el2_lsu_bus_buffer.scala 495:161] + node _T_3536 = or(_T_3532, _T_3535) @[el2_lsu_bus_buffer.scala 495:132] + node _T_3537 = and(_T_3527, _T_3536) @[el2_lsu_bus_buffer.scala 495:63] + node _T_3538 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_3539 = and(ibuf_drain_vld, _T_3538) @[el2_lsu_bus_buffer.scala 495:201] + node _T_3540 = or(_T_3537, _T_3539) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[0] <= _T_3540 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 497:24] + node _T_3541 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_3542 = and(ibuf_drain_vld, _T_3541) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3543 = bits(_T_3542, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_3544 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3545 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_3546 = mux(_T_3543, _T_3544, _T_3545) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[0] <= _T_3546 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3539 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3539 : @[Conditional.scala 39:67] - node _T_3540 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] - node _T_3541 = mux(_T_3540, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[0] <= _T_3541 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3542 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] - buf_state_en[0] <= _T_3542 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3547 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3547 : @[Conditional.scala 39:67] + node _T_3548 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_3549 = mux(_T_3548, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[0] <= _T_3549 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3550 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[0] <= _T_3550 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3543 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3543 : @[Conditional.scala 39:67] - node _T_3544 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3545 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] - node _T_3546 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] - node _T_3547 = and(_T_3545, _T_3546) @[el2_lsu_bus_buffer.scala 504:104] - node _T_3548 = mux(_T_3547, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] - node _T_3549 = mux(_T_3544, UInt<3>("h00"), _T_3548) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[0] <= _T_3549 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3550 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3551 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 505:104] - node _T_3552 = and(obuf_merge, _T_3551) @[el2_lsu_bus_buffer.scala 505:91] - node _T_3553 = or(_T_3550, _T_3552) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3554 = and(_T_3553, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] - node _T_3555 = and(_T_3554, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] - buf_cmd_state_bus_en[0] <= _T_3555 @[el2_lsu_bus_buffer.scala 505:33] - buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 506:29] - node _T_3556 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] - node _T_3557 = or(_T_3556, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] - buf_state_en[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 507:25] - buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] - node _T_3558 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 509:56] - node _T_3559 = eq(_T_3558, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] - node _T_3560 = and(buf_state_en[0], _T_3559) @[el2_lsu_bus_buffer.scala 509:44] - node _T_3561 = and(_T_3560, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] - node _T_3562 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] - node _T_3563 = and(_T_3561, _T_3562) @[el2_lsu_bus_buffer.scala 509:74] - buf_ldfwd_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 509:25] - node _T_3564 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] - buf_ldfwdtag_in[0] <= _T_3564 @[el2_lsu_bus_buffer.scala 510:28] - node _T_3565 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] - node _T_3566 = and(_T_3565, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] - node _T_3567 = and(_T_3566, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] - buf_data_en[0] <= _T_3567 @[el2_lsu_bus_buffer.scala 511:24] - node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] - node _T_3569 = and(_T_3568, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] - node _T_3570 = and(_T_3569, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] - buf_error_en[0] <= _T_3570 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3571 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] - node _T_3572 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] - node _T_3573 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] - node _T_3574 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] - node _T_3575 = mux(_T_3572, _T_3573, _T_3574) @[el2_lsu_bus_buffer.scala 513:73] - node _T_3576 = mux(buf_error_en[0], _T_3571, _T_3575) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[0] <= _T_3576 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3551 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3551 : @[Conditional.scala 39:67] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3553 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_3554 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_3555 = and(_T_3553, _T_3554) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3556 = mux(_T_3555, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3557 = mux(_T_3552, UInt<3>("h00"), _T_3556) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[0] <= _T_3557 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3558 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_3559 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3560 = and(obuf_merge, _T_3559) @[el2_lsu_bus_buffer.scala 506:91] + node _T_3561 = or(_T_3558, _T_3560) @[el2_lsu_bus_buffer.scala 506:77] + node _T_3562 = and(_T_3561, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_3563 = and(_T_3562, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[0] <= _T_3563 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3564 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3565 = or(_T_3564, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_3566 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 510:56] + node _T_3567 = eq(_T_3566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_3568 = and(buf_state_en[0], _T_3567) @[el2_lsu_bus_buffer.scala 510:44] + node _T_3569 = and(_T_3568, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3570 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_3571 = and(_T_3569, _T_3570) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[0] <= _T_3571 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3572 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[0] <= _T_3572 @[el2_lsu_bus_buffer.scala 511:28] + node _T_3573 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_3574 = and(_T_3573, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_3575 = and(_T_3574, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3576 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3577 = and(_T_3576, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_3578 = and(_T_3577, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[0] <= _T_3578 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3579 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_3580 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_3581 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_3582 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_3583 = mux(_T_3580, _T_3581, _T_3582) @[el2_lsu_bus_buffer.scala 514:73] + node _T_3584 = mux(buf_error_en[0], _T_3579, _T_3583) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[0] <= _T_3584 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3577 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3577 : @[Conditional.scala 39:67] - node _T_3578 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 516:67] - node _T_3579 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] - node _T_3580 = eq(_T_3579, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] - node _T_3581 = and(_T_3578, _T_3580) @[el2_lsu_bus_buffer.scala 516:71] - node _T_3582 = or(io.dec_tlu_force_halt, _T_3581) @[el2_lsu_bus_buffer.scala 516:55] - node _T_3583 = bits(_T_3582, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] - node _T_3584 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] - node _T_3585 = and(buf_dual[0], _T_3584) @[el2_lsu_bus_buffer.scala 517:28] - node _T_3586 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 517:57] - node _T_3587 = eq(_T_3586, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] - node _T_3588 = and(_T_3585, _T_3587) @[el2_lsu_bus_buffer.scala 517:45] - node _T_3589 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] - node _T_3590 = and(_T_3588, _T_3589) @[el2_lsu_bus_buffer.scala 517:61] - node _T_3591 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 518:27] - node _T_3592 = or(_T_3591, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] - node _T_3593 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] - node _T_3594 = and(buf_dual[0], _T_3593) @[el2_lsu_bus_buffer.scala 518:68] - node _T_3595 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:97] - node _T_3596 = eq(_T_3595, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] - node _T_3597 = and(_T_3594, _T_3596) @[el2_lsu_bus_buffer.scala 518:85] - node _T_3598 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3599 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3600 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3601 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3602 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3603 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3604 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3605 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3606 = mux(_T_3598, _T_3599, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3607 = mux(_T_3600, _T_3601, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3608 = mux(_T_3602, _T_3603, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3609 = mux(_T_3604, _T_3605, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3610 = or(_T_3606, _T_3607) @[Mux.scala 27:72] - node _T_3611 = or(_T_3610, _T_3608) @[Mux.scala 27:72] - node _T_3612 = or(_T_3611, _T_3609) @[Mux.scala 27:72] - wire _T_3613 : UInt<1> @[Mux.scala 27:72] - _T_3613 <= _T_3612 @[Mux.scala 27:72] - node _T_3614 = and(_T_3597, _T_3613) @[el2_lsu_bus_buffer.scala 518:101] - node _T_3615 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] - node _T_3616 = and(_T_3614, _T_3615) @[el2_lsu_bus_buffer.scala 518:138] - node _T_3617 = and(_T_3616, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] - node _T_3618 = or(_T_3592, _T_3617) @[el2_lsu_bus_buffer.scala 518:53] - node _T_3619 = mux(_T_3618, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] - node _T_3620 = mux(_T_3590, UInt<3>("h04"), _T_3619) @[el2_lsu_bus_buffer.scala 517:14] - node _T_3621 = mux(_T_3583, UInt<3>("h00"), _T_3620) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[0] <= _T_3621 @[el2_lsu_bus_buffer.scala 516:25] - node _T_3622 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_3623 = and(bus_rsp_write, _T_3622) @[el2_lsu_bus_buffer.scala 519:52] - node _T_3624 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_3625 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 521:23] - node _T_3626 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 521:47] - node _T_3627 = and(_T_3625, _T_3626) @[el2_lsu_bus_buffer.scala 521:27] - node _T_3628 = or(_T_3624, _T_3627) @[el2_lsu_bus_buffer.scala 520:77] - node _T_3629 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 522:26] - node _T_3630 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 522:54] - node _T_3631 = not(_T_3630) @[el2_lsu_bus_buffer.scala 522:44] - node _T_3632 = and(_T_3629, _T_3631) @[el2_lsu_bus_buffer.scala 522:42] - node _T_3633 = and(_T_3632, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 522:58] - node _T_3634 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 522:94] - node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 522:74] - node _T_3636 = or(_T_3628, _T_3635) @[el2_lsu_bus_buffer.scala 521:71] - node _T_3637 = and(bus_rsp_read, _T_3636) @[el2_lsu_bus_buffer.scala 520:25] - node _T_3638 = or(_T_3623, _T_3637) @[el2_lsu_bus_buffer.scala 519:105] - buf_resp_state_bus_en[0] <= _T_3638 @[el2_lsu_bus_buffer.scala 519:34] - buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 523:29] - node _T_3639 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] - node _T_3640 = or(_T_3639, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] - buf_state_en[0] <= _T_3640 @[el2_lsu_bus_buffer.scala 524:25] - node _T_3641 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] - node _T_3642 = and(_T_3641, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] - buf_data_en[0] <= _T_3642 @[el2_lsu_bus_buffer.scala 525:24] - node _T_3643 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] - node _T_3644 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 526:111] - node _T_3645 = and(bus_rsp_read_error, _T_3644) @[el2_lsu_bus_buffer.scala 526:91] - node _T_3646 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 527:42] - node _T_3647 = and(bus_rsp_read_error, _T_3646) @[el2_lsu_bus_buffer.scala 527:31] - node _T_3648 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 527:66] - node _T_3649 = and(_T_3647, _T_3648) @[el2_lsu_bus_buffer.scala 527:46] - node _T_3650 = or(_T_3645, _T_3649) @[el2_lsu_bus_buffer.scala 526:143] - node _T_3651 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] - node _T_3652 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 528:74] - node _T_3653 = and(_T_3651, _T_3652) @[el2_lsu_bus_buffer.scala 528:53] - node _T_3654 = or(_T_3650, _T_3653) @[el2_lsu_bus_buffer.scala 527:88] - node _T_3655 = and(_T_3643, _T_3654) @[el2_lsu_bus_buffer.scala 526:68] - buf_error_en[0] <= _T_3655 @[el2_lsu_bus_buffer.scala 526:25] - node _T_3656 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] - node _T_3657 = and(buf_state_en[0], _T_3656) @[el2_lsu_bus_buffer.scala 529:48] - node _T_3658 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] - node _T_3659 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] - node _T_3660 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] - node _T_3661 = mux(_T_3658, _T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 529:72] - node _T_3662 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] - node _T_3663 = mux(_T_3657, _T_3661, _T_3662) @[el2_lsu_bus_buffer.scala 529:30] - buf_data_in[0] <= _T_3663 @[el2_lsu_bus_buffer.scala 529:24] + node _T_3585 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3585 : @[Conditional.scala 39:67] + node _T_3586 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 517:67] + node _T_3587 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_3588 = eq(_T_3587, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_3589 = and(_T_3586, _T_3588) @[el2_lsu_bus_buffer.scala 517:71] + node _T_3590 = or(io.dec_tlu_force_halt, _T_3589) @[el2_lsu_bus_buffer.scala 517:55] + node _T_3591 = bits(_T_3590, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_3592 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_3593 = and(buf_dual[0], _T_3592) @[el2_lsu_bus_buffer.scala 518:28] + node _T_3594 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 518:57] + node _T_3595 = eq(_T_3594, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_3596 = and(_T_3593, _T_3595) @[el2_lsu_bus_buffer.scala 518:45] + node _T_3597 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_3598 = and(_T_3596, _T_3597) @[el2_lsu_bus_buffer.scala 518:61] + node _T_3599 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 519:27] + node _T_3600 = or(_T_3599, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_3601 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_3602 = and(buf_dual[0], _T_3601) @[el2_lsu_bus_buffer.scala 519:68] + node _T_3603 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 519:97] + node _T_3604 = eq(_T_3603, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_3605 = and(_T_3602, _T_3604) @[el2_lsu_bus_buffer.scala 519:85] + node _T_3606 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3607 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3608 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3609 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3610 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3611 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3612 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3613 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3614 = mux(_T_3606, _T_3607, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3615 = mux(_T_3608, _T_3609, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3616 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3617 = mux(_T_3612, _T_3613, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3618 = or(_T_3614, _T_3615) @[Mux.scala 27:72] + node _T_3619 = or(_T_3618, _T_3616) @[Mux.scala 27:72] + node _T_3620 = or(_T_3619, _T_3617) @[Mux.scala 27:72] + wire _T_3621 : UInt<1> @[Mux.scala 27:72] + _T_3621 <= _T_3620 @[Mux.scala 27:72] + node _T_3622 = and(_T_3605, _T_3621) @[el2_lsu_bus_buffer.scala 519:101] + node _T_3623 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_3624 = and(_T_3622, _T_3623) @[el2_lsu_bus_buffer.scala 519:138] + node _T_3625 = and(_T_3624, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_3626 = or(_T_3600, _T_3625) @[el2_lsu_bus_buffer.scala 519:53] + node _T_3627 = mux(_T_3626, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_3628 = mux(_T_3598, UInt<3>("h04"), _T_3627) @[el2_lsu_bus_buffer.scala 518:14] + node _T_3629 = mux(_T_3591, UInt<3>("h00"), _T_3628) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[0] <= _T_3629 @[el2_lsu_bus_buffer.scala 517:25] + node _T_3630 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_3631 = and(bus_rsp_write, _T_3630) @[el2_lsu_bus_buffer.scala 520:52] + node _T_3632 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_3633 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 522:23] + node _T_3634 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_3635 = and(_T_3633, _T_3634) @[el2_lsu_bus_buffer.scala 522:27] + node _T_3636 = or(_T_3632, _T_3635) @[el2_lsu_bus_buffer.scala 521:77] + node _T_3637 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_3638 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 523:54] + node _T_3639 = not(_T_3638) @[el2_lsu_bus_buffer.scala 523:44] + node _T_3640 = and(_T_3637, _T_3639) @[el2_lsu_bus_buffer.scala 523:42] + node _T_3641 = and(_T_3640, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_3642 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_3643 = and(_T_3641, _T_3642) @[el2_lsu_bus_buffer.scala 523:74] + node _T_3644 = or(_T_3636, _T_3643) @[el2_lsu_bus_buffer.scala 522:71] + node _T_3645 = and(bus_rsp_read, _T_3644) @[el2_lsu_bus_buffer.scala 521:25] + node _T_3646 = or(_T_3631, _T_3645) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[0] <= _T_3646 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 524:29] + node _T_3647 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_3648 = or(_T_3647, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[0] <= _T_3648 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3649 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_3650 = and(_T_3649, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[0] <= _T_3650 @[el2_lsu_bus_buffer.scala 526:24] + node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_3652 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_3653 = and(bus_rsp_read_error, _T_3652) @[el2_lsu_bus_buffer.scala 527:91] + node _T_3654 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 528:42] + node _T_3655 = and(bus_rsp_read_error, _T_3654) @[el2_lsu_bus_buffer.scala 528:31] + node _T_3656 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_3657 = and(_T_3655, _T_3656) @[el2_lsu_bus_buffer.scala 528:46] + node _T_3658 = or(_T_3653, _T_3657) @[el2_lsu_bus_buffer.scala 527:143] + node _T_3659 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_3660 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_3661 = and(_T_3659, _T_3660) @[el2_lsu_bus_buffer.scala 529:53] + node _T_3662 = or(_T_3658, _T_3661) @[el2_lsu_bus_buffer.scala 528:88] + node _T_3663 = and(_T_3651, _T_3662) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[0] <= _T_3663 @[el2_lsu_bus_buffer.scala 527:25] + node _T_3664 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_3665 = and(buf_state_en[0], _T_3664) @[el2_lsu_bus_buffer.scala 530:48] + node _T_3666 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_3667 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_3668 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_3669 = mux(_T_3666, _T_3667, _T_3668) @[el2_lsu_bus_buffer.scala 530:72] + node _T_3670 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_3671 = mux(_T_3665, _T_3669, _T_3670) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[0] <= _T_3671 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3664 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3664 : @[Conditional.scala 39:67] - node _T_3665 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] - node _T_3666 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 532:86] - node _T_3667 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 532:101] - node _T_3668 = bits(_T_3667, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] - node _T_3669 = or(_T_3666, _T_3668) @[el2_lsu_bus_buffer.scala 532:90] - node _T_3670 = or(_T_3669, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] - node _T_3671 = mux(_T_3670, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] - node _T_3672 = mux(_T_3665, UInt<3>("h00"), _T_3671) @[el2_lsu_bus_buffer.scala 532:31] - buf_nxtstate[0] <= _T_3672 @[el2_lsu_bus_buffer.scala 532:25] - node _T_3673 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:66] - node _T_3674 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:21] - node _T_3675 = bits(_T_3674, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] - node _T_3676 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_3677 = and(_T_3675, _T_3676) @[el2_lsu_bus_buffer.scala 534:38] - node _T_3678 = or(_T_3673, _T_3677) @[el2_lsu_bus_buffer.scala 533:95] - node _T_3679 = and(bus_rsp_read, _T_3678) @[el2_lsu_bus_buffer.scala 533:45] - buf_state_bus_en[0] <= _T_3679 @[el2_lsu_bus_buffer.scala 533:29] - node _T_3680 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_3681 = or(_T_3680, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[0] <= _T_3681 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3672 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3672 : @[Conditional.scala 39:67] + node _T_3673 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_3674 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 533:86] + node _T_3675 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3676 = bits(_T_3675, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3677 = or(_T_3674, _T_3676) @[el2_lsu_bus_buffer.scala 533:90] + node _T_3678 = or(_T_3677, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_3679 = mux(_T_3678, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_3680 = mux(_T_3673, UInt<3>("h00"), _T_3679) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[0] <= _T_3680 @[el2_lsu_bus_buffer.scala 533:25] + node _T_3681 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_3682 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3683 = bits(_T_3682, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3684 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_3685 = and(_T_3683, _T_3684) @[el2_lsu_bus_buffer.scala 535:38] + node _T_3686 = or(_T_3681, _T_3685) @[el2_lsu_bus_buffer.scala 534:95] + node _T_3687 = and(bus_rsp_read, _T_3686) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[0] <= _T_3687 @[el2_lsu_bus_buffer.scala 534:29] + node _T_3688 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_3689 = or(_T_3688, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[0] <= _T_3689 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3682 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3682 : @[Conditional.scala 39:67] - node _T_3683 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_3684 = mux(_T_3683, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] - buf_nxtstate[0] <= _T_3684 @[el2_lsu_bus_buffer.scala 538:25] - node _T_3685 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 539:37] - node _T_3686 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] - node _T_3687 = and(buf_dual[0], _T_3686) @[el2_lsu_bus_buffer.scala 539:80] - node _T_3688 = or(_T_3685, _T_3687) @[el2_lsu_bus_buffer.scala 539:65] - node _T_3689 = or(_T_3688, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] - buf_state_en[0] <= _T_3689 @[el2_lsu_bus_buffer.scala 539:25] + node _T_3690 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3690 : @[Conditional.scala 39:67] + node _T_3691 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_3692 = mux(_T_3691, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[0] <= _T_3692 @[el2_lsu_bus_buffer.scala 539:25] + node _T_3693 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_3694 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_3695 = and(buf_dual[0], _T_3694) @[el2_lsu_bus_buffer.scala 540:80] + node _T_3696 = or(_T_3693, _T_3695) @[el2_lsu_bus_buffer.scala 540:65] + node _T_3697 = or(_T_3696, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[0] <= _T_3697 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3690 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3690 : @[Conditional.scala 39:67] - buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] - buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] - buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 546:25] + node _T_3698 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3698 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_3691 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] - reg _T_3692 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3691 : @[Reg.scala 28:19] - _T_3692 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3699 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + reg _T_3700 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3699 : @[Reg.scala 28:19] + _T_3700 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3692 @[el2_lsu_bus_buffer.scala 549:18] - reg _T_3693 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] - _T_3693 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 550:60] - buf_ageQ[0] <= _T_3693 @[el2_lsu_bus_buffer.scala 550:17] - reg _T_3694 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] - _T_3694 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 551:63] - buf_rspageQ[0] <= _T_3694 @[el2_lsu_bus_buffer.scala 551:20] - node _T_3695 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] - reg _T_3696 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3695 : @[Reg.scala 28:19] - _T_3696 <= buf_dualtag_in[0] @[Reg.scala 28:23] + buf_state[0] <= _T_3700 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_3701 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_3701 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[0] <= _T_3701 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_3702 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_3702 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[0] <= _T_3702 @[el2_lsu_bus_buffer.scala 552:20] + node _T_3703 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3703 : @[Reg.scala 28:19] + _T_3704 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3696 @[el2_lsu_bus_buffer.scala 552:20] - node _T_3697 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 553:74] - node _T_3698 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] - reg _T_3699 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3698 : @[Reg.scala 28:19] - _T_3699 <= _T_3697 @[Reg.scala 28:23] + buf_dualtag[0] <= _T_3704 @[el2_lsu_bus_buffer.scala 553:20] + node _T_3705 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 554:74] + node _T_3706 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + reg _T_3707 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3706 : @[Reg.scala 28:19] + _T_3707 <= _T_3705 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3699 @[el2_lsu_bus_buffer.scala 553:17] - node _T_3700 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 554:78] - node _T_3701 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] - reg _T_3702 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3701 : @[Reg.scala 28:19] - _T_3702 <= _T_3700 @[Reg.scala 28:23] + buf_dual[0] <= _T_3707 @[el2_lsu_bus_buffer.scala 554:17] + node _T_3708 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:78] + node _T_3709 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + reg _T_3710 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3709 : @[Reg.scala 28:19] + _T_3710 <= _T_3708 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3702 @[el2_lsu_bus_buffer.scala 554:19] - node _T_3703 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 555:80] - node _T_3704 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] - reg _T_3705 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3704 : @[Reg.scala 28:19] - _T_3705 <= _T_3703 @[Reg.scala 28:23] + buf_samedw[0] <= _T_3710 @[el2_lsu_bus_buffer.scala 555:19] + node _T_3711 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:80] + node _T_3712 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + reg _T_3713 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3712 : @[Reg.scala 28:19] + _T_3713 <= _T_3711 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3705 @[el2_lsu_bus_buffer.scala 555:20] - node _T_3706 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 556:78] - node _T_3707 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_3708 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3707 : @[Reg.scala 28:19] - _T_3708 <= _T_3706 @[Reg.scala 28:23] + buf_nomerge[0] <= _T_3713 @[el2_lsu_bus_buffer.scala 556:20] + node _T_3714 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 557:78] + node _T_3715 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + reg _T_3716 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3715 : @[Reg.scala 28:19] + _T_3716 <= _T_3714 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3708 @[el2_lsu_bus_buffer.scala 556:19] - node _T_3709 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3709 : @[Conditional.scala 40:58] - node _T_3710 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] - node _T_3711 = mux(_T_3710, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] - buf_nxtstate[1] <= _T_3711 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3712 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] - node _T_3713 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] - node _T_3714 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3715 = and(_T_3713, _T_3714) @[el2_lsu_bus_buffer.scala 494:95] - node _T_3716 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] - node _T_3717 = and(_T_3715, _T_3716) @[el2_lsu_bus_buffer.scala 494:112] - node _T_3718 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] - node _T_3719 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] - node _T_3720 = and(_T_3718, _T_3719) @[el2_lsu_bus_buffer.scala 494:161] - node _T_3721 = or(_T_3717, _T_3720) @[el2_lsu_bus_buffer.scala 494:132] - node _T_3722 = and(_T_3712, _T_3721) @[el2_lsu_bus_buffer.scala 494:63] - node _T_3723 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] - node _T_3724 = and(ibuf_drain_vld, _T_3723) @[el2_lsu_bus_buffer.scala 494:201] - node _T_3725 = or(_T_3722, _T_3724) @[el2_lsu_bus_buffer.scala 494:183] - buf_state_en[1] <= _T_3725 @[el2_lsu_bus_buffer.scala 494:25] - buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 495:22] - buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 496:24] - node _T_3726 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] - node _T_3727 = and(ibuf_drain_vld, _T_3726) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3728 = bits(_T_3727, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3729 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] - node _T_3730 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] - node _T_3731 = mux(_T_3728, _T_3729, _T_3730) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[1] <= _T_3731 @[el2_lsu_bus_buffer.scala 497:24] + buf_dualhi[0] <= _T_3716 @[el2_lsu_bus_buffer.scala 557:19] + node _T_3717 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3717 : @[Conditional.scala 40:58] + node _T_3718 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_3719 = mux(_T_3718, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[1] <= _T_3719 @[el2_lsu_bus_buffer.scala 494:25] + node _T_3720 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_3721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3722 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_3723 = and(_T_3721, _T_3722) @[el2_lsu_bus_buffer.scala 495:95] + node _T_3724 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_3725 = and(_T_3723, _T_3724) @[el2_lsu_bus_buffer.scala 495:112] + node _T_3726 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_3727 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_3728 = and(_T_3726, _T_3727) @[el2_lsu_bus_buffer.scala 495:161] + node _T_3729 = or(_T_3725, _T_3728) @[el2_lsu_bus_buffer.scala 495:132] + node _T_3730 = and(_T_3720, _T_3729) @[el2_lsu_bus_buffer.scala 495:63] + node _T_3731 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_3732 = and(ibuf_drain_vld, _T_3731) @[el2_lsu_bus_buffer.scala 495:201] + node _T_3733 = or(_T_3730, _T_3732) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[1] <= _T_3733 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 497:24] + node _T_3734 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_3735 = and(ibuf_drain_vld, _T_3734) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3736 = bits(_T_3735, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_3737 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3738 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_3739 = mux(_T_3736, _T_3737, _T_3738) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[1] <= _T_3739 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3732 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3732 : @[Conditional.scala 39:67] - node _T_3733 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] - node _T_3734 = mux(_T_3733, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[1] <= _T_3734 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3735 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] - buf_state_en[1] <= _T_3735 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3740 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3740 : @[Conditional.scala 39:67] + node _T_3741 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_3742 = mux(_T_3741, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[1] <= _T_3742 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3743 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[1] <= _T_3743 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3736 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3736 : @[Conditional.scala 39:67] - node _T_3737 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3738 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] - node _T_3739 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] - node _T_3740 = and(_T_3738, _T_3739) @[el2_lsu_bus_buffer.scala 504:104] - node _T_3741 = mux(_T_3740, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] - node _T_3742 = mux(_T_3737, UInt<3>("h00"), _T_3741) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[1] <= _T_3742 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3743 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3744 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 505:104] - node _T_3745 = and(obuf_merge, _T_3744) @[el2_lsu_bus_buffer.scala 505:91] - node _T_3746 = or(_T_3743, _T_3745) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3747 = and(_T_3746, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] - node _T_3748 = and(_T_3747, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] - buf_cmd_state_bus_en[1] <= _T_3748 @[el2_lsu_bus_buffer.scala 505:33] - buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 506:29] - node _T_3749 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] - node _T_3750 = or(_T_3749, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] - buf_state_en[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 507:25] - buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] - node _T_3751 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 509:56] - node _T_3752 = eq(_T_3751, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] - node _T_3753 = and(buf_state_en[1], _T_3752) @[el2_lsu_bus_buffer.scala 509:44] - node _T_3754 = and(_T_3753, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] - node _T_3755 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] - node _T_3756 = and(_T_3754, _T_3755) @[el2_lsu_bus_buffer.scala 509:74] - buf_ldfwd_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 509:25] - node _T_3757 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] - buf_ldfwdtag_in[1] <= _T_3757 @[el2_lsu_bus_buffer.scala 510:28] - node _T_3758 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] - node _T_3759 = and(_T_3758, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] - node _T_3760 = and(_T_3759, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] - buf_data_en[1] <= _T_3760 @[el2_lsu_bus_buffer.scala 511:24] - node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] - node _T_3762 = and(_T_3761, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] - node _T_3763 = and(_T_3762, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] - buf_error_en[1] <= _T_3763 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3764 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] - node _T_3765 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] - node _T_3766 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] - node _T_3767 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] - node _T_3768 = mux(_T_3765, _T_3766, _T_3767) @[el2_lsu_bus_buffer.scala 513:73] - node _T_3769 = mux(buf_error_en[1], _T_3764, _T_3768) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[1] <= _T_3769 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3744 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3744 : @[Conditional.scala 39:67] + node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3746 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_3747 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_3748 = and(_T_3746, _T_3747) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3749 = mux(_T_3748, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3750 = mux(_T_3745, UInt<3>("h00"), _T_3749) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[1] <= _T_3750 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3751 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_3752 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3753 = and(obuf_merge, _T_3752) @[el2_lsu_bus_buffer.scala 506:91] + node _T_3754 = or(_T_3751, _T_3753) @[el2_lsu_bus_buffer.scala 506:77] + node _T_3755 = and(_T_3754, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_3756 = and(_T_3755, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[1] <= _T_3756 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3757 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3758 = or(_T_3757, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_3759 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 510:56] + node _T_3760 = eq(_T_3759, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_3761 = and(buf_state_en[1], _T_3760) @[el2_lsu_bus_buffer.scala 510:44] + node _T_3762 = and(_T_3761, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3763 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_3764 = and(_T_3762, _T_3763) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[1] <= _T_3764 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3765 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[1] <= _T_3765 @[el2_lsu_bus_buffer.scala 511:28] + node _T_3766 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_3767 = and(_T_3766, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_3768 = and(_T_3767, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3769 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3770 = and(_T_3769, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_3771 = and(_T_3770, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[1] <= _T_3771 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3772 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_3773 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_3774 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_3775 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_3776 = mux(_T_3773, _T_3774, _T_3775) @[el2_lsu_bus_buffer.scala 514:73] + node _T_3777 = mux(buf_error_en[1], _T_3772, _T_3776) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[1] <= _T_3777 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3770 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3770 : @[Conditional.scala 39:67] - node _T_3771 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 516:67] - node _T_3772 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] - node _T_3773 = eq(_T_3772, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] - node _T_3774 = and(_T_3771, _T_3773) @[el2_lsu_bus_buffer.scala 516:71] - node _T_3775 = or(io.dec_tlu_force_halt, _T_3774) @[el2_lsu_bus_buffer.scala 516:55] - node _T_3776 = bits(_T_3775, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] - node _T_3777 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] - node _T_3778 = and(buf_dual[1], _T_3777) @[el2_lsu_bus_buffer.scala 517:28] - node _T_3779 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 517:57] - node _T_3780 = eq(_T_3779, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] - node _T_3781 = and(_T_3778, _T_3780) @[el2_lsu_bus_buffer.scala 517:45] - node _T_3782 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] - node _T_3783 = and(_T_3781, _T_3782) @[el2_lsu_bus_buffer.scala 517:61] - node _T_3784 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 518:27] - node _T_3785 = or(_T_3784, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] - node _T_3786 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] - node _T_3787 = and(buf_dual[1], _T_3786) @[el2_lsu_bus_buffer.scala 518:68] - node _T_3788 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:97] - node _T_3789 = eq(_T_3788, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] - node _T_3790 = and(_T_3787, _T_3789) @[el2_lsu_bus_buffer.scala 518:85] - node _T_3791 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3792 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3793 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3794 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3795 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3796 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3797 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3798 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3799 = mux(_T_3791, _T_3792, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3800 = mux(_T_3793, _T_3794, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3801 = mux(_T_3795, _T_3796, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3802 = mux(_T_3797, _T_3798, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3803 = or(_T_3799, _T_3800) @[Mux.scala 27:72] - node _T_3804 = or(_T_3803, _T_3801) @[Mux.scala 27:72] - node _T_3805 = or(_T_3804, _T_3802) @[Mux.scala 27:72] - wire _T_3806 : UInt<1> @[Mux.scala 27:72] - _T_3806 <= _T_3805 @[Mux.scala 27:72] - node _T_3807 = and(_T_3790, _T_3806) @[el2_lsu_bus_buffer.scala 518:101] - node _T_3808 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] - node _T_3809 = and(_T_3807, _T_3808) @[el2_lsu_bus_buffer.scala 518:138] - node _T_3810 = and(_T_3809, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] - node _T_3811 = or(_T_3785, _T_3810) @[el2_lsu_bus_buffer.scala 518:53] - node _T_3812 = mux(_T_3811, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] - node _T_3813 = mux(_T_3783, UInt<3>("h04"), _T_3812) @[el2_lsu_bus_buffer.scala 517:14] - node _T_3814 = mux(_T_3776, UInt<3>("h00"), _T_3813) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[1] <= _T_3814 @[el2_lsu_bus_buffer.scala 516:25] - node _T_3815 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_3816 = and(bus_rsp_write, _T_3815) @[el2_lsu_bus_buffer.scala 519:52] - node _T_3817 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_3818 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 521:23] - node _T_3819 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 521:47] - node _T_3820 = and(_T_3818, _T_3819) @[el2_lsu_bus_buffer.scala 521:27] - node _T_3821 = or(_T_3817, _T_3820) @[el2_lsu_bus_buffer.scala 520:77] - node _T_3822 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 522:26] - node _T_3823 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 522:54] - node _T_3824 = not(_T_3823) @[el2_lsu_bus_buffer.scala 522:44] - node _T_3825 = and(_T_3822, _T_3824) @[el2_lsu_bus_buffer.scala 522:42] - node _T_3826 = and(_T_3825, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 522:58] - node _T_3827 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 522:94] - node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 522:74] - node _T_3829 = or(_T_3821, _T_3828) @[el2_lsu_bus_buffer.scala 521:71] - node _T_3830 = and(bus_rsp_read, _T_3829) @[el2_lsu_bus_buffer.scala 520:25] - node _T_3831 = or(_T_3816, _T_3830) @[el2_lsu_bus_buffer.scala 519:105] - buf_resp_state_bus_en[1] <= _T_3831 @[el2_lsu_bus_buffer.scala 519:34] - buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 523:29] - node _T_3832 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] - node _T_3833 = or(_T_3832, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] - buf_state_en[1] <= _T_3833 @[el2_lsu_bus_buffer.scala 524:25] - node _T_3834 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] - node _T_3835 = and(_T_3834, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] - buf_data_en[1] <= _T_3835 @[el2_lsu_bus_buffer.scala 525:24] - node _T_3836 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] - node _T_3837 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 526:111] - node _T_3838 = and(bus_rsp_read_error, _T_3837) @[el2_lsu_bus_buffer.scala 526:91] - node _T_3839 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 527:42] - node _T_3840 = and(bus_rsp_read_error, _T_3839) @[el2_lsu_bus_buffer.scala 527:31] - node _T_3841 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 527:66] - node _T_3842 = and(_T_3840, _T_3841) @[el2_lsu_bus_buffer.scala 527:46] - node _T_3843 = or(_T_3838, _T_3842) @[el2_lsu_bus_buffer.scala 526:143] - node _T_3844 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] - node _T_3845 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 528:74] - node _T_3846 = and(_T_3844, _T_3845) @[el2_lsu_bus_buffer.scala 528:53] - node _T_3847 = or(_T_3843, _T_3846) @[el2_lsu_bus_buffer.scala 527:88] - node _T_3848 = and(_T_3836, _T_3847) @[el2_lsu_bus_buffer.scala 526:68] - buf_error_en[1] <= _T_3848 @[el2_lsu_bus_buffer.scala 526:25] - node _T_3849 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] - node _T_3850 = and(buf_state_en[1], _T_3849) @[el2_lsu_bus_buffer.scala 529:48] - node _T_3851 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] - node _T_3852 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] - node _T_3853 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] - node _T_3854 = mux(_T_3851, _T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 529:72] - node _T_3855 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] - node _T_3856 = mux(_T_3850, _T_3854, _T_3855) @[el2_lsu_bus_buffer.scala 529:30] - buf_data_in[1] <= _T_3856 @[el2_lsu_bus_buffer.scala 529:24] + node _T_3778 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3778 : @[Conditional.scala 39:67] + node _T_3779 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 517:67] + node _T_3780 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_3781 = eq(_T_3780, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_3782 = and(_T_3779, _T_3781) @[el2_lsu_bus_buffer.scala 517:71] + node _T_3783 = or(io.dec_tlu_force_halt, _T_3782) @[el2_lsu_bus_buffer.scala 517:55] + node _T_3784 = bits(_T_3783, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_3785 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_3786 = and(buf_dual[1], _T_3785) @[el2_lsu_bus_buffer.scala 518:28] + node _T_3787 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 518:57] + node _T_3788 = eq(_T_3787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_3789 = and(_T_3786, _T_3788) @[el2_lsu_bus_buffer.scala 518:45] + node _T_3790 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_3791 = and(_T_3789, _T_3790) @[el2_lsu_bus_buffer.scala 518:61] + node _T_3792 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 519:27] + node _T_3793 = or(_T_3792, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_3794 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_3795 = and(buf_dual[1], _T_3794) @[el2_lsu_bus_buffer.scala 519:68] + node _T_3796 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 519:97] + node _T_3797 = eq(_T_3796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_3798 = and(_T_3795, _T_3797) @[el2_lsu_bus_buffer.scala 519:85] + node _T_3799 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3800 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3801 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3802 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3803 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3804 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3805 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3806 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3807 = mux(_T_3799, _T_3800, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3808 = mux(_T_3801, _T_3802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3809 = mux(_T_3803, _T_3804, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3810 = mux(_T_3805, _T_3806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3811 = or(_T_3807, _T_3808) @[Mux.scala 27:72] + node _T_3812 = or(_T_3811, _T_3809) @[Mux.scala 27:72] + node _T_3813 = or(_T_3812, _T_3810) @[Mux.scala 27:72] + wire _T_3814 : UInt<1> @[Mux.scala 27:72] + _T_3814 <= _T_3813 @[Mux.scala 27:72] + node _T_3815 = and(_T_3798, _T_3814) @[el2_lsu_bus_buffer.scala 519:101] + node _T_3816 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_3817 = and(_T_3815, _T_3816) @[el2_lsu_bus_buffer.scala 519:138] + node _T_3818 = and(_T_3817, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_3819 = or(_T_3793, _T_3818) @[el2_lsu_bus_buffer.scala 519:53] + node _T_3820 = mux(_T_3819, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_3821 = mux(_T_3791, UInt<3>("h04"), _T_3820) @[el2_lsu_bus_buffer.scala 518:14] + node _T_3822 = mux(_T_3784, UInt<3>("h00"), _T_3821) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[1] <= _T_3822 @[el2_lsu_bus_buffer.scala 517:25] + node _T_3823 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_3824 = and(bus_rsp_write, _T_3823) @[el2_lsu_bus_buffer.scala 520:52] + node _T_3825 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_3826 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 522:23] + node _T_3827 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_3828 = and(_T_3826, _T_3827) @[el2_lsu_bus_buffer.scala 522:27] + node _T_3829 = or(_T_3825, _T_3828) @[el2_lsu_bus_buffer.scala 521:77] + node _T_3830 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_3831 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 523:54] + node _T_3832 = not(_T_3831) @[el2_lsu_bus_buffer.scala 523:44] + node _T_3833 = and(_T_3830, _T_3832) @[el2_lsu_bus_buffer.scala 523:42] + node _T_3834 = and(_T_3833, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_3835 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_3836 = and(_T_3834, _T_3835) @[el2_lsu_bus_buffer.scala 523:74] + node _T_3837 = or(_T_3829, _T_3836) @[el2_lsu_bus_buffer.scala 522:71] + node _T_3838 = and(bus_rsp_read, _T_3837) @[el2_lsu_bus_buffer.scala 521:25] + node _T_3839 = or(_T_3824, _T_3838) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[1] <= _T_3839 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 524:29] + node _T_3840 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_3841 = or(_T_3840, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[1] <= _T_3841 @[el2_lsu_bus_buffer.scala 525:25] + node _T_3842 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_3843 = and(_T_3842, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[1] <= _T_3843 @[el2_lsu_bus_buffer.scala 526:24] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_3845 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_3846 = and(bus_rsp_read_error, _T_3845) @[el2_lsu_bus_buffer.scala 527:91] + node _T_3847 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 528:42] + node _T_3848 = and(bus_rsp_read_error, _T_3847) @[el2_lsu_bus_buffer.scala 528:31] + node _T_3849 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_3850 = and(_T_3848, _T_3849) @[el2_lsu_bus_buffer.scala 528:46] + node _T_3851 = or(_T_3846, _T_3850) @[el2_lsu_bus_buffer.scala 527:143] + node _T_3852 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_3853 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_3854 = and(_T_3852, _T_3853) @[el2_lsu_bus_buffer.scala 529:53] + node _T_3855 = or(_T_3851, _T_3854) @[el2_lsu_bus_buffer.scala 528:88] + node _T_3856 = and(_T_3844, _T_3855) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[1] <= _T_3856 @[el2_lsu_bus_buffer.scala 527:25] + node _T_3857 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_3858 = and(buf_state_en[1], _T_3857) @[el2_lsu_bus_buffer.scala 530:48] + node _T_3859 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_3860 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_3861 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_3862 = mux(_T_3859, _T_3860, _T_3861) @[el2_lsu_bus_buffer.scala 530:72] + node _T_3863 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_3864 = mux(_T_3858, _T_3862, _T_3863) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[1] <= _T_3864 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3857 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3857 : @[Conditional.scala 39:67] - node _T_3858 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] - node _T_3859 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 532:86] - node _T_3860 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 532:101] - node _T_3861 = bits(_T_3860, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] - node _T_3862 = or(_T_3859, _T_3861) @[el2_lsu_bus_buffer.scala 532:90] - node _T_3863 = or(_T_3862, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] - node _T_3864 = mux(_T_3863, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] - node _T_3865 = mux(_T_3858, UInt<3>("h00"), _T_3864) @[el2_lsu_bus_buffer.scala 532:31] - buf_nxtstate[1] <= _T_3865 @[el2_lsu_bus_buffer.scala 532:25] - node _T_3866 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:66] - node _T_3867 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:21] - node _T_3868 = bits(_T_3867, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] - node _T_3869 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_3870 = and(_T_3868, _T_3869) @[el2_lsu_bus_buffer.scala 534:38] - node _T_3871 = or(_T_3866, _T_3870) @[el2_lsu_bus_buffer.scala 533:95] - node _T_3872 = and(bus_rsp_read, _T_3871) @[el2_lsu_bus_buffer.scala 533:45] - buf_state_bus_en[1] <= _T_3872 @[el2_lsu_bus_buffer.scala 533:29] - node _T_3873 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_3874 = or(_T_3873, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[1] <= _T_3874 @[el2_lsu_bus_buffer.scala 535:25] + node _T_3865 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3865 : @[Conditional.scala 39:67] + node _T_3866 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_3867 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 533:86] + node _T_3868 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3869 = bits(_T_3868, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_3870 = or(_T_3867, _T_3869) @[el2_lsu_bus_buffer.scala 533:90] + node _T_3871 = or(_T_3870, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_3872 = mux(_T_3871, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_3873 = mux(_T_3866, UInt<3>("h00"), _T_3872) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[1] <= _T_3873 @[el2_lsu_bus_buffer.scala 533:25] + node _T_3874 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_3875 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3876 = bits(_T_3875, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_3877 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_3878 = and(_T_3876, _T_3877) @[el2_lsu_bus_buffer.scala 535:38] + node _T_3879 = or(_T_3874, _T_3878) @[el2_lsu_bus_buffer.scala 534:95] + node _T_3880 = and(bus_rsp_read, _T_3879) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[1] <= _T_3880 @[el2_lsu_bus_buffer.scala 534:29] + node _T_3881 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_3882 = or(_T_3881, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[1] <= _T_3882 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3875 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3875 : @[Conditional.scala 39:67] - node _T_3876 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_3877 = mux(_T_3876, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] - buf_nxtstate[1] <= _T_3877 @[el2_lsu_bus_buffer.scala 538:25] - node _T_3878 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 539:37] - node _T_3879 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] - node _T_3880 = and(buf_dual[1], _T_3879) @[el2_lsu_bus_buffer.scala 539:80] - node _T_3881 = or(_T_3878, _T_3880) @[el2_lsu_bus_buffer.scala 539:65] - node _T_3882 = or(_T_3881, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] - buf_state_en[1] <= _T_3882 @[el2_lsu_bus_buffer.scala 539:25] + node _T_3883 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3883 : @[Conditional.scala 39:67] + node _T_3884 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_3885 = mux(_T_3884, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[1] <= _T_3885 @[el2_lsu_bus_buffer.scala 539:25] + node _T_3886 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_3887 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_3888 = and(buf_dual[1], _T_3887) @[el2_lsu_bus_buffer.scala 540:80] + node _T_3889 = or(_T_3886, _T_3888) @[el2_lsu_bus_buffer.scala 540:65] + node _T_3890 = or(_T_3889, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[1] <= _T_3890 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3883 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3883 : @[Conditional.scala 39:67] - buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] - buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] - buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 546:25] + node _T_3891 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3891 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_3884 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] - reg _T_3885 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3884 : @[Reg.scala 28:19] - _T_3885 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3892 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + reg _T_3893 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3892 : @[Reg.scala 28:19] + _T_3893 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3885 @[el2_lsu_bus_buffer.scala 549:18] - reg _T_3886 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] - _T_3886 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 550:60] - buf_ageQ[1] <= _T_3886 @[el2_lsu_bus_buffer.scala 550:17] - reg _T_3887 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] - _T_3887 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 551:63] - buf_rspageQ[1] <= _T_3887 @[el2_lsu_bus_buffer.scala 551:20] - node _T_3888 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] - reg _T_3889 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3888 : @[Reg.scala 28:19] - _T_3889 <= buf_dualtag_in[1] @[Reg.scala 28:23] + buf_state[1] <= _T_3893 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_3894 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_3894 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[1] <= _T_3894 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_3895 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_3895 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[1] <= _T_3895 @[el2_lsu_bus_buffer.scala 552:20] + node _T_3896 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3896 : @[Reg.scala 28:19] + _T_3897 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3889 @[el2_lsu_bus_buffer.scala 552:20] - node _T_3890 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 553:74] - node _T_3891 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] - reg _T_3892 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3891 : @[Reg.scala 28:19] - _T_3892 <= _T_3890 @[Reg.scala 28:23] + buf_dualtag[1] <= _T_3897 @[el2_lsu_bus_buffer.scala 553:20] + node _T_3898 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 554:74] + node _T_3899 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + reg _T_3900 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3899 : @[Reg.scala 28:19] + _T_3900 <= _T_3898 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3892 @[el2_lsu_bus_buffer.scala 553:17] - node _T_3893 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 554:78] - node _T_3894 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] - reg _T_3895 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3894 : @[Reg.scala 28:19] - _T_3895 <= _T_3893 @[Reg.scala 28:23] + buf_dual[1] <= _T_3900 @[el2_lsu_bus_buffer.scala 554:17] + node _T_3901 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:78] + node _T_3902 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + reg _T_3903 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3902 : @[Reg.scala 28:19] + _T_3903 <= _T_3901 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3895 @[el2_lsu_bus_buffer.scala 554:19] - node _T_3896 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 555:80] - node _T_3897 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] - reg _T_3898 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3897 : @[Reg.scala 28:19] - _T_3898 <= _T_3896 @[Reg.scala 28:23] + buf_samedw[1] <= _T_3903 @[el2_lsu_bus_buffer.scala 555:19] + node _T_3904 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:80] + node _T_3905 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + reg _T_3906 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3905 : @[Reg.scala 28:19] + _T_3906 <= _T_3904 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3898 @[el2_lsu_bus_buffer.scala 555:20] - node _T_3899 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 556:78] - node _T_3900 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_3901 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3900 : @[Reg.scala 28:19] - _T_3901 <= _T_3899 @[Reg.scala 28:23] + buf_nomerge[1] <= _T_3906 @[el2_lsu_bus_buffer.scala 556:20] + node _T_3907 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 557:78] + node _T_3908 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + reg _T_3909 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3908 : @[Reg.scala 28:19] + _T_3909 <= _T_3907 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3901 @[el2_lsu_bus_buffer.scala 556:19] - node _T_3902 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3902 : @[Conditional.scala 40:58] - node _T_3903 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] - node _T_3904 = mux(_T_3903, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] - buf_nxtstate[2] <= _T_3904 @[el2_lsu_bus_buffer.scala 493:25] - node _T_3905 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] - node _T_3906 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] - node _T_3907 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] - node _T_3908 = and(_T_3906, _T_3907) @[el2_lsu_bus_buffer.scala 494:95] - node _T_3909 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] - node _T_3910 = and(_T_3908, _T_3909) @[el2_lsu_bus_buffer.scala 494:112] - node _T_3911 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] - node _T_3912 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] - node _T_3913 = and(_T_3911, _T_3912) @[el2_lsu_bus_buffer.scala 494:161] - node _T_3914 = or(_T_3910, _T_3913) @[el2_lsu_bus_buffer.scala 494:132] - node _T_3915 = and(_T_3905, _T_3914) @[el2_lsu_bus_buffer.scala 494:63] - node _T_3916 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] - node _T_3917 = and(ibuf_drain_vld, _T_3916) @[el2_lsu_bus_buffer.scala 494:201] - node _T_3918 = or(_T_3915, _T_3917) @[el2_lsu_bus_buffer.scala 494:183] - buf_state_en[2] <= _T_3918 @[el2_lsu_bus_buffer.scala 494:25] - buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 495:22] - buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 496:24] - node _T_3919 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] - node _T_3920 = and(ibuf_drain_vld, _T_3919) @[el2_lsu_bus_buffer.scala 497:47] - node _T_3921 = bits(_T_3920, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] - node _T_3922 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] - node _T_3923 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] - node _T_3924 = mux(_T_3921, _T_3922, _T_3923) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[2] <= _T_3924 @[el2_lsu_bus_buffer.scala 497:24] + buf_dualhi[1] <= _T_3909 @[el2_lsu_bus_buffer.scala 557:19] + node _T_3910 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3910 : @[Conditional.scala 40:58] + node _T_3911 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_3912 = mux(_T_3911, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[2] <= _T_3912 @[el2_lsu_bus_buffer.scala 494:25] + node _T_3913 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_3914 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_3915 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_3916 = and(_T_3914, _T_3915) @[el2_lsu_bus_buffer.scala 495:95] + node _T_3917 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_3918 = and(_T_3916, _T_3917) @[el2_lsu_bus_buffer.scala 495:112] + node _T_3919 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_3920 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_3921 = and(_T_3919, _T_3920) @[el2_lsu_bus_buffer.scala 495:161] + node _T_3922 = or(_T_3918, _T_3921) @[el2_lsu_bus_buffer.scala 495:132] + node _T_3923 = and(_T_3913, _T_3922) @[el2_lsu_bus_buffer.scala 495:63] + node _T_3924 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_3925 = and(ibuf_drain_vld, _T_3924) @[el2_lsu_bus_buffer.scala 495:201] + node _T_3926 = or(_T_3923, _T_3925) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[2] <= _T_3926 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 497:24] + node _T_3927 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_3928 = and(ibuf_drain_vld, _T_3927) @[el2_lsu_bus_buffer.scala 498:47] + node _T_3929 = bits(_T_3928, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_3930 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3931 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_3932 = mux(_T_3929, _T_3930, _T_3931) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[2] <= _T_3932 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3925 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3925 : @[Conditional.scala 39:67] - node _T_3926 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] - node _T_3927 = mux(_T_3926, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[2] <= _T_3927 @[el2_lsu_bus_buffer.scala 500:25] - node _T_3928 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] - buf_state_en[2] <= _T_3928 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3933 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3933 : @[Conditional.scala 39:67] + node _T_3934 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_3935 = mux(_T_3934, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[2] <= _T_3935 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3936 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[2] <= _T_3936 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3929 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3929 : @[Conditional.scala 39:67] - node _T_3930 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3931 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] - node _T_3932 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] - node _T_3933 = and(_T_3931, _T_3932) @[el2_lsu_bus_buffer.scala 504:104] - node _T_3934 = mux(_T_3933, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] - node _T_3935 = mux(_T_3930, UInt<3>("h00"), _T_3934) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[2] <= _T_3935 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3936 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 505:48] - node _T_3937 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 505:104] - node _T_3938 = and(obuf_merge, _T_3937) @[el2_lsu_bus_buffer.scala 505:91] - node _T_3939 = or(_T_3936, _T_3938) @[el2_lsu_bus_buffer.scala 505:77] - node _T_3940 = and(_T_3939, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] - node _T_3941 = and(_T_3940, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] - buf_cmd_state_bus_en[2] <= _T_3941 @[el2_lsu_bus_buffer.scala 505:33] - buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 506:29] - node _T_3942 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] - node _T_3943 = or(_T_3942, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] - buf_state_en[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 507:25] - buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] - node _T_3944 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 509:56] - node _T_3945 = eq(_T_3944, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] - node _T_3946 = and(buf_state_en[2], _T_3945) @[el2_lsu_bus_buffer.scala 509:44] - node _T_3947 = and(_T_3946, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] - node _T_3948 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] - node _T_3949 = and(_T_3947, _T_3948) @[el2_lsu_bus_buffer.scala 509:74] - buf_ldfwd_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 509:25] - node _T_3950 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] - buf_ldfwdtag_in[2] <= _T_3950 @[el2_lsu_bus_buffer.scala 510:28] - node _T_3951 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] - node _T_3952 = and(_T_3951, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] - node _T_3953 = and(_T_3952, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] - buf_data_en[2] <= _T_3953 @[el2_lsu_bus_buffer.scala 511:24] - node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] - node _T_3955 = and(_T_3954, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] - node _T_3956 = and(_T_3955, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] - buf_error_en[2] <= _T_3956 @[el2_lsu_bus_buffer.scala 512:25] - node _T_3957 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] - node _T_3958 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] - node _T_3959 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] - node _T_3960 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] - node _T_3961 = mux(_T_3958, _T_3959, _T_3960) @[el2_lsu_bus_buffer.scala 513:73] - node _T_3962 = mux(buf_error_en[2], _T_3957, _T_3961) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[2] <= _T_3962 @[el2_lsu_bus_buffer.scala 513:24] + node _T_3937 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3937 : @[Conditional.scala 39:67] + node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_3939 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_3940 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_3941 = and(_T_3939, _T_3940) @[el2_lsu_bus_buffer.scala 505:104] + node _T_3942 = mux(_T_3941, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_3943 = mux(_T_3938, UInt<3>("h00"), _T_3942) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[2] <= _T_3943 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3944 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_3945 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_3946 = and(obuf_merge, _T_3945) @[el2_lsu_bus_buffer.scala 506:91] + node _T_3947 = or(_T_3944, _T_3946) @[el2_lsu_bus_buffer.scala 506:77] + node _T_3948 = and(_T_3947, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_3949 = and(_T_3948, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[2] <= _T_3949 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 507:29] + node _T_3950 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_3951 = or(_T_3950, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_3952 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 510:56] + node _T_3953 = eq(_T_3952, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_3954 = and(buf_state_en[2], _T_3953) @[el2_lsu_bus_buffer.scala 510:44] + node _T_3955 = and(_T_3954, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_3956 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_3957 = and(_T_3955, _T_3956) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[2] <= _T_3957 @[el2_lsu_bus_buffer.scala 510:25] + node _T_3958 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[2] <= _T_3958 @[el2_lsu_bus_buffer.scala 511:28] + node _T_3959 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_3960 = and(_T_3959, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_3961 = and(_T_3960, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 512:24] + node _T_3962 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_3963 = and(_T_3962, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_3964 = and(_T_3963, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[2] <= _T_3964 @[el2_lsu_bus_buffer.scala 513:25] + node _T_3965 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_3966 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_3967 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_3968 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_3969 = mux(_T_3966, _T_3967, _T_3968) @[el2_lsu_bus_buffer.scala 514:73] + node _T_3970 = mux(buf_error_en[2], _T_3965, _T_3969) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[2] <= _T_3970 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3963 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3963 : @[Conditional.scala 39:67] - node _T_3964 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 516:67] - node _T_3965 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] - node _T_3966 = eq(_T_3965, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] - node _T_3967 = and(_T_3964, _T_3966) @[el2_lsu_bus_buffer.scala 516:71] - node _T_3968 = or(io.dec_tlu_force_halt, _T_3967) @[el2_lsu_bus_buffer.scala 516:55] - node _T_3969 = bits(_T_3968, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] - node _T_3970 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] - node _T_3971 = and(buf_dual[2], _T_3970) @[el2_lsu_bus_buffer.scala 517:28] - node _T_3972 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 517:57] - node _T_3973 = eq(_T_3972, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] - node _T_3974 = and(_T_3971, _T_3973) @[el2_lsu_bus_buffer.scala 517:45] - node _T_3975 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] - node _T_3976 = and(_T_3974, _T_3975) @[el2_lsu_bus_buffer.scala 517:61] - node _T_3977 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 518:27] - node _T_3978 = or(_T_3977, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] - node _T_3979 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] - node _T_3980 = and(buf_dual[2], _T_3979) @[el2_lsu_bus_buffer.scala 518:68] - node _T_3981 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:97] - node _T_3982 = eq(_T_3981, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] - node _T_3983 = and(_T_3980, _T_3982) @[el2_lsu_bus_buffer.scala 518:85] - node _T_3984 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3985 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3986 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3987 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3988 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3989 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3990 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_3991 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_3992 = mux(_T_3984, _T_3985, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3993 = mux(_T_3986, _T_3987, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3994 = mux(_T_3988, _T_3989, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3995 = mux(_T_3990, _T_3991, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3996 = or(_T_3992, _T_3993) @[Mux.scala 27:72] - node _T_3997 = or(_T_3996, _T_3994) @[Mux.scala 27:72] - node _T_3998 = or(_T_3997, _T_3995) @[Mux.scala 27:72] - wire _T_3999 : UInt<1> @[Mux.scala 27:72] - _T_3999 <= _T_3998 @[Mux.scala 27:72] - node _T_4000 = and(_T_3983, _T_3999) @[el2_lsu_bus_buffer.scala 518:101] - node _T_4001 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] - node _T_4002 = and(_T_4000, _T_4001) @[el2_lsu_bus_buffer.scala 518:138] - node _T_4003 = and(_T_4002, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] - node _T_4004 = or(_T_3978, _T_4003) @[el2_lsu_bus_buffer.scala 518:53] - node _T_4005 = mux(_T_4004, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] - node _T_4006 = mux(_T_3976, UInt<3>("h04"), _T_4005) @[el2_lsu_bus_buffer.scala 517:14] - node _T_4007 = mux(_T_3969, UInt<3>("h00"), _T_4006) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[2] <= _T_4007 @[el2_lsu_bus_buffer.scala 516:25] - node _T_4008 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_4009 = and(bus_rsp_write, _T_4008) @[el2_lsu_bus_buffer.scala 519:52] - node _T_4010 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_4011 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 521:23] - node _T_4012 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 521:47] - node _T_4013 = and(_T_4011, _T_4012) @[el2_lsu_bus_buffer.scala 521:27] - node _T_4014 = or(_T_4010, _T_4013) @[el2_lsu_bus_buffer.scala 520:77] - node _T_4015 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 522:26] - node _T_4016 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 522:54] - node _T_4017 = not(_T_4016) @[el2_lsu_bus_buffer.scala 522:44] - node _T_4018 = and(_T_4015, _T_4017) @[el2_lsu_bus_buffer.scala 522:42] - node _T_4019 = and(_T_4018, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 522:58] - node _T_4020 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 522:94] - node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 522:74] - node _T_4022 = or(_T_4014, _T_4021) @[el2_lsu_bus_buffer.scala 521:71] - node _T_4023 = and(bus_rsp_read, _T_4022) @[el2_lsu_bus_buffer.scala 520:25] - node _T_4024 = or(_T_4009, _T_4023) @[el2_lsu_bus_buffer.scala 519:105] - buf_resp_state_bus_en[2] <= _T_4024 @[el2_lsu_bus_buffer.scala 519:34] - buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 523:29] - node _T_4025 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] - node _T_4026 = or(_T_4025, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] - buf_state_en[2] <= _T_4026 @[el2_lsu_bus_buffer.scala 524:25] - node _T_4027 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] - node _T_4028 = and(_T_4027, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] - buf_data_en[2] <= _T_4028 @[el2_lsu_bus_buffer.scala 525:24] - node _T_4029 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] - node _T_4030 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 526:111] - node _T_4031 = and(bus_rsp_read_error, _T_4030) @[el2_lsu_bus_buffer.scala 526:91] - node _T_4032 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 527:42] - node _T_4033 = and(bus_rsp_read_error, _T_4032) @[el2_lsu_bus_buffer.scala 527:31] - node _T_4034 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 527:66] - node _T_4035 = and(_T_4033, _T_4034) @[el2_lsu_bus_buffer.scala 527:46] - node _T_4036 = or(_T_4031, _T_4035) @[el2_lsu_bus_buffer.scala 526:143] - node _T_4037 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] - node _T_4038 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 528:74] - node _T_4039 = and(_T_4037, _T_4038) @[el2_lsu_bus_buffer.scala 528:53] - node _T_4040 = or(_T_4036, _T_4039) @[el2_lsu_bus_buffer.scala 527:88] - node _T_4041 = and(_T_4029, _T_4040) @[el2_lsu_bus_buffer.scala 526:68] - buf_error_en[2] <= _T_4041 @[el2_lsu_bus_buffer.scala 526:25] - node _T_4042 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] - node _T_4043 = and(buf_state_en[2], _T_4042) @[el2_lsu_bus_buffer.scala 529:48] - node _T_4044 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] - node _T_4045 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] - node _T_4046 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] - node _T_4047 = mux(_T_4044, _T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 529:72] - node _T_4048 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] - node _T_4049 = mux(_T_4043, _T_4047, _T_4048) @[el2_lsu_bus_buffer.scala 529:30] - buf_data_in[2] <= _T_4049 @[el2_lsu_bus_buffer.scala 529:24] + node _T_3971 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3971 : @[Conditional.scala 39:67] + node _T_3972 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 517:67] + node _T_3973 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_3974 = eq(_T_3973, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_3975 = and(_T_3972, _T_3974) @[el2_lsu_bus_buffer.scala 517:71] + node _T_3976 = or(io.dec_tlu_force_halt, _T_3975) @[el2_lsu_bus_buffer.scala 517:55] + node _T_3977 = bits(_T_3976, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_3978 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_3979 = and(buf_dual[2], _T_3978) @[el2_lsu_bus_buffer.scala 518:28] + node _T_3980 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 518:57] + node _T_3981 = eq(_T_3980, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_3982 = and(_T_3979, _T_3981) @[el2_lsu_bus_buffer.scala 518:45] + node _T_3983 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_3984 = and(_T_3982, _T_3983) @[el2_lsu_bus_buffer.scala 518:61] + node _T_3985 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 519:27] + node _T_3986 = or(_T_3985, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_3987 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_3988 = and(buf_dual[2], _T_3987) @[el2_lsu_bus_buffer.scala 519:68] + node _T_3989 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 519:97] + node _T_3990 = eq(_T_3989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_3991 = and(_T_3988, _T_3990) @[el2_lsu_bus_buffer.scala 519:85] + node _T_3992 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3993 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3994 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3995 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3996 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3997 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_3998 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_3999 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4000 = mux(_T_3992, _T_3993, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4001 = mux(_T_3994, _T_3995, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4002 = mux(_T_3996, _T_3997, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4003 = mux(_T_3998, _T_3999, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4004 = or(_T_4000, _T_4001) @[Mux.scala 27:72] + node _T_4005 = or(_T_4004, _T_4002) @[Mux.scala 27:72] + node _T_4006 = or(_T_4005, _T_4003) @[Mux.scala 27:72] + wire _T_4007 : UInt<1> @[Mux.scala 27:72] + _T_4007 <= _T_4006 @[Mux.scala 27:72] + node _T_4008 = and(_T_3991, _T_4007) @[el2_lsu_bus_buffer.scala 519:101] + node _T_4009 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_4010 = and(_T_4008, _T_4009) @[el2_lsu_bus_buffer.scala 519:138] + node _T_4011 = and(_T_4010, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_4012 = or(_T_3986, _T_4011) @[el2_lsu_bus_buffer.scala 519:53] + node _T_4013 = mux(_T_4012, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_4014 = mux(_T_3984, UInt<3>("h04"), _T_4013) @[el2_lsu_bus_buffer.scala 518:14] + node _T_4015 = mux(_T_3977, UInt<3>("h00"), _T_4014) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[2] <= _T_4015 @[el2_lsu_bus_buffer.scala 517:25] + node _T_4016 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_4017 = and(bus_rsp_write, _T_4016) @[el2_lsu_bus_buffer.scala 520:52] + node _T_4018 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_4019 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 522:23] + node _T_4020 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_4021 = and(_T_4019, _T_4020) @[el2_lsu_bus_buffer.scala 522:27] + node _T_4022 = or(_T_4018, _T_4021) @[el2_lsu_bus_buffer.scala 521:77] + node _T_4023 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_4024 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 523:54] + node _T_4025 = not(_T_4024) @[el2_lsu_bus_buffer.scala 523:44] + node _T_4026 = and(_T_4023, _T_4025) @[el2_lsu_bus_buffer.scala 523:42] + node _T_4027 = and(_T_4026, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_4028 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_4029 = and(_T_4027, _T_4028) @[el2_lsu_bus_buffer.scala 523:74] + node _T_4030 = or(_T_4022, _T_4029) @[el2_lsu_bus_buffer.scala 522:71] + node _T_4031 = and(bus_rsp_read, _T_4030) @[el2_lsu_bus_buffer.scala 521:25] + node _T_4032 = or(_T_4017, _T_4031) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[2] <= _T_4032 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 524:29] + node _T_4033 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_4034 = or(_T_4033, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[2] <= _T_4034 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4035 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_4036 = and(_T_4035, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[2] <= _T_4036 @[el2_lsu_bus_buffer.scala 526:24] + node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_4038 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_4039 = and(bus_rsp_read_error, _T_4038) @[el2_lsu_bus_buffer.scala 527:91] + node _T_4040 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 528:42] + node _T_4041 = and(bus_rsp_read_error, _T_4040) @[el2_lsu_bus_buffer.scala 528:31] + node _T_4042 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_4043 = and(_T_4041, _T_4042) @[el2_lsu_bus_buffer.scala 528:46] + node _T_4044 = or(_T_4039, _T_4043) @[el2_lsu_bus_buffer.scala 527:143] + node _T_4045 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_4046 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_4047 = and(_T_4045, _T_4046) @[el2_lsu_bus_buffer.scala 529:53] + node _T_4048 = or(_T_4044, _T_4047) @[el2_lsu_bus_buffer.scala 528:88] + node _T_4049 = and(_T_4037, _T_4048) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[2] <= _T_4049 @[el2_lsu_bus_buffer.scala 527:25] + node _T_4050 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_4051 = and(buf_state_en[2], _T_4050) @[el2_lsu_bus_buffer.scala 530:48] + node _T_4052 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_4053 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_4054 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_4055 = mux(_T_4052, _T_4053, _T_4054) @[el2_lsu_bus_buffer.scala 530:72] + node _T_4056 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_4057 = mux(_T_4051, _T_4055, _T_4056) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[2] <= _T_4057 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4050 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4050 : @[Conditional.scala 39:67] - node _T_4051 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] - node _T_4052 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 532:86] - node _T_4053 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 532:101] - node _T_4054 = bits(_T_4053, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] - node _T_4055 = or(_T_4052, _T_4054) @[el2_lsu_bus_buffer.scala 532:90] - node _T_4056 = or(_T_4055, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] - node _T_4057 = mux(_T_4056, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] - node _T_4058 = mux(_T_4051, UInt<3>("h00"), _T_4057) @[el2_lsu_bus_buffer.scala 532:31] - buf_nxtstate[2] <= _T_4058 @[el2_lsu_bus_buffer.scala 532:25] - node _T_4059 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:66] - node _T_4060 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:21] - node _T_4061 = bits(_T_4060, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] - node _T_4062 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_4063 = and(_T_4061, _T_4062) @[el2_lsu_bus_buffer.scala 534:38] - node _T_4064 = or(_T_4059, _T_4063) @[el2_lsu_bus_buffer.scala 533:95] - node _T_4065 = and(bus_rsp_read, _T_4064) @[el2_lsu_bus_buffer.scala 533:45] - buf_state_bus_en[2] <= _T_4065 @[el2_lsu_bus_buffer.scala 533:29] - node _T_4066 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_4067 = or(_T_4066, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[2] <= _T_4067 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4058 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4058 : @[Conditional.scala 39:67] + node _T_4059 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_4060 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 533:86] + node _T_4061 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4062 = bits(_T_4061, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4063 = or(_T_4060, _T_4062) @[el2_lsu_bus_buffer.scala 533:90] + node _T_4064 = or(_T_4063, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_4065 = mux(_T_4064, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_4066 = mux(_T_4059, UInt<3>("h00"), _T_4065) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[2] <= _T_4066 @[el2_lsu_bus_buffer.scala 533:25] + node _T_4067 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_4068 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4069 = bits(_T_4068, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4070 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_4071 = and(_T_4069, _T_4070) @[el2_lsu_bus_buffer.scala 535:38] + node _T_4072 = or(_T_4067, _T_4071) @[el2_lsu_bus_buffer.scala 534:95] + node _T_4073 = and(bus_rsp_read, _T_4072) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[2] <= _T_4073 @[el2_lsu_bus_buffer.scala 534:29] + node _T_4074 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_4075 = or(_T_4074, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[2] <= _T_4075 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4068 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4068 : @[Conditional.scala 39:67] - node _T_4069 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4070 = mux(_T_4069, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] - buf_nxtstate[2] <= _T_4070 @[el2_lsu_bus_buffer.scala 538:25] - node _T_4071 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 539:37] - node _T_4072 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] - node _T_4073 = and(buf_dual[2], _T_4072) @[el2_lsu_bus_buffer.scala 539:80] - node _T_4074 = or(_T_4071, _T_4073) @[el2_lsu_bus_buffer.scala 539:65] - node _T_4075 = or(_T_4074, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] - buf_state_en[2] <= _T_4075 @[el2_lsu_bus_buffer.scala 539:25] + node _T_4076 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4076 : @[Conditional.scala 39:67] + node _T_4077 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4078 = mux(_T_4077, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[2] <= _T_4078 @[el2_lsu_bus_buffer.scala 539:25] + node _T_4079 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_4080 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_4081 = and(buf_dual[2], _T_4080) @[el2_lsu_bus_buffer.scala 540:80] + node _T_4082 = or(_T_4079, _T_4081) @[el2_lsu_bus_buffer.scala 540:65] + node _T_4083 = or(_T_4082, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[2] <= _T_4083 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4076 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_4076 : @[Conditional.scala 39:67] - buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] - buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] - buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 546:25] + node _T_4084 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4084 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_4077 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] - reg _T_4078 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4077 : @[Reg.scala 28:19] - _T_4078 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_4085 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + reg _T_4086 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4085 : @[Reg.scala 28:19] + _T_4086 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_4078 @[el2_lsu_bus_buffer.scala 549:18] - reg _T_4079 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] - _T_4079 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 550:60] - buf_ageQ[2] <= _T_4079 @[el2_lsu_bus_buffer.scala 550:17] - reg _T_4080 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] - _T_4080 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 551:63] - buf_rspageQ[2] <= _T_4080 @[el2_lsu_bus_buffer.scala 551:20] - node _T_4081 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] - reg _T_4082 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4081 : @[Reg.scala 28:19] - _T_4082 <= buf_dualtag_in[2] @[Reg.scala 28:23] + buf_state[2] <= _T_4086 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_4087 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_4087 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[2] <= _T_4087 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_4088 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_4088 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[2] <= _T_4088 @[el2_lsu_bus_buffer.scala 552:20] + node _T_4089 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + reg _T_4090 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4089 : @[Reg.scala 28:19] + _T_4090 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_4082 @[el2_lsu_bus_buffer.scala 552:20] - node _T_4083 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 553:74] - node _T_4084 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] - reg _T_4085 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4084 : @[Reg.scala 28:19] - _T_4085 <= _T_4083 @[Reg.scala 28:23] + buf_dualtag[2] <= _T_4090 @[el2_lsu_bus_buffer.scala 553:20] + node _T_4091 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 554:74] + node _T_4092 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + reg _T_4093 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4092 : @[Reg.scala 28:19] + _T_4093 <= _T_4091 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_4085 @[el2_lsu_bus_buffer.scala 553:17] - node _T_4086 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4087 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] - reg _T_4088 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4087 : @[Reg.scala 28:19] - _T_4088 <= _T_4086 @[Reg.scala 28:23] + buf_dual[2] <= _T_4093 @[el2_lsu_bus_buffer.scala 554:17] + node _T_4094 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4095 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] + reg _T_4096 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4095 : @[Reg.scala 28:19] + _T_4096 <= _T_4094 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_4088 @[el2_lsu_bus_buffer.scala 554:19] - node _T_4089 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4090 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] - reg _T_4091 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4090 : @[Reg.scala 28:19] - _T_4091 <= _T_4089 @[Reg.scala 28:23] + buf_samedw[2] <= _T_4096 @[el2_lsu_bus_buffer.scala 555:19] + node _T_4097 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4098 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + reg _T_4099 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4098 : @[Reg.scala 28:19] + _T_4099 <= _T_4097 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_4091 @[el2_lsu_bus_buffer.scala 555:20] - node _T_4092 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 556:78] - node _T_4093 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_4094 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4093 : @[Reg.scala 28:19] - _T_4094 <= _T_4092 @[Reg.scala 28:23] + buf_nomerge[2] <= _T_4099 @[el2_lsu_bus_buffer.scala 556:20] + node _T_4100 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 557:78] + node _T_4101 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] + reg _T_4102 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4101 : @[Reg.scala 28:19] + _T_4102 <= _T_4100 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_4094 @[el2_lsu_bus_buffer.scala 556:19] - node _T_4095 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4095 : @[Conditional.scala 40:58] - node _T_4096 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 493:56] - node _T_4097 = mux(_T_4096, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 493:31] - buf_nxtstate[3] <= _T_4097 @[el2_lsu_bus_buffer.scala 493:25] - node _T_4098 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 494:45] - node _T_4099 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:77] - node _T_4100 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 494:97] - node _T_4101 = and(_T_4099, _T_4100) @[el2_lsu_bus_buffer.scala 494:95] - node _T_4102 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 494:117] - node _T_4103 = and(_T_4101, _T_4102) @[el2_lsu_bus_buffer.scala 494:112] - node _T_4104 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 494:144] - node _T_4105 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 494:166] - node _T_4106 = and(_T_4104, _T_4105) @[el2_lsu_bus_buffer.scala 494:161] - node _T_4107 = or(_T_4103, _T_4106) @[el2_lsu_bus_buffer.scala 494:132] - node _T_4108 = and(_T_4098, _T_4107) @[el2_lsu_bus_buffer.scala 494:63] - node _T_4109 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 494:206] - node _T_4110 = and(ibuf_drain_vld, _T_4109) @[el2_lsu_bus_buffer.scala 494:201] - node _T_4111 = or(_T_4108, _T_4110) @[el2_lsu_bus_buffer.scala 494:183] - buf_state_en[3] <= _T_4111 @[el2_lsu_bus_buffer.scala 494:25] - buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 495:22] - buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 496:24] - node _T_4112 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 497:52] - node _T_4113 = and(ibuf_drain_vld, _T_4112) @[el2_lsu_bus_buffer.scala 497:47] - node _T_4114 = bits(_T_4113, 0, 0) @[el2_lsu_bus_buffer.scala 497:73] - node _T_4115 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 497:90] - node _T_4116 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 497:114] - node _T_4117 = mux(_T_4114, _T_4115, _T_4116) @[el2_lsu_bus_buffer.scala 497:30] - buf_data_in[3] <= _T_4117 @[el2_lsu_bus_buffer.scala 497:24] + buf_dualhi[2] <= _T_4102 @[el2_lsu_bus_buffer.scala 557:19] + node _T_4103 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4103 : @[Conditional.scala 40:58] + node _T_4104 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 494:56] + node _T_4105 = mux(_T_4104, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:31] + buf_nxtstate[3] <= _T_4105 @[el2_lsu_bus_buffer.scala 494:25] + node _T_4106 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 495:45] + node _T_4107 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:77] + node _T_4108 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:97] + node _T_4109 = and(_T_4107, _T_4108) @[el2_lsu_bus_buffer.scala 495:95] + node _T_4110 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 495:117] + node _T_4111 = and(_T_4109, _T_4110) @[el2_lsu_bus_buffer.scala 495:112] + node _T_4112 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 495:144] + node _T_4113 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 495:166] + node _T_4114 = and(_T_4112, _T_4113) @[el2_lsu_bus_buffer.scala 495:161] + node _T_4115 = or(_T_4111, _T_4114) @[el2_lsu_bus_buffer.scala 495:132] + node _T_4116 = and(_T_4106, _T_4115) @[el2_lsu_bus_buffer.scala 495:63] + node _T_4117 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 495:206] + node _T_4118 = and(ibuf_drain_vld, _T_4117) @[el2_lsu_bus_buffer.scala 495:201] + node _T_4119 = or(_T_4116, _T_4118) @[el2_lsu_bus_buffer.scala 495:183] + buf_state_en[3] <= _T_4119 @[el2_lsu_bus_buffer.scala 495:25] + buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 496:22] + buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 497:24] + node _T_4120 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 498:52] + node _T_4121 = and(ibuf_drain_vld, _T_4120) @[el2_lsu_bus_buffer.scala 498:47] + node _T_4122 = bits(_T_4121, 0, 0) @[el2_lsu_bus_buffer.scala 498:73] + node _T_4123 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 498:90] + node _T_4124 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 498:114] + node _T_4125 = mux(_T_4122, _T_4123, _T_4124) @[el2_lsu_bus_buffer.scala 498:30] + buf_data_in[3] <= _T_4125 @[el2_lsu_bus_buffer.scala 498:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4118 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4118 : @[Conditional.scala 39:67] - node _T_4119 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 500:60] - node _T_4120 = mux(_T_4119, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 500:31] - buf_nxtstate[3] <= _T_4120 @[el2_lsu_bus_buffer.scala 500:25] - node _T_4121 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:46] - buf_state_en[3] <= _T_4121 @[el2_lsu_bus_buffer.scala 501:25] + node _T_4126 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4126 : @[Conditional.scala 39:67] + node _T_4127 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 501:60] + node _T_4128 = mux(_T_4127, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 501:31] + buf_nxtstate[3] <= _T_4128 @[el2_lsu_bus_buffer.scala 501:25] + node _T_4129 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 502:46] + buf_state_en[3] <= _T_4129 @[el2_lsu_bus_buffer.scala 502:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4122 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4122 : @[Conditional.scala 39:67] - node _T_4123 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_4124 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 504:89] - node _T_4125 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 504:124] - node _T_4126 = and(_T_4124, _T_4125) @[el2_lsu_bus_buffer.scala 504:104] - node _T_4127 = mux(_T_4126, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 504:75] - node _T_4128 = mux(_T_4123, UInt<3>("h00"), _T_4127) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[3] <= _T_4128 @[el2_lsu_bus_buffer.scala 504:25] - node _T_4129 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:48] - node _T_4130 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:104] - node _T_4131 = and(obuf_merge, _T_4130) @[el2_lsu_bus_buffer.scala 505:91] - node _T_4132 = or(_T_4129, _T_4131) @[el2_lsu_bus_buffer.scala 505:77] - node _T_4133 = and(_T_4132, obuf_valid) @[el2_lsu_bus_buffer.scala 505:135] - node _T_4134 = and(_T_4133, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 505:148] - buf_cmd_state_bus_en[3] <= _T_4134 @[el2_lsu_bus_buffer.scala 505:33] - buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 506:29] - node _T_4135 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 507:49] - node _T_4136 = or(_T_4135, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 507:70] - buf_state_en[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 507:25] - buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 508:25] - node _T_4137 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 509:56] - node _T_4138 = eq(_T_4137, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:46] - node _T_4139 = and(buf_state_en[3], _T_4138) @[el2_lsu_bus_buffer.scala 509:44] - node _T_4140 = and(_T_4139, obuf_nosend) @[el2_lsu_bus_buffer.scala 509:60] - node _T_4141 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 509:76] - node _T_4142 = and(_T_4140, _T_4141) @[el2_lsu_bus_buffer.scala 509:74] - buf_ldfwd_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 509:25] - node _T_4143 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 510:46] - buf_ldfwdtag_in[3] <= _T_4143 @[el2_lsu_bus_buffer.scala 510:28] - node _T_4144 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 511:47] - node _T_4145 = and(_T_4144, obuf_nosend) @[el2_lsu_bus_buffer.scala 511:67] - node _T_4146 = and(_T_4145, bus_rsp_read) @[el2_lsu_bus_buffer.scala 511:81] - buf_data_en[3] <= _T_4146 @[el2_lsu_bus_buffer.scala 511:24] - node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:48] - node _T_4148 = and(_T_4147, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:68] - node _T_4149 = and(_T_4148, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 512:82] - buf_error_en[3] <= _T_4149 @[el2_lsu_bus_buffer.scala 512:25] - node _T_4150 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:61] - node _T_4151 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 513:85] - node _T_4152 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 513:103] - node _T_4153 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 513:126] - node _T_4154 = mux(_T_4151, _T_4152, _T_4153) @[el2_lsu_bus_buffer.scala 513:73] - node _T_4155 = mux(buf_error_en[3], _T_4150, _T_4154) @[el2_lsu_bus_buffer.scala 513:30] - buf_data_in[3] <= _T_4155 @[el2_lsu_bus_buffer.scala 513:24] + node _T_4130 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4130 : @[Conditional.scala 39:67] + node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 505:60] + node _T_4132 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 505:89] + node _T_4133 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 505:124] + node _T_4134 = and(_T_4132, _T_4133) @[el2_lsu_bus_buffer.scala 505:104] + node _T_4135 = mux(_T_4134, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 505:75] + node _T_4136 = mux(_T_4131, UInt<3>("h00"), _T_4135) @[el2_lsu_bus_buffer.scala 505:31] + buf_nxtstate[3] <= _T_4136 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4137 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:48] + node _T_4138 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 506:104] + node _T_4139 = and(obuf_merge, _T_4138) @[el2_lsu_bus_buffer.scala 506:91] + node _T_4140 = or(_T_4137, _T_4139) @[el2_lsu_bus_buffer.scala 506:77] + node _T_4141 = and(_T_4140, obuf_valid) @[el2_lsu_bus_buffer.scala 506:135] + node _T_4142 = and(_T_4141, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 506:148] + buf_cmd_state_bus_en[3] <= _T_4142 @[el2_lsu_bus_buffer.scala 506:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 507:29] + node _T_4143 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 508:49] + node _T_4144 = or(_T_4143, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 508:70] + buf_state_en[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 508:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:25] + node _T_4145 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 510:56] + node _T_4146 = eq(_T_4145, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:46] + node _T_4147 = and(buf_state_en[3], _T_4146) @[el2_lsu_bus_buffer.scala 510:44] + node _T_4148 = and(_T_4147, obuf_nosend) @[el2_lsu_bus_buffer.scala 510:60] + node _T_4149 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 510:76] + node _T_4150 = and(_T_4148, _T_4149) @[el2_lsu_bus_buffer.scala 510:74] + buf_ldfwd_en[3] <= _T_4150 @[el2_lsu_bus_buffer.scala 510:25] + node _T_4151 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 511:46] + buf_ldfwdtag_in[3] <= _T_4151 @[el2_lsu_bus_buffer.scala 511:28] + node _T_4152 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 512:47] + node _T_4153 = and(_T_4152, obuf_nosend) @[el2_lsu_bus_buffer.scala 512:67] + node _T_4154 = and(_T_4153, bus_rsp_read) @[el2_lsu_bus_buffer.scala 512:81] + buf_data_en[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 512:24] + node _T_4155 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 513:48] + node _T_4156 = and(_T_4155, obuf_nosend) @[el2_lsu_bus_buffer.scala 513:68] + node _T_4157 = and(_T_4156, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 513:82] + buf_error_en[3] <= _T_4157 @[el2_lsu_bus_buffer.scala 513:25] + node _T_4158 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:61] + node _T_4159 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 514:85] + node _T_4160 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 514:103] + node _T_4161 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 514:126] + node _T_4162 = mux(_T_4159, _T_4160, _T_4161) @[el2_lsu_bus_buffer.scala 514:73] + node _T_4163 = mux(buf_error_en[3], _T_4158, _T_4162) @[el2_lsu_bus_buffer.scala 514:30] + buf_data_in[3] <= _T_4163 @[el2_lsu_bus_buffer.scala 514:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4156 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4156 : @[Conditional.scala 39:67] - node _T_4157 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 516:67] - node _T_4158 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 516:94] - node _T_4159 = eq(_T_4158, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 516:73] - node _T_4160 = and(_T_4157, _T_4159) @[el2_lsu_bus_buffer.scala 516:71] - node _T_4161 = or(io.dec_tlu_force_halt, _T_4160) @[el2_lsu_bus_buffer.scala 516:55] - node _T_4162 = bits(_T_4161, 0, 0) @[el2_lsu_bus_buffer.scala 516:125] - node _T_4163 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:30] - node _T_4164 = and(buf_dual[3], _T_4163) @[el2_lsu_bus_buffer.scala 517:28] - node _T_4165 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 517:57] - node _T_4166 = eq(_T_4165, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:47] - node _T_4167 = and(_T_4164, _T_4166) @[el2_lsu_bus_buffer.scala 517:45] - node _T_4168 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 517:90] - node _T_4169 = and(_T_4167, _T_4168) @[el2_lsu_bus_buffer.scala 517:61] - node _T_4170 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 518:27] - node _T_4171 = or(_T_4170, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:31] - node _T_4172 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:70] - node _T_4173 = and(buf_dual[3], _T_4172) @[el2_lsu_bus_buffer.scala 518:68] - node _T_4174 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:97] - node _T_4175 = eq(_T_4174, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:87] - node _T_4176 = and(_T_4173, _T_4175) @[el2_lsu_bus_buffer.scala 518:85] - node _T_4177 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4178 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4179 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4180 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4181 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4182 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4183 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4184 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4185 = mux(_T_4177, _T_4178, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4186 = mux(_T_4179, _T_4180, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4187 = mux(_T_4181, _T_4182, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4188 = mux(_T_4183, _T_4184, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4189 = or(_T_4185, _T_4186) @[Mux.scala 27:72] - node _T_4190 = or(_T_4189, _T_4187) @[Mux.scala 27:72] - node _T_4191 = or(_T_4190, _T_4188) @[Mux.scala 27:72] - wire _T_4192 : UInt<1> @[Mux.scala 27:72] - _T_4192 <= _T_4191 @[Mux.scala 27:72] - node _T_4193 = and(_T_4176, _T_4192) @[el2_lsu_bus_buffer.scala 518:101] - node _T_4194 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:167] - node _T_4195 = and(_T_4193, _T_4194) @[el2_lsu_bus_buffer.scala 518:138] - node _T_4196 = and(_T_4195, any_done_wait_state) @[el2_lsu_bus_buffer.scala 518:187] - node _T_4197 = or(_T_4171, _T_4196) @[el2_lsu_bus_buffer.scala 518:53] - node _T_4198 = mux(_T_4197, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 518:16] - node _T_4199 = mux(_T_4169, UInt<3>("h04"), _T_4198) @[el2_lsu_bus_buffer.scala 517:14] - node _T_4200 = mux(_T_4162, UInt<3>("h00"), _T_4199) @[el2_lsu_bus_buffer.scala 516:31] - buf_nxtstate[3] <= _T_4200 @[el2_lsu_bus_buffer.scala 516:25] - node _T_4201 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 519:73] - node _T_4202 = and(bus_rsp_write, _T_4201) @[el2_lsu_bus_buffer.scala 519:52] - node _T_4203 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 520:46] - node _T_4204 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 521:23] - node _T_4205 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 521:47] - node _T_4206 = and(_T_4204, _T_4205) @[el2_lsu_bus_buffer.scala 521:27] - node _T_4207 = or(_T_4203, _T_4206) @[el2_lsu_bus_buffer.scala 520:77] - node _T_4208 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 522:26] - node _T_4209 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 522:54] - node _T_4210 = not(_T_4209) @[el2_lsu_bus_buffer.scala 522:44] - node _T_4211 = and(_T_4208, _T_4210) @[el2_lsu_bus_buffer.scala 522:42] - node _T_4212 = and(_T_4211, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 522:58] - node _T_4213 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 522:94] - node _T_4214 = and(_T_4212, _T_4213) @[el2_lsu_bus_buffer.scala 522:74] - node _T_4215 = or(_T_4207, _T_4214) @[el2_lsu_bus_buffer.scala 521:71] - node _T_4216 = and(bus_rsp_read, _T_4215) @[el2_lsu_bus_buffer.scala 520:25] - node _T_4217 = or(_T_4202, _T_4216) @[el2_lsu_bus_buffer.scala 519:105] - buf_resp_state_bus_en[3] <= _T_4217 @[el2_lsu_bus_buffer.scala 519:34] - buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 523:29] - node _T_4218 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 524:49] - node _T_4219 = or(_T_4218, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 524:70] - buf_state_en[3] <= _T_4219 @[el2_lsu_bus_buffer.scala 524:25] - node _T_4220 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 525:47] - node _T_4221 = and(_T_4220, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:62] - buf_data_en[3] <= _T_4221 @[el2_lsu_bus_buffer.scala 525:24] - node _T_4222 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:48] - node _T_4223 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 526:111] - node _T_4224 = and(bus_rsp_read_error, _T_4223) @[el2_lsu_bus_buffer.scala 526:91] - node _T_4225 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 527:42] - node _T_4226 = and(bus_rsp_read_error, _T_4225) @[el2_lsu_bus_buffer.scala 527:31] - node _T_4227 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 527:66] - node _T_4228 = and(_T_4226, _T_4227) @[el2_lsu_bus_buffer.scala 527:46] - node _T_4229 = or(_T_4224, _T_4228) @[el2_lsu_bus_buffer.scala 526:143] - node _T_4230 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 528:32] - node _T_4231 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 528:74] - node _T_4232 = and(_T_4230, _T_4231) @[el2_lsu_bus_buffer.scala 528:53] - node _T_4233 = or(_T_4229, _T_4232) @[el2_lsu_bus_buffer.scala 527:88] - node _T_4234 = and(_T_4222, _T_4233) @[el2_lsu_bus_buffer.scala 526:68] - buf_error_en[3] <= _T_4234 @[el2_lsu_bus_buffer.scala 526:25] - node _T_4235 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 529:50] - node _T_4236 = and(buf_state_en[3], _T_4235) @[el2_lsu_bus_buffer.scala 529:48] - node _T_4237 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 529:84] - node _T_4238 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 529:102] - node _T_4239 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:125] - node _T_4240 = mux(_T_4237, _T_4238, _T_4239) @[el2_lsu_bus_buffer.scala 529:72] - node _T_4241 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 529:148] - node _T_4242 = mux(_T_4236, _T_4240, _T_4241) @[el2_lsu_bus_buffer.scala 529:30] - buf_data_in[3] <= _T_4242 @[el2_lsu_bus_buffer.scala 529:24] + node _T_4164 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4164 : @[Conditional.scala 39:67] + node _T_4165 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 517:67] + node _T_4166 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 517:94] + node _T_4167 = eq(_T_4166, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 517:73] + node _T_4168 = and(_T_4165, _T_4167) @[el2_lsu_bus_buffer.scala 517:71] + node _T_4169 = or(io.dec_tlu_force_halt, _T_4168) @[el2_lsu_bus_buffer.scala 517:55] + node _T_4170 = bits(_T_4169, 0, 0) @[el2_lsu_bus_buffer.scala 517:125] + node _T_4171 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:30] + node _T_4172 = and(buf_dual[3], _T_4171) @[el2_lsu_bus_buffer.scala 518:28] + node _T_4173 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 518:57] + node _T_4174 = eq(_T_4173, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 518:47] + node _T_4175 = and(_T_4172, _T_4174) @[el2_lsu_bus_buffer.scala 518:45] + node _T_4176 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 518:90] + node _T_4177 = and(_T_4175, _T_4176) @[el2_lsu_bus_buffer.scala 518:61] + node _T_4178 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 519:27] + node _T_4179 = or(_T_4178, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:31] + node _T_4180 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:70] + node _T_4181 = and(buf_dual[3], _T_4180) @[el2_lsu_bus_buffer.scala 519:68] + node _T_4182 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 519:97] + node _T_4183 = eq(_T_4182, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 519:87] + node _T_4184 = and(_T_4181, _T_4183) @[el2_lsu_bus_buffer.scala 519:85] + node _T_4185 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4186 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4187 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4188 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4189 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4190 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4191 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4192 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4193 = mux(_T_4185, _T_4186, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4194 = mux(_T_4187, _T_4188, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4195 = mux(_T_4189, _T_4190, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4196 = mux(_T_4191, _T_4192, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4197 = or(_T_4193, _T_4194) @[Mux.scala 27:72] + node _T_4198 = or(_T_4197, _T_4195) @[Mux.scala 27:72] + node _T_4199 = or(_T_4198, _T_4196) @[Mux.scala 27:72] + wire _T_4200 : UInt<1> @[Mux.scala 27:72] + _T_4200 <= _T_4199 @[Mux.scala 27:72] + node _T_4201 = and(_T_4184, _T_4200) @[el2_lsu_bus_buffer.scala 519:101] + node _T_4202 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 519:167] + node _T_4203 = and(_T_4201, _T_4202) @[el2_lsu_bus_buffer.scala 519:138] + node _T_4204 = and(_T_4203, any_done_wait_state) @[el2_lsu_bus_buffer.scala 519:187] + node _T_4205 = or(_T_4179, _T_4204) @[el2_lsu_bus_buffer.scala 519:53] + node _T_4206 = mux(_T_4205, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 519:16] + node _T_4207 = mux(_T_4177, UInt<3>("h04"), _T_4206) @[el2_lsu_bus_buffer.scala 518:14] + node _T_4208 = mux(_T_4170, UInt<3>("h00"), _T_4207) @[el2_lsu_bus_buffer.scala 517:31] + buf_nxtstate[3] <= _T_4208 @[el2_lsu_bus_buffer.scala 517:25] + node _T_4209 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 520:73] + node _T_4210 = and(bus_rsp_write, _T_4209) @[el2_lsu_bus_buffer.scala 520:52] + node _T_4211 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 521:46] + node _T_4212 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 522:23] + node _T_4213 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 522:47] + node _T_4214 = and(_T_4212, _T_4213) @[el2_lsu_bus_buffer.scala 522:27] + node _T_4215 = or(_T_4211, _T_4214) @[el2_lsu_bus_buffer.scala 521:77] + node _T_4216 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 523:26] + node _T_4217 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 523:54] + node _T_4218 = not(_T_4217) @[el2_lsu_bus_buffer.scala 523:44] + node _T_4219 = and(_T_4216, _T_4218) @[el2_lsu_bus_buffer.scala 523:42] + node _T_4220 = and(_T_4219, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 523:58] + node _T_4221 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 523:94] + node _T_4222 = and(_T_4220, _T_4221) @[el2_lsu_bus_buffer.scala 523:74] + node _T_4223 = or(_T_4215, _T_4222) @[el2_lsu_bus_buffer.scala 522:71] + node _T_4224 = and(bus_rsp_read, _T_4223) @[el2_lsu_bus_buffer.scala 521:25] + node _T_4225 = or(_T_4210, _T_4224) @[el2_lsu_bus_buffer.scala 520:105] + buf_resp_state_bus_en[3] <= _T_4225 @[el2_lsu_bus_buffer.scala 520:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 524:29] + node _T_4226 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 525:49] + node _T_4227 = or(_T_4226, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 525:70] + buf_state_en[3] <= _T_4227 @[el2_lsu_bus_buffer.scala 525:25] + node _T_4228 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 526:47] + node _T_4229 = and(_T_4228, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 526:62] + buf_data_en[3] <= _T_4229 @[el2_lsu_bus_buffer.scala 526:24] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 527:48] + node _T_4231 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 527:111] + node _T_4232 = and(bus_rsp_read_error, _T_4231) @[el2_lsu_bus_buffer.scala 527:91] + node _T_4233 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 528:42] + node _T_4234 = and(bus_rsp_read_error, _T_4233) @[el2_lsu_bus_buffer.scala 528:31] + node _T_4235 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 528:66] + node _T_4236 = and(_T_4234, _T_4235) @[el2_lsu_bus_buffer.scala 528:46] + node _T_4237 = or(_T_4232, _T_4236) @[el2_lsu_bus_buffer.scala 527:143] + node _T_4238 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 529:32] + node _T_4239 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 529:74] + node _T_4240 = and(_T_4238, _T_4239) @[el2_lsu_bus_buffer.scala 529:53] + node _T_4241 = or(_T_4237, _T_4240) @[el2_lsu_bus_buffer.scala 528:88] + node _T_4242 = and(_T_4230, _T_4241) @[el2_lsu_bus_buffer.scala 527:68] + buf_error_en[3] <= _T_4242 @[el2_lsu_bus_buffer.scala 527:25] + node _T_4243 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 530:50] + node _T_4244 = and(buf_state_en[3], _T_4243) @[el2_lsu_bus_buffer.scala 530:48] + node _T_4245 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 530:84] + node _T_4246 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 530:102] + node _T_4247 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:125] + node _T_4248 = mux(_T_4245, _T_4246, _T_4247) @[el2_lsu_bus_buffer.scala 530:72] + node _T_4249 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 530:148] + node _T_4250 = mux(_T_4244, _T_4248, _T_4249) @[el2_lsu_bus_buffer.scala 530:30] + buf_data_in[3] <= _T_4250 @[el2_lsu_bus_buffer.scala 530:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4243 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4243 : @[Conditional.scala 39:67] - node _T_4244 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 532:60] - node _T_4245 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 532:86] - node _T_4246 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 532:101] - node _T_4247 = bits(_T_4246, 0, 0) @[el2_lsu_bus_buffer.scala 532:101] - node _T_4248 = or(_T_4245, _T_4247) @[el2_lsu_bus_buffer.scala 532:90] - node _T_4249 = or(_T_4248, any_done_wait_state) @[el2_lsu_bus_buffer.scala 532:118] - node _T_4250 = mux(_T_4249, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 532:75] - node _T_4251 = mux(_T_4244, UInt<3>("h00"), _T_4250) @[el2_lsu_bus_buffer.scala 532:31] - buf_nxtstate[3] <= _T_4251 @[el2_lsu_bus_buffer.scala 532:25] - node _T_4252 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:66] - node _T_4253 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:21] - node _T_4254 = bits(_T_4253, 0, 0) @[el2_lsu_bus_buffer.scala 534:21] - node _T_4255 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 534:58] - node _T_4256 = and(_T_4254, _T_4255) @[el2_lsu_bus_buffer.scala 534:38] - node _T_4257 = or(_T_4252, _T_4256) @[el2_lsu_bus_buffer.scala 533:95] - node _T_4258 = and(bus_rsp_read, _T_4257) @[el2_lsu_bus_buffer.scala 533:45] - buf_state_bus_en[3] <= _T_4258 @[el2_lsu_bus_buffer.scala 533:29] - node _T_4259 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 535:49] - node _T_4260 = or(_T_4259, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 535:70] - buf_state_en[3] <= _T_4260 @[el2_lsu_bus_buffer.scala 535:25] + node _T_4251 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4251 : @[Conditional.scala 39:67] + node _T_4252 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 533:60] + node _T_4253 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 533:86] + node _T_4254 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4255 = bits(_T_4254, 0, 0) @[el2_lsu_bus_buffer.scala 533:101] + node _T_4256 = or(_T_4253, _T_4255) @[el2_lsu_bus_buffer.scala 533:90] + node _T_4257 = or(_T_4256, any_done_wait_state) @[el2_lsu_bus_buffer.scala 533:118] + node _T_4258 = mux(_T_4257, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 533:75] + node _T_4259 = mux(_T_4252, UInt<3>("h00"), _T_4258) @[el2_lsu_bus_buffer.scala 533:31] + buf_nxtstate[3] <= _T_4259 @[el2_lsu_bus_buffer.scala 533:25] + node _T_4260 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 534:66] + node _T_4261 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4262 = bits(_T_4261, 0, 0) @[el2_lsu_bus_buffer.scala 535:21] + node _T_4263 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 535:58] + node _T_4264 = and(_T_4262, _T_4263) @[el2_lsu_bus_buffer.scala 535:38] + node _T_4265 = or(_T_4260, _T_4264) @[el2_lsu_bus_buffer.scala 534:95] + node _T_4266 = and(bus_rsp_read, _T_4265) @[el2_lsu_bus_buffer.scala 534:45] + buf_state_bus_en[3] <= _T_4266 @[el2_lsu_bus_buffer.scala 534:29] + node _T_4267 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 536:49] + node _T_4268 = or(_T_4267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 536:70] + buf_state_en[3] <= _T_4268 @[el2_lsu_bus_buffer.scala 536:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4261 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4261 : @[Conditional.scala 39:67] - node _T_4262 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4263 = mux(_T_4262, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 538:31] - buf_nxtstate[3] <= _T_4263 @[el2_lsu_bus_buffer.scala 538:25] - node _T_4264 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 539:37] - node _T_4265 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 539:98] - node _T_4266 = and(buf_dual[3], _T_4265) @[el2_lsu_bus_buffer.scala 539:80] - node _T_4267 = or(_T_4264, _T_4266) @[el2_lsu_bus_buffer.scala 539:65] - node _T_4268 = or(_T_4267, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 539:112] - buf_state_en[3] <= _T_4268 @[el2_lsu_bus_buffer.scala 539:25] + node _T_4269 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4269 : @[Conditional.scala 39:67] + node _T_4270 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 539:60] + node _T_4271 = mux(_T_4270, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 539:31] + buf_nxtstate[3] <= _T_4271 @[el2_lsu_bus_buffer.scala 539:25] + node _T_4272 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 540:37] + node _T_4273 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 540:98] + node _T_4274 = and(buf_dual[3], _T_4273) @[el2_lsu_bus_buffer.scala 540:80] + node _T_4275 = or(_T_4272, _T_4274) @[el2_lsu_bus_buffer.scala 540:65] + node _T_4276 = or(_T_4275, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 540:112] + buf_state_en[3] <= _T_4276 @[el2_lsu_bus_buffer.scala 540:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4269 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4269 : @[Conditional.scala 39:67] - buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 542:25] - buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 543:20] - buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:25] - buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 545:25] - buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 546:25] + node _T_4277 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4277 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 543:25] + buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 544:20] + buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 545:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 546:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 547:25] skip @[Conditional.scala 39:67] - node _T_4270 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 549:108] - reg _T_4271 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4270 : @[Reg.scala 28:19] - _T_4271 <= buf_nxtstate[3] @[Reg.scala 28:23] + node _T_4278 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 550:108] + reg _T_4279 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4278 : @[Reg.scala 28:19] + _T_4279 <= buf_nxtstate[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4271 @[el2_lsu_bus_buffer.scala 549:18] - reg _T_4272 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 550:60] - _T_4272 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 550:60] - buf_ageQ[3] <= _T_4272 @[el2_lsu_bus_buffer.scala 550:17] - reg _T_4273 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:63] - _T_4273 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 551:63] - buf_rspageQ[3] <= _T_4273 @[el2_lsu_bus_buffer.scala 551:20] - node _T_4274 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 552:109] - reg _T_4275 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4274 : @[Reg.scala 28:19] - _T_4275 <= buf_dualtag_in[3] @[Reg.scala 28:23] + buf_state[3] <= _T_4279 @[el2_lsu_bus_buffer.scala 550:18] + reg _T_4280 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 551:60] + _T_4280 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 551:60] + buf_ageQ[3] <= _T_4280 @[el2_lsu_bus_buffer.scala 551:17] + reg _T_4281 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 552:63] + _T_4281 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 552:63] + buf_rspageQ[3] <= _T_4281 @[el2_lsu_bus_buffer.scala 552:20] + node _T_4282 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 553:109] + reg _T_4283 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4282 : @[Reg.scala 28:19] + _T_4283 <= buf_dualtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4275 @[el2_lsu_bus_buffer.scala 552:20] - node _T_4276 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 553:74] - node _T_4277 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 553:107] - reg _T_4278 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4277 : @[Reg.scala 28:19] - _T_4278 <= _T_4276 @[Reg.scala 28:23] + buf_dualtag[3] <= _T_4283 @[el2_lsu_bus_buffer.scala 553:20] + node _T_4284 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 554:74] + node _T_4285 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:107] + reg _T_4286 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4285 : @[Reg.scala 28:19] + _T_4286 <= _T_4284 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4278 @[el2_lsu_bus_buffer.scala 553:17] - node _T_4279 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4280 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 554:111] - reg _T_4281 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4280 : @[Reg.scala 28:19] - _T_4281 <= _T_4279 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4281 @[el2_lsu_bus_buffer.scala 554:19] - node _T_4282 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:80] - node _T_4283 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:113] - reg _T_4284 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4283 : @[Reg.scala 28:19] - _T_4284 <= _T_4282 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4284 @[el2_lsu_bus_buffer.scala 555:20] - node _T_4285 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:78] - node _T_4286 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:111] - reg _T_4287 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4286 : @[Reg.scala 28:19] - _T_4287 <= _T_4285 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4287 @[el2_lsu_bus_buffer.scala 556:19] - node _T_4288 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] + buf_dual[3] <= _T_4286 @[el2_lsu_bus_buffer.scala 554:17] + node _T_4287 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 555:78] + node _T_4288 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 555:111] reg _T_4289 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4288 : @[Reg.scala 28:19] - _T_4289 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + _T_4289 <= _T_4287 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4290 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] - reg _T_4291 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4290 : @[Reg.scala 28:19] - _T_4291 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + buf_samedw[3] <= _T_4289 @[el2_lsu_bus_buffer.scala 555:19] + node _T_4290 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 556:80] + node _T_4291 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 556:113] + reg _T_4292 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4291 : @[Reg.scala 28:19] + _T_4292 <= _T_4290 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4292 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] - reg _T_4293 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4292 : @[Reg.scala 28:19] - _T_4293 <= buf_ldfwd_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4294 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 559:133] + buf_nomerge[3] <= _T_4292 @[el2_lsu_bus_buffer.scala 556:20] + node _T_4293 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 557:78] + node _T_4294 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 557:111] reg _T_4295 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4294 : @[Reg.scala 28:19] - _T_4295 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + _T_4295 <= _T_4293 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4296 = cat(_T_4295, _T_4293) @[Cat.scala 29:58] - node _T_4297 = cat(_T_4296, _T_4291) @[Cat.scala 29:58] - node _T_4298 = cat(_T_4297, _T_4289) @[Cat.scala 29:58] - buf_ldfwd <= _T_4298 @[el2_lsu_bus_buffer.scala 559:15] - node _T_4299 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] - reg _T_4300 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4299 : @[Reg.scala 28:19] - _T_4300 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + buf_dualhi[3] <= _T_4295 @[el2_lsu_bus_buffer.scala 557:19] + node _T_4296 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + reg _T_4297 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4296 : @[Reg.scala 28:19] + _T_4297 <= buf_ldfwd_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4301 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] - reg _T_4302 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4301 : @[Reg.scala 28:19] - _T_4302 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + node _T_4298 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4298 : @[Reg.scala 28:19] + _T_4299 <= buf_ldfwd_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4303 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] - reg _T_4304 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4303 : @[Reg.scala 28:19] - _T_4304 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + node _T_4300 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + reg _T_4301 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4300 : @[Reg.scala 28:19] + _T_4301 <= buf_ldfwd_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4305 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:134] - reg _T_4306 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4305 : @[Reg.scala 28:19] - _T_4306 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + node _T_4302 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 560:133] + reg _T_4303 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4302 : @[Reg.scala 28:19] + _T_4303 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4300 @[el2_lsu_bus_buffer.scala 560:18] - buf_ldfwdtag[1] <= _T_4302 @[el2_lsu_bus_buffer.scala 560:18] - buf_ldfwdtag[2] <= _T_4304 @[el2_lsu_bus_buffer.scala 560:18] - buf_ldfwdtag[3] <= _T_4306 @[el2_lsu_bus_buffer.scala 560:18] - node _T_4307 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 561:107] - node _T_4308 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] - reg _T_4309 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4308 : @[Reg.scala 28:19] - _T_4309 <= _T_4307 @[Reg.scala 28:23] + node _T_4304 = cat(_T_4303, _T_4301) @[Cat.scala 29:58] + node _T_4305 = cat(_T_4304, _T_4299) @[Cat.scala 29:58] + node _T_4306 = cat(_T_4305, _T_4297) @[Cat.scala 29:58] + buf_ldfwd <= _T_4306 @[el2_lsu_bus_buffer.scala 560:15] + node _T_4307 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + reg _T_4308 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4307 : @[Reg.scala 28:19] + _T_4308 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4310 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 561:107] - node _T_4311 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] - reg _T_4312 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4309 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + reg _T_4310 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4309 : @[Reg.scala 28:19] + _T_4310 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4311 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + reg _T_4312 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4311 : @[Reg.scala 28:19] - _T_4312 <= _T_4310 @[Reg.scala 28:23] + _T_4312 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4313 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 561:107] - node _T_4314 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] - reg _T_4315 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4314 : @[Reg.scala 28:19] - _T_4315 <= _T_4313 @[Reg.scala 28:23] + node _T_4313 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:134] + reg _T_4314 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4316 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 561:107] - node _T_4317 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 561:140] - reg _T_4318 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4317 : @[Reg.scala 28:19] - _T_4318 <= _T_4316 @[Reg.scala 28:23] + buf_ldfwdtag[0] <= _T_4308 @[el2_lsu_bus_buffer.scala 561:18] + buf_ldfwdtag[1] <= _T_4310 @[el2_lsu_bus_buffer.scala 561:18] + buf_ldfwdtag[2] <= _T_4312 @[el2_lsu_bus_buffer.scala 561:18] + buf_ldfwdtag[3] <= _T_4314 @[el2_lsu_bus_buffer.scala 561:18] + node _T_4315 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4316 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + reg _T_4317 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4316 : @[Reg.scala 28:19] + _T_4317 <= _T_4315 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4319 = cat(_T_4318, _T_4315) @[Cat.scala 29:58] - node _T_4320 = cat(_T_4319, _T_4312) @[Cat.scala 29:58] - node _T_4321 = cat(_T_4320, _T_4309) @[Cat.scala 29:58] - buf_sideeffect <= _T_4321 @[el2_lsu_bus_buffer.scala 561:20] - node _T_4322 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 562:99] - node _T_4323 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] - reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4323 : @[Reg.scala 28:19] - _T_4324 <= _T_4322 @[Reg.scala 28:23] + node _T_4318 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4319 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + reg _T_4320 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4319 : @[Reg.scala 28:19] + _T_4320 <= _T_4318 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4325 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 562:99] - node _T_4326 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] - reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4326 : @[Reg.scala 28:19] - _T_4327 <= _T_4325 @[Reg.scala 28:23] + node _T_4321 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4322 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + reg _T_4323 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4322 : @[Reg.scala 28:19] + _T_4323 <= _T_4321 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4328 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 562:99] - node _T_4329 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] - reg _T_4330 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4329 : @[Reg.scala 28:19] - _T_4330 <= _T_4328 @[Reg.scala 28:23] + node _T_4324 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:107] + node _T_4325 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:140] + reg _T_4326 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4325 : @[Reg.scala 28:19] + _T_4326 <= _T_4324 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4331 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 562:99] - node _T_4332 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 562:132] - reg _T_4333 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4332 : @[Reg.scala 28:19] - _T_4333 <= _T_4331 @[Reg.scala 28:23] + node _T_4327 = cat(_T_4326, _T_4323) @[Cat.scala 29:58] + node _T_4328 = cat(_T_4327, _T_4320) @[Cat.scala 29:58] + node _T_4329 = cat(_T_4328, _T_4317) @[Cat.scala 29:58] + buf_sideeffect <= _T_4329 @[el2_lsu_bus_buffer.scala 562:20] + node _T_4330 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4331 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + reg _T_4332 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4331 : @[Reg.scala 28:19] + _T_4332 <= _T_4330 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4334 = cat(_T_4333, _T_4330) @[Cat.scala 29:58] - node _T_4335 = cat(_T_4334, _T_4327) @[Cat.scala 29:58] - node _T_4336 = cat(_T_4335, _T_4324) @[Cat.scala 29:58] - buf_unsign <= _T_4336 @[el2_lsu_bus_buffer.scala 562:16] - node _T_4337 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 563:97] - node _T_4338 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] - reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4338 : @[Reg.scala 28:19] - _T_4339 <= _T_4337 @[Reg.scala 28:23] + node _T_4333 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4334 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + reg _T_4335 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4334 : @[Reg.scala 28:19] + _T_4335 <= _T_4333 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4340 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 563:97] - node _T_4341 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] - reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4341 : @[Reg.scala 28:19] - _T_4342 <= _T_4340 @[Reg.scala 28:23] + node _T_4336 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4337 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + reg _T_4338 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4337 : @[Reg.scala 28:19] + _T_4338 <= _T_4336 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4343 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 563:97] - node _T_4344 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] - reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4344 : @[Reg.scala 28:19] - _T_4345 <= _T_4343 @[Reg.scala 28:23] + node _T_4339 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:99] + node _T_4340 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:132] + reg _T_4341 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4340 : @[Reg.scala 28:19] + _T_4341 <= _T_4339 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4346 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 563:97] - node _T_4347 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 563:130] - reg _T_4348 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4347 : @[Reg.scala 28:19] - _T_4348 <= _T_4346 @[Reg.scala 28:23] + node _T_4342 = cat(_T_4341, _T_4338) @[Cat.scala 29:58] + node _T_4343 = cat(_T_4342, _T_4335) @[Cat.scala 29:58] + node _T_4344 = cat(_T_4343, _T_4332) @[Cat.scala 29:58] + buf_unsign <= _T_4344 @[el2_lsu_bus_buffer.scala 563:16] + node _T_4345 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4346 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + reg _T_4347 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4346 : @[Reg.scala 28:19] + _T_4347 <= _T_4345 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4349 = cat(_T_4348, _T_4345) @[Cat.scala 29:58] - node _T_4350 = cat(_T_4349, _T_4342) @[Cat.scala 29:58] - node _T_4351 = cat(_T_4350, _T_4339) @[Cat.scala 29:58] - buf_write <= _T_4351 @[el2_lsu_bus_buffer.scala 563:15] - node _T_4352 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] - reg _T_4353 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4348 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4349 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + reg _T_4350 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4349 : @[Reg.scala 28:19] + _T_4350 <= _T_4348 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4351 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4352 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + reg _T_4353 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4352 : @[Reg.scala 28:19] - _T_4353 <= buf_sz_in[0] @[Reg.scala 28:23] + _T_4353 <= _T_4351 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4354 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] - reg _T_4355 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4354 : @[Reg.scala 28:19] - _T_4355 <= buf_sz_in[1] @[Reg.scala 28:23] + node _T_4354 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 564:97] + node _T_4355 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:130] + reg _T_4356 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4355 : @[Reg.scala 28:19] + _T_4356 <= _T_4354 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4356 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] - reg _T_4357 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4356 : @[Reg.scala 28:19] - _T_4357 <= buf_sz_in[2] @[Reg.scala 28:23] + node _T_4357 = cat(_T_4356, _T_4353) @[Cat.scala 29:58] + node _T_4358 = cat(_T_4357, _T_4350) @[Cat.scala 29:58] + node _T_4359 = cat(_T_4358, _T_4347) @[Cat.scala 29:58] + buf_write <= _T_4359 @[el2_lsu_bus_buffer.scala 564:15] + node _T_4360 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + reg _T_4361 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4360 : @[Reg.scala 28:19] + _T_4361 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4358 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 564:119] - reg _T_4359 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4358 : @[Reg.scala 28:19] - _T_4359 <= buf_sz_in[3] @[Reg.scala 28:23] + node _T_4362 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + reg _T_4363 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4362 : @[Reg.scala 28:19] + _T_4363 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4353 @[el2_lsu_bus_buffer.scala 564:12] - buf_sz[1] <= _T_4355 @[el2_lsu_bus_buffer.scala 564:12] - buf_sz[2] <= _T_4357 @[el2_lsu_bus_buffer.scala 564:12] - buf_sz[3] <= _T_4359 @[el2_lsu_bus_buffer.scala 564:12] - node _T_4360 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] + node _T_4364 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4364 : @[Reg.scala 28:19] + _T_4365 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4366 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:119] + reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4366 : @[Reg.scala 28:19] + _T_4367 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4361 @[el2_lsu_bus_buffer.scala 565:12] + buf_sz[1] <= _T_4363 @[el2_lsu_bus_buffer.scala 565:12] + buf_sz[2] <= _T_4365 @[el2_lsu_bus_buffer.scala 565:12] + buf_sz[3] <= _T_4367 @[el2_lsu_bus_buffer.scala 565:12] + node _T_4368 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 485:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_4.io.en <= _T_4360 @[el2_lib.scala 488:17] + rvclkhdr_4.io.en <= _T_4368 @[el2_lib.scala 488:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4361 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4361 <= buf_addr_in[0] @[el2_lib.scala 491:16] - node _T_4362 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] + reg _T_4369 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4369 <= buf_addr_in[0] @[el2_lib.scala 491:16] + node _T_4370 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 485:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_5.io.en <= _T_4362 @[el2_lib.scala 488:17] + rvclkhdr_5.io.en <= _T_4370 @[el2_lib.scala 488:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4363 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4363 <= buf_addr_in[1] @[el2_lib.scala 491:16] - node _T_4364 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] + reg _T_4371 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4371 <= buf_addr_in[1] @[el2_lib.scala 491:16] + node _T_4372 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 485:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_6.io.en <= _T_4364 @[el2_lib.scala 488:17] + rvclkhdr_6.io.en <= _T_4372 @[el2_lib.scala 488:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4365 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4365 <= buf_addr_in[2] @[el2_lib.scala 491:16] - node _T_4366 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 565:82] + reg _T_4373 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4373 <= buf_addr_in[2] @[el2_lib.scala 491:16] + node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 485:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 487:18] - rvclkhdr_7.io.en <= _T_4366 @[el2_lib.scala 488:17] + rvclkhdr_7.io.en <= _T_4374 @[el2_lib.scala 488:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4367 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4367 <= buf_addr_in[3] @[el2_lib.scala 491:16] - buf_addr[0] <= _T_4361 @[el2_lsu_bus_buffer.scala 565:14] - buf_addr[1] <= _T_4363 @[el2_lsu_bus_buffer.scala 565:14] - buf_addr[2] <= _T_4365 @[el2_lsu_bus_buffer.scala 565:14] - buf_addr[3] <= _T_4367 @[el2_lsu_bus_buffer.scala 565:14] - node _T_4368 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] - reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4368 : @[Reg.scala 28:19] - _T_4369 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4375 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4375 <= buf_addr_in[3] @[el2_lib.scala 491:16] + buf_addr[0] <= _T_4369 @[el2_lsu_bus_buffer.scala 566:14] + buf_addr[1] <= _T_4371 @[el2_lsu_bus_buffer.scala 566:14] + buf_addr[2] <= _T_4373 @[el2_lsu_bus_buffer.scala 566:14] + buf_addr[3] <= _T_4375 @[el2_lsu_bus_buffer.scala 566:14] + node _T_4376 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + reg _T_4377 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4376 : @[Reg.scala 28:19] + _T_4377 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4370 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] - reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4370 : @[Reg.scala 28:19] - _T_4371 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4378 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + reg _T_4379 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4378 : @[Reg.scala 28:19] + _T_4379 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4372 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] - reg _T_4373 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4372 : @[Reg.scala 28:19] - _T_4373 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4380 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4380 : @[Reg.scala 28:19] + _T_4381 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4374 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 566:127] - reg _T_4375 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4374 : @[Reg.scala 28:19] - _T_4375 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4382 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 567:127] + reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4382 : @[Reg.scala 28:19] + _T_4383 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4369 @[el2_lsu_bus_buffer.scala 566:16] - buf_byteen[1] <= _T_4371 @[el2_lsu_bus_buffer.scala 566:16] - buf_byteen[2] <= _T_4373 @[el2_lsu_bus_buffer.scala 566:16] - buf_byteen[3] <= _T_4375 @[el2_lsu_bus_buffer.scala 566:16] + buf_byteen[0] <= _T_4377 @[el2_lsu_bus_buffer.scala 567:16] + buf_byteen[1] <= _T_4379 @[el2_lsu_bus_buffer.scala 567:16] + buf_byteen[2] <= _T_4381 @[el2_lsu_bus_buffer.scala 567:16] + buf_byteen[3] <= _T_4383 @[el2_lsu_bus_buffer.scala 567:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 485:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 488:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4376 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4376 <= buf_data_in[0] @[el2_lib.scala 491:16] + reg _T_4384 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4384 <= buf_data_in[0] @[el2_lib.scala 491:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 485:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 488:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4377 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4377 <= buf_data_in[1] @[el2_lib.scala 491:16] + reg _T_4385 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4385 <= buf_data_in[1] @[el2_lib.scala 491:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 485:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 488:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4378 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4378 <= buf_data_in[2] @[el2_lib.scala 491:16] + reg _T_4386 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4386 <= buf_data_in[2] @[el2_lib.scala 491:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 485:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 487:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 488:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 489:24] - reg _T_4379 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] - _T_4379 <= buf_data_in[3] @[el2_lib.scala 491:16] - buf_data[0] <= _T_4376 @[el2_lsu_bus_buffer.scala 567:14] - buf_data[1] <= _T_4377 @[el2_lsu_bus_buffer.scala 567:14] - buf_data[2] <= _T_4378 @[el2_lsu_bus_buffer.scala 567:14] - buf_data[3] <= _T_4379 @[el2_lsu_bus_buffer.scala 567:14] - node _T_4380 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 568:121] - node _T_4381 = mux(buf_error_en[0], UInt<1>("h01"), _T_4380) @[el2_lsu_bus_buffer.scala 568:86] - node _T_4382 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] - node _T_4383 = and(_T_4381, _T_4382) @[el2_lsu_bus_buffer.scala 568:126] - reg _T_4384 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] - _T_4384 <= _T_4383 @[el2_lsu_bus_buffer.scala 568:82] - node _T_4385 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 568:121] - node _T_4386 = mux(buf_error_en[1], UInt<1>("h01"), _T_4385) @[el2_lsu_bus_buffer.scala 568:86] - node _T_4387 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] - node _T_4388 = and(_T_4386, _T_4387) @[el2_lsu_bus_buffer.scala 568:126] - reg _T_4389 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] - _T_4389 <= _T_4388 @[el2_lsu_bus_buffer.scala 568:82] - node _T_4390 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 568:121] - node _T_4391 = mux(buf_error_en[2], UInt<1>("h01"), _T_4390) @[el2_lsu_bus_buffer.scala 568:86] - node _T_4392 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] - node _T_4393 = and(_T_4391, _T_4392) @[el2_lsu_bus_buffer.scala 568:126] - reg _T_4394 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] - _T_4394 <= _T_4393 @[el2_lsu_bus_buffer.scala 568:82] - node _T_4395 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 568:121] - node _T_4396 = mux(buf_error_en[3], UInt<1>("h01"), _T_4395) @[el2_lsu_bus_buffer.scala 568:86] - node _T_4397 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:128] - node _T_4398 = and(_T_4396, _T_4397) @[el2_lsu_bus_buffer.scala 568:126] - reg _T_4399 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 568:82] - _T_4399 <= _T_4398 @[el2_lsu_bus_buffer.scala 568:82] - node _T_4400 = cat(_T_4399, _T_4394) @[Cat.scala 29:58] - node _T_4401 = cat(_T_4400, _T_4389) @[Cat.scala 29:58] - node _T_4402 = cat(_T_4401, _T_4384) @[Cat.scala 29:58] - buf_error <= _T_4402 @[el2_lsu_bus_buffer.scala 568:15] - node _T_4403 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] - node _T_4404 = cat(_T_4403, buf_data_en[1]) @[Cat.scala 29:58] - node _T_4405 = cat(_T_4404, buf_data_en[0]) @[Cat.scala 29:58] - io.data_en <= _T_4405 @[el2_lsu_bus_buffer.scala 569:14] - node _T_4406 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4407 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4408 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4409 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 571:60] - node _T_4410 = add(_T_4409, _T_4408) @[el2_lsu_bus_buffer.scala 571:96] - node _T_4411 = add(_T_4410, _T_4407) @[el2_lsu_bus_buffer.scala 571:96] - node buf_numvld_any = add(_T_4411, _T_4406) @[el2_lsu_bus_buffer.scala 571:96] - node _T_4412 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4413 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] - node _T_4414 = and(_T_4412, _T_4413) @[el2_lsu_bus_buffer.scala 572:64] - node _T_4415 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4416 = and(_T_4414, _T_4415) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4417 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4418 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] - node _T_4419 = and(_T_4417, _T_4418) @[el2_lsu_bus_buffer.scala 572:64] - node _T_4420 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4421 = and(_T_4419, _T_4420) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4422 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4423 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] - node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 572:64] - node _T_4425 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4426 = and(_T_4424, _T_4425) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4427 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 572:60] - node _T_4428 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 572:79] - node _T_4429 = and(_T_4427, _T_4428) @[el2_lsu_bus_buffer.scala 572:64] - node _T_4430 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 572:91] - node _T_4431 = and(_T_4429, _T_4430) @[el2_lsu_bus_buffer.scala 572:89] - node _T_4432 = add(_T_4431, _T_4426) @[el2_lsu_bus_buffer.scala 572:142] - node _T_4433 = add(_T_4432, _T_4421) @[el2_lsu_bus_buffer.scala 572:142] - node _T_4434 = add(_T_4433, _T_4416) @[el2_lsu_bus_buffer.scala 572:142] - buf_numvld_wrcmd_any <= _T_4434 @[el2_lsu_bus_buffer.scala 572:24] - node _T_4435 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4436 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] - node _T_4437 = and(_T_4435, _T_4436) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4438 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4439 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] - node _T_4440 = and(_T_4438, _T_4439) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4441 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] - node _T_4443 = and(_T_4441, _T_4442) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4444 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:63] - node _T_4445 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:75] - node _T_4446 = and(_T_4444, _T_4445) @[el2_lsu_bus_buffer.scala 573:73] - node _T_4447 = add(_T_4446, _T_4443) @[el2_lsu_bus_buffer.scala 573:126] - node _T_4448 = add(_T_4447, _T_4440) @[el2_lsu_bus_buffer.scala 573:126] - node _T_4449 = add(_T_4448, _T_4437) @[el2_lsu_bus_buffer.scala 573:126] - buf_numvld_cmd_any <= _T_4449 @[el2_lsu_bus_buffer.scala 573:22] - node _T_4450 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4451 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] - node _T_4452 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] - node _T_4453 = and(_T_4451, _T_4452) @[el2_lsu_bus_buffer.scala 574:100] - node _T_4454 = or(_T_4450, _T_4453) @[el2_lsu_bus_buffer.scala 574:74] - node _T_4455 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4456 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] - node _T_4457 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] - node _T_4458 = and(_T_4456, _T_4457) @[el2_lsu_bus_buffer.scala 574:100] - node _T_4459 = or(_T_4455, _T_4458) @[el2_lsu_bus_buffer.scala 574:74] - node _T_4460 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4461 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] - node _T_4462 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] - node _T_4463 = and(_T_4461, _T_4462) @[el2_lsu_bus_buffer.scala 574:100] - node _T_4464 = or(_T_4460, _T_4463) @[el2_lsu_bus_buffer.scala 574:74] - node _T_4465 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 574:63] - node _T_4466 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:90] - node _T_4467 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:102] - node _T_4468 = and(_T_4466, _T_4467) @[el2_lsu_bus_buffer.scala 574:100] - node _T_4469 = or(_T_4465, _T_4468) @[el2_lsu_bus_buffer.scala 574:74] - node _T_4470 = add(_T_4469, _T_4464) @[el2_lsu_bus_buffer.scala 574:154] - node _T_4471 = add(_T_4470, _T_4459) @[el2_lsu_bus_buffer.scala 574:154] - node _T_4472 = add(_T_4471, _T_4454) @[el2_lsu_bus_buffer.scala 574:154] - buf_numvld_pend_any <= _T_4472 @[el2_lsu_bus_buffer.scala 574:23] - node _T_4473 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] - node _T_4474 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] - node _T_4475 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] - node _T_4476 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 575:61] - node _T_4477 = or(_T_4476, _T_4475) @[el2_lsu_bus_buffer.scala 575:93] - node _T_4478 = or(_T_4477, _T_4474) @[el2_lsu_bus_buffer.scala 575:93] - node _T_4479 = or(_T_4478, _T_4473) @[el2_lsu_bus_buffer.scala 575:93] - any_done_wait_state <= _T_4479 @[el2_lsu_bus_buffer.scala 575:23] - node _T_4480 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 576:53] - io.lsu_bus_buffer_pend_any <= _T_4480 @[el2_lsu_bus_buffer.scala 576:30] - node _T_4481 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 577:52] - node _T_4482 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 577:92] - node _T_4483 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 577:119] - node _T_4484 = mux(_T_4481, _T_4482, _T_4483) @[el2_lsu_bus_buffer.scala 577:36] - io.lsu_bus_buffer_full_any <= _T_4484 @[el2_lsu_bus_buffer.scala 577:30] - node _T_4485 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 578:52] - node _T_4486 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 578:52] - node _T_4487 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 578:52] - node _T_4488 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 578:52] - node _T_4489 = or(_T_4485, _T_4486) @[el2_lsu_bus_buffer.scala 578:65] - node _T_4490 = or(_T_4489, _T_4487) @[el2_lsu_bus_buffer.scala 578:65] - node _T_4491 = or(_T_4490, _T_4488) @[el2_lsu_bus_buffer.scala 578:65] - node _T_4492 = eq(_T_4491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:34] - node _T_4493 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:72] - node _T_4494 = and(_T_4492, _T_4493) @[el2_lsu_bus_buffer.scala 578:70] - node _T_4495 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:86] - node _T_4496 = and(_T_4494, _T_4495) @[el2_lsu_bus_buffer.scala 578:84] - io.lsu_bus_buffer_empty_any <= _T_4496 @[el2_lsu_bus_buffer.scala 578:31] - node _T_4497 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 580:51] - node _T_4498 = and(_T_4497, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 580:72] - node _T_4499 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:94] - node _T_4500 = and(_T_4498, _T_4499) @[el2_lsu_bus_buffer.scala 580:92] - node _T_4501 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 580:111] - node _T_4502 = and(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 580:109] - io.lsu_nonblock_load_valid_m <= _T_4502 @[el2_lsu_bus_buffer.scala 580:32] - io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 581:30] + reg _T_4387 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 491:16] + _T_4387 <= buf_data_in[3] @[el2_lib.scala 491:16] + buf_data[0] <= _T_4384 @[el2_lsu_bus_buffer.scala 568:14] + buf_data[1] <= _T_4385 @[el2_lsu_bus_buffer.scala 568:14] + buf_data[2] <= _T_4386 @[el2_lsu_bus_buffer.scala 568:14] + buf_data[3] <= _T_4387 @[el2_lsu_bus_buffer.scala 568:14] + node _T_4388 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4389 = mux(buf_error_en[0], UInt<1>("h01"), _T_4388) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4390 = eq(buf_rst[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4391 = and(_T_4389, _T_4390) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4392 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4392 <= _T_4391 @[el2_lsu_bus_buffer.scala 569:82] + node _T_4393 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4394 = mux(buf_error_en[1], UInt<1>("h01"), _T_4393) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4395 = eq(buf_rst[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4396 = and(_T_4394, _T_4395) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4397 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4397 <= _T_4396 @[el2_lsu_bus_buffer.scala 569:82] + node _T_4398 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4399 = mux(buf_error_en[2], UInt<1>("h01"), _T_4398) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4400 = eq(buf_rst[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4401 = and(_T_4399, _T_4400) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4402 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4402 <= _T_4401 @[el2_lsu_bus_buffer.scala 569:82] + node _T_4403 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 569:121] + node _T_4404 = mux(buf_error_en[3], UInt<1>("h01"), _T_4403) @[el2_lsu_bus_buffer.scala 569:86] + node _T_4405 = eq(buf_rst[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 569:128] + node _T_4406 = and(_T_4404, _T_4405) @[el2_lsu_bus_buffer.scala 569:126] + reg _T_4407 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 569:82] + _T_4407 <= _T_4406 @[el2_lsu_bus_buffer.scala 569:82] + node _T_4408 = cat(_T_4407, _T_4402) @[Cat.scala 29:58] + node _T_4409 = cat(_T_4408, _T_4397) @[Cat.scala 29:58] + node _T_4410 = cat(_T_4409, _T_4392) @[Cat.scala 29:58] + buf_error <= _T_4410 @[el2_lsu_bus_buffer.scala 569:15] + node _T_4411 = cat(buf_data_en[3], buf_data_en[2]) @[Cat.scala 29:58] + node _T_4412 = cat(_T_4411, buf_data_en[1]) @[Cat.scala 29:58] + node _T_4413 = cat(_T_4412, buf_data_en[0]) @[Cat.scala 29:58] + io.data_en <= _T_4413 @[el2_lsu_bus_buffer.scala 570:14] + node _T_4414 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4415 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4416 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4417 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 572:60] + node _T_4418 = add(_T_4417, _T_4416) @[el2_lsu_bus_buffer.scala 572:96] + node _T_4419 = add(_T_4418, _T_4415) @[el2_lsu_bus_buffer.scala 572:96] + node buf_numvld_any = add(_T_4419, _T_4414) @[el2_lsu_bus_buffer.scala 572:96] + node _T_4420 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4421 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4422 = and(_T_4420, _T_4421) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4423 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4424 = and(_T_4422, _T_4423) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4425 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4426 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4427 = and(_T_4425, _T_4426) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4428 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4429 = and(_T_4427, _T_4428) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4430 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4431 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4432 = and(_T_4430, _T_4431) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4433 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4434 = and(_T_4432, _T_4433) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4435 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 573:60] + node _T_4436 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 573:79] + node _T_4437 = and(_T_4435, _T_4436) @[el2_lsu_bus_buffer.scala 573:64] + node _T_4438 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 573:91] + node _T_4439 = and(_T_4437, _T_4438) @[el2_lsu_bus_buffer.scala 573:89] + node _T_4440 = add(_T_4439, _T_4434) @[el2_lsu_bus_buffer.scala 573:142] + node _T_4441 = add(_T_4440, _T_4429) @[el2_lsu_bus_buffer.scala 573:142] + node _T_4442 = add(_T_4441, _T_4424) @[el2_lsu_bus_buffer.scala 573:142] + buf_numvld_wrcmd_any <= _T_4442 @[el2_lsu_bus_buffer.scala 573:24] + node _T_4443 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4444 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4445 = and(_T_4443, _T_4444) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4446 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4447 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4448 = and(_T_4446, _T_4447) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4449 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4450 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4451 = and(_T_4449, _T_4450) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4452 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 574:63] + node _T_4453 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 574:75] + node _T_4454 = and(_T_4452, _T_4453) @[el2_lsu_bus_buffer.scala 574:73] + node _T_4455 = add(_T_4454, _T_4451) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4456 = add(_T_4455, _T_4448) @[el2_lsu_bus_buffer.scala 574:126] + node _T_4457 = add(_T_4456, _T_4445) @[el2_lsu_bus_buffer.scala 574:126] + buf_numvld_cmd_any <= _T_4457 @[el2_lsu_bus_buffer.scala 574:22] + node _T_4458 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4459 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4460 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4461 = and(_T_4459, _T_4460) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4462 = or(_T_4458, _T_4461) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4463 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4464 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4465 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4466 = and(_T_4464, _T_4465) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4467 = or(_T_4463, _T_4466) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4468 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4469 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4470 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4471 = and(_T_4469, _T_4470) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4472 = or(_T_4468, _T_4471) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4473 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 575:63] + node _T_4474 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 575:90] + node _T_4475 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 575:102] + node _T_4476 = and(_T_4474, _T_4475) @[el2_lsu_bus_buffer.scala 575:100] + node _T_4477 = or(_T_4473, _T_4476) @[el2_lsu_bus_buffer.scala 575:74] + node _T_4478 = add(_T_4477, _T_4472) @[el2_lsu_bus_buffer.scala 575:154] + node _T_4479 = add(_T_4478, _T_4467) @[el2_lsu_bus_buffer.scala 575:154] + node _T_4480 = add(_T_4479, _T_4462) @[el2_lsu_bus_buffer.scala 575:154] + buf_numvld_pend_any <= _T_4480 @[el2_lsu_bus_buffer.scala 575:23] + node _T_4481 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4482 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4483 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4484 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 576:61] + node _T_4485 = or(_T_4484, _T_4483) @[el2_lsu_bus_buffer.scala 576:93] + node _T_4486 = or(_T_4485, _T_4482) @[el2_lsu_bus_buffer.scala 576:93] + node _T_4487 = or(_T_4486, _T_4481) @[el2_lsu_bus_buffer.scala 576:93] + any_done_wait_state <= _T_4487 @[el2_lsu_bus_buffer.scala 576:23] + node _T_4488 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 577:53] + io.lsu_bus_buffer_pend_any <= _T_4488 @[el2_lsu_bus_buffer.scala 577:30] + node _T_4489 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 578:52] + node _T_4490 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 578:92] + node _T_4491 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 578:119] + node _T_4492 = mux(_T_4489, _T_4490, _T_4491) @[el2_lsu_bus_buffer.scala 578:36] + io.lsu_bus_buffer_full_any <= _T_4492 @[el2_lsu_bus_buffer.scala 578:30] + node _T_4493 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4494 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4495 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4496 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 579:52] + node _T_4497 = or(_T_4493, _T_4494) @[el2_lsu_bus_buffer.scala 579:65] + node _T_4498 = or(_T_4497, _T_4495) @[el2_lsu_bus_buffer.scala 579:65] + node _T_4499 = or(_T_4498, _T_4496) @[el2_lsu_bus_buffer.scala 579:65] + node _T_4500 = eq(_T_4499, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:34] + node _T_4501 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:72] + node _T_4502 = and(_T_4500, _T_4501) @[el2_lsu_bus_buffer.scala 579:70] + node _T_4503 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:86] + node _T_4504 = and(_T_4502, _T_4503) @[el2_lsu_bus_buffer.scala 579:84] + io.lsu_bus_buffer_empty_any <= _T_4504 @[el2_lsu_bus_buffer.scala 579:31] + node _T_4505 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 581:51] + node _T_4506 = and(_T_4505, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 581:72] + node _T_4507 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:94] + node _T_4508 = and(_T_4506, _T_4507) @[el2_lsu_bus_buffer.scala 581:92] + node _T_4509 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 581:111] + node _T_4510 = and(_T_4508, _T_4509) @[el2_lsu_bus_buffer.scala 581:109] + io.lsu_nonblock_load_valid_m <= _T_4510 @[el2_lsu_bus_buffer.scala 581:32] + io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 582:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4503 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:61] - node _T_4504 = and(lsu_nonblock_load_valid_r, _T_4503) @[el2_lsu_bus_buffer.scala 583:59] - io.lsu_nonblock_load_inv_r <= _T_4504 @[el2_lsu_bus_buffer.scala 583:30] - io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 584:34] - node _T_4505 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4506 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 585:127] - node _T_4507 = and(UInt<1>("h01"), _T_4506) @[el2_lsu_bus_buffer.scala 585:116] - node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] - node _T_4509 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4510 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 585:127] - node _T_4511 = and(UInt<1>("h01"), _T_4510) @[el2_lsu_bus_buffer.scala 585:116] - node _T_4512 = eq(_T_4511, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] - node _T_4513 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4514 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 585:127] - node _T_4515 = and(UInt<1>("h01"), _T_4514) @[el2_lsu_bus_buffer.scala 585:116] - node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] - node _T_4517 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 585:80] - node _T_4518 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 585:127] - node _T_4519 = and(UInt<1>("h01"), _T_4518) @[el2_lsu_bus_buffer.scala 585:116] - node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 585:95] - node _T_4521 = mux(_T_4505, _T_4508, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4522 = mux(_T_4509, _T_4512, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4523 = mux(_T_4513, _T_4516, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4524 = mux(_T_4517, _T_4520, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4525 = or(_T_4521, _T_4522) @[Mux.scala 27:72] - node _T_4526 = or(_T_4525, _T_4523) @[Mux.scala 27:72] - node _T_4527 = or(_T_4526, _T_4524) @[Mux.scala 27:72] + node _T_4511 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 584:61] + node _T_4512 = and(lsu_nonblock_load_valid_r, _T_4511) @[el2_lsu_bus_buffer.scala 584:59] + io.lsu_nonblock_load_inv_r <= _T_4512 @[el2_lsu_bus_buffer.scala 584:30] + io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 585:34] + node _T_4513 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4514 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4515 = and(UInt<1>("h01"), _T_4514) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4516 = eq(_T_4515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4517 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4518 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4519 = and(UInt<1>("h01"), _T_4518) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4521 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4522 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4523 = and(UInt<1>("h01"), _T_4522) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4524 = eq(_T_4523, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4525 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] + node _T_4526 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 586:127] + node _T_4527 = and(UInt<1>("h01"), _T_4526) @[el2_lsu_bus_buffer.scala 586:116] + node _T_4528 = eq(_T_4527, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:95] + node _T_4529 = mux(_T_4513, _T_4516, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4530 = mux(_T_4517, _T_4520, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4531 = mux(_T_4521, _T_4524, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4532 = mux(_T_4525, _T_4528, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4533 = or(_T_4529, _T_4530) @[Mux.scala 27:72] + node _T_4534 = or(_T_4533, _T_4531) @[Mux.scala 27:72] + node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4527 @[Mux.scala 27:72] - node _T_4528 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4529 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 586:104] - node _T_4530 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 586:120] - node _T_4531 = eq(_T_4530, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] - node _T_4532 = and(_T_4529, _T_4531) @[el2_lsu_bus_buffer.scala 586:108] - node _T_4533 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4534 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 586:104] - node _T_4535 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 586:120] - node _T_4536 = eq(_T_4535, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] - node _T_4537 = and(_T_4534, _T_4536) @[el2_lsu_bus_buffer.scala 586:108] - node _T_4538 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4539 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 586:104] - node _T_4540 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 586:120] - node _T_4541 = eq(_T_4540, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] - node _T_4542 = and(_T_4539, _T_4541) @[el2_lsu_bus_buffer.scala 586:108] - node _T_4543 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 586:80] - node _T_4544 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 586:104] - node _T_4545 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 586:120] - node _T_4546 = eq(_T_4545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 586:110] - node _T_4547 = and(_T_4544, _T_4546) @[el2_lsu_bus_buffer.scala 586:108] - node _T_4548 = mux(_T_4528, _T_4532, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4549 = mux(_T_4533, _T_4537, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4550 = mux(_T_4538, _T_4542, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4551 = mux(_T_4543, _T_4547, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4552 = or(_T_4548, _T_4549) @[Mux.scala 27:72] - node _T_4553 = or(_T_4552, _T_4550) @[Mux.scala 27:72] - node _T_4554 = or(_T_4553, _T_4551) @[Mux.scala 27:72] - wire _T_4555 : UInt<1> @[Mux.scala 27:72] - _T_4555 <= _T_4554 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4555 @[el2_lsu_bus_buffer.scala 586:35] - node _T_4556 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] - node _T_4557 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:102] - node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] - node _T_4559 = and(_T_4556, _T_4558) @[el2_lsu_bus_buffer.scala 587:90] - node _T_4560 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] - node _T_4561 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] - node _T_4562 = or(_T_4560, _T_4561) @[el2_lsu_bus_buffer.scala 587:122] - node _T_4563 = and(_T_4559, _T_4562) @[el2_lsu_bus_buffer.scala 587:106] - node _T_4564 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] - node _T_4565 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:102] - node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] - node _T_4567 = and(_T_4564, _T_4566) @[el2_lsu_bus_buffer.scala 587:90] - node _T_4568 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] - node _T_4569 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] - node _T_4570 = or(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 587:122] - node _T_4571 = and(_T_4567, _T_4570) @[el2_lsu_bus_buffer.scala 587:106] - node _T_4572 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] - node _T_4573 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:102] - node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] - node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 587:90] - node _T_4576 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] - node _T_4577 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] - node _T_4578 = or(_T_4576, _T_4577) @[el2_lsu_bus_buffer.scala 587:122] - node _T_4579 = and(_T_4575, _T_4578) @[el2_lsu_bus_buffer.scala 587:106] - node _T_4580 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:79] - node _T_4581 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:102] - node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:92] - node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 587:90] - node _T_4584 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:109] - node _T_4585 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:124] - node _T_4586 = or(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 587:122] - node _T_4587 = and(_T_4583, _T_4586) @[el2_lsu_bus_buffer.scala 587:106] - node _T_4588 = mux(_T_4563, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4589 = mux(_T_4571, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4590 = mux(_T_4579, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4591 = mux(_T_4587, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4592 = or(_T_4588, _T_4589) @[Mux.scala 27:72] - node _T_4593 = or(_T_4592, _T_4590) @[Mux.scala 27:72] - node _T_4594 = or(_T_4593, _T_4591) @[Mux.scala 27:72] - wire _T_4595 : UInt<2> @[Mux.scala 27:72] - _T_4595 <= _T_4594 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4595 @[el2_lsu_bus_buffer.scala 587:33] - node _T_4596 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4597 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4598 = eq(_T_4597, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4599 = and(_T_4596, _T_4598) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4600 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4601 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] - node _T_4602 = or(_T_4600, _T_4601) @[el2_lsu_bus_buffer.scala 588:121] - node _T_4603 = and(_T_4599, _T_4602) @[el2_lsu_bus_buffer.scala 588:105] - node _T_4604 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4605 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4608 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4609 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] - node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 588:121] - node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 588:105] - node _T_4612 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4613 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4615 = and(_T_4612, _T_4614) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4616 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4617 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] - node _T_4618 = or(_T_4616, _T_4617) @[el2_lsu_bus_buffer.scala 588:121] - node _T_4619 = and(_T_4615, _T_4618) @[el2_lsu_bus_buffer.scala 588:105] - node _T_4620 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:78] - node _T_4621 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:101] - node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:91] - node _T_4623 = and(_T_4620, _T_4622) @[el2_lsu_bus_buffer.scala 588:89] - node _T_4624 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:108] - node _T_4625 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:123] - node _T_4626 = or(_T_4624, _T_4625) @[el2_lsu_bus_buffer.scala 588:121] - node _T_4627 = and(_T_4623, _T_4626) @[el2_lsu_bus_buffer.scala 588:105] - node _T_4628 = mux(_T_4603, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4629 = mux(_T_4611, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4630 = mux(_T_4619, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4631 = mux(_T_4627, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4632 = or(_T_4628, _T_4629) @[Mux.scala 27:72] - node _T_4633 = or(_T_4632, _T_4630) @[Mux.scala 27:72] - node _T_4634 = or(_T_4633, _T_4631) @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4535 @[Mux.scala 27:72] + node _T_4536 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4537 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4538 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4539 = eq(_T_4538, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4540 = and(_T_4537, _T_4539) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4541 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4542 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4543 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4545 = and(_T_4542, _T_4544) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4546 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4547 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4548 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4549 = eq(_T_4548, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4550 = and(_T_4547, _T_4549) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4551 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 587:80] + node _T_4552 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 587:104] + node _T_4553 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 587:120] + node _T_4554 = eq(_T_4553, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 587:110] + node _T_4555 = and(_T_4552, _T_4554) @[el2_lsu_bus_buffer.scala 587:108] + node _T_4556 = mux(_T_4536, _T_4540, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4557 = mux(_T_4541, _T_4545, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4558 = mux(_T_4546, _T_4550, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4559 = mux(_T_4551, _T_4555, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4560 = or(_T_4556, _T_4557) @[Mux.scala 27:72] + node _T_4561 = or(_T_4560, _T_4558) @[Mux.scala 27:72] + node _T_4562 = or(_T_4561, _T_4559) @[Mux.scala 27:72] + wire _T_4563 : UInt<1> @[Mux.scala 27:72] + _T_4563 <= _T_4562 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4563 @[el2_lsu_bus_buffer.scala 587:35] + node _T_4564 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4565 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4566 = eq(_T_4565, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4567 = and(_T_4564, _T_4566) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4568 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4569 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4570 = or(_T_4568, _T_4569) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4571 = and(_T_4567, _T_4570) @[el2_lsu_bus_buffer.scala 588:106] + node _T_4572 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4573 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4574 = eq(_T_4573, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4575 = and(_T_4572, _T_4574) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4576 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4577 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4578 = or(_T_4576, _T_4577) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4579 = and(_T_4575, _T_4578) @[el2_lsu_bus_buffer.scala 588:106] + node _T_4580 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4581 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4582 = eq(_T_4581, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4583 = and(_T_4580, _T_4582) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4584 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4585 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4586 = or(_T_4584, _T_4585) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4587 = and(_T_4583, _T_4586) @[el2_lsu_bus_buffer.scala 588:106] + node _T_4588 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 588:79] + node _T_4589 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 588:102] + node _T_4590 = eq(_T_4589, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:92] + node _T_4591 = and(_T_4588, _T_4590) @[el2_lsu_bus_buffer.scala 588:90] + node _T_4592 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:109] + node _T_4593 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 588:124] + node _T_4594 = or(_T_4592, _T_4593) @[el2_lsu_bus_buffer.scala 588:122] + node _T_4595 = and(_T_4591, _T_4594) @[el2_lsu_bus_buffer.scala 588:106] + node _T_4596 = mux(_T_4571, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4597 = mux(_T_4579, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4598 = mux(_T_4587, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4599 = mux(_T_4595, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4600 = or(_T_4596, _T_4597) @[Mux.scala 27:72] + node _T_4601 = or(_T_4600, _T_4598) @[Mux.scala 27:72] + node _T_4602 = or(_T_4601, _T_4599) @[Mux.scala 27:72] + wire _T_4603 : UInt<2> @[Mux.scala 27:72] + _T_4603 <= _T_4602 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4603 @[el2_lsu_bus_buffer.scala 588:33] + node _T_4604 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4605 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4606 = eq(_T_4605, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4607 = and(_T_4604, _T_4606) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4608 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4609 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4610 = or(_T_4608, _T_4609) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4611 = and(_T_4607, _T_4610) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4612 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4613 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4614 = eq(_T_4613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4615 = and(_T_4612, _T_4614) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4616 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4617 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4618 = or(_T_4616, _T_4617) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4619 = and(_T_4615, _T_4618) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4620 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4621 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4622 = eq(_T_4621, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4623 = and(_T_4620, _T_4622) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4624 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4625 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4626 = or(_T_4624, _T_4625) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4627 = and(_T_4623, _T_4626) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4628 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] + node _T_4629 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:101] + node _T_4630 = eq(_T_4629, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] + node _T_4631 = and(_T_4628, _T_4630) @[el2_lsu_bus_buffer.scala 589:89] + node _T_4632 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:108] + node _T_4633 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:123] + node _T_4634 = or(_T_4632, _T_4633) @[el2_lsu_bus_buffer.scala 589:121] + node _T_4635 = and(_T_4631, _T_4634) @[el2_lsu_bus_buffer.scala 589:105] + node _T_4636 = mux(_T_4611, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4637 = mux(_T_4619, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4638 = mux(_T_4627, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4639 = mux(_T_4635, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4640 = or(_T_4636, _T_4637) @[Mux.scala 27:72] + node _T_4641 = or(_T_4640, _T_4638) @[Mux.scala 27:72] + node _T_4642 = or(_T_4641, _T_4639) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4634 @[Mux.scala 27:72] - node _T_4635 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4636 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4637 = eq(_T_4636, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4638 = and(_T_4635, _T_4637) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4639 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4640 = and(_T_4638, _T_4639) @[el2_lsu_bus_buffer.scala 589:105] - node _T_4641 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4642 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4643 = eq(_T_4642, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4644 = and(_T_4641, _T_4643) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4645 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4646 = and(_T_4644, _T_4645) @[el2_lsu_bus_buffer.scala 589:105] - node _T_4647 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4648 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4649 = eq(_T_4648, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4650 = and(_T_4647, _T_4649) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4651 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4652 = and(_T_4650, _T_4651) @[el2_lsu_bus_buffer.scala 589:105] - node _T_4653 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 589:78] - node _T_4654 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 589:101] - node _T_4655 = eq(_T_4654, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 589:91] - node _T_4656 = and(_T_4653, _T_4655) @[el2_lsu_bus_buffer.scala 589:89] - node _T_4657 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 589:120] - node _T_4658 = and(_T_4656, _T_4657) @[el2_lsu_bus_buffer.scala 589:105] - node _T_4659 = mux(_T_4640, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4660 = mux(_T_4646, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4661 = mux(_T_4652, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4662 = mux(_T_4658, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4663 = or(_T_4659, _T_4660) @[Mux.scala 27:72] - node _T_4664 = or(_T_4663, _T_4661) @[Mux.scala 27:72] - node _T_4665 = or(_T_4664, _T_4662) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4642 @[Mux.scala 27:72] + node _T_4643 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4644 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4645 = eq(_T_4644, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4646 = and(_T_4643, _T_4645) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4647 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4648 = and(_T_4646, _T_4647) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4649 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4650 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4651 = eq(_T_4650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4652 = and(_T_4649, _T_4651) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4653 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4654 = and(_T_4652, _T_4653) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4655 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4656 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4657 = eq(_T_4656, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4658 = and(_T_4655, _T_4657) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4659 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4660 = and(_T_4658, _T_4659) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4661 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 590:78] + node _T_4662 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 590:101] + node _T_4663 = eq(_T_4662, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 590:91] + node _T_4664 = and(_T_4661, _T_4663) @[el2_lsu_bus_buffer.scala 590:89] + node _T_4665 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 590:120] + node _T_4666 = and(_T_4664, _T_4665) @[el2_lsu_bus_buffer.scala 590:105] + node _T_4667 = mux(_T_4648, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4668 = mux(_T_4654, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4669 = mux(_T_4660, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4670 = mux(_T_4666, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] + node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] + node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4665 @[Mux.scala 27:72] - node _T_4666 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4667 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4668 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4669 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4670 = mux(_T_4666, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4671 = mux(_T_4667, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4672 = mux(_T_4668, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4673 = mux(_T_4669, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4674 = or(_T_4670, _T_4671) @[Mux.scala 27:72] - node _T_4675 = or(_T_4674, _T_4672) @[Mux.scala 27:72] - node _T_4676 = or(_T_4675, _T_4673) @[Mux.scala 27:72] - wire _T_4677 : UInt<32> @[Mux.scala 27:72] - _T_4677 <= _T_4676 @[Mux.scala 27:72] - node lsu_nonblock_addr_offset = bits(_T_4677, 1, 0) @[el2_lsu_bus_buffer.scala 590:83] - node _T_4678 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4679 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4680 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4681 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4682 = mux(_T_4678, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4683 = mux(_T_4679, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4684 = mux(_T_4680, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4685 = mux(_T_4681, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4686 = or(_T_4682, _T_4683) @[Mux.scala 27:72] - node _T_4687 = or(_T_4686, _T_4684) @[Mux.scala 27:72] - node _T_4688 = or(_T_4687, _T_4685) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4673 @[Mux.scala 27:72] + node _T_4674 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4675 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4676 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4677 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4678 = mux(_T_4674, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4675, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = mux(_T_4676, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4681 = mux(_T_4677, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4682 = or(_T_4678, _T_4679) @[Mux.scala 27:72] + node _T_4683 = or(_T_4682, _T_4680) @[Mux.scala 27:72] + node _T_4684 = or(_T_4683, _T_4681) @[Mux.scala 27:72] + wire _T_4685 : UInt<32> @[Mux.scala 27:72] + _T_4685 <= _T_4684 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4685, 1, 0) @[el2_lsu_bus_buffer.scala 591:83] + node _T_4686 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4687 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4688 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4689 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4690 = mux(_T_4686, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4691 = mux(_T_4687, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4692 = mux(_T_4688, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4693 = mux(_T_4689, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4694 = or(_T_4690, _T_4691) @[Mux.scala 27:72] + node _T_4695 = or(_T_4694, _T_4692) @[Mux.scala 27:72] + node _T_4696 = or(_T_4695, _T_4693) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4688 @[Mux.scala 27:72] - node _T_4689 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4690 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4691 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4692 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4693 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4694 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4695 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4696 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4697 = mux(_T_4689, _T_4690, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4698 = mux(_T_4691, _T_4692, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4699 = mux(_T_4693, _T_4694, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4700 = mux(_T_4695, _T_4696, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4701 = or(_T_4697, _T_4698) @[Mux.scala 27:72] - node _T_4702 = or(_T_4701, _T_4699) @[Mux.scala 27:72] - node _T_4703 = or(_T_4702, _T_4700) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4696 @[Mux.scala 27:72] + node _T_4697 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4698 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4699 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4700 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4701 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4702 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4703 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4704 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4705 = mux(_T_4697, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4706 = mux(_T_4699, _T_4700, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4707 = mux(_T_4701, _T_4702, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4708 = mux(_T_4703, _T_4704, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4709 = or(_T_4705, _T_4706) @[Mux.scala 27:72] + node _T_4710 = or(_T_4709, _T_4707) @[Mux.scala 27:72] + node _T_4711 = or(_T_4710, _T_4708) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4703 @[Mux.scala 27:72] - node _T_4704 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4705 = cat(_T_4704, buf_dual[1]) @[Cat.scala 29:58] - node _T_4706 = cat(_T_4705, buf_dual[0]) @[Cat.scala 29:58] - node _T_4707 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4708 = bits(_T_4706, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4709 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4710 = bits(_T_4706, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4711 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4712 = bits(_T_4706, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4713 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] - node _T_4714 = bits(_T_4706, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] - node _T_4715 = mux(_T_4707, _T_4708, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4716 = mux(_T_4709, _T_4710, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4717 = mux(_T_4711, _T_4712, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4718 = mux(_T_4713, _T_4714, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4719 = or(_T_4715, _T_4716) @[Mux.scala 27:72] - node _T_4720 = or(_T_4719, _T_4717) @[Mux.scala 27:72] - node _T_4721 = or(_T_4720, _T_4718) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4711 @[Mux.scala 27:72] + node _T_4712 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4713 = cat(_T_4712, buf_dual[1]) @[Cat.scala 29:58] + node _T_4714 = cat(_T_4713, buf_dual[0]) @[Cat.scala 29:58] + node _T_4715 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4716 = bits(_T_4714, 0, 0) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4717 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4718 = bits(_T_4714, 1, 1) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4719 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4720 = bits(_T_4714, 2, 2) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4721 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 118:118] + node _T_4722 = bits(_T_4714, 3, 3) @[el2_lsu_bus_buffer.scala 118:129] + node _T_4723 = mux(_T_4715, _T_4716, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4724 = mux(_T_4717, _T_4718, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4725 = mux(_T_4719, _T_4720, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4726 = mux(_T_4721, _T_4722, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4727 = or(_T_4723, _T_4724) @[Mux.scala 27:72] + node _T_4728 = or(_T_4727, _T_4725) @[Mux.scala 27:72] + node _T_4729 = or(_T_4728, _T_4726) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4721 @[Mux.scala 27:72] - node _T_4722 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4723 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 594:121] - node lsu_nonblock_data_unalgn = dshr(_T_4722, _T_4723) @[el2_lsu_bus_buffer.scala 594:92] - io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 595:14] - io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 596:14] - node _T_4724 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 597:69] - node _T_4725 = and(lsu_nonblock_load_data_ready, _T_4724) @[el2_lsu_bus_buffer.scala 597:67] - io.lsu_nonblock_load_data_valid <= _T_4725 @[el2_lsu_bus_buffer.scala 597:35] - node _T_4726 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:81] - node _T_4727 = and(lsu_nonblock_unsign, _T_4726) @[el2_lsu_bus_buffer.scala 598:63] - node _T_4728 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 598:131] - node _T_4729 = cat(UInt<24>("h00"), _T_4728) @[Cat.scala 29:58] - node _T_4730 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 599:45] - node _T_4731 = and(lsu_nonblock_unsign, _T_4730) @[el2_lsu_bus_buffer.scala 599:26] - node _T_4732 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 599:95] - node _T_4733 = cat(UInt<16>("h00"), _T_4732) @[Cat.scala 29:58] - node _T_4734 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:6] - node _T_4735 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:45] - node _T_4736 = and(_T_4734, _T_4735) @[el2_lsu_bus_buffer.scala 600:27] - node _T_4737 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 600:93] - node _T_4738 = bits(_T_4737, 0, 0) @[Bitwise.scala 72:15] - node _T_4739 = mux(_T_4738, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4740 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 600:123] - node _T_4741 = cat(_T_4739, _T_4740) @[Cat.scala 29:58] + lsu_nonblock_dual <= _T_4729 @[Mux.scala 27:72] + node _T_4730 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4731 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 595:121] + node lsu_nonblock_data_unalgn = dshr(_T_4730, _T_4731) @[el2_lsu_bus_buffer.scala 595:92] + io.data_hi <= lsu_nonblock_load_data_hi @[el2_lsu_bus_buffer.scala 596:14] + io.data_lo <= lsu_nonblock_load_data_lo @[el2_lsu_bus_buffer.scala 597:14] + node _T_4732 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 598:69] + node _T_4733 = and(lsu_nonblock_load_data_ready, _T_4732) @[el2_lsu_bus_buffer.scala 598:67] + io.lsu_nonblock_load_data_valid <= _T_4733 @[el2_lsu_bus_buffer.scala 598:35] + node _T_4734 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 599:81] + node _T_4735 = and(lsu_nonblock_unsign, _T_4734) @[el2_lsu_bus_buffer.scala 599:63] + node _T_4736 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 599:131] + node _T_4737 = cat(UInt<24>("h00"), _T_4736) @[Cat.scala 29:58] + node _T_4738 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 600:45] + node _T_4739 = and(lsu_nonblock_unsign, _T_4738) @[el2_lsu_bus_buffer.scala 600:26] + node _T_4740 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 600:95] + node _T_4741 = cat(UInt<16>("h00"), _T_4740) @[Cat.scala 29:58] node _T_4742 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:6] - node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 601:45] + node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 601:45] node _T_4744 = and(_T_4742, _T_4743) @[el2_lsu_bus_buffer.scala 601:27] - node _T_4745 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 601:93] + node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 601:93] node _T_4746 = bits(_T_4745, 0, 0) @[Bitwise.scala 72:15] - node _T_4747 = mux(_T_4746, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4748 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 601:124] + node _T_4747 = mux(_T_4746, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4748 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 601:123] node _T_4749 = cat(_T_4747, _T_4748) @[Cat.scala 29:58] - node _T_4750 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 602:21] - node _T_4751 = mux(_T_4727, _T_4729, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4752 = mux(_T_4731, _T_4733, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4753 = mux(_T_4736, _T_4741, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4754 = mux(_T_4744, _T_4749, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4755 = mux(_T_4750, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4756 = or(_T_4751, _T_4752) @[Mux.scala 27:72] - node _T_4757 = or(_T_4756, _T_4753) @[Mux.scala 27:72] - node _T_4758 = or(_T_4757, _T_4754) @[Mux.scala 27:72] - node _T_4759 = or(_T_4758, _T_4755) @[Mux.scala 27:72] - wire _T_4760 : UInt<64> @[Mux.scala 27:72] - _T_4760 <= _T_4759 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4760 @[el2_lsu_bus_buffer.scala 598:29] - node _T_4761 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] - node _T_4762 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 603:89] - node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 603:73] - node _T_4764 = and(_T_4763, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] - node _T_4765 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] - node _T_4766 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 603:89] - node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 603:73] - node _T_4768 = and(_T_4767, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] - node _T_4769 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] - node _T_4770 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 603:89] - node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 603:73] - node _T_4772 = and(_T_4771, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] - node _T_4773 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:62] - node _T_4774 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 603:89] - node _T_4775 = and(_T_4773, _T_4774) @[el2_lsu_bus_buffer.scala 603:73] - node _T_4776 = and(_T_4775, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 603:93] - node _T_4777 = or(_T_4764, _T_4768) @[el2_lsu_bus_buffer.scala 603:141] - node _T_4778 = or(_T_4777, _T_4772) @[el2_lsu_bus_buffer.scala 603:141] - node _T_4779 = or(_T_4778, _T_4776) @[el2_lsu_bus_buffer.scala 603:141] - bus_sideeffect_pend <= _T_4779 @[el2_lsu_bus_buffer.scala 603:23] - node _T_4780 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] - node _T_4781 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] - node _T_4782 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] - node _T_4783 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] - node _T_4784 = eq(_T_4782, _T_4783) @[el2_lsu_bus_buffer.scala 605:56] - node _T_4785 = and(_T_4781, _T_4784) @[el2_lsu_bus_buffer.scala 605:38] - node _T_4786 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:92] - node _T_4787 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:126] - node _T_4788 = and(obuf_merge, _T_4787) @[el2_lsu_bus_buffer.scala 605:114] - node _T_4789 = or(_T_4786, _T_4788) @[el2_lsu_bus_buffer.scala 605:100] - node _T_4790 = eq(_T_4789, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] - node _T_4791 = and(_T_4785, _T_4790) @[el2_lsu_bus_buffer.scala 605:78] - node _T_4792 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] - node _T_4793 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] - node _T_4794 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] - node _T_4795 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] - node _T_4796 = eq(_T_4794, _T_4795) @[el2_lsu_bus_buffer.scala 605:56] - node _T_4797 = and(_T_4793, _T_4796) @[el2_lsu_bus_buffer.scala 605:38] - node _T_4798 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 605:92] - node _T_4799 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 605:126] - node _T_4800 = and(obuf_merge, _T_4799) @[el2_lsu_bus_buffer.scala 605:114] - node _T_4801 = or(_T_4798, _T_4800) @[el2_lsu_bus_buffer.scala 605:100] - node _T_4802 = eq(_T_4801, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] - node _T_4803 = and(_T_4797, _T_4802) @[el2_lsu_bus_buffer.scala 605:78] - node _T_4804 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] - node _T_4805 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] - node _T_4806 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] - node _T_4807 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] - node _T_4808 = eq(_T_4806, _T_4807) @[el2_lsu_bus_buffer.scala 605:56] - node _T_4809 = and(_T_4805, _T_4808) @[el2_lsu_bus_buffer.scala 605:38] - node _T_4810 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 605:92] - node _T_4811 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 605:126] - node _T_4812 = and(obuf_merge, _T_4811) @[el2_lsu_bus_buffer.scala 605:114] - node _T_4813 = or(_T_4810, _T_4812) @[el2_lsu_bus_buffer.scala 605:100] - node _T_4814 = eq(_T_4813, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] - node _T_4815 = and(_T_4809, _T_4814) @[el2_lsu_bus_buffer.scala 605:78] - node _T_4816 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:71] - node _T_4817 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 605:25] - node _T_4818 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 605:50] - node _T_4819 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 605:70] - node _T_4820 = eq(_T_4818, _T_4819) @[el2_lsu_bus_buffer.scala 605:56] - node _T_4821 = and(_T_4817, _T_4820) @[el2_lsu_bus_buffer.scala 605:38] - node _T_4822 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 605:92] - node _T_4823 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 605:126] - node _T_4824 = and(obuf_merge, _T_4823) @[el2_lsu_bus_buffer.scala 605:114] - node _T_4825 = or(_T_4822, _T_4824) @[el2_lsu_bus_buffer.scala 605:100] - node _T_4826 = eq(_T_4825, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 605:80] - node _T_4827 = and(_T_4821, _T_4826) @[el2_lsu_bus_buffer.scala 605:78] - node _T_4828 = mux(_T_4780, _T_4791, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4829 = mux(_T_4792, _T_4803, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4830 = mux(_T_4804, _T_4815, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4831 = mux(_T_4816, _T_4827, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4832 = or(_T_4828, _T_4829) @[Mux.scala 27:72] - node _T_4833 = or(_T_4832, _T_4830) @[Mux.scala 27:72] - node _T_4834 = or(_T_4833, _T_4831) @[Mux.scala 27:72] - wire _T_4835 : UInt<1> @[Mux.scala 27:72] - _T_4835 <= _T_4834 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4835 @[el2_lsu_bus_buffer.scala 604:26] - node _T_4836 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 607:54] - node _T_4837 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 607:75] - node _T_4838 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 607:150] - node _T_4839 = mux(_T_4836, _T_4837, _T_4838) @[el2_lsu_bus_buffer.scala 607:39] - node _T_4840 = mux(obuf_write, _T_4839, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 607:23] - bus_cmd_ready <= _T_4840 @[el2_lsu_bus_buffer.scala 607:17] - node _T_4841 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 608:39] - bus_wcmd_sent <= _T_4841 @[el2_lsu_bus_buffer.scala 608:17] - node _T_4842 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 609:39] - bus_wdata_sent <= _T_4842 @[el2_lsu_bus_buffer.scala 609:18] - node _T_4843 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 610:35] - node _T_4844 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 610:70] - node _T_4845 = and(_T_4843, _T_4844) @[el2_lsu_bus_buffer.scala 610:52] - node _T_4846 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 610:111] - node _T_4847 = or(_T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 610:89] - bus_cmd_sent <= _T_4847 @[el2_lsu_bus_buffer.scala 610:16] - node _T_4848 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 611:37] - bus_rsp_read <= _T_4848 @[el2_lsu_bus_buffer.scala 611:16] - node _T_4849 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 612:38] - bus_rsp_write <= _T_4849 @[el2_lsu_bus_buffer.scala 612:17] - bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 613:20] - bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 614:21] - node _T_4850 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 615:60] - node _T_4851 = and(bus_rsp_write, _T_4850) @[el2_lsu_bus_buffer.scala 615:40] - bus_rsp_write_error <= _T_4851 @[el2_lsu_bus_buffer.scala 615:23] - node _T_4852 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:58] - node _T_4853 = and(bus_rsp_read, _T_4852) @[el2_lsu_bus_buffer.scala 616:38] - bus_rsp_read_error <= _T_4853 @[el2_lsu_bus_buffer.scala 616:22] - bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 617:17] - node _T_4854 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 620:36] - node _T_4855 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 620:51] - node _T_4856 = and(_T_4854, _T_4855) @[el2_lsu_bus_buffer.scala 620:49] - node _T_4857 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 620:68] - node _T_4858 = and(_T_4856, _T_4857) @[el2_lsu_bus_buffer.scala 620:66] - io.lsu_axi_awvalid <= _T_4858 @[el2_lsu_bus_buffer.scala 620:22] - io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 621:19] - node _T_4859 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 622:69] - node _T_4860 = cat(_T_4859, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4861 = mux(obuf_sideeffect, obuf_addr, _T_4860) @[el2_lsu_bus_buffer.scala 622:27] - io.lsu_axi_awaddr <= _T_4861 @[el2_lsu_bus_buffer.scala 622:21] - node _T_4862 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4863 = mux(obuf_sideeffect, _T_4862, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 623:27] - io.lsu_axi_awsize <= _T_4863 @[el2_lsu_bus_buffer.scala 623:21] - io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 624:21] - node _T_4864 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 625:28] - io.lsu_axi_awcache <= _T_4864 @[el2_lsu_bus_buffer.scala 625:22] - node _T_4865 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 626:35] - io.lsu_axi_awregion <= _T_4865 @[el2_lsu_bus_buffer.scala 626:23] - io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 627:20] - io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 628:22] - io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 629:20] - io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:21] - node _T_4866 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 632:35] - node _T_4867 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:50] - node _T_4868 = and(_T_4866, _T_4867) @[el2_lsu_bus_buffer.scala 632:48] - node _T_4869 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 632:68] - node _T_4870 = and(_T_4868, _T_4869) @[el2_lsu_bus_buffer.scala 632:66] - io.lsu_axi_wvalid <= _T_4870 @[el2_lsu_bus_buffer.scala 632:21] - node _T_4871 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4872 = mux(_T_4871, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4873 = and(obuf_byteen, _T_4872) @[el2_lsu_bus_buffer.scala 633:35] - io.lsu_axi_wstrb <= _T_4873 @[el2_lsu_bus_buffer.scala 633:20] - io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 634:20] - io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 635:20] - node _T_4874 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:38] - node _T_4875 = and(obuf_valid, _T_4874) @[el2_lsu_bus_buffer.scala 637:36] - node _T_4876 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:52] - node _T_4877 = and(_T_4875, _T_4876) @[el2_lsu_bus_buffer.scala 637:50] - node _T_4878 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 637:67] - node _T_4879 = and(_T_4877, _T_4878) @[el2_lsu_bus_buffer.scala 637:65] - io.lsu_axi_arvalid <= _T_4879 @[el2_lsu_bus_buffer.scala 637:22] - io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 638:19] - node _T_4880 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 639:69] - node _T_4881 = cat(_T_4880, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4882 = mux(obuf_sideeffect, obuf_addr, _T_4881) @[el2_lsu_bus_buffer.scala 639:27] - io.lsu_axi_araddr <= _T_4882 @[el2_lsu_bus_buffer.scala 639:21] - node _T_4883 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4884 = mux(obuf_sideeffect, _T_4883, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 640:27] - io.lsu_axi_arsize <= _T_4884 @[el2_lsu_bus_buffer.scala 640:21] - io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 641:21] - node _T_4885 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 642:28] - io.lsu_axi_arcache <= _T_4885 @[el2_lsu_bus_buffer.scala 642:22] - node _T_4886 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 643:35] - io.lsu_axi_arregion <= _T_4886 @[el2_lsu_bus_buffer.scala 643:23] - io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 644:20] - io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 645:22] - io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 646:20] - io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 647:21] - io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 648:21] - io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 649:21] - node _T_4887 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] - node _T_4888 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 650:125] - node _T_4889 = and(io.lsu_bus_clk_en_q, _T_4888) @[el2_lsu_bus_buffer.scala 650:114] - node _T_4890 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 650:140] - node _T_4891 = and(_T_4889, _T_4890) @[el2_lsu_bus_buffer.scala 650:129] - node _T_4892 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] - node _T_4893 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 650:125] - node _T_4894 = and(io.lsu_bus_clk_en_q, _T_4893) @[el2_lsu_bus_buffer.scala 650:114] - node _T_4895 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 650:140] - node _T_4896 = and(_T_4894, _T_4895) @[el2_lsu_bus_buffer.scala 650:129] - node _T_4897 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] - node _T_4898 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 650:125] - node _T_4899 = and(io.lsu_bus_clk_en_q, _T_4898) @[el2_lsu_bus_buffer.scala 650:114] - node _T_4900 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 650:140] - node _T_4901 = and(_T_4899, _T_4900) @[el2_lsu_bus_buffer.scala 650:129] - node _T_4902 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 650:81] - node _T_4903 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 650:125] - node _T_4904 = and(io.lsu_bus_clk_en_q, _T_4903) @[el2_lsu_bus_buffer.scala 650:114] - node _T_4905 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 650:140] - node _T_4906 = and(_T_4904, _T_4905) @[el2_lsu_bus_buffer.scala 650:129] - node _T_4907 = mux(_T_4887, _T_4891, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4908 = mux(_T_4892, _T_4896, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4909 = mux(_T_4897, _T_4901, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4910 = mux(_T_4902, _T_4906, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4911 = or(_T_4907, _T_4908) @[Mux.scala 27:72] - node _T_4912 = or(_T_4911, _T_4909) @[Mux.scala 27:72] - node _T_4913 = or(_T_4912, _T_4910) @[Mux.scala 27:72] - wire _T_4914 : UInt<1> @[Mux.scala 27:72] - _T_4914 <= _T_4913 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4914 @[el2_lsu_bus_buffer.scala 650:36] - node _T_4915 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:87] - node _T_4916 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 651:109] - node _T_4917 = and(_T_4915, _T_4916) @[el2_lsu_bus_buffer.scala 651:98] - node _T_4918 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 651:124] - node _T_4919 = and(_T_4917, _T_4918) @[el2_lsu_bus_buffer.scala 651:113] - node _T_4920 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:87] - node _T_4921 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 651:109] - node _T_4922 = and(_T_4920, _T_4921) @[el2_lsu_bus_buffer.scala 651:98] - node _T_4923 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 651:124] - node _T_4924 = and(_T_4922, _T_4923) @[el2_lsu_bus_buffer.scala 651:113] - node _T_4925 = mux(_T_4919, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4926 = mux(_T_4924, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4927 = or(_T_4925, _T_4926) @[Mux.scala 27:72] + node _T_4750 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 602:6] + node _T_4751 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 602:45] + node _T_4752 = and(_T_4750, _T_4751) @[el2_lsu_bus_buffer.scala 602:27] + node _T_4753 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 602:93] + node _T_4754 = bits(_T_4753, 0, 0) @[Bitwise.scala 72:15] + node _T_4755 = mux(_T_4754, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4756 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 602:124] + node _T_4757 = cat(_T_4755, _T_4756) @[Cat.scala 29:58] + node _T_4758 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 603:21] + node _T_4759 = mux(_T_4735, _T_4737, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4760 = mux(_T_4739, _T_4741, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4761 = mux(_T_4744, _T_4749, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4762 = mux(_T_4752, _T_4757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4763 = mux(_T_4758, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4764 = or(_T_4759, _T_4760) @[Mux.scala 27:72] + node _T_4765 = or(_T_4764, _T_4761) @[Mux.scala 27:72] + node _T_4766 = or(_T_4765, _T_4762) @[Mux.scala 27:72] + node _T_4767 = or(_T_4766, _T_4763) @[Mux.scala 27:72] + wire _T_4768 : UInt<64> @[Mux.scala 27:72] + _T_4768 <= _T_4767 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4768 @[el2_lsu_bus_buffer.scala 599:29] + node _T_4769 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] + node _T_4770 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 604:89] + node _T_4771 = and(_T_4769, _T_4770) @[el2_lsu_bus_buffer.scala 604:73] + node _T_4772 = and(_T_4771, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] + node _T_4773 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] + node _T_4774 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 604:89] + node _T_4775 = and(_T_4773, _T_4774) @[el2_lsu_bus_buffer.scala 604:73] + node _T_4776 = and(_T_4775, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] + node _T_4777 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] + node _T_4778 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 604:89] + node _T_4779 = and(_T_4777, _T_4778) @[el2_lsu_bus_buffer.scala 604:73] + node _T_4780 = and(_T_4779, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] + node _T_4781 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 604:62] + node _T_4782 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 604:89] + node _T_4783 = and(_T_4781, _T_4782) @[el2_lsu_bus_buffer.scala 604:73] + node _T_4784 = and(_T_4783, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 604:93] + node _T_4785 = or(_T_4772, _T_4776) @[el2_lsu_bus_buffer.scala 604:141] + node _T_4786 = or(_T_4785, _T_4780) @[el2_lsu_bus_buffer.scala 604:141] + node _T_4787 = or(_T_4786, _T_4784) @[el2_lsu_bus_buffer.scala 604:141] + bus_sideeffect_pend <= _T_4787 @[el2_lsu_bus_buffer.scala 604:23] + node _T_4788 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] + node _T_4789 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] + node _T_4790 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] + node _T_4791 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] + node _T_4792 = eq(_T_4790, _T_4791) @[el2_lsu_bus_buffer.scala 606:56] + node _T_4793 = and(_T_4789, _T_4792) @[el2_lsu_bus_buffer.scala 606:38] + node _T_4794 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:92] + node _T_4795 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:126] + node _T_4796 = and(obuf_merge, _T_4795) @[el2_lsu_bus_buffer.scala 606:114] + node _T_4797 = or(_T_4794, _T_4796) @[el2_lsu_bus_buffer.scala 606:100] + node _T_4798 = eq(_T_4797, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] + node _T_4799 = and(_T_4793, _T_4798) @[el2_lsu_bus_buffer.scala 606:78] + node _T_4800 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] + node _T_4801 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] + node _T_4802 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] + node _T_4803 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] + node _T_4804 = eq(_T_4802, _T_4803) @[el2_lsu_bus_buffer.scala 606:56] + node _T_4805 = and(_T_4801, _T_4804) @[el2_lsu_bus_buffer.scala 606:38] + node _T_4806 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 606:92] + node _T_4807 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 606:126] + node _T_4808 = and(obuf_merge, _T_4807) @[el2_lsu_bus_buffer.scala 606:114] + node _T_4809 = or(_T_4806, _T_4808) @[el2_lsu_bus_buffer.scala 606:100] + node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] + node _T_4811 = and(_T_4805, _T_4810) @[el2_lsu_bus_buffer.scala 606:78] + node _T_4812 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] + node _T_4813 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] + node _T_4814 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] + node _T_4815 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] + node _T_4816 = eq(_T_4814, _T_4815) @[el2_lsu_bus_buffer.scala 606:56] + node _T_4817 = and(_T_4813, _T_4816) @[el2_lsu_bus_buffer.scala 606:38] + node _T_4818 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 606:92] + node _T_4819 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 606:126] + node _T_4820 = and(obuf_merge, _T_4819) @[el2_lsu_bus_buffer.scala 606:114] + node _T_4821 = or(_T_4818, _T_4820) @[el2_lsu_bus_buffer.scala 606:100] + node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] + node _T_4823 = and(_T_4817, _T_4822) @[el2_lsu_bus_buffer.scala 606:78] + node _T_4824 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 605:71] + node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 606:25] + node _T_4826 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 606:50] + node _T_4827 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 606:70] + node _T_4828 = eq(_T_4826, _T_4827) @[el2_lsu_bus_buffer.scala 606:56] + node _T_4829 = and(_T_4825, _T_4828) @[el2_lsu_bus_buffer.scala 606:38] + node _T_4830 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 606:92] + node _T_4831 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 606:126] + node _T_4832 = and(obuf_merge, _T_4831) @[el2_lsu_bus_buffer.scala 606:114] + node _T_4833 = or(_T_4830, _T_4832) @[el2_lsu_bus_buffer.scala 606:100] + node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 606:80] + node _T_4835 = and(_T_4829, _T_4834) @[el2_lsu_bus_buffer.scala 606:78] + node _T_4836 = mux(_T_4788, _T_4799, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4837 = mux(_T_4800, _T_4811, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4838 = mux(_T_4812, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4839 = mux(_T_4824, _T_4835, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4840 = or(_T_4836, _T_4837) @[Mux.scala 27:72] + node _T_4841 = or(_T_4840, _T_4838) @[Mux.scala 27:72] + node _T_4842 = or(_T_4841, _T_4839) @[Mux.scala 27:72] + wire _T_4843 : UInt<1> @[Mux.scala 27:72] + _T_4843 <= _T_4842 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4843 @[el2_lsu_bus_buffer.scala 605:26] + node _T_4844 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 608:54] + node _T_4845 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 608:75] + node _T_4846 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 608:150] + node _T_4847 = mux(_T_4844, _T_4845, _T_4846) @[el2_lsu_bus_buffer.scala 608:39] + node _T_4848 = mux(obuf_write, _T_4847, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 608:23] + bus_cmd_ready <= _T_4848 @[el2_lsu_bus_buffer.scala 608:17] + node _T_4849 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 609:39] + bus_wcmd_sent <= _T_4849 @[el2_lsu_bus_buffer.scala 609:17] + node _T_4850 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 610:39] + bus_wdata_sent <= _T_4850 @[el2_lsu_bus_buffer.scala 610:18] + node _T_4851 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 611:35] + node _T_4852 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 611:70] + node _T_4853 = and(_T_4851, _T_4852) @[el2_lsu_bus_buffer.scala 611:52] + node _T_4854 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 611:111] + node _T_4855 = or(_T_4853, _T_4854) @[el2_lsu_bus_buffer.scala 611:89] + bus_cmd_sent <= _T_4855 @[el2_lsu_bus_buffer.scala 611:16] + node _T_4856 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 612:37] + bus_rsp_read <= _T_4856 @[el2_lsu_bus_buffer.scala 612:16] + node _T_4857 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 613:38] + bus_rsp_write <= _T_4857 @[el2_lsu_bus_buffer.scala 613:17] + bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 614:20] + bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 615:21] + node _T_4858 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:60] + node _T_4859 = and(bus_rsp_write, _T_4858) @[el2_lsu_bus_buffer.scala 616:40] + bus_rsp_write_error <= _T_4859 @[el2_lsu_bus_buffer.scala 616:23] + node _T_4860 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 617:58] + node _T_4861 = and(bus_rsp_read, _T_4860) @[el2_lsu_bus_buffer.scala 617:38] + bus_rsp_read_error <= _T_4861 @[el2_lsu_bus_buffer.scala 617:22] + bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 618:17] + node _T_4862 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 621:36] + node _T_4863 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:51] + node _T_4864 = and(_T_4862, _T_4863) @[el2_lsu_bus_buffer.scala 621:49] + node _T_4865 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 621:68] + node _T_4866 = and(_T_4864, _T_4865) @[el2_lsu_bus_buffer.scala 621:66] + io.lsu_axi_awvalid <= _T_4866 @[el2_lsu_bus_buffer.scala 621:22] + io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 622:19] + node _T_4867 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 623:69] + node _T_4868 = cat(_T_4867, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4869 = mux(obuf_sideeffect, obuf_addr, _T_4868) @[el2_lsu_bus_buffer.scala 623:27] + io.lsu_axi_awaddr <= _T_4869 @[el2_lsu_bus_buffer.scala 623:21] + node _T_4870 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4871 = mux(obuf_sideeffect, _T_4870, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 624:27] + io.lsu_axi_awsize <= _T_4871 @[el2_lsu_bus_buffer.scala 624:21] + io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 625:21] + node _T_4872 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 626:28] + io.lsu_axi_awcache <= _T_4872 @[el2_lsu_bus_buffer.scala 626:22] + node _T_4873 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 627:35] + io.lsu_axi_awregion <= _T_4873 @[el2_lsu_bus_buffer.scala 627:23] + io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 628:20] + io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 629:22] + io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 630:20] + io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 631:21] + node _T_4874 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 633:35] + node _T_4875 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:50] + node _T_4876 = and(_T_4874, _T_4875) @[el2_lsu_bus_buffer.scala 633:48] + node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 633:68] + node _T_4878 = and(_T_4876, _T_4877) @[el2_lsu_bus_buffer.scala 633:66] + io.lsu_axi_wvalid <= _T_4878 @[el2_lsu_bus_buffer.scala 633:21] + node _T_4879 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4880 = mux(_T_4879, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4881 = and(obuf_byteen, _T_4880) @[el2_lsu_bus_buffer.scala 634:35] + io.lsu_axi_wstrb <= _T_4881 @[el2_lsu_bus_buffer.scala 634:20] + io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 635:20] + io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 636:20] + node _T_4882 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:38] + node _T_4883 = and(obuf_valid, _T_4882) @[el2_lsu_bus_buffer.scala 638:36] + node _T_4884 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:52] + node _T_4885 = and(_T_4883, _T_4884) @[el2_lsu_bus_buffer.scala 638:50] + node _T_4886 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 638:67] + node _T_4887 = and(_T_4885, _T_4886) @[el2_lsu_bus_buffer.scala 638:65] + io.lsu_axi_arvalid <= _T_4887 @[el2_lsu_bus_buffer.scala 638:22] + io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 639:19] + node _T_4888 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 640:69] + node _T_4889 = cat(_T_4888, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4890 = mux(obuf_sideeffect, obuf_addr, _T_4889) @[el2_lsu_bus_buffer.scala 640:27] + io.lsu_axi_araddr <= _T_4890 @[el2_lsu_bus_buffer.scala 640:21] + node _T_4891 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4892 = mux(obuf_sideeffect, _T_4891, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 641:27] + io.lsu_axi_arsize <= _T_4892 @[el2_lsu_bus_buffer.scala 641:21] + io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 642:21] + node _T_4893 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 643:28] + io.lsu_axi_arcache <= _T_4893 @[el2_lsu_bus_buffer.scala 643:22] + node _T_4894 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 644:35] + io.lsu_axi_arregion <= _T_4894 @[el2_lsu_bus_buffer.scala 644:23] + io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 645:20] + io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 646:22] + io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 647:20] + io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 648:21] + io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 649:21] + io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 650:21] + node _T_4895 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] + node _T_4896 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 651:125] + node _T_4897 = and(io.lsu_bus_clk_en_q, _T_4896) @[el2_lsu_bus_buffer.scala 651:114] + node _T_4898 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 651:140] + node _T_4899 = and(_T_4897, _T_4898) @[el2_lsu_bus_buffer.scala 651:129] + node _T_4900 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] + node _T_4901 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 651:125] + node _T_4902 = and(io.lsu_bus_clk_en_q, _T_4901) @[el2_lsu_bus_buffer.scala 651:114] + node _T_4903 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 651:140] + node _T_4904 = and(_T_4902, _T_4903) @[el2_lsu_bus_buffer.scala 651:129] + node _T_4905 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] + node _T_4906 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 651:125] + node _T_4907 = and(io.lsu_bus_clk_en_q, _T_4906) @[el2_lsu_bus_buffer.scala 651:114] + node _T_4908 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 651:140] + node _T_4909 = and(_T_4907, _T_4908) @[el2_lsu_bus_buffer.scala 651:129] + node _T_4910 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 651:81] + node _T_4911 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 651:125] + node _T_4912 = and(io.lsu_bus_clk_en_q, _T_4911) @[el2_lsu_bus_buffer.scala 651:114] + node _T_4913 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 651:140] + node _T_4914 = and(_T_4912, _T_4913) @[el2_lsu_bus_buffer.scala 651:129] + node _T_4915 = mux(_T_4895, _T_4899, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4916 = mux(_T_4900, _T_4904, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4917 = mux(_T_4905, _T_4909, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4918 = mux(_T_4910, _T_4914, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4919 = or(_T_4915, _T_4916) @[Mux.scala 27:72] + node _T_4920 = or(_T_4919, _T_4917) @[Mux.scala 27:72] + node _T_4921 = or(_T_4920, _T_4918) @[Mux.scala 27:72] + wire _T_4922 : UInt<1> @[Mux.scala 27:72] + _T_4922 <= _T_4921 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4922 @[el2_lsu_bus_buffer.scala 651:36] + node _T_4923 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:87] + node _T_4924 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 652:109] + node _T_4925 = and(_T_4923, _T_4924) @[el2_lsu_bus_buffer.scala 652:98] + node _T_4926 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 652:124] + node _T_4927 = and(_T_4925, _T_4926) @[el2_lsu_bus_buffer.scala 652:113] + node _T_4928 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 652:87] + node _T_4929 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 652:109] + node _T_4930 = and(_T_4928, _T_4929) @[el2_lsu_bus_buffer.scala 652:98] + node _T_4931 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 652:124] + node _T_4932 = and(_T_4930, _T_4931) @[el2_lsu_bus_buffer.scala 652:113] + node _T_4933 = mux(_T_4927, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4934 = mux(_T_4932, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4935 = or(_T_4933, _T_4934) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4927 @[Mux.scala 27:72] - node _T_4928 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 653:72] - node _T_4929 = and(io.lsu_nonblock_load_data_error, _T_4928) @[el2_lsu_bus_buffer.scala 653:70] - io.lsu_imprecise_error_load_any <= _T_4929 @[el2_lsu_bus_buffer.scala 653:35] - node _T_4930 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4931 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4932 = mux(_T_4930, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4933 = mux(_T_4931, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4934 = or(_T_4932, _T_4933) @[Mux.scala 27:72] - wire _T_4935 : UInt<32> @[Mux.scala 27:72] - _T_4935 <= _T_4934 @[Mux.scala 27:72] - node _T_4936 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4937 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4938 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4939 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] - node _T_4940 = mux(_T_4936, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4941 = mux(_T_4937, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4942 = mux(_T_4938, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4943 = mux(_T_4939, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4944 = or(_T_4940, _T_4941) @[Mux.scala 27:72] - node _T_4945 = or(_T_4944, _T_4942) @[Mux.scala 27:72] - node _T_4946 = or(_T_4945, _T_4943) @[Mux.scala 27:72] - wire _T_4947 : UInt<32> @[Mux.scala 27:72] - _T_4947 <= _T_4946 @[Mux.scala 27:72] - node _T_4948 = mux(io.lsu_imprecise_error_store_any, _T_4935, _T_4947) @[el2_lsu_bus_buffer.scala 654:41] - io.lsu_imprecise_error_addr_any <= _T_4948 @[el2_lsu_bus_buffer.scala 654:35] - lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 655:25] - io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 657:23] - node _T_4949 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 660:46] - node _T_4950 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 660:89] - node _T_4951 = or(_T_4949, _T_4950) @[el2_lsu_bus_buffer.scala 660:68] - node _T_4952 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 660:132] - node _T_4953 = or(_T_4951, _T_4952) @[el2_lsu_bus_buffer.scala 660:110] - io.lsu_pmu_bus_trxn <= _T_4953 @[el2_lsu_bus_buffer.scala 660:23] - node _T_4954 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 661:48] - node _T_4955 = and(_T_4954, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 661:65] - io.lsu_pmu_bus_misaligned <= _T_4955 @[el2_lsu_bus_buffer.scala 661:29] - node _T_4956 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 662:59] - io.lsu_pmu_bus_error <= _T_4956 @[el2_lsu_bus_buffer.scala 662:24] - node _T_4957 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:48] - node _T_4958 = and(io.lsu_axi_awvalid, _T_4957) @[el2_lsu_bus_buffer.scala 664:46] - node _T_4959 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:92] - node _T_4960 = and(io.lsu_axi_wvalid, _T_4959) @[el2_lsu_bus_buffer.scala 664:90] - node _T_4961 = or(_T_4958, _T_4960) @[el2_lsu_bus_buffer.scala 664:69] - node _T_4962 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 664:136] - node _T_4963 = and(io.lsu_axi_arvalid, _T_4962) @[el2_lsu_bus_buffer.scala 664:134] - node _T_4964 = or(_T_4961, _T_4963) @[el2_lsu_bus_buffer.scala 664:112] - io.lsu_pmu_bus_busy <= _T_4964 @[el2_lsu_bus_buffer.scala 664:23] - reg _T_4965 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 666:49] - _T_4965 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 666:49] - WrPtr0_r <= _T_4965 @[el2_lsu_bus_buffer.scala 666:12] - reg _T_4966 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 667:49] - _T_4966 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 667:49] - WrPtr1_r <= _T_4966 @[el2_lsu_bus_buffer.scala 667:12] - node _T_4967 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 668:75] - node _T_4968 = and(io.lsu_busreq_m, _T_4967) @[el2_lsu_bus_buffer.scala 668:73] - node _T_4969 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 668:89] - node _T_4970 = and(_T_4968, _T_4969) @[el2_lsu_bus_buffer.scala 668:87] - reg _T_4971 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 668:56] - _T_4971 <= _T_4970 @[el2_lsu_bus_buffer.scala 668:56] - io.lsu_busreq_r <= _T_4971 @[el2_lsu_bus_buffer.scala 668:19] - reg _T_4972 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:66] - _T_4972 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 669:66] - lsu_nonblock_load_valid_r <= _T_4972 @[el2_lsu_bus_buffer.scala 669:29] + lsu_imprecise_error_store_tag <= _T_4935 @[Mux.scala 27:72] + node _T_4936 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 654:72] + node _T_4937 = and(io.lsu_nonblock_load_data_error, _T_4936) @[el2_lsu_bus_buffer.scala 654:70] + io.lsu_imprecise_error_load_any <= _T_4937 @[el2_lsu_bus_buffer.scala 654:35] + node _T_4938 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4939 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4940 = mux(_T_4938, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4941 = mux(_T_4939, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4942 = or(_T_4940, _T_4941) @[Mux.scala 27:72] + wire _T_4943 : UInt<32> @[Mux.scala 27:72] + _T_4943 <= _T_4942 @[Mux.scala 27:72] + node _T_4944 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4945 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4946 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4947 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 119:123] + node _T_4948 = mux(_T_4944, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4949 = mux(_T_4945, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4950 = mux(_T_4946, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4951 = mux(_T_4947, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4952 = or(_T_4948, _T_4949) @[Mux.scala 27:72] + node _T_4953 = or(_T_4952, _T_4950) @[Mux.scala 27:72] + node _T_4954 = or(_T_4953, _T_4951) @[Mux.scala 27:72] + wire _T_4955 : UInt<32> @[Mux.scala 27:72] + _T_4955 <= _T_4954 @[Mux.scala 27:72] + node _T_4956 = mux(io.lsu_imprecise_error_store_any, _T_4943, _T_4955) @[el2_lsu_bus_buffer.scala 655:41] + io.lsu_imprecise_error_addr_any <= _T_4956 @[el2_lsu_bus_buffer.scala 655:35] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 656:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 658:23] + node _T_4957 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 661:46] + node _T_4958 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 661:89] + node _T_4959 = or(_T_4957, _T_4958) @[el2_lsu_bus_buffer.scala 661:68] + node _T_4960 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 661:132] + node _T_4961 = or(_T_4959, _T_4960) @[el2_lsu_bus_buffer.scala 661:110] + io.lsu_pmu_bus_trxn <= _T_4961 @[el2_lsu_bus_buffer.scala 661:23] + node _T_4962 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 662:48] + node _T_4963 = and(_T_4962, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 662:65] + io.lsu_pmu_bus_misaligned <= _T_4963 @[el2_lsu_bus_buffer.scala 662:29] + node _T_4964 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 663:59] + io.lsu_pmu_bus_error <= _T_4964 @[el2_lsu_bus_buffer.scala 663:24] + node _T_4965 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 665:48] + node _T_4966 = and(io.lsu_axi_awvalid, _T_4965) @[el2_lsu_bus_buffer.scala 665:46] + node _T_4967 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 665:92] + node _T_4968 = and(io.lsu_axi_wvalid, _T_4967) @[el2_lsu_bus_buffer.scala 665:90] + node _T_4969 = or(_T_4966, _T_4968) @[el2_lsu_bus_buffer.scala 665:69] + node _T_4970 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 665:136] + node _T_4971 = and(io.lsu_axi_arvalid, _T_4970) @[el2_lsu_bus_buffer.scala 665:134] + node _T_4972 = or(_T_4969, _T_4971) @[el2_lsu_bus_buffer.scala 665:112] + io.lsu_pmu_bus_busy <= _T_4972 @[el2_lsu_bus_buffer.scala 665:23] + reg _T_4973 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 667:49] + _T_4973 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 667:49] + WrPtr0_r <= _T_4973 @[el2_lsu_bus_buffer.scala 667:12] + reg _T_4974 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 668:49] + _T_4974 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 668:49] + WrPtr1_r <= _T_4974 @[el2_lsu_bus_buffer.scala 668:12] + node _T_4975 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 669:75] + node _T_4976 = and(io.lsu_busreq_m, _T_4975) @[el2_lsu_bus_buffer.scala 669:73] + node _T_4977 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 669:89] + node _T_4978 = and(_T_4976, _T_4977) @[el2_lsu_bus_buffer.scala 669:87] + reg _T_4979 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 669:56] + _T_4979 <= _T_4978 @[el2_lsu_bus_buffer.scala 669:56] + io.lsu_busreq_r <= _T_4979 @[el2_lsu_bus_buffer.scala 669:19] + reg _T_4980 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 670:66] + _T_4980 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 670:66] + lsu_nonblock_load_valid_r <= _T_4980 @[el2_lsu_bus_buffer.scala 670:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 98a2bec3..ffbb6cb5 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -312,11 +312,11 @@ module el2_lsu_bus_buffer( wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 134:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 491:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 136:74] - reg _T_4348; // @[Reg.scala 27:20] - reg _T_4345; // @[Reg.scala 27:20] - reg _T_4342; // @[Reg.scala 27:20] - reg _T_4339; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4348,_T_4345,_T_4342,_T_4339}; // @[Cat.scala 29:58] + reg _T_4356; // @[Reg.scala 27:20] + reg _T_4353; // @[Reg.scala 27:20] + reg _T_4350; // @[Reg.scala 27:20] + reg _T_4347; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4356,_T_4353,_T_4350,_T_4347}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 136:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 136:129] @@ -359,99 +359,99 @@ module el2_lsu_bus_buffer( wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 137:98] wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 137:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 137:141] - reg [2:0] _T_4375; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4375}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] + reg [2:0] _T_4383; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4383}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4373; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4373}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] + reg [2:0] _T_4381; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4381}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4371; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4371}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] + reg [2:0] _T_4379; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4379}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] - reg [2:0] _T_4369; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4369}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 566:16] + reg [2:0] _T_4377; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4377}; // @[el2_lsu_bus_buffer.scala 142:24 el2_lsu_bus_buffer.scala 143:14 el2_lsu_bus_buffer.scala 567:16] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 199:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 199:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] - reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 550:60] - wire _T_2610 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] - wire _T_4095 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4118 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4122 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] - reg [1:0] _T_1853; // @[Reg.scala 27:20] - wire [2:0] obuf_tag0 = {{1'd0}, _T_1853}; // @[el2_lsu_bus_buffer.scala 408:13] - wire _T_4129 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 505:48] + reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2618 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] + wire _T_4103 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4126 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4130 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1861; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 409:13] + wire _T_4137 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 506:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] - wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 505:104] - wire _T_4130 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 505:104] - wire _T_4131 = obuf_merge & _T_4130; // @[el2_lsu_bus_buffer.scala 505:91] - wire _T_4132 = _T_4129 | _T_4131; // @[el2_lsu_bus_buffer.scala 505:77] - reg obuf_valid; // @[el2_lsu_bus_buffer.scala 402:54] - wire _T_4133 = _T_4132 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] - reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 401:55] - wire _T_4134 = _T_4133 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] - wire _GEN_280 = _T_4122 & _T_4134; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_4118 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_4095 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2611 = _T_2610 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2612 = buf_ageQ_3[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2606 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] - wire _T_3902 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3925 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3929 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3936 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 505:48] - wire _T_3937 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 505:104] - wire _T_3938 = obuf_merge & _T_3937; // @[el2_lsu_bus_buffer.scala 505:91] - wire _T_3939 = _T_3936 | _T_3938; // @[el2_lsu_bus_buffer.scala 505:77] - wire _T_3940 = _T_3939 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] - wire _T_3941 = _T_3940 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] - wire _GEN_204 = _T_3929 & _T_3941; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3925 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3902 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2608 = buf_ageQ_3[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2602 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] - wire _T_3709 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3732 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3736 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3743 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 505:48] - wire _T_3744 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 505:104] - wire _T_3745 = obuf_merge & _T_3744; // @[el2_lsu_bus_buffer.scala 505:91] - wire _T_3746 = _T_3743 | _T_3745; // @[el2_lsu_bus_buffer.scala 505:77] - wire _T_3747 = _T_3746 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] - wire _T_3748 = _T_3747 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] - wire _GEN_128 = _T_3736 & _T_3748; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3732 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3709 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2603 = _T_2602 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2604 = buf_ageQ_3[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2598 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 463:94] - wire _T_3516 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3539 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3543 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3550 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 505:48] - wire _T_3551 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 505:104] - wire _T_3552 = obuf_merge & _T_3551; // @[el2_lsu_bus_buffer.scala 505:91] - wire _T_3553 = _T_3550 | _T_3552; // @[el2_lsu_bus_buffer.scala 505:77] - wire _T_3554 = _T_3553 & obuf_valid; // @[el2_lsu_bus_buffer.scala 505:135] - wire _T_3555 = _T_3554 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 505:148] - wire _GEN_52 = _T_3543 & _T_3555; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3539 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3516 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2599 = _T_2598 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 463:104] - wire _T_2600 = buf_ageQ_3[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] - wire [3:0] buf_age_3 = {_T_2612,_T_2608,_T_2604,_T_2600}; // @[Cat.scala 29:58] - wire _T_2711 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2713 = _T_2711 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2705 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2707 = _T_2705 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2699 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2701 = _T_2699 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2713,_T_2707,_T_2701}; // @[Cat.scala 29:58] + wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_4138 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_4139 = obuf_merge & _T_4138; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_4140 = _T_4137 | _T_4139; // @[el2_lsu_bus_buffer.scala 506:77] + reg obuf_valid; // @[el2_lsu_bus_buffer.scala 403:54] + wire _T_4141 = _T_4140 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 402:55] + wire _T_4142 = _T_4141 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _GEN_280 = _T_4130 & _T_4142; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4126 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2619 = _T_2618 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 464:104] + wire _T_2620 = buf_ageQ_3[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2614 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] + wire _T_3910 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3933 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3937 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3944 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 506:48] + wire _T_3945 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_3946 = obuf_merge & _T_3945; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_3947 = _T_3944 | _T_3946; // @[el2_lsu_bus_buffer.scala 506:77] + wire _T_3948 = _T_3947 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + wire _T_3949 = _T_3948 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _GEN_204 = _T_3937 & _T_3949; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3933 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2615 = _T_2614 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 464:104] + wire _T_2616 = buf_ageQ_3[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2610 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] + wire _T_3717 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3740 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3744 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3751 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 506:48] + wire _T_3752 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_3753 = obuf_merge & _T_3752; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_3754 = _T_3751 | _T_3753; // @[el2_lsu_bus_buffer.scala 506:77] + wire _T_3755 = _T_3754 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + wire _T_3756 = _T_3755 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _GEN_128 = _T_3744 & _T_3756; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3740 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2611 = _T_2610 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 464:104] + wire _T_2612 = buf_ageQ_3[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2606 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 464:94] + wire _T_3524 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3547 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3551 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3558 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 506:48] + wire _T_3559 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 506:104] + wire _T_3560 = obuf_merge & _T_3559; // @[el2_lsu_bus_buffer.scala 506:91] + wire _T_3561 = _T_3558 | _T_3560; // @[el2_lsu_bus_buffer.scala 506:77] + wire _T_3562 = _T_3561 & obuf_valid; // @[el2_lsu_bus_buffer.scala 506:135] + wire _T_3563 = _T_3562 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 506:148] + wire _GEN_52 = _T_3551 & _T_3563; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3547 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 464:104] + wire _T_2608 = buf_ageQ_3[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_3 = {_T_2620,_T_2616,_T_2612,_T_2608}; // @[Cat.scala 29:58] + wire _T_2719 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2721 = _T_2719 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2713 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2715 = _T_2713 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2707 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2709 = _T_2707 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2721,_T_2715,_T_2709}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 204:99] @@ -460,7 +460,7 @@ module el2_lsu_bus_buffer( wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 210:51] reg ibuf_write; // @[Reg.scala 27:20] wire _T_513 = _T_512 & ibuf_write; // @[el2_lsu_bus_buffer.scala 210:73] - reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 294:24] + reg ibuf_valid; // @[el2_lsu_bus_buffer.scala 295:24] wire _T_514 = _T_513 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 210:86] wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 210:99] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] @@ -469,55 +469,55 @@ module el2_lsu_bus_buffer( wire [3:0] ld_byte_ibuf_hit_lo = {{3'd0}, _T_547}; // @[el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25 el2_lsu_bus_buffer.scala 215:25] wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 204:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 550:60] - wire _T_2593 = buf_ageQ_2[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2589 = buf_ageQ_2[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2585 = buf_ageQ_2[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2581 = buf_ageQ_2[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] - wire [3:0] buf_age_2 = {_T_2593,_T_2589,_T_2585,_T_2581}; // @[Cat.scala 29:58] - wire _T_2690 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2692 = _T_2690 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2678 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2680 = _T_2678 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2672 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2674 = _T_2672 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] - wire [3:0] buf_age_younger_2 = {_T_2692,1'h0,_T_2680,_T_2674}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2601 = buf_ageQ_2[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2597 = buf_ageQ_2[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2593 = buf_ageQ_2[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2589 = buf_ageQ_2[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_2 = {_T_2601,_T_2597,_T_2593,_T_2589}; // @[Cat.scala 29:58] + wire _T_2698 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2700 = _T_2698 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2686 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2688 = _T_2686 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2680 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2682 = _T_2680 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_2 = {_T_2700,1'h0,_T_2688,_T_2682}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 204:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 204:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 550:60] - wire _T_2574 = buf_ageQ_1[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2570 = buf_ageQ_1[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2566 = buf_ageQ_1[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2562 = buf_ageQ_1[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] - wire [3:0] buf_age_1 = {_T_2574,_T_2570,_T_2566,_T_2562}; // @[Cat.scala 29:58] - wire _T_2663 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2665 = _T_2663 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2657 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2659 = _T_2657 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2645 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2647 = _T_2645 & _T_5; // @[el2_lsu_bus_buffer.scala 464:106] - wire [3:0] buf_age_younger_1 = {_T_2665,_T_2659,1'h0,_T_2647}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2582 = buf_ageQ_1[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2578 = buf_ageQ_1[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2574 = buf_ageQ_1[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2570 = buf_ageQ_1[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_1 = {_T_2582,_T_2578,_T_2574,_T_2570}; // @[Cat.scala 29:58] + wire _T_2671 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2673 = _T_2671 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2665 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2667 = _T_2665 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2653 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2655 = _T_2653 & _T_5; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_1 = {_T_2673,_T_2667,1'h0,_T_2655}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 204:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 204:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 204:148] - reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 550:60] - wire _T_2555 = buf_ageQ_0[3] & _T_2611; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2551 = buf_ageQ_0[2] & _T_2607; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2547 = buf_ageQ_0[1] & _T_2603; // @[el2_lsu_bus_buffer.scala 463:78] - wire _T_2543 = buf_ageQ_0[0] & _T_2599; // @[el2_lsu_bus_buffer.scala 463:78] - wire [3:0] buf_age_0 = {_T_2555,_T_2551,_T_2547,_T_2543}; // @[Cat.scala 29:58] - wire _T_2636 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2638 = _T_2636 & _T_26; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2630 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2632 = _T_2630 & _T_19; // @[el2_lsu_bus_buffer.scala 464:106] - wire _T_2624 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 464:91] - wire _T_2626 = _T_2624 & _T_12; // @[el2_lsu_bus_buffer.scala 464:106] - wire [3:0] buf_age_younger_0 = {_T_2638,_T_2632,_T_2626,1'h0}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 551:60] + wire _T_2563 = buf_ageQ_0[3] & _T_2619; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2559 = buf_ageQ_0[2] & _T_2615; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2555 = buf_ageQ_0[1] & _T_2611; // @[el2_lsu_bus_buffer.scala 464:78] + wire _T_2551 = buf_ageQ_0[0] & _T_2607; // @[el2_lsu_bus_buffer.scala 464:78] + wire [3:0] buf_age_0 = {_T_2563,_T_2559,_T_2555,_T_2551}; // @[Cat.scala 29:58] + wire _T_2644 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2646 = _T_2644 & _T_26; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2638 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2640 = _T_2638 & _T_19; // @[el2_lsu_bus_buffer.scala 465:106] + wire _T_2632 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 465:91] + wire _T_2634 = _T_2632 & _T_12; // @[el2_lsu_bus_buffer.scala 465:106] + wire [3:0] buf_age_younger_0 = {_T_2646,_T_2640,_T_2634,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 204:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 204:144] wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 204:99] @@ -927,19 +927,19 @@ module el2_lsu_bus_buffer( wire _T_846 = ~ibuf_byp; // @[el2_lsu_bus_buffer.scala 263:56] wire ibuf_wr_en = _T_845 & _T_846; // @[el2_lsu_bus_buffer.scala 263:54] wire _T_847 = ~ibuf_wr_en; // @[el2_lsu_bus_buffer.scala 265:36] - reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 307:59] + reg [2:0] ibuf_timer; // @[el2_lsu_bus_buffer.scala 308:59] wire _T_856 = ibuf_timer == 3'h7; // @[el2_lsu_bus_buffer.scala 271:62] wire _T_857 = ibuf_wr_en | _T_856; // @[el2_lsu_bus_buffer.scala 271:48] - wire _T_913 = _T_845 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 289:54] - wire _T_914 = _T_913 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 289:75] - wire _T_915 = _T_914 & ibuf_write; // @[el2_lsu_bus_buffer.scala 289:88] - wire _T_918 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 289:124] - wire _T_919 = _T_915 & _T_918; // @[el2_lsu_bus_buffer.scala 289:101] - wire _T_920 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 289:147] - wire _T_921 = _T_919 & _T_920; // @[el2_lsu_bus_buffer.scala 289:145] - wire _T_922 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 289:170] - wire ibuf_merge_en = _T_921 & _T_922; // @[el2_lsu_bus_buffer.scala 289:168] - wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 290:20] + wire _T_921 = _T_845 & io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 290:54] + wire _T_922 = _T_921 & ibuf_valid; // @[el2_lsu_bus_buffer.scala 290:75] + wire _T_923 = _T_922 & ibuf_write; // @[el2_lsu_bus_buffer.scala 290:88] + wire _T_926 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[el2_lsu_bus_buffer.scala 290:124] + wire _T_927 = _T_923 & _T_926; // @[el2_lsu_bus_buffer.scala 290:101] + wire _T_928 = ~io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 290:147] + wire _T_929 = _T_927 & _T_928; // @[el2_lsu_bus_buffer.scala 290:145] + wire _T_930 = ~io_dec_tlu_wb_coalescing_disable; // @[el2_lsu_bus_buffer.scala 290:170] + wire ibuf_merge_en = _T_929 & _T_930; // @[el2_lsu_bus_buffer.scala 290:168] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 291:20] wire _T_858 = ibuf_merge_en & ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 271:98] wire _T_859 = ~_T_858; // @[el2_lsu_bus_buffer.scala 271:82] wire _T_860 = _T_857 & _T_859; // @[el2_lsu_bus_buffer.scala 271:80] @@ -959,1550 +959,1554 @@ module el2_lsu_bus_buffer( wire ibuf_drain_vld = ibuf_valid & _T_866; // @[el2_lsu_bus_buffer.scala 271:32] wire _T_848 = ibuf_drain_vld & _T_847; // @[el2_lsu_bus_buffer.scala 265:34] wire ibuf_rst = _T_848 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 265:49] - reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 667:49] - reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 666:49] + reg [1:0] WrPtr1_r; // @[el2_lsu_bus_buffer.scala 668:49] + reg [1:0] WrPtr0_r; // @[el2_lsu_bus_buffer.scala 667:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_word,io_lsu_pkt_r_half}; // @[Cat.scala 29:58] wire [3:0] _T_873 = ibuf_byteen | ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 281:77] reg [31:0] ibuf_data; // @[el2_lib.scala 491:16] wire [7:0] _T_881 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_883 = _T_858 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 285:46] - wire [7:0] _T_888 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_890 = _T_858 ? _T_888 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 285:46] - wire [7:0] _T_895 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_897 = _T_858 ? _T_895 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 285:46] - wire [7:0] _T_902 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 286:8] - wire [7:0] _T_904 = _T_858 ? _T_902 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 285:46] - wire [23:0] _T_906 = {_T_904,_T_897,_T_890}; // @[Cat.scala 29:58] - wire _T_907 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 287:59] - wire [2:0] _T_910 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 287:93] - wire _T_925 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 291:65] - wire _T_926 = ibuf_merge_en & _T_925; // @[el2_lsu_bus_buffer.scala 291:63] - wire _T_929 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 291:96] - wire _T_931 = _T_926 ? _T_929 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 291:48] - wire _T_936 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 291:96] - wire _T_938 = _T_926 ? _T_936 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 291:48] - wire _T_943 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 291:96] - wire _T_945 = _T_926 ? _T_943 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 291:48] - wire _T_950 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 291:96] - wire _T_952 = _T_926 ? _T_950 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 291:48] - wire [3:0] ibuf_byteen_out = {_T_952,_T_945,_T_938,_T_931}; // @[Cat.scala 29:58] - wire [7:0] _T_962 = _T_926 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 292:45] - wire [7:0] _T_970 = _T_926 ? _T_888 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 292:45] - wire [7:0] _T_978 = _T_926 ? _T_895 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 292:45] - wire [7:0] _T_986 = _T_926 ? _T_902 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 292:45] - wire [31:0] ibuf_data_out = {_T_986,_T_978,_T_970,_T_962}; // @[Cat.scala 29:58] - wire _T_989 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 294:28] - wire _T_990 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 294:63] - wire _T_995 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 295:89] + wire [7:0] _T_884 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_885 = _T_858 ? _T_881 : _T_884; // @[el2_lsu_bus_buffer.scala 285:46] + wire [7:0] _T_890 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 286:8] + wire [7:0] _T_893 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_894 = _T_858 ? _T_890 : _T_893; // @[el2_lsu_bus_buffer.scala 285:46] + wire [7:0] _T_899 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 286:8] + wire [7:0] _T_902 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_903 = _T_858 ? _T_899 : _T_902; // @[el2_lsu_bus_buffer.scala 285:46] + wire [7:0] _T_908 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 286:8] + wire [7:0] _T_911 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[el2_lsu_bus_buffer.scala 287:8] + wire [7:0] _T_912 = _T_858 ? _T_908 : _T_911; // @[el2_lsu_bus_buffer.scala 285:46] + wire [23:0] _T_914 = {_T_912,_T_903,_T_894}; // @[Cat.scala 29:58] + wire _T_915 = ibuf_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 288:59] + wire [2:0] _T_918 = ibuf_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 288:93] + wire _T_933 = ~ibuf_merge_in; // @[el2_lsu_bus_buffer.scala 292:65] + wire _T_934 = ibuf_merge_en & _T_933; // @[el2_lsu_bus_buffer.scala 292:63] + wire _T_937 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[el2_lsu_bus_buffer.scala 292:96] + wire _T_939 = _T_934 ? _T_937 : ibuf_byteen[0]; // @[el2_lsu_bus_buffer.scala 292:48] + wire _T_944 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[el2_lsu_bus_buffer.scala 292:96] + wire _T_946 = _T_934 ? _T_944 : ibuf_byteen[1]; // @[el2_lsu_bus_buffer.scala 292:48] + wire _T_951 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[el2_lsu_bus_buffer.scala 292:96] + wire _T_953 = _T_934 ? _T_951 : ibuf_byteen[2]; // @[el2_lsu_bus_buffer.scala 292:48] + wire _T_958 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[el2_lsu_bus_buffer.scala 292:96] + wire _T_960 = _T_934 ? _T_958 : ibuf_byteen[3]; // @[el2_lsu_bus_buffer.scala 292:48] + wire [3:0] ibuf_byteen_out = {_T_960,_T_953,_T_946,_T_939}; // @[Cat.scala 29:58] + wire [7:0] _T_970 = _T_934 ? _T_881 : ibuf_data[7:0]; // @[el2_lsu_bus_buffer.scala 293:45] + wire [7:0] _T_978 = _T_934 ? _T_890 : ibuf_data[15:8]; // @[el2_lsu_bus_buffer.scala 293:45] + wire [7:0] _T_986 = _T_934 ? _T_899 : ibuf_data[23:16]; // @[el2_lsu_bus_buffer.scala 293:45] + wire [7:0] _T_994 = _T_934 ? _T_908 : ibuf_data[31:24]; // @[el2_lsu_bus_buffer.scala 293:45] + wire [31:0] ibuf_data_out = {_T_994,_T_986,_T_978,_T_970}; // @[Cat.scala 29:58] + wire _T_997 = ibuf_wr_en | ibuf_valid; // @[el2_lsu_bus_buffer.scala 295:28] + wire _T_998 = ~ibuf_rst; // @[el2_lsu_bus_buffer.scala 295:63] + wire _T_1003 = ibuf_wr_en & io_lsu_bus_ibuf_c1_clk; // @[el2_lsu_bus_buffer.scala 296:89] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] - wire _T_4429 = buf_write[3] & _T_2610; // @[el2_lsu_bus_buffer.scala 572:64] - wire _T_4430 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 572:91] - wire _T_4431 = _T_4429 & _T_4430; // @[el2_lsu_bus_buffer.scala 572:89] - wire _T_4424 = buf_write[2] & _T_2606; // @[el2_lsu_bus_buffer.scala 572:64] - wire _T_4425 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 572:91] - wire _T_4426 = _T_4424 & _T_4425; // @[el2_lsu_bus_buffer.scala 572:89] - wire [1:0] _T_4432 = _T_4431 + _T_4426; // @[el2_lsu_bus_buffer.scala 572:142] - wire _T_4419 = buf_write[1] & _T_2602; // @[el2_lsu_bus_buffer.scala 572:64] - wire _T_4420 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 572:91] - wire _T_4421 = _T_4419 & _T_4420; // @[el2_lsu_bus_buffer.scala 572:89] - wire [1:0] _GEN_362 = {{1'd0}, _T_4421}; // @[el2_lsu_bus_buffer.scala 572:142] - wire [2:0] _T_4433 = _T_4432 + _GEN_362; // @[el2_lsu_bus_buffer.scala 572:142] - wire _T_4414 = buf_write[0] & _T_2598; // @[el2_lsu_bus_buffer.scala 572:64] - wire _T_4415 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 572:91] - wire _T_4416 = _T_4414 & _T_4415; // @[el2_lsu_bus_buffer.scala 572:89] - wire [2:0] _GEN_363 = {{2'd0}, _T_4416}; // @[el2_lsu_bus_buffer.scala 572:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4433 + _GEN_363; // @[el2_lsu_bus_buffer.scala 572:142] - wire _T_1021 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 318:43] - wire _T_4446 = _T_2610 & _T_4430; // @[el2_lsu_bus_buffer.scala 573:73] - wire _T_4443 = _T_2606 & _T_4425; // @[el2_lsu_bus_buffer.scala 573:73] - wire [1:0] _T_4447 = _T_4446 + _T_4443; // @[el2_lsu_bus_buffer.scala 573:126] - wire _T_4440 = _T_2602 & _T_4420; // @[el2_lsu_bus_buffer.scala 573:73] - wire [1:0] _GEN_364 = {{1'd0}, _T_4440}; // @[el2_lsu_bus_buffer.scala 573:126] - wire [2:0] _T_4448 = _T_4447 + _GEN_364; // @[el2_lsu_bus_buffer.scala 573:126] - wire _T_4437 = _T_2598 & _T_4415; // @[el2_lsu_bus_buffer.scala 573:73] - wire [2:0] _GEN_365 = {{2'd0}, _T_4437}; // @[el2_lsu_bus_buffer.scala 573:126] - wire [3:0] buf_numvld_cmd_any = _T_4448 + _GEN_365; // @[el2_lsu_bus_buffer.scala 573:126] - wire _T_1022 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 318:72] - wire _T_1023 = _T_1021 & _T_1022; // @[el2_lsu_bus_buffer.scala 318:51] - reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 417:54] - wire _T_1024 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 318:97] - wire _T_1025 = _T_1023 & _T_1024; // @[el2_lsu_bus_buffer.scala 318:80] - wire _T_1027 = _T_1025 & _T_922; // @[el2_lsu_bus_buffer.scala 318:114] + wire _T_4437 = buf_write[3] & _T_2618; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4438 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4439 = _T_4437 & _T_4438; // @[el2_lsu_bus_buffer.scala 573:89] + wire _T_4432 = buf_write[2] & _T_2614; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4433 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4434 = _T_4432 & _T_4433; // @[el2_lsu_bus_buffer.scala 573:89] + wire [1:0] _T_4440 = _T_4439 + _T_4434; // @[el2_lsu_bus_buffer.scala 573:142] + wire _T_4427 = buf_write[1] & _T_2610; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4428 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4429 = _T_4427 & _T_4428; // @[el2_lsu_bus_buffer.scala 573:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4429}; // @[el2_lsu_bus_buffer.scala 573:142] + wire [2:0] _T_4441 = _T_4440 + _GEN_362; // @[el2_lsu_bus_buffer.scala 573:142] + wire _T_4422 = buf_write[0] & _T_2606; // @[el2_lsu_bus_buffer.scala 573:64] + wire _T_4423 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 573:91] + wire _T_4424 = _T_4422 & _T_4423; // @[el2_lsu_bus_buffer.scala 573:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4424}; // @[el2_lsu_bus_buffer.scala 573:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4441 + _GEN_363; // @[el2_lsu_bus_buffer.scala 573:142] + wire _T_1029 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:43] + wire _T_4454 = _T_2618 & _T_4438; // @[el2_lsu_bus_buffer.scala 574:73] + wire _T_4451 = _T_2614 & _T_4433; // @[el2_lsu_bus_buffer.scala 574:73] + wire [1:0] _T_4455 = _T_4454 + _T_4451; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4448 = _T_2610 & _T_4428; // @[el2_lsu_bus_buffer.scala 574:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4448}; // @[el2_lsu_bus_buffer.scala 574:126] + wire [2:0] _T_4456 = _T_4455 + _GEN_364; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_4445 = _T_2606 & _T_4423; // @[el2_lsu_bus_buffer.scala 574:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4445}; // @[el2_lsu_bus_buffer.scala 574:126] + wire [3:0] buf_numvld_cmd_any = _T_4456 + _GEN_365; // @[el2_lsu_bus_buffer.scala 574:126] + wire _T_1030 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 319:72] + wire _T_1031 = _T_1029 & _T_1030; // @[el2_lsu_bus_buffer.scala 319:51] + reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 418:54] + wire _T_1032 = obuf_wr_timer != 3'h7; // @[el2_lsu_bus_buffer.scala 319:97] + wire _T_1033 = _T_1031 & _T_1032; // @[el2_lsu_bus_buffer.scala 319:80] + wire _T_1035 = _T_1033 & _T_930; // @[el2_lsu_bus_buffer.scala 319:114] reg buf_nomerge_0; // @[Reg.scala 27:20] reg buf_nomerge_1; // @[Reg.scala 27:20] reg buf_nomerge_2; // @[Reg.scala 27:20] reg buf_nomerge_3; // @[Reg.scala 27:20] - wire _T_1040 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 319:31] - wire _T_1041 = _T_1027 & _T_1040; // @[el2_lsu_bus_buffer.scala 319:29] - reg _T_4318; // @[Reg.scala 27:20] - reg _T_4315; // @[Reg.scala 27:20] - reg _T_4312; // @[Reg.scala 27:20] - reg _T_4309; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4318,_T_4315,_T_4312,_T_4309}; // @[Cat.scala 29:58] - wire _T_1058 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 320:5] - wire _T_1059 = _T_1041 & _T_1058; // @[el2_lsu_bus_buffer.scala 319:140] - wire _T_1070 = _T_850 & _T_844; // @[el2_lsu_bus_buffer.scala 322:58] - wire _T_1072 = _T_1070 & _T_1022; // @[el2_lsu_bus_buffer.scala 322:72] - wire _T_1090 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 322:123] - wire obuf_force_wr_en = _T_1072 & _T_1090; // @[el2_lsu_bus_buffer.scala 322:101] - wire _T_1060 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 320:119] - wire obuf_wr_wait = _T_1059 & _T_1060; // @[el2_lsu_bus_buffer.scala 320:117] - wire _T_1061 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 321:75] - wire _T_1062 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 321:95] - wire _T_1063 = _T_1061 & _T_1062; // @[el2_lsu_bus_buffer.scala 321:79] - wire [2:0] _T_1065 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 321:121] - wire _T_4465 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] - wire _T_4469 = _T_4465 | _T_4446; // @[el2_lsu_bus_buffer.scala 574:74] - wire _T_4460 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] - wire _T_4464 = _T_4460 | _T_4443; // @[el2_lsu_bus_buffer.scala 574:74] - wire [1:0] _T_4470 = _T_4469 + _T_4464; // @[el2_lsu_bus_buffer.scala 574:154] - wire _T_4455 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] - wire _T_4459 = _T_4455 | _T_4440; // @[el2_lsu_bus_buffer.scala 574:74] - wire [1:0] _GEN_366 = {{1'd0}, _T_4459}; // @[el2_lsu_bus_buffer.scala 574:154] - wire [2:0] _T_4471 = _T_4470 + _GEN_366; // @[el2_lsu_bus_buffer.scala 574:154] - wire _T_4450 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 574:63] - wire _T_4454 = _T_4450 | _T_4437; // @[el2_lsu_bus_buffer.scala 574:74] - wire [2:0] _GEN_367 = {{2'd0}, _T_4454}; // @[el2_lsu_bus_buffer.scala 574:154] - wire [3:0] buf_numvld_pend_any = _T_4471 + _GEN_367; // @[el2_lsu_bus_buffer.scala 574:154] - wire _T_1092 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 324:53] - wire _T_1093 = ibuf_byp & _T_1092; // @[el2_lsu_bus_buffer.scala 324:31] - wire _T_1094 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 324:64] - wire _T_1095 = _T_1094 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 324:84] - wire ibuf_buf_byp = _T_1093 & _T_1095; // @[el2_lsu_bus_buffer.scala 324:61] - wire _T_1096 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 339:32] - wire _T_4761 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] - wire _T_4763 = _T_4761 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 603:73] - wire _T_4764 = _T_4763 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] - wire _T_4765 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] - wire _T_4767 = _T_4765 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 603:73] - wire _T_4768 = _T_4767 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] - wire _T_4777 = _T_4764 | _T_4768; // @[el2_lsu_bus_buffer.scala 603:141] - wire _T_4769 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] - wire _T_4771 = _T_4769 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 603:73] - wire _T_4772 = _T_4771 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] - wire _T_4778 = _T_4777 | _T_4772; // @[el2_lsu_bus_buffer.scala 603:141] - wire _T_4773 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 603:62] - wire _T_4775 = _T_4773 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 603:73] - wire _T_4776 = _T_4775 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 603:93] - wire bus_sideeffect_pend = _T_4778 | _T_4776; // @[el2_lsu_bus_buffer.scala 603:141] - wire _T_1097 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 339:74] - wire _T_1098 = ~_T_1097; // @[el2_lsu_bus_buffer.scala 339:52] - wire _T_1099 = _T_1096 & _T_1098; // @[el2_lsu_bus_buffer.scala 339:50] - wire _T_1983 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 429:58] - wire _T_1984 = ~_T_1983; // @[el2_lsu_bus_buffer.scala 429:45] - wire _T_1986 = _T_1984 & _T_2610; // @[el2_lsu_bus_buffer.scala 429:63] - wire _T_1988 = _T_1986 & _T_4430; // @[el2_lsu_bus_buffer.scala 429:88] - wire _T_1977 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 429:58] - wire _T_1978 = ~_T_1977; // @[el2_lsu_bus_buffer.scala 429:45] - wire _T_1980 = _T_1978 & _T_2606; // @[el2_lsu_bus_buffer.scala 429:63] - wire _T_1982 = _T_1980 & _T_4425; // @[el2_lsu_bus_buffer.scala 429:88] - wire _T_1971 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 429:58] - wire _T_1972 = ~_T_1971; // @[el2_lsu_bus_buffer.scala 429:45] - wire _T_1974 = _T_1972 & _T_2602; // @[el2_lsu_bus_buffer.scala 429:63] - wire _T_1976 = _T_1974 & _T_4420; // @[el2_lsu_bus_buffer.scala 429:88] - wire _T_1965 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 429:58] - wire _T_1966 = ~_T_1965; // @[el2_lsu_bus_buffer.scala 429:45] - wire _T_1968 = _T_1966 & _T_2598; // @[el2_lsu_bus_buffer.scala 429:63] - wire _T_1970 = _T_1968 & _T_4415; // @[el2_lsu_bus_buffer.scala 429:88] - wire [3:0] CmdPtr0Dec = {_T_1988,_T_1982,_T_1976,_T_1970}; // @[Cat.scala 29:58] - wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 434:31] - wire _T_1113 = _T_2598 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 340:47] - wire [3:0] _T_1116 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] - wire _T_1133 = ~_T_1116[0]; // @[el2_lsu_bus_buffer.scala 341:23] - wire _T_1134 = _T_1113 & _T_1133; // @[el2_lsu_bus_buffer.scala 341:21] - wire _T_1151 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 341:141] - wire _T_1152 = ~_T_1151; // @[el2_lsu_bus_buffer.scala 341:105] - wire _T_1153 = _T_1134 & _T_1152; // @[el2_lsu_bus_buffer.scala 341:103] + wire _T_1048 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 320:31] + wire _T_1049 = _T_1035 & _T_1048; // @[el2_lsu_bus_buffer.scala 320:29] + reg _T_4326; // @[Reg.scala 27:20] + reg _T_4323; // @[Reg.scala 27:20] + reg _T_4320; // @[Reg.scala 27:20] + reg _T_4317; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4326,_T_4323,_T_4320,_T_4317}; // @[Cat.scala 29:58] + wire _T_1066 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 321:5] + wire _T_1067 = _T_1049 & _T_1066; // @[el2_lsu_bus_buffer.scala 320:140] + wire _T_1078 = _T_850 & _T_844; // @[el2_lsu_bus_buffer.scala 323:58] + wire _T_1080 = _T_1078 & _T_1030; // @[el2_lsu_bus_buffer.scala 323:72] + wire _T_1098 = io_lsu_addr_m[31:2] != buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 323:123] + wire obuf_force_wr_en = _T_1080 & _T_1098; // @[el2_lsu_bus_buffer.scala 323:101] + wire _T_1068 = ~obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 321:119] + wire obuf_wr_wait = _T_1067 & _T_1068; // @[el2_lsu_bus_buffer.scala 321:117] + wire _T_1069 = |buf_numvld_cmd_any; // @[el2_lsu_bus_buffer.scala 322:75] + wire _T_1070 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 322:95] + wire _T_1071 = _T_1069 & _T_1070; // @[el2_lsu_bus_buffer.scala 322:79] + wire [2:0] _T_1073 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 322:121] + wire _T_4473 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4477 = _T_4473 | _T_4454; // @[el2_lsu_bus_buffer.scala 575:74] + wire _T_4468 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4472 = _T_4468 | _T_4451; // @[el2_lsu_bus_buffer.scala 575:74] + wire [1:0] _T_4478 = _T_4477 + _T_4472; // @[el2_lsu_bus_buffer.scala 575:154] + wire _T_4463 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4467 = _T_4463 | _T_4448; // @[el2_lsu_bus_buffer.scala 575:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4467}; // @[el2_lsu_bus_buffer.scala 575:154] + wire [2:0] _T_4479 = _T_4478 + _GEN_366; // @[el2_lsu_bus_buffer.scala 575:154] + wire _T_4458 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 575:63] + wire _T_4462 = _T_4458 | _T_4445; // @[el2_lsu_bus_buffer.scala 575:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4462}; // @[el2_lsu_bus_buffer.scala 575:154] + wire [3:0] buf_numvld_pend_any = _T_4479 + _GEN_367; // @[el2_lsu_bus_buffer.scala 575:154] + wire _T_1100 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 325:53] + wire _T_1101 = ibuf_byp & _T_1100; // @[el2_lsu_bus_buffer.scala 325:31] + wire _T_1102 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 325:64] + wire _T_1103 = _T_1102 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 325:84] + wire ibuf_buf_byp = _T_1101 & _T_1103; // @[el2_lsu_bus_buffer.scala 325:61] + wire _T_1104 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 340:32] + wire _T_4769 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] + wire _T_4771 = _T_4769 & buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 604:73] + wire _T_4772 = _T_4771 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] + wire _T_4773 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] + wire _T_4775 = _T_4773 & buf_sideeffect[1]; // @[el2_lsu_bus_buffer.scala 604:73] + wire _T_4776 = _T_4775 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] + wire _T_4785 = _T_4772 | _T_4776; // @[el2_lsu_bus_buffer.scala 604:141] + wire _T_4777 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] + wire _T_4779 = _T_4777 & buf_sideeffect[2]; // @[el2_lsu_bus_buffer.scala 604:73] + wire _T_4780 = _T_4779 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] + wire _T_4786 = _T_4785 | _T_4780; // @[el2_lsu_bus_buffer.scala 604:141] + wire _T_4781 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 604:62] + wire _T_4783 = _T_4781 & buf_sideeffect[3]; // @[el2_lsu_bus_buffer.scala 604:73] + wire _T_4784 = _T_4783 & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 604:93] + wire bus_sideeffect_pend = _T_4786 | _T_4784; // @[el2_lsu_bus_buffer.scala 604:141] + wire _T_1105 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 340:74] + wire _T_1106 = ~_T_1105; // @[el2_lsu_bus_buffer.scala 340:52] + wire _T_1107 = _T_1104 & _T_1106; // @[el2_lsu_bus_buffer.scala 340:50] + wire _T_1991 = |buf_age_3; // @[el2_lsu_bus_buffer.scala 430:58] + wire _T_1992 = ~_T_1991; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_1994 = _T_1992 & _T_2618; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1996 = _T_1994 & _T_4438; // @[el2_lsu_bus_buffer.scala 430:88] + wire _T_1985 = |buf_age_2; // @[el2_lsu_bus_buffer.scala 430:58] + wire _T_1986 = ~_T_1985; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_1988 = _T_1986 & _T_2614; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1990 = _T_1988 & _T_4433; // @[el2_lsu_bus_buffer.scala 430:88] + wire _T_1979 = |buf_age_1; // @[el2_lsu_bus_buffer.scala 430:58] + wire _T_1980 = ~_T_1979; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_1982 = _T_1980 & _T_2610; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1984 = _T_1982 & _T_4428; // @[el2_lsu_bus_buffer.scala 430:88] + wire _T_1973 = |buf_age_0; // @[el2_lsu_bus_buffer.scala 430:58] + wire _T_1974 = ~_T_1973; // @[el2_lsu_bus_buffer.scala 430:45] + wire _T_1976 = _T_1974 & _T_2606; // @[el2_lsu_bus_buffer.scala 430:63] + wire _T_1978 = _T_1976 & _T_4423; // @[el2_lsu_bus_buffer.scala 430:88] + wire [3:0] CmdPtr0Dec = {_T_1996,_T_1990,_T_1984,_T_1978}; // @[Cat.scala 29:58] + wire found_cmdptr0 = |CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 435:31] + wire _T_1121 = _T_2606 & found_cmdptr0; // @[el2_lsu_bus_buffer.scala 341:47] + wire [3:0] _T_1124 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1141 = ~_T_1124[0]; // @[el2_lsu_bus_buffer.scala 342:23] + wire _T_1142 = _T_1121 & _T_1141; // @[el2_lsu_bus_buffer.scala 342:21] + wire _T_1159 = buf_sideeffect[0] & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 342:141] + wire _T_1160 = ~_T_1159; // @[el2_lsu_bus_buffer.scala 342:105] + wire _T_1161 = _T_1142 & _T_1160; // @[el2_lsu_bus_buffer.scala 342:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] reg buf_dual_0; // @[Reg.scala 27:20] - wire [3:0] _T_1156 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire [3:0] _T_1164 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] reg buf_samedw_3; // @[Reg.scala 27:20] reg buf_samedw_2; // @[Reg.scala 27:20] reg buf_samedw_1; // @[Reg.scala 27:20] reg buf_samedw_0; // @[Reg.scala 27:20] - wire [3:0] _T_1175 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] - wire _T_1192 = _T_1156[0] & _T_1175[0]; // @[el2_lsu_bus_buffer.scala 342:77] - wire _T_1209 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 342:150] - wire _T_1210 = _T_1192 & _T_1209; // @[el2_lsu_bus_buffer.scala 342:148] - wire _T_1211 = ~_T_1210; // @[el2_lsu_bus_buffer.scala 342:8] - wire [3:0] _T_2024 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 430:62] - wire [3:0] _T_2025 = buf_age_3 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] - wire _T_2026 = |_T_2025; // @[el2_lsu_bus_buffer.scala 430:76] - wire _T_2027 = ~_T_2026; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_2029 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 430:83] - wire _T_2030 = _T_2027 & _T_2029; // @[el2_lsu_bus_buffer.scala 430:81] - wire _T_2032 = _T_2030 & _T_2610; // @[el2_lsu_bus_buffer.scala 430:98] - wire _T_2034 = _T_2032 & _T_4430; // @[el2_lsu_bus_buffer.scala 430:123] - wire [3:0] _T_2014 = buf_age_2 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] - wire _T_2015 = |_T_2014; // @[el2_lsu_bus_buffer.scala 430:76] - wire _T_2016 = ~_T_2015; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_2018 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 430:83] - wire _T_2019 = _T_2016 & _T_2018; // @[el2_lsu_bus_buffer.scala 430:81] - wire _T_2021 = _T_2019 & _T_2606; // @[el2_lsu_bus_buffer.scala 430:98] - wire _T_2023 = _T_2021 & _T_4425; // @[el2_lsu_bus_buffer.scala 430:123] - wire [3:0] _T_2003 = buf_age_1 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] - wire _T_2004 = |_T_2003; // @[el2_lsu_bus_buffer.scala 430:76] - wire _T_2005 = ~_T_2004; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_2007 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 430:83] - wire _T_2008 = _T_2005 & _T_2007; // @[el2_lsu_bus_buffer.scala 430:81] - wire _T_2010 = _T_2008 & _T_2602; // @[el2_lsu_bus_buffer.scala 430:98] - wire _T_2012 = _T_2010 & _T_4420; // @[el2_lsu_bus_buffer.scala 430:123] - wire [3:0] _T_1992 = buf_age_0 & _T_2024; // @[el2_lsu_bus_buffer.scala 430:59] - wire _T_1993 = |_T_1992; // @[el2_lsu_bus_buffer.scala 430:76] - wire _T_1994 = ~_T_1993; // @[el2_lsu_bus_buffer.scala 430:45] - wire _T_1996 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 430:83] - wire _T_1997 = _T_1994 & _T_1996; // @[el2_lsu_bus_buffer.scala 430:81] - wire _T_1999 = _T_1997 & _T_2598; // @[el2_lsu_bus_buffer.scala 430:98] - wire _T_2001 = _T_1999 & _T_4415; // @[el2_lsu_bus_buffer.scala 430:123] - wire [3:0] CmdPtr1Dec = {_T_2034,_T_2023,_T_2012,_T_2001}; // @[Cat.scala 29:58] - wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 435:31] - wire _T_1212 = _T_1211 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 342:181] - wire [3:0] _T_1215 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] - wire _T_1232 = _T_1212 | _T_1215[0]; // @[el2_lsu_bus_buffer.scala 342:197] - wire _T_1233 = _T_1232 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 342:269] - wire _T_1234 = _T_1153 & _T_1233; // @[el2_lsu_bus_buffer.scala 341:164] - wire _T_1235 = _T_1099 | _T_1234; // @[el2_lsu_bus_buffer.scala 339:98] + wire [3:0] _T_1183 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1200 = _T_1164[0] & _T_1183[0]; // @[el2_lsu_bus_buffer.scala 343:77] + wire _T_1217 = ~buf_write[0]; // @[el2_lsu_bus_buffer.scala 343:150] + wire _T_1218 = _T_1200 & _T_1217; // @[el2_lsu_bus_buffer.scala 343:148] + wire _T_1219 = ~_T_1218; // @[el2_lsu_bus_buffer.scala 343:8] + wire [3:0] _T_2032 = ~CmdPtr0Dec; // @[el2_lsu_bus_buffer.scala 431:62] + wire [3:0] _T_2033 = buf_age_3 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] + wire _T_2034 = |_T_2033; // @[el2_lsu_bus_buffer.scala 431:76] + wire _T_2035 = ~_T_2034; // @[el2_lsu_bus_buffer.scala 431:45] + wire _T_2037 = ~CmdPtr0Dec[3]; // @[el2_lsu_bus_buffer.scala 431:83] + wire _T_2038 = _T_2035 & _T_2037; // @[el2_lsu_bus_buffer.scala 431:81] + wire _T_2040 = _T_2038 & _T_2618; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2042 = _T_2040 & _T_4438; // @[el2_lsu_bus_buffer.scala 431:123] + wire [3:0] _T_2022 = buf_age_2 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] + wire _T_2023 = |_T_2022; // @[el2_lsu_bus_buffer.scala 431:76] + wire _T_2024 = ~_T_2023; // @[el2_lsu_bus_buffer.scala 431:45] + wire _T_2026 = ~CmdPtr0Dec[2]; // @[el2_lsu_bus_buffer.scala 431:83] + wire _T_2027 = _T_2024 & _T_2026; // @[el2_lsu_bus_buffer.scala 431:81] + wire _T_2029 = _T_2027 & _T_2614; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2031 = _T_2029 & _T_4433; // @[el2_lsu_bus_buffer.scala 431:123] + wire [3:0] _T_2011 = buf_age_1 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] + wire _T_2012 = |_T_2011; // @[el2_lsu_bus_buffer.scala 431:76] + wire _T_2013 = ~_T_2012; // @[el2_lsu_bus_buffer.scala 431:45] + wire _T_2015 = ~CmdPtr0Dec[1]; // @[el2_lsu_bus_buffer.scala 431:83] + wire _T_2016 = _T_2013 & _T_2015; // @[el2_lsu_bus_buffer.scala 431:81] + wire _T_2018 = _T_2016 & _T_2610; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2020 = _T_2018 & _T_4428; // @[el2_lsu_bus_buffer.scala 431:123] + wire [3:0] _T_2000 = buf_age_0 & _T_2032; // @[el2_lsu_bus_buffer.scala 431:59] + wire _T_2001 = |_T_2000; // @[el2_lsu_bus_buffer.scala 431:76] + wire _T_2002 = ~_T_2001; // @[el2_lsu_bus_buffer.scala 431:45] + wire _T_2004 = ~CmdPtr0Dec[0]; // @[el2_lsu_bus_buffer.scala 431:83] + wire _T_2005 = _T_2002 & _T_2004; // @[el2_lsu_bus_buffer.scala 431:81] + wire _T_2007 = _T_2005 & _T_2606; // @[el2_lsu_bus_buffer.scala 431:98] + wire _T_2009 = _T_2007 & _T_4423; // @[el2_lsu_bus_buffer.scala 431:123] + wire [3:0] CmdPtr1Dec = {_T_2042,_T_2031,_T_2020,_T_2009}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[el2_lsu_bus_buffer.scala 436:31] + wire _T_1220 = _T_1219 | found_cmdptr1; // @[el2_lsu_bus_buffer.scala 343:181] + wire [3:0] _T_1223 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1240 = _T_1220 | _T_1223[0]; // @[el2_lsu_bus_buffer.scala 343:197] + wire _T_1241 = _T_1240 | obuf_force_wr_en; // @[el2_lsu_bus_buffer.scala 343:269] + wire _T_1242 = _T_1161 & _T_1241; // @[el2_lsu_bus_buffer.scala 342:164] + wire _T_1243 = _T_1107 | _T_1242; // @[el2_lsu_bus_buffer.scala 340:98] reg obuf_write; // @[Reg.scala 27:20] - reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 404:54] - reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 405:55] - wire _T_4836 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 607:54] - wire _T_4837 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 607:75] - wire _T_4839 = _T_4836 ? _T_4837 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 607:39] - wire bus_cmd_ready = obuf_write ? _T_4839 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 607:23] - wire _T_1236 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 343:48] - wire _T_1237 = bus_cmd_ready | _T_1236; // @[el2_lsu_bus_buffer.scala 343:46] + reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 405:54] + reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 406:55] + wire _T_4844 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 608:54] + wire _T_4845 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 608:75] + wire _T_4847 = _T_4844 ? _T_4845 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 608:39] + wire bus_cmd_ready = obuf_write ? _T_4847 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 608:23] + wire _T_1244 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 344:48] + wire _T_1245 = bus_cmd_ready | _T_1244; // @[el2_lsu_bus_buffer.scala 344:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1238 = _T_1237 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 343:60] - wire _T_1239 = _T_1235 & _T_1238; // @[el2_lsu_bus_buffer.scala 343:29] - wire _T_1240 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 343:77] - wire _T_1241 = _T_1239 & _T_1240; // @[el2_lsu_bus_buffer.scala 343:75] + wire _T_1246 = _T_1245 | obuf_nosend; // @[el2_lsu_bus_buffer.scala 344:60] + wire _T_1247 = _T_1243 & _T_1246; // @[el2_lsu_bus_buffer.scala 344:29] + wire _T_1248 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 344:77] + wire _T_1249 = _T_1247 & _T_1248; // @[el2_lsu_bus_buffer.scala 344:75] reg [31:0] obuf_addr; // @[el2_lib.scala 491:16] - wire _T_4784 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] - wire _T_4785 = obuf_valid & _T_4784; // @[el2_lsu_bus_buffer.scala 605:38] - wire _T_4787 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 605:126] - wire _T_4788 = obuf_merge & _T_4787; // @[el2_lsu_bus_buffer.scala 605:114] - wire _T_4789 = _T_3550 | _T_4788; // @[el2_lsu_bus_buffer.scala 605:100] - wire _T_4790 = ~_T_4789; // @[el2_lsu_bus_buffer.scala 605:80] - wire _T_4791 = _T_4785 & _T_4790; // @[el2_lsu_bus_buffer.scala 605:78] - wire _T_4828 = _T_4761 & _T_4791; // @[Mux.scala 27:72] - wire _T_4796 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] - wire _T_4797 = obuf_valid & _T_4796; // @[el2_lsu_bus_buffer.scala 605:38] - wire _T_4799 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 605:126] - wire _T_4800 = obuf_merge & _T_4799; // @[el2_lsu_bus_buffer.scala 605:114] - wire _T_4801 = _T_3743 | _T_4800; // @[el2_lsu_bus_buffer.scala 605:100] - wire _T_4802 = ~_T_4801; // @[el2_lsu_bus_buffer.scala 605:80] - wire _T_4803 = _T_4797 & _T_4802; // @[el2_lsu_bus_buffer.scala 605:78] - wire _T_4829 = _T_4765 & _T_4803; // @[Mux.scala 27:72] - wire _T_4832 = _T_4828 | _T_4829; // @[Mux.scala 27:72] - wire _T_4808 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] - wire _T_4809 = obuf_valid & _T_4808; // @[el2_lsu_bus_buffer.scala 605:38] - wire _T_4811 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 605:126] - wire _T_4812 = obuf_merge & _T_4811; // @[el2_lsu_bus_buffer.scala 605:114] - wire _T_4813 = _T_3936 | _T_4812; // @[el2_lsu_bus_buffer.scala 605:100] - wire _T_4814 = ~_T_4813; // @[el2_lsu_bus_buffer.scala 605:80] - wire _T_4815 = _T_4809 & _T_4814; // @[el2_lsu_bus_buffer.scala 605:78] - wire _T_4830 = _T_4769 & _T_4815; // @[Mux.scala 27:72] - wire _T_4833 = _T_4832 | _T_4830; // @[Mux.scala 27:72] - wire _T_4820 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 605:56] - wire _T_4821 = obuf_valid & _T_4820; // @[el2_lsu_bus_buffer.scala 605:38] - wire _T_4823 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 605:126] - wire _T_4824 = obuf_merge & _T_4823; // @[el2_lsu_bus_buffer.scala 605:114] - wire _T_4825 = _T_4129 | _T_4824; // @[el2_lsu_bus_buffer.scala 605:100] - wire _T_4826 = ~_T_4825; // @[el2_lsu_bus_buffer.scala 605:80] - wire _T_4827 = _T_4821 & _T_4826; // @[el2_lsu_bus_buffer.scala 605:78] - wire _T_4831 = _T_4773 & _T_4827; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4833 | _T_4831; // @[Mux.scala 27:72] - wire _T_1244 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 343:118] - wire _T_1245 = _T_1241 & _T_1244; // @[el2_lsu_bus_buffer.scala 343:116] - wire obuf_wr_en = _T_1245 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 343:142] - wire _T_1247 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 345:47] - wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 608:39] - wire _T_4843 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 610:35] - wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 609:39] - wire _T_4844 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 610:70] - wire _T_4845 = _T_4843 & _T_4844; // @[el2_lsu_bus_buffer.scala 610:52] - wire _T_4846 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 610:111] - wire bus_cmd_sent = _T_4845 | _T_4846; // @[el2_lsu_bus_buffer.scala 610:89] - wire _T_1248 = bus_cmd_sent | _T_1247; // @[el2_lsu_bus_buffer.scala 345:33] - wire _T_1249 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 345:65] - wire _T_1250 = _T_1248 & _T_1249; // @[el2_lsu_bus_buffer.scala 345:63] - wire _T_1251 = _T_1250 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 345:77] - wire obuf_rst = _T_1251 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 345:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 346:26] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 348:25] + wire _T_4792 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] + wire _T_4793 = obuf_valid & _T_4792; // @[el2_lsu_bus_buffer.scala 606:38] + wire _T_4795 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 606:126] + wire _T_4796 = obuf_merge & _T_4795; // @[el2_lsu_bus_buffer.scala 606:114] + wire _T_4797 = _T_3558 | _T_4796; // @[el2_lsu_bus_buffer.scala 606:100] + wire _T_4798 = ~_T_4797; // @[el2_lsu_bus_buffer.scala 606:80] + wire _T_4799 = _T_4793 & _T_4798; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4836 = _T_4769 & _T_4799; // @[Mux.scala 27:72] + wire _T_4804 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] + wire _T_4805 = obuf_valid & _T_4804; // @[el2_lsu_bus_buffer.scala 606:38] + wire _T_4807 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 606:126] + wire _T_4808 = obuf_merge & _T_4807; // @[el2_lsu_bus_buffer.scala 606:114] + wire _T_4809 = _T_3751 | _T_4808; // @[el2_lsu_bus_buffer.scala 606:100] + wire _T_4810 = ~_T_4809; // @[el2_lsu_bus_buffer.scala 606:80] + wire _T_4811 = _T_4805 & _T_4810; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4837 = _T_4773 & _T_4811; // @[Mux.scala 27:72] + wire _T_4840 = _T_4836 | _T_4837; // @[Mux.scala 27:72] + wire _T_4816 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] + wire _T_4817 = obuf_valid & _T_4816; // @[el2_lsu_bus_buffer.scala 606:38] + wire _T_4819 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 606:126] + wire _T_4820 = obuf_merge & _T_4819; // @[el2_lsu_bus_buffer.scala 606:114] + wire _T_4821 = _T_3944 | _T_4820; // @[el2_lsu_bus_buffer.scala 606:100] + wire _T_4822 = ~_T_4821; // @[el2_lsu_bus_buffer.scala 606:80] + wire _T_4823 = _T_4817 & _T_4822; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4838 = _T_4777 & _T_4823; // @[Mux.scala 27:72] + wire _T_4841 = _T_4840 | _T_4838; // @[Mux.scala 27:72] + wire _T_4828 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 606:56] + wire _T_4829 = obuf_valid & _T_4828; // @[el2_lsu_bus_buffer.scala 606:38] + wire _T_4831 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 606:126] + wire _T_4832 = obuf_merge & _T_4831; // @[el2_lsu_bus_buffer.scala 606:114] + wire _T_4833 = _T_4137 | _T_4832; // @[el2_lsu_bus_buffer.scala 606:100] + wire _T_4834 = ~_T_4833; // @[el2_lsu_bus_buffer.scala 606:80] + wire _T_4835 = _T_4829 & _T_4834; // @[el2_lsu_bus_buffer.scala 606:78] + wire _T_4839 = _T_4781 & _T_4835; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4841 | _T_4839; // @[Mux.scala 27:72] + wire _T_1252 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 344:118] + wire _T_1253 = _T_1249 & _T_1252; // @[el2_lsu_bus_buffer.scala 344:116] + wire obuf_wr_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 344:142] + wire _T_1255 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 346:47] + wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 609:39] + wire _T_4851 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 611:35] + wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 610:39] + wire _T_4852 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 611:70] + wire _T_4853 = _T_4851 & _T_4852; // @[el2_lsu_bus_buffer.scala 611:52] + wire _T_4854 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 611:111] + wire bus_cmd_sent = _T_4853 | _T_4854; // @[el2_lsu_bus_buffer.scala 611:89] + wire _T_1256 = bus_cmd_sent | _T_1255; // @[el2_lsu_bus_buffer.scala 346:33] + wire _T_1257 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 346:65] + wire _T_1258 = _T_1256 & _T_1257; // @[el2_lsu_bus_buffer.scala 346:63] + wire _T_1259 = _T_1258 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 346:77] + wire obuf_rst = _T_1259 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 346:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_store : buf_write[0]; // @[el2_lsu_bus_buffer.scala 347:26] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : buf_addr_0; // @[el2_lsu_bus_buffer.scala 349:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] reg [1:0] buf_sz_2; // @[Reg.scala 27:20] reg [1:0] buf_sz_3; // @[Reg.scala 27:20] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 351:23] - wire _T_1309 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 360:39] - wire _T_1310 = ~_T_1309; // @[el2_lsu_bus_buffer.scala 360:26] - wire _T_1316 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 364:72] - wire _T_1319 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 364:98] - wire _T_1320 = obuf_sz_in[0] & _T_1319; // @[el2_lsu_bus_buffer.scala 364:96] - wire _T_1321 = _T_1316 | _T_1320; // @[el2_lsu_bus_buffer.scala 364:79] - wire _T_1324 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 364:153] - wire _T_1325 = ~_T_1324; // @[el2_lsu_bus_buffer.scala 364:134] - wire _T_1326 = obuf_sz_in[1] & _T_1325; // @[el2_lsu_bus_buffer.scala 364:132] - wire _T_1327 = _T_1321 | _T_1326; // @[el2_lsu_bus_buffer.scala 364:116] - wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1327; // @[el2_lsu_bus_buffer.scala 364:28] - wire _T_1344 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 378:40] - wire _T_1345 = _T_1344 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 378:60] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : buf_sz_0; // @[el2_lsu_bus_buffer.scala 352:23] + wire _T_1317 = obuf_wr_en | obuf_rst; // @[el2_lsu_bus_buffer.scala 361:39] + wire _T_1318 = ~_T_1317; // @[el2_lsu_bus_buffer.scala 361:26] + wire _T_1324 = obuf_sz_in == 2'h0; // @[el2_lsu_bus_buffer.scala 365:72] + wire _T_1327 = ~obuf_addr_in[0]; // @[el2_lsu_bus_buffer.scala 365:98] + wire _T_1328 = obuf_sz_in[0] & _T_1327; // @[el2_lsu_bus_buffer.scala 365:96] + wire _T_1329 = _T_1324 | _T_1328; // @[el2_lsu_bus_buffer.scala 365:79] + wire _T_1332 = |obuf_addr_in[1:0]; // @[el2_lsu_bus_buffer.scala 365:153] + wire _T_1333 = ~_T_1332; // @[el2_lsu_bus_buffer.scala 365:134] + wire _T_1334 = obuf_sz_in[1] & _T_1333; // @[el2_lsu_bus_buffer.scala 365:132] + wire _T_1335 = _T_1329 | _T_1334; // @[el2_lsu_bus_buffer.scala 365:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1335; // @[el2_lsu_bus_buffer.scala 365:28] + wire _T_1352 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[el2_lsu_bus_buffer.scala 379:40] + wire _T_1353 = _T_1352 & obuf_aligned_in; // @[el2_lsu_bus_buffer.scala 379:60] reg obuf_sideeffect; // @[Reg.scala 27:20] - wire _T_1346 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 378:80] - wire _T_1347 = _T_1345 & _T_1346; // @[el2_lsu_bus_buffer.scala 378:78] - wire _T_1348 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 378:99] - wire _T_1349 = _T_1347 & _T_1348; // @[el2_lsu_bus_buffer.scala 378:97] - wire _T_1350 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 378:113] - wire _T_1351 = _T_1349 & _T_1350; // @[el2_lsu_bus_buffer.scala 378:111] - wire _T_1352 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 378:130] - wire _T_1353 = _T_1351 & _T_1352; // @[el2_lsu_bus_buffer.scala 378:128] - wire _T_1354 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 379:20] - wire _T_1355 = obuf_valid & _T_1354; // @[el2_lsu_bus_buffer.scala 379:18] - reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 406:56] - wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 611:37] - reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 407:55] - wire _T_1356 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 379:90] - wire _T_1357 = bus_rsp_read & _T_1356; // @[el2_lsu_bus_buffer.scala 379:70] - wire _T_1358 = ~_T_1357; // @[el2_lsu_bus_buffer.scala 379:55] - wire _T_1359 = obuf_rdrsp_pend & _T_1358; // @[el2_lsu_bus_buffer.scala 379:53] - wire _T_1360 = _T_1355 | _T_1359; // @[el2_lsu_bus_buffer.scala 379:34] - wire obuf_nosend_in = _T_1353 & _T_1360; // @[el2_lsu_bus_buffer.scala 378:165] - wire _T_1328 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 372:44] - wire _T_1329 = obuf_wr_en & _T_1328; // @[el2_lsu_bus_buffer.scala 372:42] - wire _T_1330 = ~_T_1329; // @[el2_lsu_bus_buffer.scala 372:29] - wire _T_1331 = _T_1330 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 372:61] - wire _T_1335 = _T_1331 & _T_1358; // @[el2_lsu_bus_buffer.scala 372:79] - wire _T_1337 = bus_cmd_sent & _T_1348; // @[el2_lsu_bus_buffer.scala 373:20] - wire _T_1338 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 373:37] - wire _T_1339 = _T_1337 & _T_1338; // @[el2_lsu_bus_buffer.scala 373:35] - wire _T_1341 = bus_cmd_sent | _T_1348; // @[el2_lsu_bus_buffer.scala 375:44] - wire [7:0] _T_1363 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1364 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1365 = io_lsu_addr_r[2] ? _T_1363 : _T_1364; // @[el2_lsu_bus_buffer.scala 380:46] - wire [7:0] _T_1392 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1405 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] - wire [7:0] _T_1406 = buf_addr_0[2] ? _T_1392 : _T_1405; // @[el2_lsu_bus_buffer.scala 381:8] - wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1365 : _T_1406; // @[el2_lsu_bus_buffer.scala 380:28] - wire [7:0] _T_1408 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] - wire [7:0] _T_1409 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] - wire [7:0] _T_1410 = io_end_addr_r[2] ? _T_1408 : _T_1409; // @[el2_lsu_bus_buffer.scala 382:46] - wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1410 : _T_1406; // @[el2_lsu_bus_buffer.scala 382:28] - wire [63:0] _T_1453 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1454 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1455 = io_lsu_addr_r[2] ? _T_1453 : _T_1454; // @[el2_lsu_bus_buffer.scala 385:44] - wire [63:0] _T_1482 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1495 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] - wire [63:0] _T_1496 = buf_addr_0[2] ? _T_1482 : _T_1495; // @[el2_lsu_bus_buffer.scala 386:8] - wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1455 : _T_1496; // @[el2_lsu_bus_buffer.scala 385:26] - wire [63:0] _T_1498 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] - wire [63:0] _T_1499 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] - wire [63:0] _T_1500 = io_lsu_addr_r[2] ? _T_1498 : _T_1499; // @[el2_lsu_bus_buffer.scala 387:44] - wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1500 : _T_1496; // @[el2_lsu_bus_buffer.scala 387:26] + wire _T_1354 = ~obuf_sideeffect; // @[el2_lsu_bus_buffer.scala 379:80] + wire _T_1355 = _T_1353 & _T_1354; // @[el2_lsu_bus_buffer.scala 379:78] + wire _T_1356 = ~obuf_write; // @[el2_lsu_bus_buffer.scala 379:99] + wire _T_1357 = _T_1355 & _T_1356; // @[el2_lsu_bus_buffer.scala 379:97] + wire _T_1358 = ~obuf_write_in; // @[el2_lsu_bus_buffer.scala 379:113] + wire _T_1359 = _T_1357 & _T_1358; // @[el2_lsu_bus_buffer.scala 379:111] + wire _T_1360 = ~io_dec_tlu_external_ldfwd_disable; // @[el2_lsu_bus_buffer.scala 379:130] + wire _T_1361 = _T_1359 & _T_1360; // @[el2_lsu_bus_buffer.scala 379:128] + wire _T_1362 = ~obuf_nosend; // @[el2_lsu_bus_buffer.scala 380:20] + wire _T_1363 = obuf_valid & _T_1362; // @[el2_lsu_bus_buffer.scala 380:18] + reg obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 407:56] + wire bus_rsp_read = io_lsu_axi_rvalid & io_lsu_axi_rready; // @[el2_lsu_bus_buffer.scala 612:37] + reg [2:0] obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 408:55] + wire _T_1364 = io_lsu_axi_rid == obuf_rdrsp_tag; // @[el2_lsu_bus_buffer.scala 380:90] + wire _T_1365 = bus_rsp_read & _T_1364; // @[el2_lsu_bus_buffer.scala 380:70] + wire _T_1366 = ~_T_1365; // @[el2_lsu_bus_buffer.scala 380:55] + wire _T_1367 = obuf_rdrsp_pend & _T_1366; // @[el2_lsu_bus_buffer.scala 380:53] + wire _T_1368 = _T_1363 | _T_1367; // @[el2_lsu_bus_buffer.scala 380:34] + wire obuf_nosend_in = _T_1361 & _T_1368; // @[el2_lsu_bus_buffer.scala 379:165] + wire _T_1336 = ~obuf_nosend_in; // @[el2_lsu_bus_buffer.scala 373:44] + wire _T_1337 = obuf_wr_en & _T_1336; // @[el2_lsu_bus_buffer.scala 373:42] + wire _T_1338 = ~_T_1337; // @[el2_lsu_bus_buffer.scala 373:29] + wire _T_1339 = _T_1338 & obuf_rdrsp_pend; // @[el2_lsu_bus_buffer.scala 373:61] + wire _T_1343 = _T_1339 & _T_1366; // @[el2_lsu_bus_buffer.scala 373:79] + wire _T_1345 = bus_cmd_sent & _T_1356; // @[el2_lsu_bus_buffer.scala 374:20] + wire _T_1346 = ~io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 374:37] + wire _T_1347 = _T_1345 & _T_1346; // @[el2_lsu_bus_buffer.scala 374:35] + wire _T_1349 = bus_cmd_sent | _T_1356; // @[el2_lsu_bus_buffer.scala 376:44] + wire [7:0] _T_1371 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1372 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1373 = io_lsu_addr_r[2] ? _T_1371 : _T_1372; // @[el2_lsu_bus_buffer.scala 381:46] + wire [7:0] _T_1400 = {buf_byteen_0,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1413 = {4'h0,buf_byteen_0}; // @[Cat.scala 29:58] + wire [7:0] _T_1414 = buf_addr_0[2] ? _T_1400 : _T_1413; // @[el2_lsu_bus_buffer.scala 382:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1373 : _T_1414; // @[el2_lsu_bus_buffer.scala 381:28] + wire [7:0] _T_1416 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1417 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1418 = io_end_addr_r[2] ? _T_1416 : _T_1417; // @[el2_lsu_bus_buffer.scala 383:46] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1418 : _T_1414; // @[el2_lsu_bus_buffer.scala 383:28] + wire [63:0] _T_1461 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1462 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1463 = io_lsu_addr_r[2] ? _T_1461 : _T_1462; // @[el2_lsu_bus_buffer.scala 386:44] + wire [63:0] _T_1490 = {buf_data_0,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1503 = {32'h0,buf_data_0}; // @[Cat.scala 29:58] + wire [63:0] _T_1504 = buf_addr_0[2] ? _T_1490 : _T_1503; // @[el2_lsu_bus_buffer.scala 387:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1463 : _T_1504; // @[el2_lsu_bus_buffer.scala 386:26] + wire [63:0] _T_1506 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1507 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1508 = io_lsu_addr_r[2] ? _T_1506 : _T_1507; // @[el2_lsu_bus_buffer.scala 388:44] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1508 : _T_1504; // @[el2_lsu_bus_buffer.scala 388:26] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] reg buf_dualhi_1; // @[Reg.scala 27:20] reg buf_dualhi_0; // @[Reg.scala 27:20] - wire _T_1841 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 399:19] - wire obuf_merge_en = _T_1841 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 399:35] - wire _T_1544 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1545 = obuf_byteen0_in[0] | _T_1544; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1548 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1549 = obuf_byteen0_in[1] | _T_1548; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1552 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1553 = obuf_byteen0_in[2] | _T_1552; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1556 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1557 = obuf_byteen0_in[3] | _T_1556; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1560 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1561 = obuf_byteen0_in[4] | _T_1560; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1564 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1565 = obuf_byteen0_in[5] | _T_1564; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1568 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1569 = obuf_byteen0_in[6] | _T_1568; // @[el2_lsu_bus_buffer.scala 389:63] - wire _T_1572 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 389:80] - wire _T_1573 = obuf_byteen0_in[7] | _T_1572; // @[el2_lsu_bus_buffer.scala 389:63] - wire [7:0] obuf_byteen_in = {_T_1573,_T_1569,_T_1565,_T_1561,_T_1557,_T_1553,_T_1549,_T_1545}; // @[Cat.scala 29:58] - wire [7:0] _T_1584 = _T_1544 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1589 = _T_1548 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1594 = _T_1552 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1599 = _T_1556 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1604 = _T_1560 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1609 = _T_1564 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1614 = _T_1568 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [7:0] _T_1619 = _T_1572 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 390:44] - wire [55:0] _T_1625 = {_T_1619,_T_1614,_T_1609,_T_1604,_T_1599,_T_1594,_T_1589}; // @[Cat.scala 29:58] - wire _T_1844 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 402:58] - wire _T_1845 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 402:93] + wire _T_1849 = ibuf_buf_byp & ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 400:19] + wire obuf_merge_en = _T_1849 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 400:35] + wire _T_1552 = obuf_merge_en & obuf_byteen1_in[0]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1553 = obuf_byteen0_in[0] | _T_1552; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1556 = obuf_merge_en & obuf_byteen1_in[1]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1557 = obuf_byteen0_in[1] | _T_1556; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1560 = obuf_merge_en & obuf_byteen1_in[2]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1561 = obuf_byteen0_in[2] | _T_1560; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1564 = obuf_merge_en & obuf_byteen1_in[3]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1565 = obuf_byteen0_in[3] | _T_1564; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1568 = obuf_merge_en & obuf_byteen1_in[4]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1569 = obuf_byteen0_in[4] | _T_1568; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1572 = obuf_merge_en & obuf_byteen1_in[5]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1573 = obuf_byteen0_in[5] | _T_1572; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1576 = obuf_merge_en & obuf_byteen1_in[6]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1577 = obuf_byteen0_in[6] | _T_1576; // @[el2_lsu_bus_buffer.scala 390:63] + wire _T_1580 = obuf_merge_en & obuf_byteen1_in[7]; // @[el2_lsu_bus_buffer.scala 390:80] + wire _T_1581 = obuf_byteen0_in[7] | _T_1580; // @[el2_lsu_bus_buffer.scala 390:63] + wire [7:0] obuf_byteen_in = {_T_1581,_T_1577,_T_1573,_T_1569,_T_1565,_T_1561,_T_1557,_T_1553}; // @[Cat.scala 29:58] + wire [7:0] _T_1592 = _T_1552 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1597 = _T_1556 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1602 = _T_1560 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1607 = _T_1564 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1612 = _T_1568 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1617 = _T_1572 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1622 = _T_1576 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [7:0] _T_1627 = _T_1580 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[el2_lsu_bus_buffer.scala 391:44] + wire [55:0] _T_1633 = {_T_1627,_T_1622,_T_1617,_T_1612,_T_1607,_T_1602,_T_1597}; // @[Cat.scala 29:58] + wire _T_1852 = obuf_wr_en | obuf_valid; // @[el2_lsu_bus_buffer.scala 403:58] + wire _T_1853 = ~obuf_rst; // @[el2_lsu_bus_buffer.scala 403:93] reg [1:0] obuf_sz; // @[Reg.scala 27:20] reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 491:16] - wire _T_1858 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] - wire _T_1859 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 419:97] - wire _T_1860 = ibuf_valid & _T_1859; // @[el2_lsu_bus_buffer.scala 419:86] - wire _T_1861 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 420:33] - wire _T_1862 = io_lsu_busreq_r & _T_1861; // @[el2_lsu_bus_buffer.scala 420:22] - wire _T_1863 = _T_1860 | _T_1862; // @[el2_lsu_bus_buffer.scala 419:106] - wire _T_1864 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1865 = io_ldst_dual_r & _T_1864; // @[el2_lsu_bus_buffer.scala 420:60] - wire _T_1866 = _T_1863 | _T_1865; // @[el2_lsu_bus_buffer.scala 420:42] - wire _T_1867 = ~_T_1866; // @[el2_lsu_bus_buffer.scala 419:72] - wire _T_1868 = _T_1858 & _T_1867; // @[el2_lsu_bus_buffer.scala 419:70] - wire _T_1869 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] - wire _T_1870 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 419:97] - wire _T_1871 = ibuf_valid & _T_1870; // @[el2_lsu_bus_buffer.scala 419:86] - wire _T_1872 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 420:33] - wire _T_1873 = io_lsu_busreq_r & _T_1872; // @[el2_lsu_bus_buffer.scala 420:22] - wire _T_1874 = _T_1871 | _T_1873; // @[el2_lsu_bus_buffer.scala 419:106] - wire _T_1875 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1876 = io_ldst_dual_r & _T_1875; // @[el2_lsu_bus_buffer.scala 420:60] - wire _T_1877 = _T_1874 | _T_1876; // @[el2_lsu_bus_buffer.scala 420:42] - wire _T_1878 = ~_T_1877; // @[el2_lsu_bus_buffer.scala 419:72] - wire _T_1879 = _T_1869 & _T_1878; // @[el2_lsu_bus_buffer.scala 419:70] - wire _T_1880 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] - wire _T_1881 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 419:97] - wire _T_1882 = ibuf_valid & _T_1881; // @[el2_lsu_bus_buffer.scala 419:86] - wire _T_1883 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 420:33] - wire _T_1884 = io_lsu_busreq_r & _T_1883; // @[el2_lsu_bus_buffer.scala 420:22] - wire _T_1885 = _T_1882 | _T_1884; // @[el2_lsu_bus_buffer.scala 419:106] - wire _T_1886 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1887 = io_ldst_dual_r & _T_1886; // @[el2_lsu_bus_buffer.scala 420:60] - wire _T_1888 = _T_1885 | _T_1887; // @[el2_lsu_bus_buffer.scala 420:42] - wire _T_1889 = ~_T_1888; // @[el2_lsu_bus_buffer.scala 419:72] - wire _T_1890 = _T_1880 & _T_1889; // @[el2_lsu_bus_buffer.scala 419:70] - wire _T_1891 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 419:59] - wire _T_1892 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 419:97] - wire _T_1893 = ibuf_valid & _T_1892; // @[el2_lsu_bus_buffer.scala 419:86] - wire _T_1894 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 420:33] - wire _T_1895 = io_lsu_busreq_r & _T_1894; // @[el2_lsu_bus_buffer.scala 420:22] - wire _T_1896 = _T_1893 | _T_1895; // @[el2_lsu_bus_buffer.scala 419:106] - wire _T_1897 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 420:72] - wire _T_1898 = io_ldst_dual_r & _T_1897; // @[el2_lsu_bus_buffer.scala 420:60] - wire _T_1899 = _T_1896 | _T_1898; // @[el2_lsu_bus_buffer.scala 420:42] - wire _T_1900 = ~_T_1899; // @[el2_lsu_bus_buffer.scala 419:72] - wire _T_1901 = _T_1891 & _T_1900; // @[el2_lsu_bus_buffer.scala 419:70] - wire [1:0] _T_1902 = _T_1901 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1903 = _T_1890 ? 2'h2 : _T_1902; // @[Mux.scala 98:16] - wire [1:0] _T_1904 = _T_1879 ? 2'h1 : _T_1903; // @[Mux.scala 98:16] - wire [1:0] WrPtr0_m = _T_1868 ? 2'h0 : _T_1904; // @[Mux.scala 98:16] - wire _T_1909 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 423:33] - wire _T_1910 = io_lsu_busreq_m & _T_1909; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1911 = _T_1860 | _T_1910; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1914 = _T_1911 | _T_1862; // @[el2_lsu_bus_buffer.scala 423:42] - wire _T_1917 = _T_1914 | _T_1865; // @[el2_lsu_bus_buffer.scala 423:83] - wire _T_1918 = ~_T_1917; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1919 = _T_1858 & _T_1918; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1923 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 423:33] - wire _T_1924 = io_lsu_busreq_m & _T_1923; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1925 = _T_1871 | _T_1924; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1928 = _T_1925 | _T_1873; // @[el2_lsu_bus_buffer.scala 423:42] - wire _T_1931 = _T_1928 | _T_1876; // @[el2_lsu_bus_buffer.scala 423:83] - wire _T_1932 = ~_T_1931; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1933 = _T_1869 & _T_1932; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1937 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 423:33] - wire _T_1938 = io_lsu_busreq_m & _T_1937; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1939 = _T_1882 | _T_1938; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1942 = _T_1939 | _T_1884; // @[el2_lsu_bus_buffer.scala 423:42] - wire _T_1945 = _T_1942 | _T_1887; // @[el2_lsu_bus_buffer.scala 423:83] - wire _T_1946 = ~_T_1945; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1947 = _T_1880 & _T_1946; // @[el2_lsu_bus_buffer.scala 422:70] - wire _T_1951 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 423:33] - wire _T_1952 = io_lsu_busreq_m & _T_1951; // @[el2_lsu_bus_buffer.scala 423:22] - wire _T_1953 = _T_1893 | _T_1952; // @[el2_lsu_bus_buffer.scala 422:106] - wire _T_1956 = _T_1953 | _T_1895; // @[el2_lsu_bus_buffer.scala 423:42] - wire _T_1959 = _T_1956 | _T_1898; // @[el2_lsu_bus_buffer.scala 423:83] - wire _T_1960 = ~_T_1959; // @[el2_lsu_bus_buffer.scala 422:72] - wire _T_1961 = _T_1891 & _T_1960; // @[el2_lsu_bus_buffer.scala 422:70] - wire [1:0] _T_1962 = _T_1961 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1963 = _T_1947 ? 2'h2 : _T_1962; // @[Mux.scala 98:16] - wire [1:0] _T_1964 = _T_1933 ? 2'h1 : _T_1963; // @[Mux.scala 98:16] - reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 551:63] - wire _T_2734 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] - wire _T_2735 = buf_rspageQ_0[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2731 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] - wire _T_2732 = buf_rspageQ_0[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2728 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] - wire _T_2729 = buf_rspageQ_0[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2725 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 465:104] - wire _T_2726 = buf_rspageQ_0[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2735,_T_2732,_T_2729,_T_2726}; // @[Cat.scala 29:58] - wire _T_2037 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 433:65] - wire _T_2038 = ~_T_2037; // @[el2_lsu_bus_buffer.scala 433:44] - wire _T_2040 = _T_2038 & _T_2725; // @[el2_lsu_bus_buffer.scala 433:70] - reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 551:63] - wire _T_2750 = buf_rspageQ_1[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2747 = buf_rspageQ_1[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2744 = buf_rspageQ_1[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2741 = buf_rspageQ_1[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2750,_T_2747,_T_2744,_T_2741}; // @[Cat.scala 29:58] - wire _T_2041 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 433:65] - wire _T_2042 = ~_T_2041; // @[el2_lsu_bus_buffer.scala 433:44] - wire _T_2044 = _T_2042 & _T_2728; // @[el2_lsu_bus_buffer.scala 433:70] - reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 551:63] - wire _T_2765 = buf_rspageQ_2[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2762 = buf_rspageQ_2[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2759 = buf_rspageQ_2[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2756 = buf_rspageQ_2[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2765,_T_2762,_T_2759,_T_2756}; // @[Cat.scala 29:58] - wire _T_2045 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 433:65] - wire _T_2046 = ~_T_2045; // @[el2_lsu_bus_buffer.scala 433:44] - wire _T_2048 = _T_2046 & _T_2731; // @[el2_lsu_bus_buffer.scala 433:70] - reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 551:63] - wire _T_2780 = buf_rspageQ_3[3] & _T_2734; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2777 = buf_rspageQ_3[2] & _T_2731; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2774 = buf_rspageQ_3[1] & _T_2728; // @[el2_lsu_bus_buffer.scala 465:89] - wire _T_2771 = buf_rspageQ_3[0] & _T_2725; // @[el2_lsu_bus_buffer.scala 465:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2780,_T_2777,_T_2774,_T_2771}; // @[Cat.scala 29:58] - wire _T_2049 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 433:65] - wire _T_2050 = ~_T_2049; // @[el2_lsu_bus_buffer.scala 433:44] - wire _T_2052 = _T_2050 & _T_2734; // @[el2_lsu_bus_buffer.scala 433:70] - wire [7:0] _T_2108 = {4'h0,_T_2052,_T_2048,_T_2044,_T_2040}; // @[Cat.scala 29:58] - wire _T_2111 = _T_2108[4] | _T_2108[5]; // @[el2_lsu_bus_buffer.scala 437:42] - wire _T_2113 = _T_2111 | _T_2108[6]; // @[el2_lsu_bus_buffer.scala 437:48] - wire _T_2115 = _T_2113 | _T_2108[7]; // @[el2_lsu_bus_buffer.scala 437:54] - wire _T_2118 = _T_2108[2] | _T_2108[3]; // @[el2_lsu_bus_buffer.scala 437:67] - wire _T_2120 = _T_2118 | _T_2108[6]; // @[el2_lsu_bus_buffer.scala 437:73] - wire _T_2122 = _T_2120 | _T_2108[7]; // @[el2_lsu_bus_buffer.scala 437:79] - wire _T_2125 = _T_2108[1] | _T_2108[3]; // @[el2_lsu_bus_buffer.scala 437:92] - wire _T_2127 = _T_2125 | _T_2108[5]; // @[el2_lsu_bus_buffer.scala 437:98] - wire _T_2129 = _T_2127 | _T_2108[7]; // @[el2_lsu_bus_buffer.scala 437:104] - wire [2:0] _T_2131 = {_T_2115,_T_2122,_T_2129}; // @[Cat.scala 29:58] - wire _T_3520 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 494:77] - wire _T_3521 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 494:97] - wire _T_3522 = _T_3520 & _T_3521; // @[el2_lsu_bus_buffer.scala 494:95] - wire _T_3523 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] - wire _T_3524 = _T_3522 & _T_3523; // @[el2_lsu_bus_buffer.scala 494:112] - wire _T_3525 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 494:144] - wire _T_3526 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] - wire _T_3527 = _T_3525 & _T_3526; // @[el2_lsu_bus_buffer.scala 494:161] - wire _T_3528 = _T_3524 | _T_3527; // @[el2_lsu_bus_buffer.scala 494:132] - wire _T_3529 = _T_845 & _T_3528; // @[el2_lsu_bus_buffer.scala 494:63] - wire _T_3530 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] - wire _T_3531 = ibuf_drain_vld & _T_3530; // @[el2_lsu_bus_buffer.scala 494:201] - wire _T_3532 = _T_3529 | _T_3531; // @[el2_lsu_bus_buffer.scala 494:183] - wire _T_3542 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 501:46] - wire _T_3577 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] - wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 612:38] - wire _T_3622 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 519:73] - wire _T_3623 = bus_rsp_write & _T_3622; // @[el2_lsu_bus_buffer.scala 519:52] - wire _T_3624 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 520:46] - reg _T_4295; // @[Reg.scala 27:20] - reg _T_4293; // @[Reg.scala 27:20] - reg _T_4291; // @[Reg.scala 27:20] - reg _T_4289; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4295,_T_4293,_T_4291,_T_4289}; // @[Cat.scala 29:58] + wire _T_1866 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] + wire _T_1867 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 420:97] + wire _T_1868 = ibuf_valid & _T_1867; // @[el2_lsu_bus_buffer.scala 420:86] + wire _T_1869 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 421:33] + wire _T_1870 = io_lsu_busreq_r & _T_1869; // @[el2_lsu_bus_buffer.scala 421:22] + wire _T_1871 = _T_1868 | _T_1870; // @[el2_lsu_bus_buffer.scala 420:106] + wire _T_1872 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 421:72] + wire _T_1873 = io_ldst_dual_r & _T_1872; // @[el2_lsu_bus_buffer.scala 421:60] + wire _T_1874 = _T_1871 | _T_1873; // @[el2_lsu_bus_buffer.scala 421:42] + wire _T_1875 = ~_T_1874; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1876 = _T_1866 & _T_1875; // @[el2_lsu_bus_buffer.scala 420:70] + wire _T_1877 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] + wire _T_1878 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 420:97] + wire _T_1879 = ibuf_valid & _T_1878; // @[el2_lsu_bus_buffer.scala 420:86] + wire _T_1880 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 421:33] + wire _T_1881 = io_lsu_busreq_r & _T_1880; // @[el2_lsu_bus_buffer.scala 421:22] + wire _T_1882 = _T_1879 | _T_1881; // @[el2_lsu_bus_buffer.scala 420:106] + wire _T_1883 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 421:72] + wire _T_1884 = io_ldst_dual_r & _T_1883; // @[el2_lsu_bus_buffer.scala 421:60] + wire _T_1885 = _T_1882 | _T_1884; // @[el2_lsu_bus_buffer.scala 421:42] + wire _T_1886 = ~_T_1885; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1887 = _T_1877 & _T_1886; // @[el2_lsu_bus_buffer.scala 420:70] + wire _T_1888 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] + wire _T_1889 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 420:97] + wire _T_1890 = ibuf_valid & _T_1889; // @[el2_lsu_bus_buffer.scala 420:86] + wire _T_1891 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 421:33] + wire _T_1892 = io_lsu_busreq_r & _T_1891; // @[el2_lsu_bus_buffer.scala 421:22] + wire _T_1893 = _T_1890 | _T_1892; // @[el2_lsu_bus_buffer.scala 420:106] + wire _T_1894 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 421:72] + wire _T_1895 = io_ldst_dual_r & _T_1894; // @[el2_lsu_bus_buffer.scala 421:60] + wire _T_1896 = _T_1893 | _T_1895; // @[el2_lsu_bus_buffer.scala 421:42] + wire _T_1897 = ~_T_1896; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1898 = _T_1888 & _T_1897; // @[el2_lsu_bus_buffer.scala 420:70] + wire _T_1899 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 420:59] + wire _T_1900 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 420:97] + wire _T_1901 = ibuf_valid & _T_1900; // @[el2_lsu_bus_buffer.scala 420:86] + wire _T_1902 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 421:33] + wire _T_1903 = io_lsu_busreq_r & _T_1902; // @[el2_lsu_bus_buffer.scala 421:22] + wire _T_1904 = _T_1901 | _T_1903; // @[el2_lsu_bus_buffer.scala 420:106] + wire _T_1905 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 421:72] + wire _T_1906 = io_ldst_dual_r & _T_1905; // @[el2_lsu_bus_buffer.scala 421:60] + wire _T_1907 = _T_1904 | _T_1906; // @[el2_lsu_bus_buffer.scala 421:42] + wire _T_1908 = ~_T_1907; // @[el2_lsu_bus_buffer.scala 420:72] + wire _T_1909 = _T_1899 & _T_1908; // @[el2_lsu_bus_buffer.scala 420:70] + wire [1:0] _T_1910 = _T_1909 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1911 = _T_1898 ? 2'h2 : _T_1910; // @[Mux.scala 98:16] + wire [1:0] _T_1912 = _T_1887 ? 2'h1 : _T_1911; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1876 ? 2'h0 : _T_1912; // @[Mux.scala 98:16] + wire _T_1917 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1918 = io_lsu_busreq_m & _T_1917; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1919 = _T_1868 | _T_1918; // @[el2_lsu_bus_buffer.scala 423:106] + wire _T_1922 = _T_1919 | _T_1870; // @[el2_lsu_bus_buffer.scala 424:42] + wire _T_1925 = _T_1922 | _T_1873; // @[el2_lsu_bus_buffer.scala 424:83] + wire _T_1926 = ~_T_1925; // @[el2_lsu_bus_buffer.scala 423:72] + wire _T_1927 = _T_1866 & _T_1926; // @[el2_lsu_bus_buffer.scala 423:70] + wire _T_1931 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1932 = io_lsu_busreq_m & _T_1931; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1933 = _T_1879 | _T_1932; // @[el2_lsu_bus_buffer.scala 423:106] + wire _T_1936 = _T_1933 | _T_1881; // @[el2_lsu_bus_buffer.scala 424:42] + wire _T_1939 = _T_1936 | _T_1884; // @[el2_lsu_bus_buffer.scala 424:83] + wire _T_1940 = ~_T_1939; // @[el2_lsu_bus_buffer.scala 423:72] + wire _T_1941 = _T_1877 & _T_1940; // @[el2_lsu_bus_buffer.scala 423:70] + wire _T_1945 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1946 = io_lsu_busreq_m & _T_1945; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1947 = _T_1890 | _T_1946; // @[el2_lsu_bus_buffer.scala 423:106] + wire _T_1950 = _T_1947 | _T_1892; // @[el2_lsu_bus_buffer.scala 424:42] + wire _T_1953 = _T_1950 | _T_1895; // @[el2_lsu_bus_buffer.scala 424:83] + wire _T_1954 = ~_T_1953; // @[el2_lsu_bus_buffer.scala 423:72] + wire _T_1955 = _T_1888 & _T_1954; // @[el2_lsu_bus_buffer.scala 423:70] + wire _T_1959 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 424:33] + wire _T_1960 = io_lsu_busreq_m & _T_1959; // @[el2_lsu_bus_buffer.scala 424:22] + wire _T_1961 = _T_1901 | _T_1960; // @[el2_lsu_bus_buffer.scala 423:106] + wire _T_1964 = _T_1961 | _T_1903; // @[el2_lsu_bus_buffer.scala 424:42] + wire _T_1967 = _T_1964 | _T_1906; // @[el2_lsu_bus_buffer.scala 424:83] + wire _T_1968 = ~_T_1967; // @[el2_lsu_bus_buffer.scala 423:72] + wire _T_1969 = _T_1899 & _T_1968; // @[el2_lsu_bus_buffer.scala 423:70] + wire [1:0] _T_1970 = _T_1969 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1971 = _T_1955 ? 2'h2 : _T_1970; // @[Mux.scala 98:16] + wire [1:0] _T_1972 = _T_1941 ? 2'h1 : _T_1971; // @[Mux.scala 98:16] + reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2742 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2743 = buf_rspageQ_0[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2739 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2740 = buf_rspageQ_0[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2736 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2737 = buf_rspageQ_0[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2733 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 466:104] + wire _T_2734 = buf_rspageQ_0[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2743,_T_2740,_T_2737,_T_2734}; // @[Cat.scala 29:58] + wire _T_2045 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 434:65] + wire _T_2046 = ~_T_2045; // @[el2_lsu_bus_buffer.scala 434:44] + wire _T_2048 = _T_2046 & _T_2733; // @[el2_lsu_bus_buffer.scala 434:70] + reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2758 = buf_rspageQ_1[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2755 = buf_rspageQ_1[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2752 = buf_rspageQ_1[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2749 = buf_rspageQ_1[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2758,_T_2755,_T_2752,_T_2749}; // @[Cat.scala 29:58] + wire _T_2049 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 434:65] + wire _T_2050 = ~_T_2049; // @[el2_lsu_bus_buffer.scala 434:44] + wire _T_2052 = _T_2050 & _T_2736; // @[el2_lsu_bus_buffer.scala 434:70] + reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2773 = buf_rspageQ_2[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2770 = buf_rspageQ_2[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2767 = buf_rspageQ_2[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2764 = buf_rspageQ_2[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2773,_T_2770,_T_2767,_T_2764}; // @[Cat.scala 29:58] + wire _T_2053 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 434:65] + wire _T_2054 = ~_T_2053; // @[el2_lsu_bus_buffer.scala 434:44] + wire _T_2056 = _T_2054 & _T_2739; // @[el2_lsu_bus_buffer.scala 434:70] + reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 552:63] + wire _T_2788 = buf_rspageQ_3[3] & _T_2742; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2785 = buf_rspageQ_3[2] & _T_2739; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2782 = buf_rspageQ_3[1] & _T_2736; // @[el2_lsu_bus_buffer.scala 466:89] + wire _T_2779 = buf_rspageQ_3[0] & _T_2733; // @[el2_lsu_bus_buffer.scala 466:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2788,_T_2785,_T_2782,_T_2779}; // @[Cat.scala 29:58] + wire _T_2057 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 434:65] + wire _T_2058 = ~_T_2057; // @[el2_lsu_bus_buffer.scala 434:44] + wire _T_2060 = _T_2058 & _T_2742; // @[el2_lsu_bus_buffer.scala 434:70] + wire [7:0] _T_2116 = {4'h0,_T_2060,_T_2056,_T_2052,_T_2048}; // @[Cat.scala 29:58] + wire _T_2119 = _T_2116[4] | _T_2116[5]; // @[el2_lsu_bus_buffer.scala 438:42] + wire _T_2121 = _T_2119 | _T_2116[6]; // @[el2_lsu_bus_buffer.scala 438:48] + wire _T_2123 = _T_2121 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:54] + wire _T_2126 = _T_2116[2] | _T_2116[3]; // @[el2_lsu_bus_buffer.scala 438:67] + wire _T_2128 = _T_2126 | _T_2116[6]; // @[el2_lsu_bus_buffer.scala 438:73] + wire _T_2130 = _T_2128 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:79] + wire _T_2133 = _T_2116[1] | _T_2116[3]; // @[el2_lsu_bus_buffer.scala 438:92] + wire _T_2135 = _T_2133 | _T_2116[5]; // @[el2_lsu_bus_buffer.scala 438:98] + wire _T_2137 = _T_2135 | _T_2116[7]; // @[el2_lsu_bus_buffer.scala 438:104] + wire [2:0] _T_2139 = {_T_2123,_T_2130,_T_2137}; // @[Cat.scala 29:58] + wire _T_3528 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 495:77] + wire _T_3529 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 495:97] + wire _T_3530 = _T_3528 & _T_3529; // @[el2_lsu_bus_buffer.scala 495:95] + wire _T_3531 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_3532 = _T_3530 & _T_3531; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_3533 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 495:144] + wire _T_3534 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_3535 = _T_3533 & _T_3534; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_3536 = _T_3532 | _T_3535; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_3537 = _T_845 & _T_3536; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_3538 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_3539 = ibuf_drain_vld & _T_3538; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_3540 = _T_3537 | _T_3539; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_3550 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 502:46] + wire _T_3585 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 613:38] + wire _T_3630 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_3631 = bus_rsp_write & _T_3630; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_3632 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 521:46] + reg _T_4303; // @[Reg.scala 27:20] + reg _T_4301; // @[Reg.scala 27:20] + reg _T_4299; // @[Reg.scala 27:20] + reg _T_4297; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4303,_T_4301,_T_4299,_T_4297}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_3626 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_3627 = buf_ldfwd[0] & _T_3626; // @[el2_lsu_bus_buffer.scala 521:27] - wire _T_3628 = _T_3624 | _T_3627; // @[el2_lsu_bus_buffer.scala 520:77] - wire _T_3629 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 522:26] - wire _T_3632 = _T_3629 & _T_1209; // @[el2_lsu_bus_buffer.scala 522:42] - wire _T_3633 = _T_3632 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 522:58] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3634 = io_lsu_axi_rid == _GEN_368; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3635 = buf_ldfwd[0] & _T_3634; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_3636 = _T_3632 | _T_3635; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_3637 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_3640 = _T_3637 & _T_1217; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_3641 = _T_3640 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_3634 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_3635 = _T_3633 & _T_3634; // @[el2_lsu_bus_buffer.scala 522:74] - wire _T_3636 = _T_3628 | _T_3635; // @[el2_lsu_bus_buffer.scala 521:71] - wire _T_3637 = bus_rsp_read & _T_3636; // @[el2_lsu_bus_buffer.scala 520:25] - wire _T_3638 = _T_3623 | _T_3637; // @[el2_lsu_bus_buffer.scala 519:105] - wire _GEN_42 = _T_3577 & _T_3638; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3543 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3539 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3516 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3664 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3674 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 534:21] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3642 = io_lsu_axi_rid == _GEN_369; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3643 = _T_3641 & _T_3642; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_3644 = _T_3636 | _T_3643; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_3645 = bus_rsp_read & _T_3644; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_3646 = _T_3631 | _T_3645; // @[el2_lsu_bus_buffer.scala 520:105] + wire _GEN_42 = _T_3585 & _T_3646; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3551 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3547 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3672 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3682 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 535:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] - wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 534:58] - wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_3676 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_3677 = _T_3674[0] & _T_3676; // @[el2_lsu_bus_buffer.scala 534:38] - wire _T_3678 = _T_3634 | _T_3677; // @[el2_lsu_bus_buffer.scala 533:95] - wire _T_3679 = bus_rsp_read & _T_3678; // @[el2_lsu_bus_buffer.scala 533:45] - wire _GEN_36 = _T_3664 & _T_3679; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3577 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3543 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3539 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3516 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3556 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] - wire _T_3557 = _T_3556 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] - wire _T_3682 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire [1:0] RspPtr = _T_2131[1:0]; // @[el2_lsu_bus_buffer.scala 445:10] - wire _T_3685 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 539:37] - wire _T_3686 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] - wire _T_3687 = buf_dual_0 & _T_3686; // @[el2_lsu_bus_buffer.scala 539:80] - wire _T_3688 = _T_3685 | _T_3687; // @[el2_lsu_bus_buffer.scala 539:65] - wire _T_3689 = _T_3688 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] - wire _T_3690 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3682 ? _T_3689 : _T_3690; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3664 ? _T_3557 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3577 ? _T_3557 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3543 ? _T_3557 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3539 ? _T_3542 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3516 ? _T_3532 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2133 = _T_1858 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 457:94] - wire _T_2139 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 459:23] - wire _T_2141 = _T_2139 & _T_3520; // @[el2_lsu_bus_buffer.scala 459:41] - wire _T_2143 = _T_2141 & _T_1861; // @[el2_lsu_bus_buffer.scala 459:71] - wire _T_2145 = _T_2143 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2146 = _T_4454 | _T_2145; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2147 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 460:17] - wire _T_2148 = _T_2147 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:35] - wire _T_2150 = _T_2148 & _T_1864; // @[el2_lsu_bus_buffer.scala 460:52] - wire _T_2152 = _T_2150 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2153 = _T_2146 | _T_2152; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2154 = _T_2133 & _T_2153; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2156 = _T_2154 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2170 = _T_2143 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2171 = _T_4459 | _T_2170; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2177 = _T_2150 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2178 = _T_2171 | _T_2177; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2179 = _T_2133 & _T_2178; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2181 = _T_2179 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2195 = _T_2143 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2196 = _T_4464 | _T_2195; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2202 = _T_2150 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2203 = _T_2196 | _T_2202; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2204 = _T_2133 & _T_2203; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2206 = _T_2204 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2220 = _T_2143 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2221 = _T_4469 | _T_2220; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2227 = _T_2150 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2228 = _T_2221 | _T_2227; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2229 = _T_2133 & _T_2228; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2231 = _T_2229 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 460:97] - wire [2:0] _T_2233 = {_T_2231,_T_2206,_T_2181}; // @[Cat.scala 29:58] - wire _T_3716 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] - wire _T_3717 = _T_3522 & _T_3716; // @[el2_lsu_bus_buffer.scala 494:112] - wire _T_3719 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] - wire _T_3720 = _T_3525 & _T_3719; // @[el2_lsu_bus_buffer.scala 494:161] - wire _T_3721 = _T_3717 | _T_3720; // @[el2_lsu_bus_buffer.scala 494:132] - wire _T_3722 = _T_845 & _T_3721; // @[el2_lsu_bus_buffer.scala 494:63] - wire _T_3723 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] - wire _T_3724 = ibuf_drain_vld & _T_3723; // @[el2_lsu_bus_buffer.scala 494:201] - wire _T_3725 = _T_3722 | _T_3724; // @[el2_lsu_bus_buffer.scala 494:183] - wire _T_3770 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3815 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 519:73] - wire _T_3816 = bus_rsp_write & _T_3815; // @[el2_lsu_bus_buffer.scala 519:52] - wire _T_3817 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 520:46] - wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_3819 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_3820 = buf_ldfwd[1] & _T_3819; // @[el2_lsu_bus_buffer.scala 521:27] - wire _T_3821 = _T_3817 | _T_3820; // @[el2_lsu_bus_buffer.scala 520:77] - wire _T_3822 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 522:26] - wire _T_3824 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 522:44] - wire _T_3825 = _T_3822 & _T_3824; // @[el2_lsu_bus_buffer.scala 522:42] - wire _T_3826 = _T_3825 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 522:58] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3684 = io_lsu_axi_rid == _GEN_371; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3685 = _T_3682[0] & _T_3684; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_3686 = _T_3642 | _T_3685; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_3687 = bus_rsp_read & _T_3686; // @[el2_lsu_bus_buffer.scala 534:45] + wire _GEN_36 = _T_3672 & _T_3687; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3585 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3551 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3547 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3524 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3564 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_3565 = _T_3564 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_3690 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2139[1:0]; // @[el2_lsu_bus_buffer.scala 446:10] + wire _T_3693 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_3694 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_3695 = buf_dual_0 & _T_3694; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_3696 = _T_3693 | _T_3695; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_3697 = _T_3696 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_3698 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3690 ? _T_3697 : _T_3698; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3672 ? _T_3565 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3585 ? _T_3565 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3551 ? _T_3565 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3547 ? _T_3550 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3524 ? _T_3540 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2141 = _T_1866 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 458:94] + wire _T_2147 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 460:23] + wire _T_2149 = _T_2147 & _T_3528; // @[el2_lsu_bus_buffer.scala 460:41] + wire _T_2151 = _T_2149 & _T_1869; // @[el2_lsu_bus_buffer.scala 460:71] + wire _T_2153 = _T_2151 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2154 = _T_4462 | _T_2153; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2155 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 461:17] + wire _T_2156 = _T_2155 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 461:35] + wire _T_2158 = _T_2156 & _T_1872; // @[el2_lsu_bus_buffer.scala 461:52] + wire _T_2160 = _T_2158 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2161 = _T_2154 | _T_2160; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2162 = _T_2141 & _T_2161; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2164 = _T_2162 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2178 = _T_2151 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2179 = _T_4467 | _T_2178; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2185 = _T_2158 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2186 = _T_2179 | _T_2185; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2187 = _T_2141 & _T_2186; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2189 = _T_2187 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2203 = _T_2151 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2204 = _T_4472 | _T_2203; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2210 = _T_2158 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2211 = _T_2204 | _T_2210; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2212 = _T_2141 & _T_2211; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2214 = _T_2212 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2228 = _T_2151 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2229 = _T_4477 | _T_2228; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2235 = _T_2158 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2236 = _T_2229 | _T_2235; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2237 = _T_2141 & _T_2236; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2239 = _T_2237 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire [2:0] _T_2241 = {_T_2239,_T_2214,_T_2189}; // @[Cat.scala 29:58] + wire _T_3724 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_3725 = _T_3530 & _T_3724; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_3727 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_3728 = _T_3533 & _T_3727; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_3729 = _T_3725 | _T_3728; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_3730 = _T_845 & _T_3729; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_3731 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_3732 = ibuf_drain_vld & _T_3731; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_3733 = _T_3730 | _T_3732; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_3778 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3823 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_3824 = bus_rsp_write & _T_3823; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_3825 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 521:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3827 = io_lsu_axi_rid == _GEN_372; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_3828 = buf_ldfwd[1] & _T_3827; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_3829 = _T_3825 | _T_3828; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_3830 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_3832 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 523:44] + wire _T_3833 = _T_3830 & _T_3832; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_3834 = _T_3833 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_3827 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_3828 = _T_3826 & _T_3827; // @[el2_lsu_bus_buffer.scala 522:74] - wire _T_3829 = _T_3821 | _T_3828; // @[el2_lsu_bus_buffer.scala 521:71] - wire _T_3830 = bus_rsp_read & _T_3829; // @[el2_lsu_bus_buffer.scala 520:25] - wire _T_3831 = _T_3816 | _T_3830; // @[el2_lsu_bus_buffer.scala 519:105] - wire _GEN_118 = _T_3770 & _T_3831; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3736 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3732 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3709 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3857 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3867 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 534:21] - wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 534:58] - wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_3869 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_3870 = _T_3867[0] & _T_3869; // @[el2_lsu_bus_buffer.scala 534:38] - wire _T_3871 = _T_3827 | _T_3870; // @[el2_lsu_bus_buffer.scala 533:95] - wire _T_3872 = bus_rsp_read & _T_3871; // @[el2_lsu_bus_buffer.scala 533:45] - wire _GEN_112 = _T_3857 & _T_3872; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3770 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3736 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3732 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3709 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3749 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] - wire _T_3750 = _T_3749 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] - wire _T_3875 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3878 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 539:37] - wire _T_3879 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] - wire _T_3880 = buf_dual_1 & _T_3879; // @[el2_lsu_bus_buffer.scala 539:80] - wire _T_3881 = _T_3878 | _T_3880; // @[el2_lsu_bus_buffer.scala 539:65] - wire _T_3882 = _T_3881 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] - wire _T_3883 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3875 ? _T_3882 : _T_3883; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3857 ? _T_3750 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3770 ? _T_3750 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3736 ? _T_3750 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3732 ? _T_3542 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3709 ? _T_3725 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2235 = _T_1869 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 457:94] - wire _T_2245 = _T_2141 & _T_1872; // @[el2_lsu_bus_buffer.scala 459:71] - wire _T_2247 = _T_2245 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2248 = _T_4454 | _T_2247; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2252 = _T_2148 & _T_1875; // @[el2_lsu_bus_buffer.scala 460:52] - wire _T_2254 = _T_2252 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2255 = _T_2248 | _T_2254; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2256 = _T_2235 & _T_2255; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2258 = _T_2256 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2272 = _T_2245 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2273 = _T_4459 | _T_2272; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2279 = _T_2252 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2280 = _T_2273 | _T_2279; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2281 = _T_2235 & _T_2280; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2283 = _T_2281 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2297 = _T_2245 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2298 = _T_4464 | _T_2297; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2304 = _T_2252 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2305 = _T_2298 | _T_2304; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2306 = _T_2235 & _T_2305; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2308 = _T_2306 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2322 = _T_2245 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2323 = _T_4469 | _T_2322; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2329 = _T_2252 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2330 = _T_2323 | _T_2329; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2331 = _T_2235 & _T_2330; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2333 = _T_2331 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 460:97] - wire [2:0] _T_2335 = {_T_2333,_T_2308,_T_2283}; // @[Cat.scala 29:58] - wire _T_3909 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] - wire _T_3910 = _T_3522 & _T_3909; // @[el2_lsu_bus_buffer.scala 494:112] - wire _T_3912 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] - wire _T_3913 = _T_3525 & _T_3912; // @[el2_lsu_bus_buffer.scala 494:161] - wire _T_3914 = _T_3910 | _T_3913; // @[el2_lsu_bus_buffer.scala 494:132] - wire _T_3915 = _T_845 & _T_3914; // @[el2_lsu_bus_buffer.scala 494:63] - wire _T_3916 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] - wire _T_3917 = ibuf_drain_vld & _T_3916; // @[el2_lsu_bus_buffer.scala 494:201] - wire _T_3918 = _T_3915 | _T_3917; // @[el2_lsu_bus_buffer.scala 494:183] - wire _T_3963 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4008 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 519:73] - wire _T_4009 = bus_rsp_write & _T_4008; // @[el2_lsu_bus_buffer.scala 519:52] - wire _T_4010 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 520:46] - wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_4012 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_4013 = buf_ldfwd[2] & _T_4012; // @[el2_lsu_bus_buffer.scala 521:27] - wire _T_4014 = _T_4010 | _T_4013; // @[el2_lsu_bus_buffer.scala 520:77] - wire _T_4015 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 522:26] - wire _T_4017 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 522:44] - wire _T_4018 = _T_4015 & _T_4017; // @[el2_lsu_bus_buffer.scala 522:42] - wire _T_4019 = _T_4018 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 522:58] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3835 = io_lsu_axi_rid == _GEN_373; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_3836 = _T_3834 & _T_3835; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_3837 = _T_3829 | _T_3836; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_3838 = bus_rsp_read & _T_3837; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_3839 = _T_3824 | _T_3838; // @[el2_lsu_bus_buffer.scala 520:105] + wire _GEN_118 = _T_3778 & _T_3839; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3744 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3740 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3865 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3875 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 535:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3877 = io_lsu_axi_rid == _GEN_375; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_3878 = _T_3875[0] & _T_3877; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_3879 = _T_3835 | _T_3878; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_3880 = bus_rsp_read & _T_3879; // @[el2_lsu_bus_buffer.scala 534:45] + wire _GEN_112 = _T_3865 & _T_3880; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3778 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3744 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3740 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3717 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3757 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_3758 = _T_3757 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_3883 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3886 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_3887 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_3888 = buf_dual_1 & _T_3887; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_3889 = _T_3886 | _T_3888; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_3890 = _T_3889 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_3891 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3883 ? _T_3890 : _T_3891; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3865 ? _T_3758 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3778 ? _T_3758 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3744 ? _T_3758 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3740 ? _T_3550 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3717 ? _T_3733 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2243 = _T_1877 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 458:94] + wire _T_2253 = _T_2149 & _T_1880; // @[el2_lsu_bus_buffer.scala 460:71] + wire _T_2255 = _T_2253 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2256 = _T_4462 | _T_2255; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2260 = _T_2156 & _T_1883; // @[el2_lsu_bus_buffer.scala 461:52] + wire _T_2262 = _T_2260 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2263 = _T_2256 | _T_2262; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2264 = _T_2243 & _T_2263; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2266 = _T_2264 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2280 = _T_2253 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2281 = _T_4467 | _T_2280; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2287 = _T_2260 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2288 = _T_2281 | _T_2287; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2289 = _T_2243 & _T_2288; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2291 = _T_2289 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2305 = _T_2253 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2306 = _T_4472 | _T_2305; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2312 = _T_2260 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2313 = _T_2306 | _T_2312; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2314 = _T_2243 & _T_2313; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2316 = _T_2314 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2330 = _T_2253 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2331 = _T_4477 | _T_2330; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2337 = _T_2260 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2338 = _T_2331 | _T_2337; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2339 = _T_2243 & _T_2338; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2341 = _T_2339 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire [2:0] _T_2343 = {_T_2341,_T_2316,_T_2291}; // @[Cat.scala 29:58] + wire _T_3917 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_3918 = _T_3530 & _T_3917; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_3920 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_3921 = _T_3533 & _T_3920; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_3922 = _T_3918 | _T_3921; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_3923 = _T_845 & _T_3922; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_3924 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_3925 = ibuf_drain_vld & _T_3924; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_3926 = _T_3923 | _T_3925; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_3971 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4016 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_4017 = bus_rsp_write & _T_4016; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_4018 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 521:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4020 = io_lsu_axi_rid == _GEN_376; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4021 = buf_ldfwd[2] & _T_4020; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_4022 = _T_4018 | _T_4021; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_4023 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_4025 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 523:44] + wire _T_4026 = _T_4023 & _T_4025; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_4027 = _T_4026 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_4020 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_4021 = _T_4019 & _T_4020; // @[el2_lsu_bus_buffer.scala 522:74] - wire _T_4022 = _T_4014 | _T_4021; // @[el2_lsu_bus_buffer.scala 521:71] - wire _T_4023 = bus_rsp_read & _T_4022; // @[el2_lsu_bus_buffer.scala 520:25] - wire _T_4024 = _T_4009 | _T_4023; // @[el2_lsu_bus_buffer.scala 519:105] - wire _GEN_194 = _T_3963 & _T_4024; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3929 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3925 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3902 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_4050 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_4060 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 534:21] - wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 534:58] - wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_4062 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_4063 = _T_4060[0] & _T_4062; // @[el2_lsu_bus_buffer.scala 534:38] - wire _T_4064 = _T_4020 | _T_4063; // @[el2_lsu_bus_buffer.scala 533:95] - wire _T_4065 = bus_rsp_read & _T_4064; // @[el2_lsu_bus_buffer.scala 533:45] - wire _GEN_188 = _T_4050 & _T_4065; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3963 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3929 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3925 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3902 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3942 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] - wire _T_3943 = _T_3942 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] - wire _T_4068 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_4071 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 539:37] - wire _T_4072 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] - wire _T_4073 = buf_dual_2 & _T_4072; // @[el2_lsu_bus_buffer.scala 539:80] - wire _T_4074 = _T_4071 | _T_4073; // @[el2_lsu_bus_buffer.scala 539:65] - wire _T_4075 = _T_4074 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] - wire _T_4076 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_4068 ? _T_4075 : _T_4076; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_4050 ? _T_3943 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3963 ? _T_3943 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3929 ? _T_3943 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3925 ? _T_3542 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3902 ? _T_3918 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2337 = _T_1880 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 457:94] - wire _T_2347 = _T_2141 & _T_1883; // @[el2_lsu_bus_buffer.scala 459:71] - wire _T_2349 = _T_2347 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2350 = _T_4454 | _T_2349; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2354 = _T_2148 & _T_1886; // @[el2_lsu_bus_buffer.scala 460:52] - wire _T_2356 = _T_2354 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2357 = _T_2350 | _T_2356; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2358 = _T_2337 & _T_2357; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2360 = _T_2358 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2374 = _T_2347 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2375 = _T_4459 | _T_2374; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2381 = _T_2354 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2382 = _T_2375 | _T_2381; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2383 = _T_2337 & _T_2382; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2385 = _T_2383 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2399 = _T_2347 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2400 = _T_4464 | _T_2399; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2406 = _T_2354 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2407 = _T_2400 | _T_2406; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2408 = _T_2337 & _T_2407; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2410 = _T_2408 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2424 = _T_2347 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2425 = _T_4469 | _T_2424; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2431 = _T_2354 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2432 = _T_2425 | _T_2431; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2433 = _T_2337 & _T_2432; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2435 = _T_2433 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 460:97] - wire [2:0] _T_2437 = {_T_2435,_T_2410,_T_2385}; // @[Cat.scala 29:58] - wire _T_4102 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 494:117] - wire _T_4103 = _T_3522 & _T_4102; // @[el2_lsu_bus_buffer.scala 494:112] - wire _T_4105 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 494:166] - wire _T_4106 = _T_3525 & _T_4105; // @[el2_lsu_bus_buffer.scala 494:161] - wire _T_4107 = _T_4103 | _T_4106; // @[el2_lsu_bus_buffer.scala 494:132] - wire _T_4108 = _T_845 & _T_4107; // @[el2_lsu_bus_buffer.scala 494:63] - wire _T_4109 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 494:206] - wire _T_4110 = ibuf_drain_vld & _T_4109; // @[el2_lsu_bus_buffer.scala 494:201] - wire _T_4111 = _T_4108 | _T_4110; // @[el2_lsu_bus_buffer.scala 494:183] - wire _T_4156 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4201 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 519:73] - wire _T_4202 = bus_rsp_write & _T_4201; // @[el2_lsu_bus_buffer.scala 519:52] - wire _T_4203 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 520:46] - wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_4205 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 521:47] - wire _T_4206 = buf_ldfwd[3] & _T_4205; // @[el2_lsu_bus_buffer.scala 521:27] - wire _T_4207 = _T_4203 | _T_4206; // @[el2_lsu_bus_buffer.scala 520:77] - wire _T_4208 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 522:26] - wire _T_4210 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 522:44] - wire _T_4211 = _T_4208 & _T_4210; // @[el2_lsu_bus_buffer.scala 522:42] - wire _T_4212 = _T_4211 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 522:58] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4028 = io_lsu_axi_rid == _GEN_377; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4029 = _T_4027 & _T_4028; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_4030 = _T_4022 | _T_4029; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_4031 = bus_rsp_read & _T_4030; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_4032 = _T_4017 | _T_4031; // @[el2_lsu_bus_buffer.scala 520:105] + wire _GEN_194 = _T_3971 & _T_4032; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3937 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3933 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4058 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4068 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 535:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4070 = io_lsu_axi_rid == _GEN_379; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4071 = _T_4068[0] & _T_4070; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_4072 = _T_4028 | _T_4071; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_4073 = bus_rsp_read & _T_4072; // @[el2_lsu_bus_buffer.scala 534:45] + wire _GEN_188 = _T_4058 & _T_4073; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3971 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3937 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3933 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3910 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3950 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_3951 = _T_3950 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_4076 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4079 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_4080 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_4081 = buf_dual_2 & _T_4080; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_4082 = _T_4079 | _T_4081; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_4083 = _T_4082 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_4084 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4076 ? _T_4083 : _T_4084; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4058 ? _T_3951 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3971 ? _T_3951 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3937 ? _T_3951 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3933 ? _T_3550 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3910 ? _T_3926 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2345 = _T_1888 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 458:94] + wire _T_2355 = _T_2149 & _T_1891; // @[el2_lsu_bus_buffer.scala 460:71] + wire _T_2357 = _T_2355 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2358 = _T_4462 | _T_2357; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2362 = _T_2156 & _T_1894; // @[el2_lsu_bus_buffer.scala 461:52] + wire _T_2364 = _T_2362 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2365 = _T_2358 | _T_2364; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2366 = _T_2345 & _T_2365; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2368 = _T_2366 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2382 = _T_2355 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2383 = _T_4467 | _T_2382; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2389 = _T_2362 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2390 = _T_2383 | _T_2389; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2391 = _T_2345 & _T_2390; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2393 = _T_2391 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2407 = _T_2355 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2408 = _T_4472 | _T_2407; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2414 = _T_2362 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2415 = _T_2408 | _T_2414; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2416 = _T_2345 & _T_2415; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2418 = _T_2416 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2432 = _T_2355 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2433 = _T_4477 | _T_2432; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2439 = _T_2362 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2440 = _T_2433 | _T_2439; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2441 = _T_2345 & _T_2440; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2443 = _T_2441 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire [2:0] _T_2445 = {_T_2443,_T_2418,_T_2393}; // @[Cat.scala 29:58] + wire _T_4110 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 495:117] + wire _T_4111 = _T_3530 & _T_4110; // @[el2_lsu_bus_buffer.scala 495:112] + wire _T_4113 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 495:166] + wire _T_4114 = _T_3533 & _T_4113; // @[el2_lsu_bus_buffer.scala 495:161] + wire _T_4115 = _T_4111 | _T_4114; // @[el2_lsu_bus_buffer.scala 495:132] + wire _T_4116 = _T_845 & _T_4115; // @[el2_lsu_bus_buffer.scala 495:63] + wire _T_4117 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 495:206] + wire _T_4118 = ibuf_drain_vld & _T_4117; // @[el2_lsu_bus_buffer.scala 495:201] + wire _T_4119 = _T_4116 | _T_4118; // @[el2_lsu_bus_buffer.scala 495:183] + wire _T_4164 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4209 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 520:73] + wire _T_4210 = bus_rsp_write & _T_4209; // @[el2_lsu_bus_buffer.scala 520:52] + wire _T_4211 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 521:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4213 = io_lsu_axi_rid == _GEN_380; // @[el2_lsu_bus_buffer.scala 522:47] + wire _T_4214 = buf_ldfwd[3] & _T_4213; // @[el2_lsu_bus_buffer.scala 522:27] + wire _T_4215 = _T_4211 | _T_4214; // @[el2_lsu_bus_buffer.scala 521:77] + wire _T_4216 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 523:26] + wire _T_4218 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 523:44] + wire _T_4219 = _T_4216 & _T_4218; // @[el2_lsu_bus_buffer.scala 523:42] + wire _T_4220 = _T_4219 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 523:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_4213 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 522:94] - wire _T_4214 = _T_4212 & _T_4213; // @[el2_lsu_bus_buffer.scala 522:74] - wire _T_4215 = _T_4207 | _T_4214; // @[el2_lsu_bus_buffer.scala 521:71] - wire _T_4216 = bus_rsp_read & _T_4215; // @[el2_lsu_bus_buffer.scala 520:25] - wire _T_4217 = _T_4202 | _T_4216; // @[el2_lsu_bus_buffer.scala 519:105] - wire _GEN_270 = _T_4156 & _T_4217; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4122 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4118 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_4095 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4243 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4253 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 534:21] - wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 534:58] - wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 534:58] - wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_4255 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 534:58] - wire _T_4256 = _T_4253[0] & _T_4255; // @[el2_lsu_bus_buffer.scala 534:38] - wire _T_4257 = _T_4213 | _T_4256; // @[el2_lsu_bus_buffer.scala 533:95] - wire _T_4258 = bus_rsp_read & _T_4257; // @[el2_lsu_bus_buffer.scala 533:45] - wire _GEN_264 = _T_4243 & _T_4258; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4156 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_4122 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4118 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_4095 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4135 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 507:49] - wire _T_4136 = _T_4135 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 507:70] - wire _T_4261 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4264 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 539:37] - wire _T_4265 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 539:98] - wire _T_4266 = buf_dual_3 & _T_4265; // @[el2_lsu_bus_buffer.scala 539:80] - wire _T_4267 = _T_4264 | _T_4266; // @[el2_lsu_bus_buffer.scala 539:65] - wire _T_4268 = _T_4267 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 539:112] - wire _T_4269 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4261 ? _T_4268 : _T_4269; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4243 ? _T_4136 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4156 ? _T_4136 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4122 ? _T_4136 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4118 ? _T_3542 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_4095 ? _T_4111 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2439 = _T_1891 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 457:94] - wire _T_2449 = _T_2141 & _T_1894; // @[el2_lsu_bus_buffer.scala 459:71] - wire _T_2451 = _T_2449 & _T_1859; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2452 = _T_4454 | _T_2451; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2456 = _T_2148 & _T_1897; // @[el2_lsu_bus_buffer.scala 460:52] - wire _T_2458 = _T_2456 & _T_1861; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2459 = _T_2452 | _T_2458; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2460 = _T_2439 & _T_2459; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2462 = _T_2460 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2476 = _T_2449 & _T_1870; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2477 = _T_4459 | _T_2476; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2483 = _T_2456 & _T_1872; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2484 = _T_2477 | _T_2483; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2485 = _T_2439 & _T_2484; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2487 = _T_2485 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2501 = _T_2449 & _T_1881; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2502 = _T_4464 | _T_2501; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2508 = _T_2456 & _T_1883; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2509 = _T_2502 | _T_2508; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2510 = _T_2439 & _T_2509; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2512 = _T_2510 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_2526 = _T_2449 & _T_1892; // @[el2_lsu_bus_buffer.scala 459:92] - wire _T_2527 = _T_4469 | _T_2526; // @[el2_lsu_bus_buffer.scala 458:86] - wire _T_2533 = _T_2456 & _T_1894; // @[el2_lsu_bus_buffer.scala 460:73] - wire _T_2534 = _T_2527 | _T_2533; // @[el2_lsu_bus_buffer.scala 459:114] - wire _T_2535 = _T_2439 & _T_2534; // @[el2_lsu_bus_buffer.scala 457:113] - wire _T_2537 = _T_2535 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 460:97] - wire [2:0] _T_2539 = {_T_2537,_T_2512,_T_2487}; // @[Cat.scala 29:58] - wire _T_2787 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] - wire _T_2788 = _T_1858 | _T_2787; // @[el2_lsu_bus_buffer.scala 468:34] - wire _T_2789 = ~_T_2788; // @[el2_lsu_bus_buffer.scala 468:8] - wire _T_2797 = _T_2789 | _T_2145; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2804 = _T_2797 | _T_2152; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2805 = _T_2133 & _T_2804; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2809 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] - wire _T_2810 = _T_1869 | _T_2809; // @[el2_lsu_bus_buffer.scala 468:34] - wire _T_2811 = ~_T_2810; // @[el2_lsu_bus_buffer.scala 468:8] - wire _T_2819 = _T_2811 | _T_2170; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2826 = _T_2819 | _T_2177; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2827 = _T_2133 & _T_2826; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2831 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] - wire _T_2832 = _T_1880 | _T_2831; // @[el2_lsu_bus_buffer.scala 468:34] - wire _T_2833 = ~_T_2832; // @[el2_lsu_bus_buffer.scala 468:8] - wire _T_2841 = _T_2833 | _T_2195; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2848 = _T_2841 | _T_2202; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2849 = _T_2133 & _T_2848; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2853 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 468:49] - wire _T_2854 = _T_1891 | _T_2853; // @[el2_lsu_bus_buffer.scala 468:34] - wire _T_2855 = ~_T_2854; // @[el2_lsu_bus_buffer.scala 468:8] - wire _T_2863 = _T_2855 | _T_2220; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2870 = _T_2863 | _T_2227; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2871 = _T_2133 & _T_2870; // @[el2_lsu_bus_buffer.scala 467:114] - wire [3:0] buf_rspage_set_0 = {_T_2871,_T_2849,_T_2827,_T_2805}; // @[Cat.scala 29:58] - wire _T_2888 = _T_2789 | _T_2247; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2895 = _T_2888 | _T_2254; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2896 = _T_2235 & _T_2895; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2910 = _T_2811 | _T_2272; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2917 = _T_2910 | _T_2279; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2918 = _T_2235 & _T_2917; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2932 = _T_2833 | _T_2297; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2939 = _T_2932 | _T_2304; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2940 = _T_2235 & _T_2939; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_2954 = _T_2855 | _T_2322; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2961 = _T_2954 | _T_2329; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2962 = _T_2235 & _T_2961; // @[el2_lsu_bus_buffer.scala 467:114] - wire [3:0] buf_rspage_set_1 = {_T_2962,_T_2940,_T_2918,_T_2896}; // @[Cat.scala 29:58] - wire _T_2979 = _T_2789 | _T_2349; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_2986 = _T_2979 | _T_2356; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_2987 = _T_2337 & _T_2986; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3001 = _T_2811 | _T_2374; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3008 = _T_3001 | _T_2381; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3009 = _T_2337 & _T_3008; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3023 = _T_2833 | _T_2399; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3030 = _T_3023 | _T_2406; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3031 = _T_2337 & _T_3030; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3045 = _T_2855 | _T_2424; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3052 = _T_3045 | _T_2431; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3053 = _T_2337 & _T_3052; // @[el2_lsu_bus_buffer.scala 467:114] - wire [3:0] buf_rspage_set_2 = {_T_3053,_T_3031,_T_3009,_T_2987}; // @[Cat.scala 29:58] - wire _T_3070 = _T_2789 | _T_2451; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3077 = _T_3070 | _T_2458; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3078 = _T_2439 & _T_3077; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3092 = _T_2811 | _T_2476; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3099 = _T_3092 | _T_2483; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3100 = _T_2439 & _T_3099; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3114 = _T_2833 | _T_2501; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3121 = _T_3114 | _T_2508; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3122 = _T_2439 & _T_3121; // @[el2_lsu_bus_buffer.scala 467:114] - wire _T_3136 = _T_2855 | _T_2526; // @[el2_lsu_bus_buffer.scala 468:61] - wire _T_3143 = _T_3136 | _T_2533; // @[el2_lsu_bus_buffer.scala 469:112] - wire _T_3144 = _T_2439 & _T_3143; // @[el2_lsu_bus_buffer.scala 467:114] - wire [3:0] buf_rspage_set_3 = {_T_3144,_T_3122,_T_3100,_T_3078}; // @[Cat.scala 29:58] - wire _T_3229 = _T_2853 | _T_1891; // @[el2_lsu_bus_buffer.scala 472:112] - wire _T_3230 = ~_T_3229; // @[el2_lsu_bus_buffer.scala 472:86] - wire _T_3231 = buf_rspageQ_0[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3223 = _T_2831 | _T_1880; // @[el2_lsu_bus_buffer.scala 472:112] - wire _T_3224 = ~_T_3223; // @[el2_lsu_bus_buffer.scala 472:86] - wire _T_3225 = buf_rspageQ_0[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3217 = _T_2809 | _T_1869; // @[el2_lsu_bus_buffer.scala 472:112] - wire _T_3218 = ~_T_3217; // @[el2_lsu_bus_buffer.scala 472:86] - wire _T_3219 = buf_rspageQ_0[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3211 = _T_2787 | _T_1858; // @[el2_lsu_bus_buffer.scala 472:112] - wire _T_3212 = ~_T_3211; // @[el2_lsu_bus_buffer.scala 472:86] - wire _T_3213 = buf_rspageQ_0[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] - wire [3:0] buf_rspage_0 = {_T_3231,_T_3225,_T_3219,_T_3213}; // @[Cat.scala 29:58] - wire _T_3150 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3153 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3156 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3159 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 471:90] - wire [2:0] _T_3161 = {_T_3159,_T_3156,_T_3153}; // @[Cat.scala 29:58] - wire _T_3258 = buf_rspageQ_1[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3252 = buf_rspageQ_1[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3246 = buf_rspageQ_1[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3240 = buf_rspageQ_1[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] - wire [3:0] buf_rspage_1 = {_T_3258,_T_3252,_T_3246,_T_3240}; // @[Cat.scala 29:58] - wire _T_3165 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3168 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3171 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3174 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 471:90] - wire [2:0] _T_3176 = {_T_3174,_T_3171,_T_3168}; // @[Cat.scala 29:58] - wire _T_3285 = buf_rspageQ_2[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3279 = buf_rspageQ_2[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3273 = buf_rspageQ_2[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3267 = buf_rspageQ_2[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] - wire [3:0] buf_rspage_2 = {_T_3285,_T_3279,_T_3273,_T_3267}; // @[Cat.scala 29:58] - wire _T_3180 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3183 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3186 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3189 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 471:90] - wire [2:0] _T_3191 = {_T_3189,_T_3186,_T_3183}; // @[Cat.scala 29:58] - wire _T_3312 = buf_rspageQ_3[3] & _T_3230; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3306 = buf_rspageQ_3[2] & _T_3224; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3300 = buf_rspageQ_3[1] & _T_3218; // @[el2_lsu_bus_buffer.scala 472:84] - wire _T_3294 = buf_rspageQ_3[0] & _T_3212; // @[el2_lsu_bus_buffer.scala 472:84] - wire [3:0] buf_rspage_3 = {_T_3312,_T_3306,_T_3300,_T_3294}; // @[Cat.scala 29:58] - wire _T_3195 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3198 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3201 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 471:90] - wire _T_3204 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 471:90] - wire [2:0] _T_3206 = {_T_3204,_T_3201,_T_3198}; // @[Cat.scala 29:58] - wire _T_3317 = ibuf_drain_vld & _T_1859; // @[el2_lsu_bus_buffer.scala 477:65] - wire _T_3319 = ibuf_drain_vld & _T_1870; // @[el2_lsu_bus_buffer.scala 477:65] - wire _T_3321 = ibuf_drain_vld & _T_1881; // @[el2_lsu_bus_buffer.scala 477:65] - wire _T_3323 = ibuf_drain_vld & _T_1892; // @[el2_lsu_bus_buffer.scala 477:65] - wire [3:0] ibuf_drainvec_vld = {_T_3323,_T_3321,_T_3319,_T_3317}; // @[Cat.scala 29:58] - wire _T_3331 = _T_3525 & _T_1864; // @[el2_lsu_bus_buffer.scala 478:123] - wire [3:0] _T_3334 = _T_3331 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] - wire [3:0] _T_3335 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3334; // @[el2_lsu_bus_buffer.scala 478:48] - wire _T_3340 = _T_3525 & _T_1875; // @[el2_lsu_bus_buffer.scala 478:123] - wire [3:0] _T_3343 = _T_3340 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] - wire [3:0] _T_3344 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3343; // @[el2_lsu_bus_buffer.scala 478:48] - wire _T_3349 = _T_3525 & _T_1886; // @[el2_lsu_bus_buffer.scala 478:123] - wire [3:0] _T_3352 = _T_3349 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] - wire [3:0] _T_3353 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3352; // @[el2_lsu_bus_buffer.scala 478:48] - wire _T_3358 = _T_3525 & _T_1897; // @[el2_lsu_bus_buffer.scala 478:123] - wire [3:0] _T_3361 = _T_3358 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 478:96] - wire [3:0] _T_3362 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3361; // @[el2_lsu_bus_buffer.scala 478:48] - wire _T_3388 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] - wire _T_3390 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] - wire _T_3392 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] - wire _T_3394 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 480:47] - wire [3:0] buf_dual_in = {_T_3394,_T_3392,_T_3390,_T_3388}; // @[Cat.scala 29:58] - wire _T_3399 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] - wire _T_3401 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] - wire _T_3403 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] - wire _T_3405 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 481:49] - wire [3:0] buf_samedw_in = {_T_3405,_T_3403,_T_3401,_T_3399}; // @[Cat.scala 29:58] - wire _T_3410 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 482:86] - wire _T_3411 = ibuf_drainvec_vld[0] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] - wire _T_3414 = ibuf_drainvec_vld[1] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] - wire _T_3417 = ibuf_drainvec_vld[2] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] - wire _T_3420 = ibuf_drainvec_vld[3] ? _T_3410 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 482:50] - wire [3:0] buf_nomerge_in = {_T_3420,_T_3417,_T_3414,_T_3411}; // @[Cat.scala 29:58] - wire _T_3428 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3331; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3433 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3340; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3438 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3349; // @[el2_lsu_bus_buffer.scala 483:49] - wire _T_3443 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3358; // @[el2_lsu_bus_buffer.scala 483:49] - wire [3:0] buf_dualhi_in = {_T_3443,_T_3438,_T_3433,_T_3428}; // @[Cat.scala 29:58] - wire _T_3472 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3474 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3476 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] - wire _T_3478 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 485:53] - wire [3:0] buf_sideeffect_in = {_T_3478,_T_3476,_T_3474,_T_3472}; // @[Cat.scala 29:58] - wire _T_3483 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] - wire _T_3485 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] - wire _T_3487 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] - wire _T_3489 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 486:49] - wire [3:0] buf_unsign_in = {_T_3489,_T_3487,_T_3485,_T_3483}; // @[Cat.scala 29:58] - wire _T_3506 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] - wire _T_3508 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] - wire _T_3510 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] - wire _T_3512 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 488:48] - wire [3:0] buf_write_in = {_T_3512,_T_3510,_T_3508,_T_3506}; // @[Cat.scala 29:58] - wire _T_3545 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 504:89] - wire _T_3547 = _T_3545 & _T_1356; // @[el2_lsu_bus_buffer.scala 504:104] - wire _T_3560 = buf_state_en_0 & _T_1209; // @[el2_lsu_bus_buffer.scala 509:44] - wire _T_3561 = _T_3560 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] - wire _T_3563 = _T_3561 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] - wire _T_3566 = _T_3556 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] - wire _T_3567 = _T_3566 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] - wire _T_4852 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 616:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4852; // @[el2_lsu_bus_buffer.scala 616:38] - wire _T_3570 = _T_3566 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] - wire _T_3645 = bus_rsp_read_error & _T_3624; // @[el2_lsu_bus_buffer.scala 526:91] - wire _T_3647 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_3649 = _T_3647 & _T_3626; // @[el2_lsu_bus_buffer.scala 527:46] - wire _T_3650 = _T_3645 | _T_3649; // @[el2_lsu_bus_buffer.scala 526:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4852; // @[el2_lsu_bus_buffer.scala 615:40] - wire _T_3653 = bus_rsp_write_error & _T_3622; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_3654 = _T_3650 | _T_3653; // @[el2_lsu_bus_buffer.scala 527:88] - wire _T_3655 = _T_3556 & _T_3654; // @[el2_lsu_bus_buffer.scala 526:68] - wire _GEN_46 = _T_3577 & _T_3655; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3543 ? _T_3570 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3539 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3516 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire _T_3580 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 516:73] - wire _T_3581 = buf_write[0] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] - wire _T_3582 = io_dec_tlu_force_halt | _T_3581; // @[el2_lsu_bus_buffer.scala 516:55] - wire _T_3584 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 517:30] - wire _T_3585 = buf_dual_0 & _T_3584; // @[el2_lsu_bus_buffer.scala 517:28] - wire _T_3588 = _T_3585 & _T_1209; // @[el2_lsu_bus_buffer.scala 517:45] - wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3589 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3590 = _T_3588 & _T_3589; // @[el2_lsu_bus_buffer.scala 517:61] - wire _T_4477 = _T_2734 | _T_2731; // @[el2_lsu_bus_buffer.scala 575:93] - wire _T_4478 = _T_4477 | _T_2728; // @[el2_lsu_bus_buffer.scala 575:93] - wire any_done_wait_state = _T_4478 | _T_2725; // @[el2_lsu_bus_buffer.scala 575:93] - wire _T_3592 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] - wire _T_3598 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3600 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3602 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3604 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3606 = _T_3598 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3607 = _T_3600 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3608 = _T_3602 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3609 = _T_3604 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3610 = _T_3606 | _T_3607; // @[Mux.scala 27:72] - wire _T_3611 = _T_3610 | _T_3608; // @[Mux.scala 27:72] - wire _T_3612 = _T_3611 | _T_3609; // @[Mux.scala 27:72] - wire _T_3614 = _T_3588 & _T_3612; // @[el2_lsu_bus_buffer.scala 518:101] - wire _T_3615 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] - wire _T_3616 = _T_3614 & _T_3615; // @[el2_lsu_bus_buffer.scala 518:138] - wire _T_3617 = _T_3616 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] - wire _T_3618 = _T_3592 | _T_3617; // @[el2_lsu_bus_buffer.scala 518:53] - wire _T_3641 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] - wire _T_3642 = _T_3641 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] - wire _T_3656 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 529:50] - wire _T_3657 = buf_state_en_0 & _T_3656; // @[el2_lsu_bus_buffer.scala 529:48] - wire _T_3669 = buf_ldfwd[0] | _T_3674[0]; // @[el2_lsu_bus_buffer.scala 532:90] - wire _T_3670 = _T_3669 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] - wire _GEN_29 = _T_3690 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3682 ? 1'h0 : _T_3690; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3682 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3664 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3664 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3577 & _T_3642; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3577 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3577 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3543 ? _T_3563 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3543 ? _T_3567 : _GEN_45; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3543 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3539 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3539 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3539 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3516 & buf_state_en_0; // @[Conditional.scala 40:58] - wire buf_data_en_0 = _T_3516 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3516 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3516 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire _T_3753 = buf_state_en_1 & _T_3824; // @[el2_lsu_bus_buffer.scala 509:44] - wire _T_3754 = _T_3753 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] - wire _T_3756 = _T_3754 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] - wire _T_3759 = _T_3749 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] - wire _T_3760 = _T_3759 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] - wire _T_3763 = _T_3759 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] - wire _T_3838 = bus_rsp_read_error & _T_3817; // @[el2_lsu_bus_buffer.scala 526:91] - wire _T_3840 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_3842 = _T_3840 & _T_3819; // @[el2_lsu_bus_buffer.scala 527:46] - wire _T_3843 = _T_3838 | _T_3842; // @[el2_lsu_bus_buffer.scala 526:143] - wire _T_3846 = bus_rsp_write_error & _T_3815; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_3847 = _T_3843 | _T_3846; // @[el2_lsu_bus_buffer.scala 527:88] - wire _T_3848 = _T_3749 & _T_3847; // @[el2_lsu_bus_buffer.scala 526:68] - wire _GEN_122 = _T_3770 & _T_3848; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3736 ? _T_3763 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3732 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3709 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire _T_3774 = buf_write[1] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] - wire _T_3775 = io_dec_tlu_force_halt | _T_3774; // @[el2_lsu_bus_buffer.scala 516:55] - wire _T_3777 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 517:30] - wire _T_3778 = buf_dual_1 & _T_3777; // @[el2_lsu_bus_buffer.scala 517:28] - wire _T_3781 = _T_3778 & _T_3824; // @[el2_lsu_bus_buffer.scala 517:45] - wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3782 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3783 = _T_3781 & _T_3782; // @[el2_lsu_bus_buffer.scala 517:61] - wire _T_3785 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] - wire _T_3791 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3793 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3795 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3797 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3799 = _T_3791 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3800 = _T_3793 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3801 = _T_3795 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3802 = _T_3797 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3803 = _T_3799 | _T_3800; // @[Mux.scala 27:72] - wire _T_3804 = _T_3803 | _T_3801; // @[Mux.scala 27:72] - wire _T_3805 = _T_3804 | _T_3802; // @[Mux.scala 27:72] - wire _T_3807 = _T_3781 & _T_3805; // @[el2_lsu_bus_buffer.scala 518:101] - wire _T_3808 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] - wire _T_3809 = _T_3807 & _T_3808; // @[el2_lsu_bus_buffer.scala 518:138] - wire _T_3810 = _T_3809 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] - wire _T_3811 = _T_3785 | _T_3810; // @[el2_lsu_bus_buffer.scala 518:53] - wire _T_3834 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] - wire _T_3835 = _T_3834 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] - wire _T_3849 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 529:50] - wire _T_3850 = buf_state_en_1 & _T_3849; // @[el2_lsu_bus_buffer.scala 529:48] - wire _T_3862 = buf_ldfwd[1] | _T_3867[0]; // @[el2_lsu_bus_buffer.scala 532:90] - wire _T_3863 = _T_3862 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] - wire _GEN_105 = _T_3883 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3875 ? 1'h0 : _T_3883; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3875 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3857 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3857 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3770 & _T_3835; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3770 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3770 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3736 ? _T_3756 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3736 ? _T_3760 : _GEN_121; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3736 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3732 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3732 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3732 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3709 & buf_state_en_1; // @[Conditional.scala 40:58] - wire buf_data_en_1 = _T_3709 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3709 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3709 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire _T_3946 = buf_state_en_2 & _T_4017; // @[el2_lsu_bus_buffer.scala 509:44] - wire _T_3947 = _T_3946 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] - wire _T_3949 = _T_3947 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] - wire _T_3952 = _T_3942 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] - wire _T_3953 = _T_3952 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] - wire _T_3956 = _T_3952 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] - wire _T_4031 = bus_rsp_read_error & _T_4010; // @[el2_lsu_bus_buffer.scala 526:91] - wire _T_4033 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_4035 = _T_4033 & _T_4012; // @[el2_lsu_bus_buffer.scala 527:46] - wire _T_4036 = _T_4031 | _T_4035; // @[el2_lsu_bus_buffer.scala 526:143] - wire _T_4039 = bus_rsp_write_error & _T_4008; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_4040 = _T_4036 | _T_4039; // @[el2_lsu_bus_buffer.scala 527:88] - wire _T_4041 = _T_3942 & _T_4040; // @[el2_lsu_bus_buffer.scala 526:68] - wire _GEN_198 = _T_3963 & _T_4041; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3929 ? _T_3956 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3925 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3902 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire _T_3967 = buf_write[2] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] - wire _T_3968 = io_dec_tlu_force_halt | _T_3967; // @[el2_lsu_bus_buffer.scala 516:55] - wire _T_3970 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 517:30] - wire _T_3971 = buf_dual_2 & _T_3970; // @[el2_lsu_bus_buffer.scala 517:28] - wire _T_3974 = _T_3971 & _T_4017; // @[el2_lsu_bus_buffer.scala 517:45] - wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3975 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_3976 = _T_3974 & _T_3975; // @[el2_lsu_bus_buffer.scala 517:61] - wire _T_3978 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] - wire _T_3984 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3986 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3988 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3990 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_3992 = _T_3984 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3993 = _T_3986 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3994 = _T_3988 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3995 = _T_3990 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3996 = _T_3992 | _T_3993; // @[Mux.scala 27:72] - wire _T_3997 = _T_3996 | _T_3994; // @[Mux.scala 27:72] - wire _T_3998 = _T_3997 | _T_3995; // @[Mux.scala 27:72] - wire _T_4000 = _T_3974 & _T_3998; // @[el2_lsu_bus_buffer.scala 518:101] - wire _T_4001 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] - wire _T_4002 = _T_4000 & _T_4001; // @[el2_lsu_bus_buffer.scala 518:138] - wire _T_4003 = _T_4002 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] - wire _T_4004 = _T_3978 | _T_4003; // @[el2_lsu_bus_buffer.scala 518:53] - wire _T_4027 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] - wire _T_4028 = _T_4027 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] - wire _T_4042 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 529:50] - wire _T_4043 = buf_state_en_2 & _T_4042; // @[el2_lsu_bus_buffer.scala 529:48] - wire _T_4055 = buf_ldfwd[2] | _T_4060[0]; // @[el2_lsu_bus_buffer.scala 532:90] - wire _T_4056 = _T_4055 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] - wire _GEN_181 = _T_4076 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_4068 ? 1'h0 : _T_4076; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_4068 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_4050 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_4050 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3963 & _T_4028; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3963 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3963 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3929 ? _T_3949 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3929 ? _T_3953 : _GEN_197; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3929 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3925 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3925 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3925 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3902 & buf_state_en_2; // @[Conditional.scala 40:58] - wire buf_data_en_2 = _T_3902 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3902 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3902 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire _T_4139 = buf_state_en_3 & _T_4210; // @[el2_lsu_bus_buffer.scala 509:44] - wire _T_4140 = _T_4139 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 509:60] - wire _T_4142 = _T_4140 & _T_1338; // @[el2_lsu_bus_buffer.scala 509:74] - wire _T_4145 = _T_4135 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 511:67] - wire _T_4146 = _T_4145 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 511:81] - wire _T_4149 = _T_4145 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 512:82] - wire _T_4224 = bus_rsp_read_error & _T_4203; // @[el2_lsu_bus_buffer.scala 526:91] - wire _T_4226 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 527:31] - wire _T_4228 = _T_4226 & _T_4205; // @[el2_lsu_bus_buffer.scala 527:46] - wire _T_4229 = _T_4224 | _T_4228; // @[el2_lsu_bus_buffer.scala 526:143] - wire _T_4232 = bus_rsp_write_error & _T_4201; // @[el2_lsu_bus_buffer.scala 528:53] - wire _T_4233 = _T_4229 | _T_4232; // @[el2_lsu_bus_buffer.scala 527:88] - wire _T_4234 = _T_4135 & _T_4233; // @[el2_lsu_bus_buffer.scala 526:68] - wire _GEN_274 = _T_4156 & _T_4234; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4122 ? _T_4149 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4118 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_4095 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire _T_4160 = buf_write[3] & _T_3580; // @[el2_lsu_bus_buffer.scala 516:71] - wire _T_4161 = io_dec_tlu_force_halt | _T_4160; // @[el2_lsu_bus_buffer.scala 516:55] - wire _T_4163 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 517:30] - wire _T_4164 = buf_dual_3 & _T_4163; // @[el2_lsu_bus_buffer.scala 517:28] - wire _T_4167 = _T_4164 & _T_4210; // @[el2_lsu_bus_buffer.scala 517:45] - wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 517:90] - wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_4168 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 517:90] - wire _T_4169 = _T_4167 & _T_4168; // @[el2_lsu_bus_buffer.scala 517:61] - wire _T_4171 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:31] - wire _T_4177 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4179 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4181 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4183 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] - wire _T_4185 = _T_4177 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4186 = _T_4179 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4187 = _T_4181 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4188 = _T_4183 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4189 = _T_4185 | _T_4186; // @[Mux.scala 27:72] - wire _T_4190 = _T_4189 | _T_4187; // @[Mux.scala 27:72] - wire _T_4191 = _T_4190 | _T_4188; // @[Mux.scala 27:72] - wire _T_4193 = _T_4167 & _T_4191; // @[el2_lsu_bus_buffer.scala 518:101] - wire _T_4194 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 518:167] - wire _T_4195 = _T_4193 & _T_4194; // @[el2_lsu_bus_buffer.scala 518:138] - wire _T_4196 = _T_4195 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 518:187] - wire _T_4197 = _T_4171 | _T_4196; // @[el2_lsu_bus_buffer.scala 518:53] - wire _T_4220 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 525:47] - wire _T_4221 = _T_4220 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 525:62] - wire _T_4235 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 529:50] - wire _T_4236 = buf_state_en_3 & _T_4235; // @[el2_lsu_bus_buffer.scala 529:48] - wire _T_4248 = buf_ldfwd[3] | _T_4253[0]; // @[el2_lsu_bus_buffer.scala 532:90] - wire _T_4249 = _T_4248 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 532:118] - wire _GEN_257 = _T_4269 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4261 ? 1'h0 : _T_4269; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4261 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4243 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4243 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4156 & _T_4221; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4156 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4156 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_4122 ? _T_4142 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4122 ? _T_4146 : _GEN_273; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_4122 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_4118 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4118 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_4118 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_4095 & buf_state_en_3; // @[Conditional.scala 40:58] - wire buf_data_en_3 = _T_4095 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_4095 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_4095 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4324; // @[Reg.scala 27:20] - reg _T_4327; // @[Reg.scala 27:20] - reg _T_4330; // @[Reg.scala 27:20] - reg _T_4333; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4333,_T_4330,_T_4327,_T_4324}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3335[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] - wire [2:0] buf_byteen_in_1 = _T_3344[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] - wire [2:0] buf_byteen_in_2 = _T_3353[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] - wire [2:0] buf_byteen_in_3 = _T_3362[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 478:19] - reg _T_4399; // @[el2_lsu_bus_buffer.scala 568:82] - reg _T_4394; // @[el2_lsu_bus_buffer.scala 568:82] - reg _T_4389; // @[el2_lsu_bus_buffer.scala 568:82] - reg _T_4384; // @[el2_lsu_bus_buffer.scala 568:82] - wire [3:0] buf_error = {_T_4399,_T_4394,_T_4389,_T_4384}; // @[Cat.scala 29:58] - wire _T_4381 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 568:86] - wire _T_4382 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 568:128] - wire _T_4386 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 568:86] - wire _T_4387 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 568:128] - wire _T_4391 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 568:86] - wire _T_4392 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 568:128] - wire _T_4396 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 568:86] - wire _T_4397 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 568:128] - wire [2:0] _T_4404 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] - wire [1:0] _T_4410 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 571:96] - wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 571:96] - wire [2:0] _T_4411 = _T_4410 + _GEN_388; // @[el2_lsu_bus_buffer.scala 571:96] - wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 571:96] - wire [3:0] buf_numvld_any = _T_4411 + _GEN_389; // @[el2_lsu_bus_buffer.scala 571:96] - wire _T_4481 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 577:52] - wire _T_4482 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 577:92] - wire _T_4483 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 577:119] - wire _T_4485 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 578:52] - wire _T_4486 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 578:52] - wire _T_4487 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 578:52] - wire _T_4488 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 578:52] - wire _T_4489 = _T_4485 | _T_4486; // @[el2_lsu_bus_buffer.scala 578:65] - wire _T_4490 = _T_4489 | _T_4487; // @[el2_lsu_bus_buffer.scala 578:65] - wire _T_4491 = _T_4490 | _T_4488; // @[el2_lsu_bus_buffer.scala 578:65] - wire _T_4492 = ~_T_4491; // @[el2_lsu_bus_buffer.scala 578:34] - wire _T_4494 = _T_4492 & _T_844; // @[el2_lsu_bus_buffer.scala 578:70] - wire _T_4497 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 580:51] - wire _T_4498 = _T_4497 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 580:72] - wire _T_4499 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 580:94] - wire _T_4500 = _T_4498 & _T_4499; // @[el2_lsu_bus_buffer.scala 580:92] - wire _T_4501 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 580:111] - wire _T_4503 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 583:61] - reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 669:66] - wire _T_4521 = _T_2787 & _T_1209; // @[Mux.scala 27:72] - wire _T_4522 = _T_2809 & _T_3824; // @[Mux.scala 27:72] - wire _T_4523 = _T_2831 & _T_4017; // @[Mux.scala 27:72] - wire _T_4524 = _T_2853 & _T_4210; // @[Mux.scala 27:72] - wire _T_4525 = _T_4521 | _T_4522; // @[Mux.scala 27:72] - wire _T_4526 = _T_4525 | _T_4523; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4526 | _T_4524; // @[Mux.scala 27:72] - wire _T_4532 = buf_error[0] & _T_1209; // @[el2_lsu_bus_buffer.scala 586:108] - wire _T_4537 = buf_error[1] & _T_3824; // @[el2_lsu_bus_buffer.scala 586:108] - wire _T_4542 = buf_error[2] & _T_4017; // @[el2_lsu_bus_buffer.scala 586:108] - wire _T_4547 = buf_error[3] & _T_4210; // @[el2_lsu_bus_buffer.scala 586:108] - wire _T_4548 = _T_2787 & _T_4532; // @[Mux.scala 27:72] - wire _T_4549 = _T_2809 & _T_4537; // @[Mux.scala 27:72] - wire _T_4550 = _T_2831 & _T_4542; // @[Mux.scala 27:72] - wire _T_4551 = _T_2853 & _T_4547; // @[Mux.scala 27:72] - wire _T_4552 = _T_4548 | _T_4549; // @[Mux.scala 27:72] - wire _T_4553 = _T_4552 | _T_4550; // @[Mux.scala 27:72] - wire _T_4560 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 587:109] - wire _T_4561 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 587:124] - wire _T_4562 = _T_4560 | _T_4561; // @[el2_lsu_bus_buffer.scala 587:122] - wire _T_4563 = _T_4521 & _T_4562; // @[el2_lsu_bus_buffer.scala 587:106] - wire _T_4568 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 587:109] - wire _T_4569 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 587:124] - wire _T_4570 = _T_4568 | _T_4569; // @[el2_lsu_bus_buffer.scala 587:122] - wire _T_4571 = _T_4522 & _T_4570; // @[el2_lsu_bus_buffer.scala 587:106] - wire _T_4576 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 587:109] - wire _T_4577 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 587:124] - wire _T_4578 = _T_4576 | _T_4577; // @[el2_lsu_bus_buffer.scala 587:122] - wire _T_4579 = _T_4523 & _T_4578; // @[el2_lsu_bus_buffer.scala 587:106] - wire _T_4584 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 587:109] - wire _T_4585 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 587:124] - wire _T_4586 = _T_4584 | _T_4585; // @[el2_lsu_bus_buffer.scala 587:122] - wire _T_4587 = _T_4524 & _T_4586; // @[el2_lsu_bus_buffer.scala 587:106] - wire [1:0] _T_4590 = _T_4579 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4591 = _T_4587 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_390 = {{1'd0}, _T_4571}; // @[Mux.scala 27:72] - wire [1:0] _T_4593 = _GEN_390 | _T_4590; // @[Mux.scala 27:72] - wire [31:0] _T_4628 = _T_4563 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4629 = _T_4571 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4630 = _T_4579 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4631 = _T_4587 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4632 = _T_4628 | _T_4629; // @[Mux.scala 27:72] - wire [31:0] _T_4633 = _T_4632 | _T_4630; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4633 | _T_4631; // @[Mux.scala 27:72] - wire _T_4639 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 589:120] - wire _T_4640 = _T_4521 & _T_4639; // @[el2_lsu_bus_buffer.scala 589:105] - wire _T_4645 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 589:120] - wire _T_4646 = _T_4522 & _T_4645; // @[el2_lsu_bus_buffer.scala 589:105] - wire _T_4651 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 589:120] - wire _T_4652 = _T_4523 & _T_4651; // @[el2_lsu_bus_buffer.scala 589:105] - wire _T_4657 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 589:120] - wire _T_4658 = _T_4524 & _T_4657; // @[el2_lsu_bus_buffer.scala 589:105] - wire [31:0] _T_4659 = _T_4640 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4660 = _T_4646 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4661 = _T_4652 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4662 = _T_4658 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4663 = _T_4659 | _T_4660; // @[Mux.scala 27:72] - wire [31:0] _T_4664 = _T_4663 | _T_4661; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4664 | _T_4662; // @[Mux.scala 27:72] - wire _T_4666 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4667 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4668 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 119:123] - wire _T_4669 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 119:123] - wire [31:0] _T_4670 = _T_4666 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4671 = _T_4667 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4672 = _T_4668 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4673 = _T_4669 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4674 = _T_4670 | _T_4671; // @[Mux.scala 27:72] - wire [31:0] _T_4675 = _T_4674 | _T_4672; // @[Mux.scala 27:72] - wire [31:0] _T_4676 = _T_4675 | _T_4673; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_addr_offset = _T_4676[1:0]; // @[el2_lsu_bus_buffer.scala 590:83] - wire [1:0] _T_4682 = _T_4666 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4683 = _T_4667 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4684 = _T_4668 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4685 = _T_4669 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4686 = _T_4682 | _T_4683; // @[Mux.scala 27:72] - wire [1:0] _T_4687 = _T_4686 | _T_4684; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4687 | _T_4685; // @[Mux.scala 27:72] - wire _T_4697 = _T_4666 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4698 = _T_4667 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4699 = _T_4668 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4700 = _T_4669 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4701 = _T_4697 | _T_4698; // @[Mux.scala 27:72] - wire _T_4702 = _T_4701 | _T_4699; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4702 | _T_4700; // @[Mux.scala 27:72] - wire [63:0] _T_4722 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 594:121] - wire [5:0] _T_4723 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 594:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4722 >> _T_4723; // @[el2_lsu_bus_buffer.scala 594:92] - wire _T_4724 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 597:69] - wire _T_4726 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 598:81] - wire _T_4727 = lsu_nonblock_unsign & _T_4726; // @[el2_lsu_bus_buffer.scala 598:63] - wire [31:0] _T_4729 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4730 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 599:45] - wire _T_4731 = lsu_nonblock_unsign & _T_4730; // @[el2_lsu_bus_buffer.scala 599:26] - wire [31:0] _T_4733 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4734 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 600:6] - wire _T_4736 = _T_4734 & _T_4726; // @[el2_lsu_bus_buffer.scala 600:27] - wire [23:0] _T_4739 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4741 = {_T_4739,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4744 = _T_4734 & _T_4730; // @[el2_lsu_bus_buffer.scala 601:27] - wire [15:0] _T_4747 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4749 = {_T_4747,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4750 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 602:21] - wire [31:0] _T_4751 = _T_4727 ? _T_4729 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4752 = _T_4731 ? _T_4733 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4753 = _T_4736 ? _T_4741 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4754 = _T_4744 ? _T_4749 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4755 = _T_4750 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4756 = _T_4751 | _T_4752; // @[Mux.scala 27:72] - wire [31:0] _T_4757 = _T_4756 | _T_4753; // @[Mux.scala 27:72] - wire [31:0] _T_4758 = _T_4757 | _T_4754; // @[Mux.scala 27:72] - wire [63:0] _GEN_392 = {{32'd0}, _T_4758}; // @[Mux.scala 27:72] - wire [63:0] _T_4759 = _GEN_392 | _T_4755; // @[Mux.scala 27:72] - wire _T_4854 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 620:36] - wire _T_4855 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 620:51] - wire _T_4856 = _T_4854 & _T_4855; // @[el2_lsu_bus_buffer.scala 620:49] - wire [31:0] _T_4860 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4862 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4867 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 632:50] - wire _T_4868 = _T_4854 & _T_4867; // @[el2_lsu_bus_buffer.scala 632:48] - wire [7:0] _T_4872 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4875 = obuf_valid & _T_1348; // @[el2_lsu_bus_buffer.scala 637:36] - wire _T_4877 = _T_4875 & _T_1354; // @[el2_lsu_bus_buffer.scala 637:50] - wire _T_4889 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 650:114] - wire _T_4891 = _T_4889 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 650:129] - wire _T_4894 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 650:114] - wire _T_4896 = _T_4894 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 650:129] - wire _T_4899 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 650:114] - wire _T_4901 = _T_4899 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 650:129] - wire _T_4904 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 650:114] - wire _T_4906 = _T_4904 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 650:129] - wire _T_4907 = _T_2787 & _T_4891; // @[Mux.scala 27:72] - wire _T_4908 = _T_2809 & _T_4896; // @[Mux.scala 27:72] - wire _T_4909 = _T_2831 & _T_4901; // @[Mux.scala 27:72] - wire _T_4910 = _T_2853 & _T_4906; // @[Mux.scala 27:72] - wire _T_4911 = _T_4907 | _T_4908; // @[Mux.scala 27:72] - wire _T_4912 = _T_4911 | _T_4909; // @[Mux.scala 27:72] - wire _T_4922 = _T_2809 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 651:98] - wire lsu_imprecise_error_store_tag = _T_4922 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 651:113] - wire _T_4928 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 653:72] - wire _T_4930 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] - wire [31:0] _T_4932 = _T_4930 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4933 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4934 = _T_4932 | _T_4933; // @[Mux.scala 27:72] - wire _T_4951 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 660:68] - wire _T_4954 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 661:48] - wire _T_4957 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 664:48] - wire _T_4958 = io_lsu_axi_awvalid & _T_4957; // @[el2_lsu_bus_buffer.scala 664:46] - wire _T_4959 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 664:92] - wire _T_4960 = io_lsu_axi_wvalid & _T_4959; // @[el2_lsu_bus_buffer.scala 664:90] - wire _T_4961 = _T_4958 | _T_4960; // @[el2_lsu_bus_buffer.scala 664:69] - wire _T_4962 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 664:136] - wire _T_4963 = io_lsu_axi_arvalid & _T_4962; // @[el2_lsu_bus_buffer.scala 664:134] - wire _T_4967 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 668:75] - wire _T_4968 = io_lsu_busreq_m & _T_4967; // @[el2_lsu_bus_buffer.scala 668:73] - reg _T_4971; // @[el2_lsu_bus_buffer.scala 668:56] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4221 = io_lsu_axi_rid == _GEN_381; // @[el2_lsu_bus_buffer.scala 523:94] + wire _T_4222 = _T_4220 & _T_4221; // @[el2_lsu_bus_buffer.scala 523:74] + wire _T_4223 = _T_4215 | _T_4222; // @[el2_lsu_bus_buffer.scala 522:71] + wire _T_4224 = bus_rsp_read & _T_4223; // @[el2_lsu_bus_buffer.scala 521:25] + wire _T_4225 = _T_4210 | _T_4224; // @[el2_lsu_bus_buffer.scala 520:105] + wire _GEN_270 = _T_4164 & _T_4225; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4130 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4126 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4251 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4261 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 535:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 535:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 535:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4263 = io_lsu_axi_rid == _GEN_383; // @[el2_lsu_bus_buffer.scala 535:58] + wire _T_4264 = _T_4261[0] & _T_4263; // @[el2_lsu_bus_buffer.scala 535:38] + wire _T_4265 = _T_4221 | _T_4264; // @[el2_lsu_bus_buffer.scala 534:95] + wire _T_4266 = bus_rsp_read & _T_4265; // @[el2_lsu_bus_buffer.scala 534:45] + wire _GEN_264 = _T_4251 & _T_4266; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4164 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4130 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4126 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4103 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4143 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 508:49] + wire _T_4144 = _T_4143 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 508:70] + wire _T_4269 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4272 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 540:37] + wire _T_4273 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 540:98] + wire _T_4274 = buf_dual_3 & _T_4273; // @[el2_lsu_bus_buffer.scala 540:80] + wire _T_4275 = _T_4272 | _T_4274; // @[el2_lsu_bus_buffer.scala 540:65] + wire _T_4276 = _T_4275 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 540:112] + wire _T_4277 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4269 ? _T_4276 : _T_4277; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4251 ? _T_4144 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4164 ? _T_4144 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4130 ? _T_4144 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4126 ? _T_3550 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4103 ? _T_4119 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2447 = _T_1899 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 458:94] + wire _T_2457 = _T_2149 & _T_1902; // @[el2_lsu_bus_buffer.scala 460:71] + wire _T_2459 = _T_2457 & _T_1867; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2460 = _T_4462 | _T_2459; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2464 = _T_2156 & _T_1905; // @[el2_lsu_bus_buffer.scala 461:52] + wire _T_2466 = _T_2464 & _T_1869; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2467 = _T_2460 | _T_2466; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2468 = _T_2447 & _T_2467; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2470 = _T_2468 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2484 = _T_2457 & _T_1878; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2485 = _T_4467 | _T_2484; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2491 = _T_2464 & _T_1880; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2492 = _T_2485 | _T_2491; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2493 = _T_2447 & _T_2492; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2495 = _T_2493 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2509 = _T_2457 & _T_1889; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2510 = _T_4472 | _T_2509; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2516 = _T_2464 & _T_1891; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2517 = _T_2510 | _T_2516; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2518 = _T_2447 & _T_2517; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2520 = _T_2518 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 461:97] + wire _T_2534 = _T_2457 & _T_1900; // @[el2_lsu_bus_buffer.scala 460:92] + wire _T_2535 = _T_4477 | _T_2534; // @[el2_lsu_bus_buffer.scala 459:86] + wire _T_2541 = _T_2464 & _T_1902; // @[el2_lsu_bus_buffer.scala 461:73] + wire _T_2542 = _T_2535 | _T_2541; // @[el2_lsu_bus_buffer.scala 460:114] + wire _T_2543 = _T_2447 & _T_2542; // @[el2_lsu_bus_buffer.scala 458:113] + wire _T_2545 = _T_2543 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 461:97] + wire [2:0] _T_2547 = {_T_2545,_T_2520,_T_2495}; // @[Cat.scala 29:58] + wire _T_2795 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2796 = _T_1866 | _T_2795; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2797 = ~_T_2796; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2805 = _T_2797 | _T_2153; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2812 = _T_2805 | _T_2160; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2813 = _T_2141 & _T_2812; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2817 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2818 = _T_1877 | _T_2817; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2819 = ~_T_2818; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2827 = _T_2819 | _T_2178; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2834 = _T_2827 | _T_2185; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2835 = _T_2141 & _T_2834; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2839 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2840 = _T_1888 | _T_2839; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2841 = ~_T_2840; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2849 = _T_2841 | _T_2203; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2856 = _T_2849 | _T_2210; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2857 = _T_2141 & _T_2856; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2861 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 469:49] + wire _T_2862 = _T_1899 | _T_2861; // @[el2_lsu_bus_buffer.scala 469:34] + wire _T_2863 = ~_T_2862; // @[el2_lsu_bus_buffer.scala 469:8] + wire _T_2871 = _T_2863 | _T_2228; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2878 = _T_2871 | _T_2235; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2879 = _T_2141 & _T_2878; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_0 = {_T_2879,_T_2857,_T_2835,_T_2813}; // @[Cat.scala 29:58] + wire _T_2896 = _T_2797 | _T_2255; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2903 = _T_2896 | _T_2262; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2904 = _T_2243 & _T_2903; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2918 = _T_2819 | _T_2280; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2925 = _T_2918 | _T_2287; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2926 = _T_2243 & _T_2925; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2940 = _T_2841 | _T_2305; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2947 = _T_2940 | _T_2312; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2948 = _T_2243 & _T_2947; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_2962 = _T_2863 | _T_2330; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2969 = _T_2962 | _T_2337; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2970 = _T_2243 & _T_2969; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_1 = {_T_2970,_T_2948,_T_2926,_T_2904}; // @[Cat.scala 29:58] + wire _T_2987 = _T_2797 | _T_2357; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_2994 = _T_2987 | _T_2364; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_2995 = _T_2345 & _T_2994; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3009 = _T_2819 | _T_2382; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3016 = _T_3009 | _T_2389; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3017 = _T_2345 & _T_3016; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3031 = _T_2841 | _T_2407; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3038 = _T_3031 | _T_2414; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3039 = _T_2345 & _T_3038; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3053 = _T_2863 | _T_2432; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3060 = _T_3053 | _T_2439; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3061 = _T_2345 & _T_3060; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_2 = {_T_3061,_T_3039,_T_3017,_T_2995}; // @[Cat.scala 29:58] + wire _T_3078 = _T_2797 | _T_2459; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3085 = _T_3078 | _T_2466; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3086 = _T_2447 & _T_3085; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3100 = _T_2819 | _T_2484; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3107 = _T_3100 | _T_2491; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3108 = _T_2447 & _T_3107; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3122 = _T_2841 | _T_2509; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3129 = _T_3122 | _T_2516; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3130 = _T_2447 & _T_3129; // @[el2_lsu_bus_buffer.scala 468:114] + wire _T_3144 = _T_2863 | _T_2534; // @[el2_lsu_bus_buffer.scala 469:61] + wire _T_3151 = _T_3144 | _T_2541; // @[el2_lsu_bus_buffer.scala 470:112] + wire _T_3152 = _T_2447 & _T_3151; // @[el2_lsu_bus_buffer.scala 468:114] + wire [3:0] buf_rspage_set_3 = {_T_3152,_T_3130,_T_3108,_T_3086}; // @[Cat.scala 29:58] + wire _T_3237 = _T_2861 | _T_1899; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3238 = ~_T_3237; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3239 = buf_rspageQ_0[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3231 = _T_2839 | _T_1888; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3232 = ~_T_3231; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3233 = buf_rspageQ_0[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3225 = _T_2817 | _T_1877; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3226 = ~_T_3225; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3227 = buf_rspageQ_0[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3219 = _T_2795 | _T_1866; // @[el2_lsu_bus_buffer.scala 473:112] + wire _T_3220 = ~_T_3219; // @[el2_lsu_bus_buffer.scala 473:86] + wire _T_3221 = buf_rspageQ_0[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_0 = {_T_3239,_T_3233,_T_3227,_T_3221}; // @[Cat.scala 29:58] + wire _T_3158 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3161 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3164 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3167 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3169 = {_T_3167,_T_3164,_T_3161}; // @[Cat.scala 29:58] + wire _T_3266 = buf_rspageQ_1[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3260 = buf_rspageQ_1[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3254 = buf_rspageQ_1[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3248 = buf_rspageQ_1[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_1 = {_T_3266,_T_3260,_T_3254,_T_3248}; // @[Cat.scala 29:58] + wire _T_3173 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3176 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3179 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3182 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3184 = {_T_3182,_T_3179,_T_3176}; // @[Cat.scala 29:58] + wire _T_3293 = buf_rspageQ_2[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3287 = buf_rspageQ_2[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3281 = buf_rspageQ_2[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3275 = buf_rspageQ_2[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_2 = {_T_3293,_T_3287,_T_3281,_T_3275}; // @[Cat.scala 29:58] + wire _T_3188 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3191 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3194 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3197 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3199 = {_T_3197,_T_3194,_T_3191}; // @[Cat.scala 29:58] + wire _T_3320 = buf_rspageQ_3[3] & _T_3238; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3314 = buf_rspageQ_3[2] & _T_3232; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3308 = buf_rspageQ_3[1] & _T_3226; // @[el2_lsu_bus_buffer.scala 473:84] + wire _T_3302 = buf_rspageQ_3[0] & _T_3220; // @[el2_lsu_bus_buffer.scala 473:84] + wire [3:0] buf_rspage_3 = {_T_3320,_T_3314,_T_3308,_T_3302}; // @[Cat.scala 29:58] + wire _T_3203 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3206 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3209 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 472:90] + wire _T_3212 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 472:90] + wire [2:0] _T_3214 = {_T_3212,_T_3209,_T_3206}; // @[Cat.scala 29:58] + wire _T_3325 = ibuf_drain_vld & _T_1867; // @[el2_lsu_bus_buffer.scala 478:65] + wire _T_3327 = ibuf_drain_vld & _T_1878; // @[el2_lsu_bus_buffer.scala 478:65] + wire _T_3329 = ibuf_drain_vld & _T_1889; // @[el2_lsu_bus_buffer.scala 478:65] + wire _T_3331 = ibuf_drain_vld & _T_1900; // @[el2_lsu_bus_buffer.scala 478:65] + wire [3:0] ibuf_drainvec_vld = {_T_3331,_T_3329,_T_3327,_T_3325}; // @[Cat.scala 29:58] + wire _T_3339 = _T_3533 & _T_1872; // @[el2_lsu_bus_buffer.scala 479:123] + wire [3:0] _T_3342 = _T_3339 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] + wire [3:0] _T_3343 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3342; // @[el2_lsu_bus_buffer.scala 479:48] + wire _T_3348 = _T_3533 & _T_1883; // @[el2_lsu_bus_buffer.scala 479:123] + wire [3:0] _T_3351 = _T_3348 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] + wire [3:0] _T_3352 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3351; // @[el2_lsu_bus_buffer.scala 479:48] + wire _T_3357 = _T_3533 & _T_1894; // @[el2_lsu_bus_buffer.scala 479:123] + wire [3:0] _T_3360 = _T_3357 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] + wire [3:0] _T_3361 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3360; // @[el2_lsu_bus_buffer.scala 479:48] + wire _T_3366 = _T_3533 & _T_1905; // @[el2_lsu_bus_buffer.scala 479:123] + wire [3:0] _T_3369 = _T_3366 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 479:96] + wire [3:0] _T_3370 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3369; // @[el2_lsu_bus_buffer.scala 479:48] + wire _T_3396 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire _T_3398 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire _T_3400 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire _T_3402 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 481:47] + wire [3:0] buf_dual_in = {_T_3402,_T_3400,_T_3398,_T_3396}; // @[Cat.scala 29:58] + wire _T_3407 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3409 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3411 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire _T_3413 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 482:49] + wire [3:0] buf_samedw_in = {_T_3413,_T_3411,_T_3409,_T_3407}; // @[Cat.scala 29:58] + wire _T_3418 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 483:86] + wire _T_3419 = ibuf_drainvec_vld[0] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire _T_3422 = ibuf_drainvec_vld[1] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire _T_3425 = ibuf_drainvec_vld[2] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire _T_3428 = ibuf_drainvec_vld[3] ? _T_3418 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 483:50] + wire [3:0] buf_nomerge_in = {_T_3428,_T_3425,_T_3422,_T_3419}; // @[Cat.scala 29:58] + wire _T_3436 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3339; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3441 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3348; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3446 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3357; // @[el2_lsu_bus_buffer.scala 484:49] + wire _T_3451 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3366; // @[el2_lsu_bus_buffer.scala 484:49] + wire [3:0] buf_dualhi_in = {_T_3451,_T_3446,_T_3441,_T_3436}; // @[Cat.scala 29:58] + wire _T_3480 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire _T_3482 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire _T_3484 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire _T_3486 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 486:53] + wire [3:0] buf_sideeffect_in = {_T_3486,_T_3484,_T_3482,_T_3480}; // @[Cat.scala 29:58] + wire _T_3491 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire _T_3493 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire _T_3495 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire _T_3497 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 487:49] + wire [3:0] buf_unsign_in = {_T_3497,_T_3495,_T_3493,_T_3491}; // @[Cat.scala 29:58] + wire _T_3514 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3516 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3518 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire _T_3520 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 489:48] + wire [3:0] buf_write_in = {_T_3520,_T_3518,_T_3516,_T_3514}; // @[Cat.scala 29:58] + wire _T_3553 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 505:89] + wire _T_3555 = _T_3553 & _T_1364; // @[el2_lsu_bus_buffer.scala 505:104] + wire _T_3568 = buf_state_en_0 & _T_1217; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_3569 = _T_3568 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_3571 = _T_3569 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_3574 = _T_3564 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_3575 = _T_3574 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_4860 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 617:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4860; // @[el2_lsu_bus_buffer.scala 617:38] + wire _T_3578 = _T_3574 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_3653 = bus_rsp_read_error & _T_3632; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_3655 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_3657 = _T_3655 & _T_3634; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_3658 = _T_3653 | _T_3657; // @[el2_lsu_bus_buffer.scala 527:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4860; // @[el2_lsu_bus_buffer.scala 616:40] + wire _T_3661 = bus_rsp_write_error & _T_3630; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_3662 = _T_3658 | _T_3661; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_3663 = _T_3564 & _T_3662; // @[el2_lsu_bus_buffer.scala 527:68] + wire _GEN_46 = _T_3585 & _T_3663; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3551 ? _T_3578 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3547 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3524 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3588 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 517:73] + wire _T_3589 = buf_write[0] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_3590 = io_dec_tlu_force_halt | _T_3589; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_3592 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_3593 = buf_dual_0 & _T_3592; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_3596 = _T_3593 & _T_1217; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3597 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3598 = _T_3596 & _T_3597; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_4485 = _T_2742 | _T_2739; // @[el2_lsu_bus_buffer.scala 576:93] + wire _T_4486 = _T_4485 | _T_2736; // @[el2_lsu_bus_buffer.scala 576:93] + wire any_done_wait_state = _T_4486 | _T_2733; // @[el2_lsu_bus_buffer.scala 576:93] + wire _T_3600 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_3606 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3608 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3610 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3612 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3614 = _T_3606 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3615 = _T_3608 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3616 = _T_3610 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3617 = _T_3612 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3618 = _T_3614 | _T_3615; // @[Mux.scala 27:72] + wire _T_3619 = _T_3618 | _T_3616; // @[Mux.scala 27:72] + wire _T_3620 = _T_3619 | _T_3617; // @[Mux.scala 27:72] + wire _T_3622 = _T_3596 & _T_3620; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_3623 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_3624 = _T_3622 & _T_3623; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_3625 = _T_3624 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_3626 = _T_3600 | _T_3625; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_3649 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_3650 = _T_3649 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_3664 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_3665 = buf_state_en_0 & _T_3664; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_3677 = buf_ldfwd[0] | _T_3682[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_3678 = _T_3677 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _GEN_29 = _T_3698 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3690 ? 1'h0 : _T_3698; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3690 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3672 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3672 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3585 & _T_3650; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3585 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3585 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3551 ? _T_3571 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3551 ? _T_3575 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3551 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3547 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3547 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3547 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3524 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_data_en_0 = _T_3524 ? buf_state_en_0 : _GEN_70; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3524 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3524 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3761 = buf_state_en_1 & _T_3832; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_3762 = _T_3761 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_3764 = _T_3762 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_3767 = _T_3757 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_3768 = _T_3767 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_3771 = _T_3767 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_3846 = bus_rsp_read_error & _T_3825; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_3848 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_3850 = _T_3848 & _T_3827; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_3851 = _T_3846 | _T_3850; // @[el2_lsu_bus_buffer.scala 527:143] + wire _T_3854 = bus_rsp_write_error & _T_3823; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_3855 = _T_3851 | _T_3854; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_3856 = _T_3757 & _T_3855; // @[el2_lsu_bus_buffer.scala 527:68] + wire _GEN_122 = _T_3778 & _T_3856; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3744 ? _T_3771 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3740 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3717 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3782 = buf_write[1] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_3783 = io_dec_tlu_force_halt | _T_3782; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_3785 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_3786 = buf_dual_1 & _T_3785; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_3789 = _T_3786 & _T_3832; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3790 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3791 = _T_3789 & _T_3790; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_3793 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_3799 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3801 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3803 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3805 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3807 = _T_3799 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3808 = _T_3801 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3809 = _T_3803 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3810 = _T_3805 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3811 = _T_3807 | _T_3808; // @[Mux.scala 27:72] + wire _T_3812 = _T_3811 | _T_3809; // @[Mux.scala 27:72] + wire _T_3813 = _T_3812 | _T_3810; // @[Mux.scala 27:72] + wire _T_3815 = _T_3789 & _T_3813; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_3816 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_3817 = _T_3815 & _T_3816; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_3818 = _T_3817 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_3819 = _T_3793 | _T_3818; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_3842 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_3843 = _T_3842 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_3857 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_3858 = buf_state_en_1 & _T_3857; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_3870 = buf_ldfwd[1] | _T_3875[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_3871 = _T_3870 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _GEN_105 = _T_3891 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3883 ? 1'h0 : _T_3891; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3883 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3865 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3865 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3778 & _T_3843; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3778 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3778 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3744 ? _T_3764 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3744 ? _T_3768 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3744 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3740 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3740 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3740 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3717 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_data_en_1 = _T_3717 ? buf_state_en_1 : _GEN_146; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3717 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3717 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3954 = buf_state_en_2 & _T_4025; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_3955 = _T_3954 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_3957 = _T_3955 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_3960 = _T_3950 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_3961 = _T_3960 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_3964 = _T_3960 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_4039 = bus_rsp_read_error & _T_4018; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_4041 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_4043 = _T_4041 & _T_4020; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_4044 = _T_4039 | _T_4043; // @[el2_lsu_bus_buffer.scala 527:143] + wire _T_4047 = bus_rsp_write_error & _T_4016; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_4048 = _T_4044 | _T_4047; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_4049 = _T_3950 & _T_4048; // @[el2_lsu_bus_buffer.scala 527:68] + wire _GEN_198 = _T_3971 & _T_4049; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3937 ? _T_3964 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3933 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3910 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_3975 = buf_write[2] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_3976 = io_dec_tlu_force_halt | _T_3975; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_3978 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_3979 = buf_dual_2 & _T_3978; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_3982 = _T_3979 & _T_4025; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3983 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_3984 = _T_3982 & _T_3983; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_3986 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_3992 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3994 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3996 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_3998 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4000 = _T_3992 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4001 = _T_3994 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4002 = _T_3996 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4003 = _T_3998 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4004 = _T_4000 | _T_4001; // @[Mux.scala 27:72] + wire _T_4005 = _T_4004 | _T_4002; // @[Mux.scala 27:72] + wire _T_4006 = _T_4005 | _T_4003; // @[Mux.scala 27:72] + wire _T_4008 = _T_3982 & _T_4006; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_4009 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_4010 = _T_4008 & _T_4009; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_4011 = _T_4010 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_4012 = _T_3986 | _T_4011; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_4035 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_4036 = _T_4035 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_4050 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_4051 = buf_state_en_2 & _T_4050; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_4063 = buf_ldfwd[2] | _T_4068[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_4064 = _T_4063 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _GEN_181 = _T_4084 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4076 ? 1'h0 : _T_4084; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4076 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4058 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4058 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3971 & _T_4036; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3971 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3971 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3937 ? _T_3957 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3937 ? _T_3961 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3937 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3933 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3933 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3933 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3910 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_data_en_2 = _T_3910 ? buf_state_en_2 : _GEN_222; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3910 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3910 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4147 = buf_state_en_3 & _T_4218; // @[el2_lsu_bus_buffer.scala 510:44] + wire _T_4148 = _T_4147 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 510:60] + wire _T_4150 = _T_4148 & _T_1346; // @[el2_lsu_bus_buffer.scala 510:74] + wire _T_4153 = _T_4143 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 512:67] + wire _T_4154 = _T_4153 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 512:81] + wire _T_4157 = _T_4153 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 513:82] + wire _T_4232 = bus_rsp_read_error & _T_4211; // @[el2_lsu_bus_buffer.scala 527:91] + wire _T_4234 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 528:31] + wire _T_4236 = _T_4234 & _T_4213; // @[el2_lsu_bus_buffer.scala 528:46] + wire _T_4237 = _T_4232 | _T_4236; // @[el2_lsu_bus_buffer.scala 527:143] + wire _T_4240 = bus_rsp_write_error & _T_4209; // @[el2_lsu_bus_buffer.scala 529:53] + wire _T_4241 = _T_4237 | _T_4240; // @[el2_lsu_bus_buffer.scala 528:88] + wire _T_4242 = _T_4143 & _T_4241; // @[el2_lsu_bus_buffer.scala 527:68] + wire _GEN_274 = _T_4164 & _T_4242; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4130 ? _T_4157 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4126 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4103 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4168 = buf_write[3] & _T_3588; // @[el2_lsu_bus_buffer.scala 517:71] + wire _T_4169 = io_dec_tlu_force_halt | _T_4168; // @[el2_lsu_bus_buffer.scala 517:55] + wire _T_4171 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 518:30] + wire _T_4172 = buf_dual_3 & _T_4171; // @[el2_lsu_bus_buffer.scala 518:28] + wire _T_4175 = _T_4172 & _T_4218; // @[el2_lsu_bus_buffer.scala 518:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 518:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_4176 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 518:90] + wire _T_4177 = _T_4175 & _T_4176; // @[el2_lsu_bus_buffer.scala 518:61] + wire _T_4179 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:31] + wire _T_4185 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4187 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4189 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4191 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 118:118] + wire _T_4193 = _T_4185 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4194 = _T_4187 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4195 = _T_4189 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4196 = _T_4191 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4197 = _T_4193 | _T_4194; // @[Mux.scala 27:72] + wire _T_4198 = _T_4197 | _T_4195; // @[Mux.scala 27:72] + wire _T_4199 = _T_4198 | _T_4196; // @[Mux.scala 27:72] + wire _T_4201 = _T_4175 & _T_4199; // @[el2_lsu_bus_buffer.scala 519:101] + wire _T_4202 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 519:167] + wire _T_4203 = _T_4201 & _T_4202; // @[el2_lsu_bus_buffer.scala 519:138] + wire _T_4204 = _T_4203 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 519:187] + wire _T_4205 = _T_4179 | _T_4204; // @[el2_lsu_bus_buffer.scala 519:53] + wire _T_4228 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 526:47] + wire _T_4229 = _T_4228 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 526:62] + wire _T_4243 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 530:50] + wire _T_4244 = buf_state_en_3 & _T_4243; // @[el2_lsu_bus_buffer.scala 530:48] + wire _T_4256 = buf_ldfwd[3] | _T_4261[0]; // @[el2_lsu_bus_buffer.scala 533:90] + wire _T_4257 = _T_4256 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 533:118] + wire _GEN_257 = _T_4277 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4269 ? 1'h0 : _T_4277; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4269 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4251 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4251 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4164 & _T_4229; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4164 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4164 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4130 ? _T_4150 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4130 ? _T_4154 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4130 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4126 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4126 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4126 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4103 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_data_en_3 = _T_4103 ? buf_state_en_3 : _GEN_298; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4103 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4103 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4332; // @[Reg.scala 27:20] + reg _T_4335; // @[Reg.scala 27:20] + reg _T_4338; // @[Reg.scala 27:20] + reg _T_4341; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4341,_T_4338,_T_4335,_T_4332}; // @[Cat.scala 29:58] + wire [2:0] buf_byteen_in_0 = _T_3343[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] + wire [2:0] buf_byteen_in_1 = _T_3352[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] + wire [2:0] buf_byteen_in_2 = _T_3361[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] + wire [2:0] buf_byteen_in_3 = _T_3370[2:0]; // @[el2_lsu_bus_buffer.scala 178:27 el2_lsu_bus_buffer.scala 179:17 el2_lsu_bus_buffer.scala 479:19] + reg _T_4407; // @[el2_lsu_bus_buffer.scala 569:82] + reg _T_4402; // @[el2_lsu_bus_buffer.scala 569:82] + reg _T_4397; // @[el2_lsu_bus_buffer.scala 569:82] + reg _T_4392; // @[el2_lsu_bus_buffer.scala 569:82] + wire [3:0] buf_error = {_T_4407,_T_4402,_T_4397,_T_4392}; // @[Cat.scala 29:58] + wire _T_4389 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4390 = ~buf_rst_0; // @[el2_lsu_bus_buffer.scala 569:128] + wire _T_4394 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4395 = ~buf_rst_1; // @[el2_lsu_bus_buffer.scala 569:128] + wire _T_4399 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4400 = ~buf_rst_2; // @[el2_lsu_bus_buffer.scala 569:128] + wire _T_4404 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 569:86] + wire _T_4405 = ~buf_rst_3; // @[el2_lsu_bus_buffer.scala 569:128] + wire [2:0] _T_4412 = {buf_data_en_3,buf_data_en_2,buf_data_en_1}; // @[Cat.scala 29:58] + wire [1:0] _T_4418 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 572:96] + wire [1:0] _GEN_388 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 572:96] + wire [2:0] _T_4419 = _T_4418 + _GEN_388; // @[el2_lsu_bus_buffer.scala 572:96] + wire [2:0] _GEN_389 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 572:96] + wire [3:0] buf_numvld_any = _T_4419 + _GEN_389; // @[el2_lsu_bus_buffer.scala 572:96] + wire _T_4489 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 578:52] + wire _T_4490 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 578:92] + wire _T_4491 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 578:119] + wire _T_4493 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4494 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4495 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4496 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 579:52] + wire _T_4497 = _T_4493 | _T_4494; // @[el2_lsu_bus_buffer.scala 579:65] + wire _T_4498 = _T_4497 | _T_4495; // @[el2_lsu_bus_buffer.scala 579:65] + wire _T_4499 = _T_4498 | _T_4496; // @[el2_lsu_bus_buffer.scala 579:65] + wire _T_4500 = ~_T_4499; // @[el2_lsu_bus_buffer.scala 579:34] + wire _T_4502 = _T_4500 & _T_844; // @[el2_lsu_bus_buffer.scala 579:70] + wire _T_4505 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 581:51] + wire _T_4506 = _T_4505 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 581:72] + wire _T_4507 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 581:94] + wire _T_4508 = _T_4506 & _T_4507; // @[el2_lsu_bus_buffer.scala 581:92] + wire _T_4509 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 581:111] + wire _T_4511 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 584:61] + reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 670:66] + wire _T_4529 = _T_2795 & _T_1217; // @[Mux.scala 27:72] + wire _T_4530 = _T_2817 & _T_3832; // @[Mux.scala 27:72] + wire _T_4531 = _T_2839 & _T_4025; // @[Mux.scala 27:72] + wire _T_4532 = _T_2861 & _T_4218; // @[Mux.scala 27:72] + wire _T_4533 = _T_4529 | _T_4530; // @[Mux.scala 27:72] + wire _T_4534 = _T_4533 | _T_4531; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4534 | _T_4532; // @[Mux.scala 27:72] + wire _T_4540 = buf_error[0] & _T_1217; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4545 = buf_error[1] & _T_3832; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4550 = buf_error[2] & _T_4025; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4555 = buf_error[3] & _T_4218; // @[el2_lsu_bus_buffer.scala 587:108] + wire _T_4556 = _T_2795 & _T_4540; // @[Mux.scala 27:72] + wire _T_4557 = _T_2817 & _T_4545; // @[Mux.scala 27:72] + wire _T_4558 = _T_2839 & _T_4550; // @[Mux.scala 27:72] + wire _T_4559 = _T_2861 & _T_4555; // @[Mux.scala 27:72] + wire _T_4560 = _T_4556 | _T_4557; // @[Mux.scala 27:72] + wire _T_4561 = _T_4560 | _T_4558; // @[Mux.scala 27:72] + wire _T_4568 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4569 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4570 = _T_4568 | _T_4569; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4571 = _T_4529 & _T_4570; // @[el2_lsu_bus_buffer.scala 588:106] + wire _T_4576 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4577 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4578 = _T_4576 | _T_4577; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4579 = _T_4530 & _T_4578; // @[el2_lsu_bus_buffer.scala 588:106] + wire _T_4584 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4585 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4586 = _T_4584 | _T_4585; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4587 = _T_4531 & _T_4586; // @[el2_lsu_bus_buffer.scala 588:106] + wire _T_4592 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 588:109] + wire _T_4593 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 588:124] + wire _T_4594 = _T_4592 | _T_4593; // @[el2_lsu_bus_buffer.scala 588:122] + wire _T_4595 = _T_4532 & _T_4594; // @[el2_lsu_bus_buffer.scala 588:106] + wire [1:0] _T_4598 = _T_4587 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4599 = _T_4595 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_390 = {{1'd0}, _T_4579}; // @[Mux.scala 27:72] + wire [1:0] _T_4601 = _GEN_390 | _T_4598; // @[Mux.scala 27:72] + wire [31:0] _T_4636 = _T_4571 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4637 = _T_4579 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4638 = _T_4587 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4639 = _T_4595 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4640 = _T_4636 | _T_4637; // @[Mux.scala 27:72] + wire [31:0] _T_4641 = _T_4640 | _T_4638; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4641 | _T_4639; // @[Mux.scala 27:72] + wire _T_4647 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4648 = _T_4529 & _T_4647; // @[el2_lsu_bus_buffer.scala 590:105] + wire _T_4653 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4654 = _T_4530 & _T_4653; // @[el2_lsu_bus_buffer.scala 590:105] + wire _T_4659 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4660 = _T_4531 & _T_4659; // @[el2_lsu_bus_buffer.scala 590:105] + wire _T_4665 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 590:120] + wire _T_4666 = _T_4532 & _T_4665; // @[el2_lsu_bus_buffer.scala 590:105] + wire [31:0] _T_4667 = _T_4648 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4668 = _T_4654 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4669 = _T_4660 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4670 = _T_4666 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] + wire [31:0] _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4672 | _T_4670; // @[Mux.scala 27:72] + wire _T_4674 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4675 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4676 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 119:123] + wire _T_4677 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 119:123] + wire [31:0] _T_4678 = _T_4674 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4675 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4676 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4681 = _T_4677 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4682 = _T_4678 | _T_4679; // @[Mux.scala 27:72] + wire [31:0] _T_4683 = _T_4682 | _T_4680; // @[Mux.scala 27:72] + wire [31:0] _T_4684 = _T_4683 | _T_4681; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4684[1:0]; // @[el2_lsu_bus_buffer.scala 591:83] + wire [1:0] _T_4690 = _T_4674 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4691 = _T_4675 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4692 = _T_4676 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4693 = _T_4677 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4694 = _T_4690 | _T_4691; // @[Mux.scala 27:72] + wire [1:0] _T_4695 = _T_4694 | _T_4692; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4695 | _T_4693; // @[Mux.scala 27:72] + wire _T_4705 = _T_4674 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4706 = _T_4675 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4707 = _T_4676 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4708 = _T_4677 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4709 = _T_4705 | _T_4706; // @[Mux.scala 27:72] + wire _T_4710 = _T_4709 | _T_4707; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4710 | _T_4708; // @[Mux.scala 27:72] + wire [63:0] _T_4730 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_391 = {{2'd0}, lsu_nonblock_addr_offset}; // @[el2_lsu_bus_buffer.scala 595:121] + wire [5:0] _T_4731 = _GEN_391 * 4'h8; // @[el2_lsu_bus_buffer.scala 595:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4730 >> _T_4731; // @[el2_lsu_bus_buffer.scala 595:92] + wire _T_4732 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 598:69] + wire _T_4734 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 599:81] + wire _T_4735 = lsu_nonblock_unsign & _T_4734; // @[el2_lsu_bus_buffer.scala 599:63] + wire [31:0] _T_4737 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4738 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 600:45] + wire _T_4739 = lsu_nonblock_unsign & _T_4738; // @[el2_lsu_bus_buffer.scala 600:26] + wire [31:0] _T_4741 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4742 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 601:6] + wire _T_4744 = _T_4742 & _T_4734; // @[el2_lsu_bus_buffer.scala 601:27] + wire [23:0] _T_4747 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4749 = {_T_4747,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4752 = _T_4742 & _T_4738; // @[el2_lsu_bus_buffer.scala 602:27] + wire [15:0] _T_4755 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4757 = {_T_4755,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4758 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 603:21] + wire [31:0] _T_4759 = _T_4735 ? _T_4737 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4760 = _T_4739 ? _T_4741 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4761 = _T_4744 ? _T_4749 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4762 = _T_4752 ? _T_4757 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4763 = _T_4758 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4764 = _T_4759 | _T_4760; // @[Mux.scala 27:72] + wire [31:0] _T_4765 = _T_4764 | _T_4761; // @[Mux.scala 27:72] + wire [31:0] _T_4766 = _T_4765 | _T_4762; // @[Mux.scala 27:72] + wire [63:0] _GEN_392 = {{32'd0}, _T_4766}; // @[Mux.scala 27:72] + wire [63:0] _T_4767 = _GEN_392 | _T_4763; // @[Mux.scala 27:72] + wire _T_4862 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 621:36] + wire _T_4863 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 621:51] + wire _T_4864 = _T_4862 & _T_4863; // @[el2_lsu_bus_buffer.scala 621:49] + wire [31:0] _T_4868 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4870 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4875 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 633:50] + wire _T_4876 = _T_4862 & _T_4875; // @[el2_lsu_bus_buffer.scala 633:48] + wire [7:0] _T_4880 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4883 = obuf_valid & _T_1356; // @[el2_lsu_bus_buffer.scala 638:36] + wire _T_4885 = _T_4883 & _T_1362; // @[el2_lsu_bus_buffer.scala 638:50] + wire _T_4897 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 651:114] + wire _T_4899 = _T_4897 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 651:129] + wire _T_4902 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 651:114] + wire _T_4904 = _T_4902 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 651:129] + wire _T_4907 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 651:114] + wire _T_4909 = _T_4907 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 651:129] + wire _T_4912 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 651:114] + wire _T_4914 = _T_4912 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 651:129] + wire _T_4915 = _T_2795 & _T_4899; // @[Mux.scala 27:72] + wire _T_4916 = _T_2817 & _T_4904; // @[Mux.scala 27:72] + wire _T_4917 = _T_2839 & _T_4909; // @[Mux.scala 27:72] + wire _T_4918 = _T_2861 & _T_4914; // @[Mux.scala 27:72] + wire _T_4919 = _T_4915 | _T_4916; // @[Mux.scala 27:72] + wire _T_4920 = _T_4919 | _T_4917; // @[Mux.scala 27:72] + wire _T_4930 = _T_2817 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 652:98] + wire lsu_imprecise_error_store_tag = _T_4930 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 652:113] + wire _T_4936 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 654:72] + wire _T_4938 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 119:123] + wire [31:0] _T_4940 = _T_4938 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4941 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4942 = _T_4940 | _T_4941; // @[Mux.scala 27:72] + wire _T_4959 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 661:68] + wire _T_4962 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 662:48] + wire _T_4965 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 665:48] + wire _T_4966 = io_lsu_axi_awvalid & _T_4965; // @[el2_lsu_bus_buffer.scala 665:46] + wire _T_4967 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 665:92] + wire _T_4968 = io_lsu_axi_wvalid & _T_4967; // @[el2_lsu_bus_buffer.scala 665:90] + wire _T_4969 = _T_4966 | _T_4968; // @[el2_lsu_bus_buffer.scala 665:69] + wire _T_4970 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 665:136] + wire _T_4971 = io_lsu_axi_arvalid & _T_4970; // @[el2_lsu_bus_buffer.scala 665:134] + wire _T_4975 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 669:75] + wire _T_4976 = io_lsu_busreq_m & _T_4975; // @[el2_lsu_bus_buffer.scala 669:73] + reg _T_4979; // @[el2_lsu_bus_buffer.scala 669:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 485:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2575,67 +2579,67 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4971; // @[el2_lsu_bus_buffer.scala 668:19] - assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 576:30] - assign io_lsu_bus_buffer_full_any = _T_4481 ? _T_4482 : _T_4483; // @[el2_lsu_bus_buffer.scala 577:30] - assign io_lsu_bus_buffer_empty_any = _T_4494 & _T_1236; // @[el2_lsu_bus_buffer.scala 578:31] - assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 657:23] + assign io_lsu_busreq_r = _T_4979; // @[el2_lsu_bus_buffer.scala 669:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 577:30] + assign io_lsu_bus_buffer_full_any = _T_4489 ? _T_4490 : _T_4491; // @[el2_lsu_bus_buffer.scala 578:30] + assign io_lsu_bus_buffer_empty_any = _T_4502 & _T_1244; // @[el2_lsu_bus_buffer.scala 579:31] + assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 658:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 196:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 197:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 222:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 227:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4928; // @[el2_lsu_bus_buffer.scala 653:35] - assign io_lsu_imprecise_error_store_any = _T_4912 | _T_4910; // @[el2_lsu_bus_buffer.scala 650:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4934 : _T_4676; // @[el2_lsu_bus_buffer.scala 654:35] - assign io_lsu_nonblock_load_valid_m = _T_4500 & _T_4501; // @[el2_lsu_bus_buffer.scala 580:32] - assign io_lsu_nonblock_load_tag_m = _T_1868 ? 2'h0 : _T_1904; // @[el2_lsu_bus_buffer.scala 581:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4503; // @[el2_lsu_bus_buffer.scala 583:30] - assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 584:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4724; // @[el2_lsu_bus_buffer.scala 597:35] - assign io_lsu_nonblock_load_data_error = _T_4553 | _T_4551; // @[el2_lsu_bus_buffer.scala 586:35] - assign io_lsu_nonblock_load_data_tag = _T_4593 | _T_4591; // @[el2_lsu_bus_buffer.scala 587:33] - assign io_lsu_nonblock_load_data = _T_4759[31:0]; // @[el2_lsu_bus_buffer.scala 598:29] - assign io_lsu_pmu_bus_trxn = _T_4951 | _T_4846; // @[el2_lsu_bus_buffer.scala 660:23] - assign io_lsu_pmu_bus_misaligned = _T_4954 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 661:29] - assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 662:24] - assign io_lsu_pmu_bus_busy = _T_4961 | _T_4963; // @[el2_lsu_bus_buffer.scala 664:23] - assign io_lsu_axi_awvalid = _T_4856 & _T_1244; // @[el2_lsu_bus_buffer.scala 620:22] - assign io_lsu_axi_awid = {{1'd0}, _T_1853}; // @[el2_lsu_bus_buffer.scala 621:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4860; // @[el2_lsu_bus_buffer.scala 622:21] - assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 626:23] - assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 627:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4862 : 3'h3; // @[el2_lsu_bus_buffer.scala 623:21] - assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 628:22] - assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 630:21] - assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 625:22] - assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 624:21] - assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 629:20] - assign io_lsu_axi_wvalid = _T_4868 & _T_1244; // @[el2_lsu_bus_buffer.scala 632:21] - assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 634:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4872; // @[el2_lsu_bus_buffer.scala 633:20] - assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 635:20] - assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 648:21] - assign io_lsu_axi_arvalid = _T_4877 & _T_1244; // @[el2_lsu_bus_buffer.scala 637:22] - assign io_lsu_axi_arid = {{1'd0}, _T_1853}; // @[el2_lsu_bus_buffer.scala 638:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4860; // @[el2_lsu_bus_buffer.scala 639:21] - assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 643:23] - assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 644:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4862 : 3'h3; // @[el2_lsu_bus_buffer.scala 640:21] - assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 645:22] - assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 647:21] - assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 642:22] - assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 641:21] - assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 646:20] - assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 649:21] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4936; // @[el2_lsu_bus_buffer.scala 654:35] + assign io_lsu_imprecise_error_store_any = _T_4920 | _T_4918; // @[el2_lsu_bus_buffer.scala 651:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4942 : _T_4684; // @[el2_lsu_bus_buffer.scala 655:35] + assign io_lsu_nonblock_load_valid_m = _T_4508 & _T_4509; // @[el2_lsu_bus_buffer.scala 581:32] + assign io_lsu_nonblock_load_tag_m = _T_1876 ? 2'h0 : _T_1912; // @[el2_lsu_bus_buffer.scala 582:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4511; // @[el2_lsu_bus_buffer.scala 584:30] + assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 585:34] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4732; // @[el2_lsu_bus_buffer.scala 598:35] + assign io_lsu_nonblock_load_data_error = _T_4561 | _T_4559; // @[el2_lsu_bus_buffer.scala 587:35] + assign io_lsu_nonblock_load_data_tag = _T_4601 | _T_4599; // @[el2_lsu_bus_buffer.scala 588:33] + assign io_lsu_nonblock_load_data = _T_4767[31:0]; // @[el2_lsu_bus_buffer.scala 599:29] + assign io_lsu_pmu_bus_trxn = _T_4959 | _T_4854; // @[el2_lsu_bus_buffer.scala 661:23] + assign io_lsu_pmu_bus_misaligned = _T_4962 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 662:29] + assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 663:24] + assign io_lsu_pmu_bus_busy = _T_4969 | _T_4971; // @[el2_lsu_bus_buffer.scala 665:23] + assign io_lsu_axi_awvalid = _T_4864 & _T_1252; // @[el2_lsu_bus_buffer.scala 621:22] + assign io_lsu_axi_awid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 622:19] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4868; // @[el2_lsu_bus_buffer.scala 623:21] + assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 627:23] + assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 628:20] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4870 : 3'h3; // @[el2_lsu_bus_buffer.scala 624:21] + assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 629:22] + assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 631:21] + assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 626:22] + assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 625:21] + assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 630:20] + assign io_lsu_axi_wvalid = _T_4876 & _T_1252; // @[el2_lsu_bus_buffer.scala 633:21] + assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 635:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4880; // @[el2_lsu_bus_buffer.scala 634:20] + assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 636:20] + assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 649:21] + assign io_lsu_axi_arvalid = _T_4885 & _T_1252; // @[el2_lsu_bus_buffer.scala 638:22] + assign io_lsu_axi_arid = {{1'd0}, _T_1861}; // @[el2_lsu_bus_buffer.scala 639:19] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4868; // @[el2_lsu_bus_buffer.scala 640:21] + assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 644:23] + assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 645:20] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4870 : 3'h3; // @[el2_lsu_bus_buffer.scala 641:21] + assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 646:22] + assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 648:21] + assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 643:22] + assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 642:21] + assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 647:20] + assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 650:21] assign io_test = _T_745 | _T_744; // @[el2_lsu_bus_buffer.scala 256:11] - assign io_data_hi = _T_4664 | _T_4662; // @[el2_lsu_bus_buffer.scala 595:14] - assign io_data_lo = _T_4633 | _T_4631; // @[el2_lsu_bus_buffer.scala 596:14] - assign io_data_en = {_T_4404,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 569:14] - assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 314:14] - assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 356:14] + assign io_data_hi = _T_4672 | _T_4670; // @[el2_lsu_bus_buffer.scala 596:14] + assign io_data_lo = _T_4641 | _T_4639; // @[el2_lsu_bus_buffer.scala 597:14] + assign io_data_en = {_T_4412,buf_data_en_0}; // @[el2_lsu_bus_buffer.scala 570:14] + assign io_Cmdptr0 = 2'h0; // @[el2_lsu_bus_buffer.scala 315:14] + assign io_Cmdptr1 = 2'h0; // @[el2_lsu_bus_buffer.scala 357:14] assign io_WrPtr1_r = WrPtr1_r; // @[el2_lsu_bus_buffer.scala 276:15] - assign io_WrPtr1_m = _T_1919 ? 2'h0 : _T_1964; // @[el2_lsu_bus_buffer.scala 425:15] - assign io_wdata_in = {_T_1625,_T_1584}; // @[el2_lsu_bus_buffer.scala 391:15] + assign io_WrPtr1_m = _T_1927 ? 2'h0 : _T_1972; // @[el2_lsu_bus_buffer.scala 426:15] + assign io_wdata_in = {_T_1633,_T_1592}; // @[el2_lsu_bus_buffer.scala 392:15] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 487:18] assign rvclkhdr_io_en = _T_845 & _T_846; // @[el2_lib.scala 488:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] @@ -2643,34 +2647,34 @@ module el2_lsu_bus_buffer( assign rvclkhdr_1_io_en = _T_845 & _T_846; // @[el2_lib.scala 488:17] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_2_io_clk = io_lsu_busm_clk; // @[el2_lib.scala 487:18] - assign rvclkhdr_2_io_en = _T_1245 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] + assign rvclkhdr_2_io_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_3_io_clk = io_lsu_busm_clk; // @[el2_lib.scala 487:18] - assign rvclkhdr_3_io_en = _T_1245 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] + assign rvclkhdr_3_io_en = _T_1253 & io_lsu_bus_clk_en; // @[el2_lib.scala 488:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_4_io_en = _T_3516 & buf_state_en_0; // @[el2_lib.scala 488:17] + assign rvclkhdr_4_io_en = _T_3524 & buf_state_en_0; // @[el2_lib.scala 488:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_5_io_en = _T_3709 & buf_state_en_1; // @[el2_lib.scala 488:17] + assign rvclkhdr_5_io_en = _T_3717 & buf_state_en_1; // @[el2_lib.scala 488:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_6_io_en = _T_3902 & buf_state_en_2; // @[el2_lib.scala 488:17] + assign rvclkhdr_6_io_en = _T_3910 & buf_state_en_2; // @[el2_lib.scala 488:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_7_io_en = _T_4095 & buf_state_en_3; // @[el2_lib.scala 488:17] + assign rvclkhdr_7_io_en = _T_4103 & buf_state_en_3; // @[el2_lib.scala 488:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_8_io_en = _T_3516 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] + assign rvclkhdr_8_io_en = _T_3524 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 488:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_9_io_en = _T_3709 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] + assign rvclkhdr_9_io_en = _T_3717 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 488:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_10_io_en = _T_3902 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] + assign rvclkhdr_10_io_en = _T_3910 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 488:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 487:18] - assign rvclkhdr_11_io_en = _T_4095 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] + assign rvclkhdr_11_io_en = _T_4103 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 488:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 489:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2710,13 +2714,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4348 = _RAND_1[0:0]; + _T_4356 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4345 = _RAND_2[0:0]; + _T_4353 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4342 = _RAND_3[0:0]; + _T_4350 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4339 = _RAND_4[0:0]; + _T_4347 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2732,17 +2736,17 @@ initial begin _RAND_11 = {1{`RANDOM}}; buf_state_3 = _RAND_11[2:0]; _RAND_12 = {1{`RANDOM}}; - _T_4375 = _RAND_12[2:0]; + _T_4383 = _RAND_12[2:0]; _RAND_13 = {1{`RANDOM}}; - _T_4373 = _RAND_13[2:0]; + _T_4381 = _RAND_13[2:0]; _RAND_14 = {1{`RANDOM}}; - _T_4371 = _RAND_14[2:0]; + _T_4379 = _RAND_14[2:0]; _RAND_15 = {1{`RANDOM}}; - _T_4369 = _RAND_15[2:0]; + _T_4377 = _RAND_15[2:0]; _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; - _T_1853 = _RAND_17[1:0]; + _T_1861 = _RAND_17[1:0]; _RAND_18 = {1{`RANDOM}}; obuf_merge = _RAND_18[0:0]; _RAND_19 = {1{`RANDOM}}; @@ -2808,13 +2812,13 @@ initial begin _RAND_49 = {1{`RANDOM}}; buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4318 = _RAND_50[0:0]; + _T_4326 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4315 = _RAND_51[0:0]; + _T_4323 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - _T_4312 = _RAND_52[0:0]; + _T_4320 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - _T_4309 = _RAND_53[0:0]; + _T_4317 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; buf_dual_3 = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; @@ -2878,13 +2882,13 @@ initial begin _RAND_84 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4295 = _RAND_85[0:0]; + _T_4303 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4293 = _RAND_86[0:0]; + _T_4301 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - _T_4291 = _RAND_87[0:0]; + _T_4299 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - _T_4289 = _RAND_88[0:0]; + _T_4297 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; @@ -2902,40 +2906,40 @@ initial begin _RAND_96 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4324 = _RAND_97[0:0]; + _T_4332 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4327 = _RAND_98[0:0]; + _T_4335 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4330 = _RAND_99[0:0]; + _T_4338 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4333 = _RAND_100[0:0]; + _T_4341 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4399 = _RAND_101[0:0]; + _T_4407 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4394 = _RAND_102[0:0]; + _T_4402 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4389 = _RAND_103[0:0]; + _T_4397 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4384 = _RAND_104[0:0]; + _T_4392 = _RAND_104[0:0]; _RAND_105 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_105[0:0]; _RAND_106 = {1{`RANDOM}}; - _T_4971 = _RAND_106[0:0]; + _T_4979 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end if (reset) begin - _T_4348 = 1'h0; + _T_4356 = 1'h0; end if (reset) begin - _T_4345 = 1'h0; + _T_4353 = 1'h0; end if (reset) begin - _T_4342 = 1'h0; + _T_4350 = 1'h0; end if (reset) begin - _T_4339 = 1'h0; + _T_4347 = 1'h0; end if (reset) begin buf_state_0 = 3'h0; @@ -2959,22 +2963,22 @@ initial begin buf_state_3 = 3'h0; end if (reset) begin - _T_4375 = 3'h0; + _T_4383 = 3'h0; end if (reset) begin - _T_4373 = 3'h0; + _T_4381 = 3'h0; end if (reset) begin - _T_4371 = 3'h0; + _T_4379 = 3'h0; end if (reset) begin - _T_4369 = 3'h0; + _T_4377 = 3'h0; end if (reset) begin buf_ageQ_3 = 4'h0; end if (reset) begin - _T_1853 = 2'h0; + _T_1861 = 2'h0; end if (reset) begin obuf_merge = 1'h0; @@ -3073,16 +3077,16 @@ initial begin buf_nomerge_3 = 1'h0; end if (reset) begin - _T_4318 = 1'h0; + _T_4326 = 1'h0; end if (reset) begin - _T_4315 = 1'h0; + _T_4323 = 1'h0; end if (reset) begin - _T_4312 = 1'h0; + _T_4320 = 1'h0; end if (reset) begin - _T_4309 = 1'h0; + _T_4317 = 1'h0; end if (reset) begin buf_dual_3 = 1'h0; @@ -3178,16 +3182,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4295 = 1'h0; + _T_4303 = 1'h0; end if (reset) begin - _T_4293 = 1'h0; + _T_4301 = 1'h0; end if (reset) begin - _T_4291 = 1'h0; + _T_4299 = 1'h0; end if (reset) begin - _T_4289 = 1'h0; + _T_4297 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3214,34 +3218,34 @@ initial begin buf_dualtag_3 = 2'h0; end if (reset) begin - _T_4324 = 1'h0; + _T_4332 = 1'h0; end if (reset) begin - _T_4327 = 1'h0; + _T_4335 = 1'h0; end if (reset) begin - _T_4330 = 1'h0; + _T_4338 = 1'h0; end if (reset) begin - _T_4333 = 1'h0; + _T_4341 = 1'h0; end if (reset) begin - _T_4399 = 1'h0; + _T_4407 = 1'h0; end if (reset) begin - _T_4394 = 1'h0; + _T_4402 = 1'h0; end if (reset) begin - _T_4389 = 1'h0; + _T_4397 = 1'h0; end if (reset) begin - _T_4384 = 1'h0; + _T_4392 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4971 = 1'h0; + _T_4979 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3254,7 +3258,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3331) begin + end else if (_T_3339) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3262,75 +3266,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4348 <= 1'h0; + _T_4356 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4348 <= buf_write_in[3]; + _T_4356 <= buf_write_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4345 <= 1'h0; + _T_4353 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4345 <= buf_write_in[2]; + _T_4353 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4342 <= 1'h0; + _T_4350 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4342 <= buf_write_in[1]; + _T_4350 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4339 <= 1'h0; + _T_4347 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4339 <= buf_write_in[0]; + _T_4347 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3516) begin + if (_T_3524) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3539) begin + end else if (_T_3547) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3543) begin + end else if (_T_3551) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3547) begin + end else if (_T_3555) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3577) begin - if (_T_3582) begin + end else if (_T_3585) begin + if (_T_3590) begin buf_state_0 <= 3'h0; - end else if (_T_3590) begin + end else if (_T_3598) begin buf_state_0 <= 3'h4; - end else if (_T_3618) begin + end else if (_T_3626) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3664) begin + end else if (_T_3672) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3670) begin + end else if (_T_3678) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3682) begin + end else if (_T_3690) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3346,7 +3350,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3340) begin + end else if (_T_3348) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3356,45 +3360,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3709) begin + if (_T_3717) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3732) begin + end else if (_T_3740) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3736) begin + end else if (_T_3744) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3547) begin + end else if (_T_3555) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3770) begin - if (_T_3775) begin + end else if (_T_3778) begin + if (_T_3783) begin buf_state_1 <= 3'h0; - end else if (_T_3783) begin + end else if (_T_3791) begin buf_state_1 <= 3'h4; - end else if (_T_3811) begin + end else if (_T_3819) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3857) begin + end else if (_T_3865) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3863) begin + end else if (_T_3871) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3875) begin + end else if (_T_3883) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3410,7 +3414,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3349) begin + end else if (_T_3357) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3420,45 +3424,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3902) begin + if (_T_3910) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3925) begin + end else if (_T_3933) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3929) begin + end else if (_T_3937) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3547) begin + end else if (_T_3555) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3963) begin - if (_T_3968) begin + end else if (_T_3971) begin + if (_T_3976) begin buf_state_2 <= 3'h0; - end else if (_T_3976) begin + end else if (_T_3984) begin buf_state_2 <= 3'h4; - end else if (_T_4004) begin + end else if (_T_4012) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4050) begin + end else if (_T_4058) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_4056) begin + end else if (_T_4064) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_4068) begin + end else if (_T_4076) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3474,7 +3478,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3358) begin + end else if (_T_3366) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3484,45 +3488,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_4095) begin + if (_T_4103) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4118) begin + end else if (_T_4126) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4122) begin + end else if (_T_4130) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3547) begin + end else if (_T_3555) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4156) begin - if (_T_4161) begin + end else if (_T_4164) begin + if (_T_4169) begin buf_state_3 <= 3'h0; - end else if (_T_4169) begin + end else if (_T_4177) begin buf_state_3 <= 3'h4; - end else if (_T_4197) begin + end else if (_T_4205) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4243) begin + end else if (_T_4251) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4249) begin + end else if (_T_4257) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4261) begin + end else if (_T_4269) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3535,47 +3539,47 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4375 <= 3'h0; + _T_4383 <= 3'h0; end else if (buf_wr_en_3) begin - _T_4375 <= buf_byteen_in_3; + _T_4383 <= buf_byteen_in_3; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4373 <= 3'h0; + _T_4381 <= 3'h0; end else if (buf_wr_en_2) begin - _T_4373 <= buf_byteen_in_2; + _T_4381 <= buf_byteen_in_2; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4371 <= 3'h0; + _T_4379 <= 3'h0; end else if (buf_wr_en_1) begin - _T_4371 <= buf_byteen_in_1; + _T_4379 <= buf_byteen_in_1; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4369 <= 3'h0; + _T_4377 <= 3'h0; end else if (buf_wr_en_0) begin - _T_4369 <= buf_byteen_in_0; + _T_4377 <= buf_byteen_in_0; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2539,_T_2462}; + buf_ageQ_3 <= {_T_2547,_T_2470}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin - _T_1853 <= 2'h0; + _T_1861 <= 2'h0; end else if (obuf_wr_en) begin if (ibuf_buf_byp) begin - _T_1853 <= WrPtr0_r; + _T_1861 <= WrPtr0_r; end else begin - _T_1853 <= 2'h0; + _T_1861 <= 2'h0; end end end @@ -3601,14 +3605,14 @@ end // initial if (reset) begin obuf_valid <= 1'h0; end else begin - obuf_valid <= _T_1844 & _T_1845; + obuf_valid <= _T_1852 & _T_1853; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_wr_enQ <= 1'h0; end else begin - obuf_wr_enQ <= _T_1245 & io_lsu_bus_clk_en; + obuf_wr_enQ <= _T_1253 & io_lsu_bus_clk_en; end end always @(posedge rvclkhdr_io_l1clk or posedge reset) begin @@ -3631,7 +3635,7 @@ end // initial if (reset) begin ibuf_valid <= 1'h0; end else begin - ibuf_valid <= _T_989 & _T_990; + ibuf_valid <= _T_997 & _T_998; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin @@ -3651,35 +3655,35 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2437,_T_2360}; + buf_ageQ_2 <= {_T_2445,_T_2368}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2335,_T_2258}; + buf_ageQ_1 <= {_T_2343,_T_2266}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2233,_T_2156}; + buf_ageQ_0 <= {_T_2241,_T_2164}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin buf_data_0 <= 32'h0; - end else if (_T_3516) begin - if (_T_3531) begin + end else if (_T_3524) begin + if (_T_3539) begin buf_data_0 <= ibuf_data_out; end else begin buf_data_0 <= store_data_lo_r; end - end else if (_T_3539) begin + end else if (_T_3547) begin buf_data_0 <= 32'h0; - end else if (_T_3543) begin + end else if (_T_3551) begin if (buf_error_en_0) begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_0[2]) begin @@ -3687,8 +3691,8 @@ end // initial end else begin buf_data_0 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3577) begin - if (_T_3657) begin + end else if (_T_3585) begin + if (_T_3665) begin if (buf_addr_0[2]) begin buf_data_0 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3704,15 +3708,15 @@ end // initial always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin buf_data_1 <= 32'h0; - end else if (_T_3709) begin - if (_T_3724) begin + end else if (_T_3717) begin + if (_T_3732) begin buf_data_1 <= ibuf_data_out; end else begin buf_data_1 <= store_data_lo_r; end - end else if (_T_3732) begin + end else if (_T_3740) begin buf_data_1 <= 32'h0; - end else if (_T_3736) begin + end else if (_T_3744) begin if (buf_error_en_1) begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_1[2]) begin @@ -3720,8 +3724,8 @@ end // initial end else begin buf_data_1 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3770) begin - if (_T_3850) begin + end else if (_T_3778) begin + if (_T_3858) begin if (buf_addr_1[2]) begin buf_data_1 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3737,15 +3741,15 @@ end // initial always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin buf_data_2 <= 32'h0; - end else if (_T_3902) begin - if (_T_3917) begin + end else if (_T_3910) begin + if (_T_3925) begin buf_data_2 <= ibuf_data_out; end else begin buf_data_2 <= store_data_lo_r; end - end else if (_T_3925) begin + end else if (_T_3933) begin buf_data_2 <= 32'h0; - end else if (_T_3929) begin + end else if (_T_3937) begin if (buf_error_en_2) begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_2[2]) begin @@ -3753,8 +3757,8 @@ end // initial end else begin buf_data_2 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_3963) begin - if (_T_4043) begin + end else if (_T_3971) begin + if (_T_4051) begin if (buf_addr_2[2]) begin buf_data_2 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3770,15 +3774,15 @@ end // initial always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin buf_data_3 <= 32'h0; - end else if (_T_4095) begin - if (_T_4110) begin + end else if (_T_4103) begin + if (_T_4118) begin buf_data_3 <= ibuf_data_out; end else begin buf_data_3 <= store_data_lo_r; end - end else if (_T_4118) begin + end else if (_T_4126) begin buf_data_3 <= 32'h0; - end else if (_T_4122) begin + end else if (_T_4130) begin if (buf_error_en_3) begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end else if (buf_addr_3[2]) begin @@ -3786,8 +3790,8 @@ end // initial end else begin buf_data_3 <= io_lsu_axi_rdata[31:0]; end - end else if (_T_4156) begin - if (_T_4236) begin + end else if (_T_4164) begin + if (_T_4244) begin if (buf_addr_3[2]) begin buf_data_3 <= io_lsu_axi_rdata[63:32]; end else begin @@ -3805,27 +3809,27 @@ end // initial ibuf_timer <= 3'h0; end else if (ibuf_wr_en) begin ibuf_timer <= 3'h0; - end else if (_T_907) begin - ibuf_timer <= _T_910; + end else if (_T_915) begin + ibuf_timer <= _T_918; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_sideeffect <= 1'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_sideeffect <= io_is_sideeffects_r; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr1_r <= 2'h0; - end else if (_T_1919) begin + end else if (_T_1927) begin WrPtr1_r <= 2'h0; - end else if (_T_1933) begin + end else if (_T_1941) begin WrPtr1_r <= 2'h1; - end else if (_T_1947) begin + end else if (_T_1955) begin WrPtr1_r <= 2'h2; - end else if (_T_1961) begin + end else if (_T_1969) begin WrPtr1_r <= 2'h3; end else begin WrPtr1_r <= 2'h0; @@ -3834,13 +3838,13 @@ end // initial always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr0_r <= 2'h0; - end else if (_T_1868) begin + end else if (_T_1876) begin WrPtr0_r <= 2'h0; - end else if (_T_1879) begin + end else if (_T_1887) begin WrPtr0_r <= 2'h1; - end else if (_T_1890) begin + end else if (_T_1898) begin WrPtr0_r <= 2'h2; - end else if (_T_1901) begin + end else if (_T_1909) begin WrPtr0_r <= 2'h3; end else begin WrPtr0_r <= 2'h0; @@ -3849,7 +3853,7 @@ end // initial always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_tag <= 2'h0; - end else if (_T_995) begin + end else if (_T_1003) begin if (!(_T_858)) begin if (io_ldst_dual_r) begin ibuf_tag <= WrPtr1_r; @@ -3863,41 +3867,41 @@ end // initial if (reset) begin ibuf_data <= 32'h0; end else begin - ibuf_data <= {_T_906,_T_883}; + ibuf_data <= {_T_914,_T_885}; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_dualtag <= 2'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_dualtag <= WrPtr0_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_dual <= 1'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_dual <= io_ldst_dual_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_samedw <= 1'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_samedw <= ldst_samedw_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_nomerge <= 1'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_nomerge <= io_no_dword_merge_r; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin if (reset) begin ibuf_unsign <= 1'h0; - end else if (_T_995) begin + end else if (_T_1003) begin ibuf_unsign <= io_lsu_pkt_r_unsign; end end @@ -3913,8 +3917,8 @@ end // initial obuf_wr_timer <= 3'h0; end else if (obuf_wr_en) begin obuf_wr_timer <= 3'h0; - end else if (_T_1063) begin - obuf_wr_timer <= _T_1065; + end else if (_T_1071) begin + obuf_wr_timer <= _T_1073; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -3947,30 +3951,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4318 <= 1'h0; + _T_4326 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4318 <= buf_sideeffect_in[3]; + _T_4326 <= buf_sideeffect_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4315 <= 1'h0; + _T_4323 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4315 <= buf_sideeffect_in[2]; + _T_4323 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4312 <= 1'h0; + _T_4320 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4312 <= buf_sideeffect_in[1]; + _T_4320 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4309 <= 1'h0; + _T_4317 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4309 <= buf_sideeffect_in[0]; + _T_4317 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -4044,14 +4048,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1310 & _T_4843; + obuf_cmd_done <= _T_1318 & _T_4851; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1310 & _T_4844; + obuf_data_done <= _T_1318 & _T_4852; end end always @(posedge io_lsu_free_c2_clk or posedge reset) begin @@ -4129,13 +4133,13 @@ end // initial if (reset) begin obuf_rdrsp_pend <= 1'h0; end else begin - obuf_rdrsp_pend <= _T_1335 | _T_1339; + obuf_rdrsp_pend <= _T_1343 | _T_1347; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_rdrsp_tag <= 3'h0; - end else if (_T_1341) begin + end else if (_T_1349) begin obuf_rdrsp_tag <= obuf_tag0; end end @@ -4189,86 +4193,86 @@ end // initial if (reset) begin obuf_data <= 64'h0; end else begin - obuf_data <= {_T_1625,_T_1584}; + obuf_data <= {_T_1633,_T_1592}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3161,_T_3150}; + buf_rspageQ_0 <= {_T_3169,_T_3158}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3176,_T_3165}; + buf_rspageQ_1 <= {_T_3184,_T_3173}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3191,_T_3180}; + buf_rspageQ_2 <= {_T_3199,_T_3188}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3206,_T_3195}; + buf_rspageQ_3 <= {_T_3214,_T_3203}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4295 <= 1'h0; + _T_4303 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4095) begin - _T_4295 <= 1'h0; - end else if (_T_4118) begin - _T_4295 <= 1'h0; + if (_T_4103) begin + _T_4303 <= 1'h0; + end else if (_T_4126) begin + _T_4303 <= 1'h0; end else begin - _T_4295 <= _T_4122; + _T_4303 <= _T_4130; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4293 <= 1'h0; + _T_4301 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3902) begin - _T_4293 <= 1'h0; - end else if (_T_3925) begin - _T_4293 <= 1'h0; + if (_T_3910) begin + _T_4301 <= 1'h0; + end else if (_T_3933) begin + _T_4301 <= 1'h0; end else begin - _T_4293 <= _T_3929; + _T_4301 <= _T_3937; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4291 <= 1'h0; + _T_4299 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3709) begin - _T_4291 <= 1'h0; - end else if (_T_3732) begin - _T_4291 <= 1'h0; + if (_T_3717) begin + _T_4299 <= 1'h0; + end else if (_T_3740) begin + _T_4299 <= 1'h0; end else begin - _T_4291 <= _T_3736; + _T_4299 <= _T_3744; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4289 <= 1'h0; + _T_4297 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3516) begin - _T_4289 <= 1'h0; - end else if (_T_3539) begin - _T_4289 <= 1'h0; + if (_T_3524) begin + _T_4297 <= 1'h0; + end else if (_T_3547) begin + _T_4297 <= 1'h0; end else begin - _T_4289 <= _T_3543; + _T_4297 <= _T_3551; end end end @@ -4276,11 +4280,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3516) begin + if (_T_3524) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3539) begin + end else if (_T_3547) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3543) begin + end else if (_T_3551) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4293,7 +4297,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3331) begin + end else if (_T_3339) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4304,11 +4308,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_4095) begin + if (_T_4103) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4118) begin + end else if (_T_4126) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4122) begin + end else if (_T_4130) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4319,11 +4323,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3902) begin + if (_T_3910) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3925) begin + end else if (_T_3933) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3929) begin + end else if (_T_3937) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4334,11 +4338,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3709) begin + if (_T_3717) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3732) begin + end else if (_T_3740) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3736) begin + end else if (_T_3744) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4351,7 +4355,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3340) begin + end else if (_T_3348) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4364,7 +4368,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3349) begin + end else if (_T_3357) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4377,7 +4381,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3358) begin + end else if (_T_3366) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; @@ -4386,58 +4390,58 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4324 <= 1'h0; + _T_4332 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4324 <= buf_unsign_in[0]; + _T_4332 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4327 <= 1'h0; + _T_4335 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4327 <= buf_unsign_in[1]; + _T_4335 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4330 <= 1'h0; + _T_4338 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4330 <= buf_unsign_in[2]; + _T_4338 <= buf_unsign_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4333 <= 1'h0; + _T_4341 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4333 <= buf_unsign_in[3]; + _T_4341 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4399 <= 1'h0; + _T_4407 <= 1'h0; end else begin - _T_4399 <= _T_4396 & _T_4397; + _T_4407 <= _T_4404 & _T_4405; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4394 <= 1'h0; + _T_4402 <= 1'h0; end else begin - _T_4394 <= _T_4391 & _T_4392; + _T_4402 <= _T_4399 & _T_4400; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4389 <= 1'h0; + _T_4397 <= 1'h0; end else begin - _T_4389 <= _T_4386 & _T_4387; + _T_4397 <= _T_4394 & _T_4395; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4384 <= 1'h0; + _T_4392 <= 1'h0; end else begin - _T_4384 <= _T_4381 & _T_4382; + _T_4392 <= _T_4389 & _T_4390; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4449,9 +4453,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4971 <= 1'h0; + _T_4979 <= 1'h0; end else begin - _T_4971 <= _T_4968 & _T_4501; + _T_4979 <= _T_4976 & _T_4509; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index f8b05c32..73cbd4ed 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -283,7 +283,8 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val ibuf_data = WireInit(UInt(32.W), 0.U) val ibuf_data_in = (0 until 4).map(i => Mux(ibuf_merge_en & ibuf_merge_in, - Mux(ldst_byteen_lo_r(i), store_data_lo_r((8 * i) + 7, 8 * i), ibuf_data((8 * i) + 7, 8 * i)), ibuf_data((8 * i) + 7, 8 * i))).reverse.reduce(Cat(_, _)) + Mux(ldst_byteen_lo_r(i), store_data_lo_r((8 * i) + 7, 8 * i), ibuf_data((8 * i) + 7, 8 * i)), + Mux(io.ldst_dual_r, store_data_hi_r((8 * i) + 7, 8 * i), store_data_lo_r((8 * i) + 7, 8 * i)))).reverse.reduce(Cat(_, _)) val ibuf_timer_in = Mux(ibuf_wr_en, 0.U, Mux((ibuf_timer ml9SDNEy1i9#>JD*@`iFQV&Gs}%%IP-aI!XE1yE)Q-);cI-61&u delta 103 zcmcaFcVBLU1UKV?$&%c*K(c^Wd~zPQCzN%b+X~3y;;{je?mQ5A$;tD0EP(v$Jg#6> ml9SDNEy1i9#zm9Q@`iFQWZ+<0#Guc#V6rw}1yE)Q-);cGS0O6^ diff --git a/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class b/target/scala-2.12/classes/lsu/BusBufmain$delayedInit$body.class index b260e9bbb68c06f46b427b201387fc96c10813c7..90edecd05d0126b52d01fa9ffb2692f661eb9584 100644 GIT binary patch delta 19 Zcmey$`jvG<1QX-J$&pL}Kynq6HvmjU2MYiI delta 19 Zcmey$`jvG<1QX+e$&pL}Kynq6HvmjE2MGWG diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index e87a1615560646f37d64b9a8c88b0413ebaca22f..6ac4de3aa22ba95d04bfba8837d4f898b803ed5e 100644 GIT binary patch literal 553522 zcmcG13w&H#RrfhF$vk(GPTHnToA%zc>Ff59%p_^jq_@43G;Px+=}ppS+H^WeCh4?E zCYhNu?Y+IdC4cfe4+v>pn!V(s~>LC(?bri9L!Ro3F0W+TH z>w51G=2O|B|p+F!}lh|d3s&=JE6C2Iu zz{UdyqTp{*_@fGctHMuga`QJS{85Gfq{2^Zw(+S>cZ={QDN4>`XlA z+WAn?KPvg$&L4Q0E09f?jzGA|lmMZQ$&O0C6bMI{PYsbx1l;^W;g2f(4a^VMH$zX5 z@+TVu{ng>E3O`Zh@|zU?sKS3z;U}uy{4EN9RN?PuKHG`mWOZ2hkis8T_-%@wMA+5e z!ThTI)DDv0I0)c|yDdJoPa;D3xqXhS`I#^CKf`>sC+gaBO3^ba`K;$G^JTjwVy-LE*DUWxnN6c_2xT%6!YChPM&0q~}ati=ksI&nfxrIho(0 z*fYu=l{`>*zgn*ALBqCZmB3}b1cdEbiEnvU$+tbL%+H=x@@>y5^Row)e8sb770(*B zJSziBdQk2F#j|D=&lg96{G>YrL{2FDWrg3T@RL!;0Yy$L{AGoIPT?on(@T3s z6#lZpA5-{A*V9KXEBs}JKcVoG($jPM+*J6>3V(|EG&3wGrKguOL&%y*7$@$`5V2+e z#-Z!!BlZj+amaj$Lwg30IAl*R`SuJT^DBI123S^RfQU5%us!a~5OHPzSpa)_S%5tQ z$O06;H3RU#U{5deTQh)ch*8Ox4H2t@}h%z%QTWfAvFJ)#}R%V8XGBYe&Yi^lenHiR? zHMiv3GlOhTcgBc3W7#hqle@N#STh677|T2}$QdJI&kQoZI|D@QnL+AzXN-tFGsyb# z3?S`MW`<>DW(ZkJ9SIa=Egn(U;>*ffJYucIWjinPj3M<;DgBakXN<_K!e3VScNBio zof#sF3V&JQ7ZrZeof#tcEIzf*GV`T_cuvjl&J2-93V+$IBfPJR3H84}|1Q4xN^c0yd_1F`G)Xx)u77^MACX9W>eCI+*}eCpq*Ju%4q?iL`bOblkxofx9Z#9*e}2_ULW3}#W` zD-(m6awmYOH8DhQ!Tx&bxYN-v?6DlW2_RZzoX~hTQ_`W!@gBXW z;Hu~@^`p-z{FLj^qmL9lMR%zmwPG8x+?2DTuZo5O%~5lDS9D|Z;p%iUT^*=di3EZJ zXG}9Rn9V)|{?jUd(B%)S{PQk!gFdIJ0xR6f>V zHoqn$?cx06E`Nv0zvS`{Gruuc4gFV$pPt%B_N)1?x%r2g&-J}de6H`L#orNvo=M4X zK1lYf{EW+gLDiFW_0)uw_MCS4J5+wo_;GWGh`{uTGmG3^T=EG#%$o&)R`k<_3$?6-+eBf&2Fi>8BLDfX==ZBt>Gf{HB?H`3RQeAmtd167OFjp-xXQ?Y2x zKu5AR5ILXPR2DZnr-O~zO(QotS6&!RB$w-=RpC-#v@hMaGk9rv%i$ZHg$uRM&(+>+ ze~_=gvt^;NXK8TYsa@IZlZj|eb!T!c91MrYVb`vzNGO>-a;@vmS(xLrR*Y}oJ7e>1a`G!+xTQ_#EoIlsGW#L+T;P5eV<;eE#+u;-C zGp*a6UK#8ZUBi>zd#24;bMy7?hU%J2dxCv^L*d@`Y_@q{$i%Z*^+f6XwxPKr6J4{D zW93cRbmvl<+vnMhiN@&NY(uandhmAbwyxR4fyM)OvwQmk8>^Gcm(18)t(aT9+O;&; zaA;Sy`5@J&BYC;HI(&WmvD0R-KXYOx+}GcCE!?`1VxCp|L(6@KCsMcasYCY&b=u zuF+)TTHjE0)t+cJn+!B2o30kFrrJ-Qdw8_w#!LBz7Bg2`-G>rITqk@?m6#;$qvYqa3@>!!hmrd2`ZOaOP=+i&GU$L|a3 zm-9__zie#|(s&Mb*WPSfJQ!^pti!mSuN}Aw+^=qqHa=)gSKXPcow-ss(T?#l+&#K8 zP|M>5di!piDQxXU{U^KaerruvQ~g7Q?Y*~hs88~iRiEZ(0-I&KCv$`69$r2Y3RHdI zWi&8r`pu1r=Ef`l8ohKrSG%)19<6J%3|!fo?r$#)K8l~I+17LG`mWJ*62O-o=~cM5 zE*PrbP-6k%dC|OUTX*hcsO8M?WLqh5pt*6%YM9YmX3gB#LpX@GT>qF@9om8NTJ7?7 zG-Ru~mrmY%xUX)aZSnlM<5w28_Cz-)7Ls-a51P?J=F#reLQ_xf3KM8p*tLAh z?zpEIU<8zNhqe^1c0s--<;bVQ3xki^uhcbl-5TB7a{o{h%D>>2pAL2`+#NZ!dgw;m zy)4!Dw5zwS5y0Nj$>k}03(!l~j}D_w%AvhZAHJDfIC=C`b6{$N4Zw@R!`U+nJ9=*oUKVE- zWw|x&Rz34=>FC1XNXz}9Yj6+^?~wY>LX!@|y<+5Y;WNMEpjui|LZ zi<7;#`lp6g=iT)Ey7ZyO<5So6wuB-7l%4)4ly~HZ8hd8v`pEtUyZjenKMf!%fA8V# za6pL#+kQBp2m!gFCewMV|J1luZ z!v-23_wDj8#M82TIG_uY?ZuPlNd5^|e$tWO3r9D1{@lY*s~k_|EgPWc121zGEqgrK zHVlZZ9rv!K9ySc$c$$FU=>XrXaXjO|<&L?-7|`dBR-J9lv`tOUk^#G11KbKU(2R95 z#03l6gJOUOo7!&;%(h%z*xGp~j}^&fZtnhZW1i(2?x` z_&$4PTG`rM-IY6unX6_Zxzexd8F%&UCOs3!bAzFltqam)LmwKV$+ia#T16eYcRq{; zof#iF1i<%{GUpziUc8ZnBVzlXbn}m{k^gAj&F<3qNAbBMPr%MIuAOFtJoWi2wE)DK zy-imauC|wQCpH0q?YW$t|AL(#Gj5pjmsUrbQVW^d8<+{Yr{H)bP{>c=#H!!k@|@*(>=_&mpqBc3 zcCo2@`r3)6uEnigOa1i^8%COr-^z!Mv*Rte_HzCF_U_(Nb2E8sAvCk4RywA~%YXjd zru!r0*k82sVi2lK?Rwi(foFblY_>i5HZ$xx zwwvsjZrSyDUSu7|bTxUuzrJN3IgS}Oo$E`E3+cIr<6F?b(7(f80ifSi{WDjFX@a|A z+lPKo?Hd`|*GB!Cann8a#}4f;?7#{mF>LEcdn%6k=FIBiHLSoA&I$zOtLaTxsdr+< z+k9=wb@e<7~ovqXM{ z%I~(*pBKvteoJRUO<~%J#GM6=7k|0FP4{WR8GljRKU2BW54))RQ?`D!{H;Bu^Pv`+ z5JP9}bhNK|v%QQR$7u4LJAc$AfP-so`El@ZU6Lgoty{H&mA$V z?@ZPo3b$b=dZ4j!@ZhedP_g;g=yGjUI#Bb%)^xhFfVX2B&jzw)_(d_=yuCYjeyU{( zk&)2-%`a3<_4Rkxnc>mIhG;n4|J1eH*=J(W%_|+t@j%t#hNdvmdyt-7zGa4@4Z+j# z@pv#E5B4=r?F!W%O^hb$L&5l#_-J-AHFYgCly07C3B_WKiE~Z8fttj@>UhJR=HP+m zOVP~-2T!J+Ul|-W1?y=Weq!gwsy*r8rHw}q?m1{y$-nVIGj?F_-AjX)+D3v?@#etg zJtOgR&tHBjahUWpJauvRxUI*^XXyz%7#s-f+6}w**S^rbe5>yRFAKle1c&hqwlI5} zM-!L(2dW#JFW2qpnk`f(6LQ5;8((hT-o9}D(e`R=&hPhQsXsqzMhYP;(XZ}m4sLF| zQajOhD%i+>)78o5)`_0wlaNbKwwJR~E|SaiU=KT)EamrNiG6if;EBXT?D5-{`_Hv( z#UA=rI1oz)Cb|pfAN6iMj6GL4lua)*bDQLxW3VQh&dQF*+?5U=eP(#hO_R_)V zfx-Q0_c>iX*i3udxiKJi!i;03wmQF~cPigdwXr!!d)40kt=oHNg9j3{;<}&P+j6yL z&`eybZ#s(^@VR`$p}9q@$W9&=39Qh{Seb3vaI<}O5-Tvo7@C7=-ZIe2?eMnlTh~rt zFX-DUV5M^FdLTyfw`c|`&oJ)t|H!Nidp zUH9@b_7JL$UpX{*zzoe~QZrW;8hh_#dI&+sL$k3_AgFzIxuK=&;KZ>2tq^$yg%#b- zw>m|~9 ze$~b->4^=U#TN7qwXe9|xk4*0GoM=XArSOKEJuCfVhqg3( zpJAWG&%FziZL^b?6WL_Y8u85sS?|^3rIVNm5cDFwrw=#wPT_eA>*W=gET>w=Le91} z!fuL%?bg&jSD+Q;4YSts+>{73%KCKWhHs*Ow{-`$ zd!L(whoxQ_0ywn2+sfZQl@HMhH4%8i%@5DracjIsnEls!=T4?t<`#Cg)5=)pljYbe zal0J%xj8tPpjc^XuJ7UA)(Pwc8aC}m=pe8!O0l0FjPs4OwYR)YW&|;Gp8$t{;5!mgt3;Umw2;CeuW8Qpn$717k z`phtPY*^V_cy*ppuPRwDtSkcwu17hKcG$h0@V9$k>$T3p0%B)FJG*kh!9f}88$2hr zVCMq=(0;ZS_As6-e|NRBK>dVqHeDJ!bY=KP+Z}2L*{v@Yo#eWZd^3J#=Q_CiI1t*)NCYuhdK&2Og_7rg&-TsH4-ivWb?M>qnAs*V9(Rg6|x8LheVaE$Uh595U{zrz+ z9G+;O8*XUAJO;agZ`8XyUXOZj>zF+=#^XY^&(+?-+}`7eEj#UVZ*qioKCDmLF>>)f zl_&kr)t=|so-o-1f6@tDVI`9GK4bjLdFjy3?(+D~@)pMT!P|?IowvzOsn;53tXJ-o zBYS9`@%mBBKZkbV>?cvPx#sHVP0Z}UK}=B65mKn2ca?(Bi*N`s1VFJY3MI&if*f## zV}^sqfRh~)Up+k0_FU$28HX4>I69+XaPl@PbpOcC?%U_j0r>r$R8cw61P?IKc(0_#O8LA6fis z(!tGSLYSy0Q#dpM5U=-44Wtfj$%e==Xb%f8K)efy9JUs<6FnD^BgYr1-w`>}o#7LMJw7E&!+vZo{-Ph;zMXVP+X zi9K=wQNn^S!SZm_I}?Fs=Fer$H6cdcUh3al-qJiEfICA!unUn5o1UH)be=fn$7K`39mb_gVQZXNA=0G zycLrtN5}Twy)r!6frSt`I&LR%GkM!?Ckp*w0dqCiw|jr28U!BZvFSN-Ht6Y0QO%sFVSL8y=E>DK8uyA5|YoUXMljj+JZoAU%(!n3I>bZ9w z?I<1hvV{X{VgLM9ZCAC&Fs_#tbY*nY?wN6q&0Z~y^{}5E`OD?5*6SUPAG_Prv=!s5 zIk>~_Ct-3uw(s9CXl~{Sws#TZh0Y{qu44g;PYVz{@TpczvHa|El{bb?p(T=m&2-WX&$o1E&GSy0NXKq zVpBKfvHLk*c*prrQ!eN+FG)FG_y=O?sV172NdEZL_?Q^=K0D=5emSlszN~Vb`eMST z4UF2(Vp5&~&K=2k+uz|ofdxO~gz5o*f6T_Q{fv1|&NJ#lMV@H{NA-O8lkCEcUYtE{ zzf!yH82mvj+c(jDZ?gX3(Zfyc%lUIvReMaiK&EGF!%T;<4=)ejFdJmMU&DsZyKr{q zN5Zo;KhnY+eu4Xi7ksAReoHQ%uRo53OLz|J2;eHUIM~+$KV{YppEz`zaZ`2n!epad zFk+)YIFcKU5-k{U)<<|7nrxpMoW+8X`)d~E-5CsBV_b2F7#kw)@5vKQSBIP01C38h zzhB6V9GasA??LDAd;451=9A3Cp?w#&buAS_94e=c!F*%)O779~bFJHY=B}N9-KL=S z;EnWAai-ANc`MscK7;w@c5qU*`_y1PjxcT{SI+F>Gp6w#_+t|bz7g5Zx8{()l@@@? zGyFzW;t(6Cd}xsCL7_s-6E;5O#s~|`9b69?s35Ffg2exxg(a-w0;`7Nb~NJ?7tl+Xu+N2aj<>=k}N;Z_FD54=H)D>Tl19M zD2Ju|A$MV4$Mv%2KiVKzcG3JbNArN?H|%H3W61*&rvf--eKhY)zaZxoso#Fac(d9K z_`=!t$c=Wp-3U*oDWHV$An{bFod*tk@REt#NR&_BY@$F``Fu|c>K)~J6YhBfh>c5> z+neOJwl7W6Mg{YX)h}`bE+{Y+dcf_%>naL-@y2B2;XMvXZU-)VX=8%Gz;P)z55GVg zw`u8jc%B(NQNAMQ=Rxi_KC*MmeS-0Tv*P1(SYOGFJ9Kk$ro&pN4eT;wF0NM8S zJnWKZp|qYzM`V46cC^z5pZ!Ui%gxnqq7Cgb+Qr7R+|cG4#F@j4XXlv$Xf%F?CJ_L{ zI|F?5CTPRO8~v?xHjeq=T1Rv6m`6Wt=$9Ek8_y1tJk}@B=lE%i1I15cLxueV*VoG5 zhw&}z*U0^J4goi8ylI^Bc(KM8=^eK8ZbjgrFR-zh=Z(E}+bEE+n>UIfYkXOHob}Nj zcO11^<3p}<0x`>e^&JH>!FcbvgO`%#hVfDl`q{20uRHplqrea6v(`hk!@NGR+Jp0* zuFQ9Y^Nn&oiJK&?*V3otd?wdTS&S2BTx_NFk|@(gRlzM@+97{p&Ck|;i1cJxkG=2U zKoR542{>){KHL7E);VDW>ZJb{6TMT{b`1e%mOtTje1pmQdEPx8#CnhI20l{31KiGN z_br(JEgVR{VdLPkwN6;h%5k%Of16p&<95dhXCAQDllDBofenm{jjr8TkGbuoteX+g zb=J*`>FTUCkH+_1m`px5eJNqq*F?kdGl%kZ(~XJ5<{i~z!+n>+FAk;6>1_z{C({Ra z9$PqnE;ee-w++KPdZtRJ0|-QREZyy)byZKPe|FQRX74jX{?0lc;o@LZ0t98O*I8)0`d$b5)i4~cX5mN~$axi50L7HH(P{Kf;y3im(Rs_g;et5KX zn{>3d@$yqS8^TLaaQL*Kmys1j9sxAkP;KD|6g7YhY|wy_kr}%jj?7r;a6D38>cfP^ z5m=PZ4p&CvC_r)=Kggzu6!{Ks#zf&+SSJgm&;!}A_JX>!e@r40Or`SohLoOrCioawTX&rHC~GRf#~-m(B~6?z!QSLs&rh z+J^%^_eQpLl+TY*z{>ls$o!5Ifo7k+xjV>L?agOL;Baz*cMjb4v-ogaQQtct6j8L^ zepKEep~xc~h_C&4Lcfc*X0ag)wEOIl6FVY|{i)-GJJ~;nu6Hfx8k)8&PFfN5$+mL- z#3q~^V8X&%tMpFC_|dBUI6=YN##pHD=vm4=+RgzTn&6_Qk+Q#6FmQiqK0lo=_ZJqH z^7FY1OXYlFvDD&y?4Qq;N@1f0A17E}E^O49#zu{>X>7J7m$K7$vvawYzCvNXC2Z82 zMx8GyY;3|;4rIDU?$Y358FE{YMUtkm$tT}(IX8_Q+f1X1DAQ>1XP7M(7D#_CyLhoM zl*d;cvh!hM2UO`&OyfzN0@$GlKWQ2pNLb}6?Pb^6$#Nw>Q@$NGcAG}6muDJ#?qu&} zTj#TjbNJ%Ky~5pG>u|1oyD)P$yErqSE42(23U^nQ!p2_cUq5Tu*l!vk&X1;4zCzJb zyf;06_x4N?4Rz2oqRu1w&zo%q-@!npBc>59-jfd%n&@K}r4lkFr6%hU*6Ba0(bAG# zEG)Jp!$t?bgi)gJTeLn~%+2IxThhg1VKrSU6{rJ`nubtQ!$vngrJ-bjA&c3C{Nh}H z0bl00Uv62*P8SO;u%d0q?lI?^9h|duL=`}1<%+Y}Y5J^2AYVWiI&yt0)Y$a7jZ*{9 zUOa0W=AdcBB%K+$a3+O>i-W@hW2mwGn;A}DMFyrhL-0PCQfqzB%dHRwG97}6{VPU15otn?J4ld5*?&oI4bIT~Z>+y2B zR@30^C9D1A-p&(%XQaKBEwhW#8i$*wio#4Um+eMgj|L|%abqON4FP1*!{Yt2x5YBK z#Wh>nu{lnnGud)h=H5V0Qj7fV3@MPh=VxYDXEJ&3Bc;0HlvqdNGH*FM=Vs4gAQh$l zdit785ily|vNIXUSD`1Z0$QA0WEk4eewHY(#klB|>^#b{P$G-QKqNCHTD?`-m|c93 zaj|N(mQ$LAv4poYsoiY^`52Z3l*;IG*(o!{ESw{dc5i-0ns0ZFYy)X1jRW^-24>4A z6pjYZF63rb<#R1PFBTSZ#kriDfwBYb)Wk9aJ=-k|bD<$Wlgq(3PM2MwmBkW1)FYpH zI6Wt6Sru+b(kF4mS*=F2dWFDD_)-Vae|cy)1KO{RAS}^>**Ei`u(CLpgPVxT)?}9v zleE<*{BSQjpGW)B;Nj+^s;h&?%cU~nQj0xKq(@>*MF`MLHc*F87qXbnrs2OcGx-vE z1$3n9ZD5|?`}kS#K48_A%7tQ%#}qov$KgDz+3I)X5cyIDm7814&5%IEvU&;qV>N>u zKDF{eqK%=(8Hd`d&$C`EX^4PTouccQ)%4y+#^EfC7R(ZAEnjunM<-{3oEER zn=S?9v!%ds4y+H4w$a@5)bPrHvlH#%5SEFh=dcu$xmFf1zB8*unh@biOatx=qm|hX zv!+1Y)ndkKW;y(=A&qrQ|H8~tx!7inWVLfPNI~vFh*OuuY+ce5+YdC;qQmV+w_)HY z9eb8?iXw_KjA+4G48oZVYQfgY8dIITE|f=*Y)I)j>~{2sW1%6P zDv6V$M@w-bfp{_OY@G^E~~Eb+=+I&6seUW63Z+DmtV@PN(R?B;mskX>LkzhAyiM+ z!>z1jDbCRR%bkw7z@3hPDD^QT2R@|=nxFHMl+Z4_R}HXdEc zRh4~?am$thCoI6)Z2?jZBwDT!OHjeXDO)UNA3)Wm$6ZtM7DF!2Q@$0Zf0axtPPLen zZ9tONz;cO#$raV;UzmaOZabBmb{>-Qp^l(0(U6zhVAN);w1m7el`u_m?6W|j9wAOg z!E)5l0$utk>EoaY1)>q<8ik)QM#()}1<_>hbO2|tdc#iPJ%g*oa<0&-@m{t^W{MDRFUrc9)2Zl34gChfIYjsE0 z0Z3f+FpdwooAL`&cd$V0{qJ!IZIO#u)n6$TF z>9c(R%|+BTW-+b~cEIa951Enl#lg!+2Wvd@?AS$Wz|-kVLl@!6CGOJw{R89UvTfiO z%ySw(TDKxETvG+FUBiPl75O?fJOpDZ@^xVVsx}Ucr2B>jV5No&8#P>5so}y-4HuSb zxUf~jg|!+k?Df=PI6Z!zW=ypX#Phc&@%-&cJb!x<&)=TJ^S3AQ{Ow6R*jIb1zkfK> zmmWEv=^MN_j_H9JlCER=+Qos)mBCXKlwyvw-{P=>f&P9wOI!+Z*8pbn>9i`rI(VFL znXF^v5*q>|SjX7FnZXMqwn^jZQ)3P-hchdZc38&OoElDN`YxTeokEPC$1{T%w8DNM z)KeKU?|25o8m{ehdi){=@5O`(Wf9mu4pkzm^f>se{D zT9rl4%EO?MoKSOEEvG6P&s<5vrj2&CfwbcKoQ%Zf7PIxqcC|dux zS_r%gma4OI5DV3#eziCkMut#ZTV%X{ z!sesr})nJLEys)G!6yYUm(P*xskEN7uy=2lS7s<^T>~@JlBs`a>23rjUz^5c~n$d6ZY$&agC@}1P(u%WX;@23Jgm*+v(zS& z;EFR>E{vUm*N}4d(2)7qJ;;0)FI-Fyk>f_skqYP8ZiU*h0WA71V#HfZr6`$gjiI9iz|y1>}ee@7gwh71@`QGe|CO;9DDJw`3so;rV0g|0Ti=KrtxaE>~&7= z)a?M&BEX_@Jc1V=EalcuRL!?UZ3BCS`Ag;pV&*TJAFKW>6fY< zgg<2dO1)v2{|D!&v-!mtVg8!=KGOEteKWcuA%=$Q- zyu0(_Gi&n?&_#2(GWWM>Jfn7z)%KMt)i(7ZMwowO{&CFwL-S8CU*;A|_#}UNdOBAs zR``Tz1knPfaYj|d6;*2fU(x)R=3i0k{54hxXv^D$nf~nb z?Hq>6E`P^VAROZ(%reNnZSC^O-T7J`qFY^-*WR%CDc$IWXBLD2M8t}@<;+6F{0Fbi z$Y7ZRs&e$hi^pUDmX3WO{duo6k}YXamD2F{YWv{P$_=VAH)evkJgK1ILI&Sy{5P*S z(k*dNmEtt5Syj!*fcJDVgAIAa{7K8Qa;$wvn4=eWeF*uKrMluZ2>JnQa*stvn`+nNDBS!DZzyTC236ZK_TTrfk=%2 zI@nW!+xIDL-=}k(C1NzMiIM z%OoN-0=}MZcYB&hjexJG+ufcfQX_!wbSDhJ9lK_=5!&6JCsLyT-RrJdpdjN@;3A=2 zi-dL`66o1(6fF|keMq2ZTR@A1b{`Vx*%r_uq1}fBdbS0$NND#Vfu3yvEfP9>NT6p+ zfXK>b?(iXjo^1gw5;}ZHpl4e^i-ZnzuiEqUYzt_6z60G^Yfs>qxN#s83B2f2;`Tme z*VN0``yFoY6R8nE7fTO4U07Ja`%6IFD}80Uc<9jLp~Hs5W)>EeV)jerj)oi0v@ z)Cl-+(&^%aNR0qGUIkXCiwPn%0zOQ1x|kqRBYDXFp!M}0j_&sGUUY6N^eebnt~A~gcOo<8dKG?5wsUr!%(dzwg% zfUl>Ix;;&#M!?t8N8O$#QX}B&>7#B>6R8pK_4HAR|jRWP(@^=1;+p#%}Xf!ZVUA7^yza!N0J(SfD+!fbzhXnuMrS~3hwslalv;? z3%+AM@X@nf5iR(R0b%vZYr}MJDc;kG1busV%4aLmg7O%USE1-Ot`DWwRw6r;&sL-b z>oGvhBjBQtk~D1xPHX5l;#EMNRZA^Jr+X7 zQ43n97Rd6-fZ@o1*2w@wUKubP8PGZz;KeHgh9d)7Cj-cMWx#M`K z41nX60mG32t&;(EyfR=oGJ0So0TPc4F>D#4HRU9M2Cs|)6-v~}psT$y3J#Kb93=fX zAckX`9tTN34v68%=y8zraU@K49c!+6+beP3Nnb+$@r0x zRFFZmPR5Unq=F2hH8K?N^z;}p>{cOKC*wy3#X}t#Ju)Z)>Mch>1|{ms@gsvmp-wq^ zWKi(aTaJPZO4OC(M+OB%opSWZplGPK90doIs4K^h1B#G3<>+xhflzNb3JxeyR}Q+m z3YT@4Bf+=$r4>X_$W$Xufl*J1#IUU-S|{U21O-bS89gE>JnAh+K?Ei0%JCzDLZnVP zdPGnV)LV{%2ujqI<3|L=NS$)@h@hybw;Tl#l&CAmj|hsCI_2mQL9tP9ISL{uQCAMS zx(?@Fo@1}z2mFc@a8N*1qljXqp2CS?8%(rL#t#k(r8+Wta8U5nTaE$_O4OC(2L}aI zopSWxkRerd;X({YMh^}OlRAfLSZ#mIZ>oY7%BwTgj}?lWI>qX-($N($e!yRp0v8IZ z>WcEig<`2rQF^#gXw=*K3Nk2BQw~K(Ju<|w%OP4P<3|QXOdT0LGAKssEk{8HCF;uY zBZK0mPC0sHP^8pbj)DwI)RlwY_R1(wph&7t#t#JwpgOkcp+M17Z#fDMC{b6A9|sgs zb;{A>fC8u9augg;qNW^*oqA-5VV6U+PR5S|il;g;YRKv7et96b(>wMC4N z`HG@IsVzpdrYMSiddwq+Bcq1`#W{D{F)Ktxb4C2>jz3u81g@)Q{dyI+kOG}8ez;Jm z)Uibm7Yc%U?N{JJiMn$9aG?OGQ;r@k6zBAoqrim{b>#pN5&p$-elb$D>erxvhoYi7 z4Sw)YT-32t4;~7C+An=A$X5`n@EU{Hb_GuQXlaU~pz^nwv&CF4Go4~Z-{4gwb6Ms{ zy0QwXHXpzw!;#SfEY${ls0K@hBclaRs?7%;$#7(};7PUlz#|!sj21kpHXnE-!;#T~ zC)MTyk7PJ9TJWUWfCz6JxNwn)y0-SgCDrD_MbbJMV8L6C3yxG9XpM|N;+aw+o|4wd z_;El{PP+~C5ziC_H9fWw!;#VBz#s8UDG^Ue>umGmK#6#!h}OyYaiByzQ$*`z{5YVP zrc)n14k(1_Z36`d`uJul*&Q*y>=#p@pbv4TD2f@DXCrv`smd3?kg1NXdR$OQbBlfW zi1U@%Tv2`^fj;vQsrnV4MM^L@&j(P zKk{otcE>zDGAY37tr8fHj2@Z(h--=%j*K3e6kzq1qac$Kb+z?-D2lQ=<$%`70BkWn zT3*PkEJdpDQv+|g$l&PEBhw#uO(}6#No&fbFslTg_hSk8egKYvt3K~DLJ7*Ih}J0t zv@{Cw6Ca$JSAEH^PywPoVw<7>t*0fFh^AM)!{ z@TU(XrzmbLUkT3M&*Mu}^a-X&HQL*2mx4JG(Afo8$+a};$=ZrYxe^>tQHa^;Y&{Su zy6lxvfJlkD!u>#`5VKPbXpIa-lwE_1R?U4cN~Dw+w4`;4&|0pdRSOQj*CbL(U|P~T zMZlq_<`j&!YoQNJrzj5XcAr%?eq`#^qs-7Gpex%CMG8Lb`G3Rrs$2E!>Fw9a6l$Emno_D#PY1#bGNc8Y@7t{w{o`1+C89tDCVptFY{NaK?5 zKV`MWZNDA`mip*-N=CmOJ(fNANR!7NB_b{bH1<#cJZ|eL=4T?+MZXf{up60j>y!Y1 zj*=--vg%i&KvW+yPf>`x#nWmjMf>qd(R8P1Jw7RrE+Z7|52@|G&0lE+os?ZyvWm{^D*ebfQvDjg9tEBH z_My)*la~=rq9NgOuX|nW!rpz;vpximwe!MXEpOSEGPee`1iL0|HkKk7E3| z-)oJ6UCOVqhRz9GHTX0<`USuE_oz|M6;k?hg_QqXK{9NV>dzHYbd2CS1L=)F>MvPA zC}r1G0O)hOvdGTh5B+)+i0V%tQgpze=wWB@XMQ~jLiHySDLQ~q^sqDdE59BEq9mZJ z7trSpnWE(k{?@NZ!KnV|BIUdnE}a3EbnFa1;43~g%0Wmern{7Ok zfX*BM)H81Au)~qjqnFM(yfO+>DN$DrK)B9V4kpO>WQctmTx`~-=#e!E|*0#y>%Sr6cO zEm2UZKR;=w^AlA@GAtNKy0V;hIx2B~yCH8BkQVRtYjQy-MRb~gGpT85L4JHAQ!d_L zjD+w50xtM9EBNm+uAR=QCb`LDzbLjkO@<^!9ug}F(yZ*?f z-GAgF8MfW}m(1J!FPTe*BcpX+?fxSd$#7)!7@-pu`F&Qqt{n0UJ?pEcauU-{w5Hko z@1D0SCoz)N$@rn8oW!*2Ph#5X;KegQ5PgTkyzaMH0hIpz^LGFFjAS?srUw+Azjy$p zyno)VKb&c&!x^uP0vi4M=k0W=;*n8~Tx6oAHR<5QE2Fp@{i#Yjos)QF6vv`JL}{l( z6#nIVDpQoF%b}uQ3$oZPN!fMQ!kc(YcBi;@{Tu1+{__#ZaLUoU8vpr7yK+7vX`O9; zSEIa<-cEE?F}E~t8c*yW^nR53fcFt!h@HZJS$yn^1Q1L;v|s(6Z}0lzBJ_&TE8|8a z^iA~Q`t5uP=S@Rc=(OhMQ}P7MJ$ULxD44#9+@Bu8@Nm8;CcmRiA4Q(4Rd(!9VB8P;Z1%Y>SGyN2l7w=8cJ}`$G zhU~A6#~dc|{v0cR5ByN^UJ+Mw1xw2`646%UU0ucP!VD{kB?SSmt#Al+JoM}7Z8=Ng7?0Jbt3djp$||GP&}b{Zy{T{i(AIz?GL8Wvo2Je zo|Sf10H=y{!HZCw0j)|;)DieHxmpMe#;5L7gB`WVMKy+vyd|R^ zZ@%FsvQlvxw{t9Ks5BscA(x+9oh}#W@k{P`341v~*~~J&dMJO{N{o4Q^(IZN(17>X zXu$vPbrhkGg+5L#{`=@xr=_@9SnF_<)|KNYH$9Cn=1k+~`DmXR8r=Y~%fxW|Qy!WK zreL^H3f`cQ+0VLNxE?+no^xM(4^7#{R1>6LR3R)hH!~~&Yzoc z8icEjKEAX@A8XXESER2IMCflqpQH{%kO%|Q?Sc#)3XmIhdI}u$%cr@17-Hd z^cK?Mios%)<*55_`URbG5&EalXQ`5(Ljy0|m7^TmY=l4Zn=X5YTSR#ojEM0=Y% zWk-e^h4}JereQ^$pwWa@-4Q>^b9 zVYV~XC(I6}P6@M<^Wp3rwK*ruqh=S?1|aTc>bx+IF*PjA9;Pk`vzMt+VIF5{T$oQY zbxD{fn7Sg&lU&j@VLrpubz!ENniOUqQyF36@@OhIE6h_&O$&2?shluRGc_m7Gpso; z%(G106}YZb+PoleWrm~*!aUE^vM`63DhYF#sTE<4FtsYo3tayP!hDvg=Y=`S)Cpsyv)=qg?WXkZx-fNroL5}*O>ZtVNNjhDq&t{>NUc= z!PIMoImw#8TbMVQ_dUYQF!jB{yv5WTg_&jQ`-M5h)SHDl&D0MFbB3w62{Xsk+l4vH z)H{Va$J7rC^EOjID$G1nKQ7EWOub8(cbR&(Fz4B-pBClFbhn*SC~so zyYs)A z?M!_^nBT$FmxTE$roJr9S2Oh$VZMf`{}ASPvgZF3=4&MtF@^bEOa+Dc-Aq*r^L0#x zh50>9MTPl#rsBfd2E!u&y|b_?_EOzjcoJDA!h%y%+%K$t(o)InkXFjI$x`6EoV z3iC&0e?*eP{4wUW3-iah+)iQs1oOIt`7Wl83G*kJ>J{d@nR;57KgHBZVg58zX<_~h zQ~kpHS*8Yr`EyL25$1cC8WiTwGj$%H&!!1Oexcl*$>c3ad_xk?80%&ly1<#_tf>m8TYJFq9A#`!nV`a0eyq1Yl8(lN?7~1IesmI7hmr^}eHd~vv z>(R*e%bkHtCnYjn!xqto`VvOXq$DeGh6m$E)4ekto? z;+L{MCVnZa)vh}C#doOL7p!rkKB>bw1zqVn9nPYgqtwWnV)#c#8ArR#A2yx~7P8X*XRjc1j!V_Y{USp;FJYv-agUAExlUWDauMH4*WJFKk8`eL zs~np0@;c6{TQFJ{$gDh69-|TbV_pY1OEHal*--0rI?uP9?SKYMmMZ0@K+dZhH&$M* zirw!zuv;1By@IT6ovxI=fvy{T$$}{--9pG2b>qh>m@edd$#R`L3tv*5ySQDa1(h2P zU$SW2PR17>a?W+oOF6yJS*NclvyHHIhNE?RBA2btNAs9>zSDQJozDh?zJ2Um-iqk_ zyS#7Cok8_o40S51*UV&rL5>hToS}(ra*JHEJ$zHxn%2A6Thp+Yn&q6UBe{##EXu{D z)=4-QO|lwo4_bNFpOutrDOr`)FZyq*eEh8IEI!ry87X+M&f?Rbb)ChhKkGV+Pk+{R z7N7pC>nuL~S=ZS-saaog^HiC6oy}8a=5;ntm6@&f)jBT)3vBZ`o2N?6>ujDXGq1CG zs?5C3=1I*=`+;>fPyVcriC@zCnE0ivkBMK(`k45otdEIb%KDi2rL60;YsIam>=$-w z)*`rqlrB5v3_6!aca-wIpPWbM((F9zyEHo&O__3n(D{AJL~friQssQQb)GMuvVzr3 z0hHUic9m7?<|diRy^WPK>fCO{C9RxQ*Lv>Vt(-@2tn5#nThd%E%a-Xn-+SjQ=hMx^&Q-LWMc3oX4Yg~xgnM!A+BSLb zv*mm#<*fv~FC;&f)w-Bnz#XxzBl1sw0qpzbK}wv>PUm`s(Q6#XDQV>I@kWs%-nX(i zGf&A+8z(3kzut-97T4T;=#p=R+HXH-ULkScZIG`$;WZU{JEdBRyM${YtV)W=mm+@`1OH#~YJpwo zN;yB@I=pf}c@|~h+Re>Q8A^&&`R~!lS0ev`S3SHJ(2nJ&Z=?AjjgMDs^<9E$Cz67w z8I2mz0A9vn1BZ-WeDa(P%Ul zLn>aV*vQK8irwwjCA3s_4p-(zYp5cc6sfo_8m*0P2t8x)|L{_j-5At&;pirGEiSpe zlAkHx7SV>#S7Oo4(JeTVXt~ct#xyz1CJkxlEYJw$-47Uq2XYqRFe6G|oR4CkCSyJzIew?eI)w_zF z#;7pTy#PAe7EQ*Yt_&yZvtT)W)QFJ%Akro_-WqAKe9a8Ft=t~lr|{ye?18~p>JbqCqoJ5Ao}3 zG*!DWPRiE^qBn(+X6lwO`k0y$Mn6+C!Z^j$EIMDA+GU-DamF}{i9MPZ#voI7g>jCl z1!0_LsvwLZrj~^<%v4DjBTTJeCQ}qs^U!ZhToCHIMi>{2XQ|`|!Wd)fd0~vR#utQf zk*ODjafzv~TVpi4vy1CMQ2sI9$k*j{K_izbC$QMLY+NDBzEK$0n0lo!CfLSr7RGh9 z=UatwgL&UBj7jFbN*Fhp_Znejn0oCxZQ+!7i}Sut7*kBWUKrC%y+If=Onsj)a!kER z7_&^hMHq8Ty;T^unfgI*N6SKlkvHy8rQRuwyKL+a3uB&nKPrp`7X5KyEOP3*gi&DL zyM?jD`F>g$%S`>OFp8Y-J;Eq)zV`~F%+&jZvBIgpAdGv=`z2wlGW9`W+~?F^7RCdn zenlA1G4-p$c%G?W7sf-D_)THFz`PF&;}KK8Ba9b0^&`S~8S_3WjIU$rq_E4hrn5XLugyZn_fzL}~2D~xYp>XX9wR;K<= z7~jScpAyEmvzE^Y<2#u5kHUBr=liTMUd_DE3*$9R{fjWZlc_HXHU$NAhBZFka78Kp5Z4RFyE^z*I;WZ)7SWjPGMACXDZwT4F*NZ(?4p zFy74624TE~Q|pEC159la##@+A546WNMo*-p*8$Fy6t`PGP*0sV9Z;Lrm=w z#t$>qB8(qlYOgSUl&Sr~_%WuQ62^}+bx0UL!PF69yo;$eVf-XhDPg>usSaWM6jMiq z@zYFo3*%>)>Ji4zGId-SKPP)8c0w5MVcs*s_<5%Kgz;W(gHytIA5*7=@qVVx3gdrq zzH`F(1*V3C@r#@~B8*>R>RDlYfT=NIe2`1KD2)Hj)Ma7(GE-ND@gdGPA&g&P>V`1> z4^uaV@vBVT62`AFH6@H+XKF?mzrob3Fn*J%+rs!QrtS#i!%WQ!5#-Fm5ZxP0yG4I=i@#jo^hcG_D)T@Q@7o6`qh4Gh6eU~u)ic?=F zjK5~;^}_hSocab~{0&pzCyY-r^(JBbE$4fSF#e8tZxzPhGxdYQ_!Lv`5XPsO`XOO_ zhN&MB#y>FiW5W1HZnd8f#y_#XpA^PtIrXQ6@j2%Gj4(dWyq^=sKQs07!uS`a-Y1MN zF!jGoZMK!aVrme`gB5 z_&+!mzWA%m!-cM)fyL?W``8mC>@}O*KKEy_F^>H%wyBO04xd)*vEPfvJ`(#Vwga}9 zv&t+LR*KWP{Nik(bzG7|`Ndo~_VI{;PcMd!=NI$k6ZA6zWj|+ad9d}oEMk8c`=eOw z_hWxd8|a0l`TTUgJpVw%{xsT*opTI71$vAk2T^)B_6f|}ws`~hSyexNHIbjsU09-h zZ3%V!OT6>%)Nzemvc`WMjr~>Z|Dp(@d*yN%t&c8^%rAbBGe#o)S=WZUoQ1lZt<{fRho$x*XD;}{}uz%zp};}zC#%Q z$z$oO!ZaimH-u?26%b}Xj=6Z1FoR5mgjvN@M3~h~#e^ARN(eJ7$923`m=We}5N4G1 z)eAEweNlXqFyl-$2vczCR$ce-8Z%wnFg(-r(XGevVh1fm?by@@Yy&tYm}t7iw}u$B)E}RJ;|v?(VrR z-YynaO*>wuBMYPwV^Ow*5{J{<3f8eMVhJ2u!QIbpf@)2Oynq|KH>C!_Hb@n=k958`_j zIc5>T7+fr0EDYso<3Epv+7<7ML82cPT@vwu=71620~P8jZyG z+4vxae;nb2nA;8_J|w+td>9eY7DSs@N-cBvW+IGtql9uOx&3HI8!9;VAhmbX@eA>1 zV`$+~z@V~^5jR-#7wNPeU9*cJNL`FSal7A;KODb8I9B?^4x_}c#o|}v6ZqzjV+1aE z<)6*x%lGID-30>70pM8w!2?C9^pXgzw(vI+4!Vs%cgZ4n+B&#+uP~jZ$>w6Vh~VtN z{pp;Xd&2Py0*1E99HkG(vmC;eGX>fS1JPA!O&{^;_)IK570(Itz2^I9)FF<)!Og}U z5{?7#Ar3jppXU2B^lJTTMJ^G)8=sGvpN=CGN5SR(>`Dng*QH+px_O zE558ojNos>@seqbA-e1glK(N1*+a)Z6JLqN%WFh9eM{0fX%z~Zn{?U(FhIFst- zmFzs^@Jl49;Uu5dl5XTBls={CVK#ytst#v@Wm|G>-dKoCp z%YeA@tqTcX*KJv7KCpkn<=M`TTp6Y3vWu!adm{b@a%XRZRed7<{n2;CjGgf}`Ae-Z zl)k>>u^fSAFQd!PKsec(1D~>~^XQ;28u>VA5FZCE;^Ux6d>okT*62axP<5&!A<&(KtWfY5aw4)4L2Fi>8$ zL}q+#i{y&M-y44))`9W&`x}V9H59y0&K&<%bWAFVfE_mpc0H49>@Qd7U}t-P0acg0eoG2G91T7YcowouFJHh zO$3SKzY~xDcKmk{cjT)59=uuX9}zU=`h9%;8laI-O`s9~0)gvQmb?(61}AYY2M30_xKN|QrM$Z6e8mP8vk-U{*Q4Sgs%w!%q}!E?zE&36=w*46;XN# zGl8!QtS87YgF^$%;KbI;3Ye`4EHc3cmJlHc4H2#jiAcRs9si^d0ohO@4wk4lLevyq z7fRps@^LW6Q7N3Jyj)gF)FZwthmzRz|8pU2;rpRzfcF$zFg?L%t}JGY4`?^NI449S zIo46Jt-@jq*Q)z1G+)u5ib;yTLAJ#in>4YfI^9-#l3T4AO|+}t2ve&yajW6nTkNej zBAQmCp1ae6-Rd`T8y&2$3B5|j03r0JvX$Xnd^UBvHYM36950LZdLv3U9cG)3g4I=T z#42r~+f8JcnMK`s0AxKxP)Z0!>#>r0S=jU6(;;j1l8#jS=j^bQY42ts@^r4r>Kn>$O zfl+&*LOpteIt8$xKb<1>Sq9z3ik!&eGYzAIge=IR7k%kS@NtlbBmf!uQ?bmV%S(I` zj2)W1Awl2!^QO}^Bt8!EP*z4;<(Q^xLwpk41~fEC5Vi89SJa#?=%B1zbNY6kPokpc zbejfAaLws9b{Fw^T(gv+Z&=|2J+#gic6Z|yBy@BM!{0$4v1AvoL`7L(WAY|O5;i7p zW(vXf?_@+H*#2EiJ)%VzGXD@iVo&m%3vanpa|-Z+-TU-EUKL7pBK8>pSe6AgKwp-- z5P=~7YuU7K!a@R#EB7P#yGA2%-_j^Yo6?xs=D0N3n6wnbcisvsWopm06D>W~AHZO% zSC8xzOUqhGmN0{dZVvK60X@EdO;_Yw(xAe2-^&p_mYW+`q_qNI@V$8H!|5eoszk&a zqv8z$2N-YTmc#+Z>lqPm5$0F9o!^Shs9k{khE`bMIG7jY1Op-7fq6x|UA)utWi~Gg zyiXE6ZZ)`v%@2tmj=`EAL2qIx$lk1*WsFf#rl1{1zoQ4{p5i>d-m39H4-YBn!j*t$D= zUhLbkP~$zaYBfO|Rm(}grg}}ySgrp&=Z;j^Q!(kuJA|B$qopHV2IPYQI@ia+pj$gE zWbJ*)i&yHx5TyjDq(9K()l4_*Py({~5-`Y+KuZJqgC}1)yX?w^09|K864sxJ7N9TI zQF?`wr^{$40d=516^%fb&@?(tCp*`gqG-m)`7(FH9-OC3*Hg0(C(X>msmPj=Rz1)% zR4UrR2cQ{yO@T9N*4B!1Yo^dDYvg9Fbek5m(p^}-)~l=?8$j1y`Lc2k(mhtBs-ivV z#u-YeXivI9h7!0v={hK{V!9WG(kn1QH@#2-7fcB8333n89ZJ3g?m@a23GxI4*R9%v zF}FBM%ZbOC%{lyKypMYmM;^Y?j^aHHPJ0&bUobURk*f>uIdIyginMOLHNk0DE7FeP zoe566?oaD(!}|}McC#W4Nc(J4Z*dw91H6sZjjiQ}Ic-UuI%Lr$Id%Ll7hJ07H6CST+h;h-y$bD}crAz1 z9;icNwtycuWU_QpEbI2oYysBPZ{ggJMBpho;nm;;mbWtR>qVfId3b^42bhQ8etcU2y`>`TIi$Ak<>>-fc8lI1R_A& zAbtYjYgddex1ahKW}Yn<+fL=CskQ!%XO8d3+n!wZoAD|V^Zp-m=K&{0%|8C@?(E*) zZSHn=uEL?Bq9R2)2v)ivMQMtF)T1|P0xAM30yY#Z*g=W{BK4#QqJp4eFQABky<)*$ z|4;H{bDQ1lkehw)_xZoRN1kNzJoB9-lgwnEWEZhlSeSRvs*2l3++}c2CFZIpPVg6+ zeH@_5rZ!;3z@QSEy@Ji)=4Y==p)JmVeFOV3u7t~il(NUgF5}0-Wr>sigIBN)yj1b@ z8}wPl8KACMUjUVqa0WP#kdl;#{i=(ahy6ZFLJFLxtbEvr4+}NeJ0PU{_~#lg0`CC# zTLgX{JoK<3?xfyxunD{fo_v@F51r>@+RN129HqU~+X|)ESaX1m4Vwc9UiQX|F%Z1$ z#TR2Bc-gxx#*}6fM+p|7ctju0dTi~rK>7)?GlrkWlwTv|F-ZE^COH~PXeq$dfW3YveUMjou`kNQBG!Z7Hs_Nr^Of* zFeKW5mRMc$_aC>oCrWfZ4w2poDg#5K~la=Y#kSr0dPTA+=;jIoXXVOmbcoR zD%9H^r4y;QBT7}N_Y#y&VJ#IHv896GWiu=o1HsECS}+EJmrWS(7!wjN3(B8eFley= zvL|MM_L6wr4a=^(E?##%xXAi8$z|7F3$MEoBsI24p6F-!iylOolOcfgzT*s2$P!ud!=O< z*j(7pmnG6b5hl>ot6N6X1!=m%sk_;vkkNEP8dwsMbGc25bTn|bgwwDWW5$7Z5&PM) z#0>EGFcDi-KkyYsX#n+h#TEpfuy2Z7Q)CrV?e z_ezwmVupYe4T0cgzk`i25WMV1t1$*bA}u@_uEvv0rQU&P@LHw>SB6TOON8oSWq|iA z|7kV#zOM&Qi%+4|8j1EqXbRZ;L z5_=#4`)ovHL2|*?uTQRPbM zUQD@FCM2R@EwJApV^%dK01x-;(CTLDorqRpY_YBeZ#kN?4K9No%-K%8lkw0FI5f2X z$iXz{c|64Cve-K@5`f@kFTxlDA>2z&GV+(0jGUK}AR9n~n2qHHj~CbAiP+->dviuh z$u+}nEp|!b0+S1r-6YV}KvV6DUEn_IorbpGhws*Oc^2Ia-%h+YVA?_IorMxiS>1vX zOj(^Ao8RP9r0s;4FDxWupIy-}HwQifpDM31Wj;1vl=E3;&Zjx>?KczVf4Yrf(-jH6 zU-+pm=gXY0vLNTz)O$Okd`n3`ybSo>J^-a3U||kQKe3N@%VEI+*5og&>|(tF6TEDW z0ZS4DFPm7v7zkcAzko3iyzFk5V!0 z(aW6%!Y4qb#5>i+dj~UFR=#r@#96*0^fd2I|~+O z@fr@peCQ)sJkYx>MhW_+L3k8;`4W`QrrxD^v>7bc!nAX#_i;=+AC_%l+J)4+64T)G z;V?W~D_E_C1Z`Mt#cpFJc-f>2)*T35Hur)t*0|V&3&vREVzVq5V~vYVwqOi|#5!+G zy{i$TJM872aT3gphcAPLVu;fd7O5a?FIc34QXg16h7!0WHZGfL<%KHm!ITc=d=3^q z7=`P94IT}C&yc_qWelElB&8fuJ%HEDQs z9IVbjX##ueWM9<7lc;wsUgBix-GCB|#80DiE%k0eX&Ni5*saF|FPn72l4Exgn|tCh zCV1I|6UIRBvRNjKfsk0nO{jMpp5hj`aPBG?zKwc!U>eK;ynxc3%uuY7nc!v9lW3Mz zGMkgc7zl|cfmZh-nzf2xI*eJot3- zLAWoc;z9Usln4al`89ZOThc-HyjNKndb(acY{J0UHWL|P7>C2L)|)D!mowj^pF;1H?+8>*xCnN z1QUy;B84B_fJHgsx3j{}h2M!}_-M|%^c;2v?+XXQ``OM3KKQi9SE^JSHLypu@niWp zQ@M=A*vGNWCq|XjVZKaf*J&T~=Bg`fz$F|Ae`u3R;e*hi*$>cNnDRQLZrt(>{=}eE zFIYSjKFqG-2n~Di5lq%Un!%#ylc(l?Owtxc-1q`8;`GYnyv zVK{*$EyN_4VF<$v!-*_u87BP(Nx$3VBz6sjSjDl+ZZQTnO6U%2p)$hoeaq_Jsa-er z?KnjyVE-rlZ)W)K@PBchCeV0?@-M{IG9n(BC6irs*#XuzBfiXtHv&%-dwG8m3DEEp zp*2v0kzhCw$&6$UEtkJtU9h<`0^V6wBiYKb8u2?*cnR@!lI*Sq2EthY6`|fY5z40F z6$k}W5D}PyI60C{z3*;Xtj7a7(n^a@R590BfG+c_uYs2xgY;qbqco+}Xqv6eXumK!wXp_^~!B6mD z6B>R74>pB^&1`Z8D-Lht*l@!HFPoRdj+hNMY#NTonBZlzaTo)^%O<=q27;H(dtr=? z8Ep0mV<33hBoxMy#|-v-2#@FRZ(_d)gUROzehH0qMDQ*E-qj}6IXIh4LbD(wXtM`R zKllQ%A1LM_5GQ5tF=hGOIw1y{gBDDuQC0LUQV z`^q$gn4Fvkp1ZzBh-+wM5<*-D5Yuc@6Cq-aK{Ij19!~hl;c|JHT(|sFDnP)fIvpWq zCqsa1!cPcs3ysV|h}!_-cAM1V5Nu|OS2Gh5E|Wa~@smRf;9>I91ONI85HNaQ&xiKN zeaU9vVfq(@cz{Ok!3uu}ARe|!ZG?z5ea!?}3$sEI%kW)=c2(WfF34OwI$ZWd9)KCK_3fg|h_;XRA%l!orE&`#!aY?p!RbN zCe|@9ArS^z8-szTrJ2}V;OQhW&)L-HLvt_F$S!OydjaNE8!nf1XKbzxFE_bCvR;fg zlUUnL?sixomvBzj(J?L7Q}7V7lzlvBA0I|f95sn8$r?R{eaTIEEM_MbLb35hbYn-t zsx`LaCvuQ`Nk%?OW=OupSs%-BVxi`GHA&)5VNN$(JNb^$^l7XU;<;m?rr?s3*bN)E zL{4qS3GJ3g@g(efBRd(J;Y+9rcK5}(eem0)tnJ6!NN(%wKHz4dD0v9wc7~kLgonSr zu+i55cFV$gy8iHMhRn?YmJ$x)m6`~WTpz4O$GMT~?cqwj<7Q*asuZ8~cx!{Wo0_-? zsNp!*_M~jFYZkT5K58UhNh9hD@H;B%Ww#IH#M=ji#H=wZq7|^uAc)R&7=CF(0eshAZ4Tp*Hx;5{2ofH4rfY{Hqxn2>cxNf?T=N@_J!rIMv=;neW3)C*Bf>7GuqEHP z{-ZLYux2?297AIX>|F?Q)(b`JM(cwVItzYKG75v?Ij}(?@kXIafh@(V4}Xt}o}EP+ zMcJjd13&M$yN}PJl-+$esS-UWdM>kjUXYvz8&JhYDLEVFxT9A_^gpf_Wl6L}v}GvTJlYC=o{P^sL}4DQa`Jo1_%0JicY_zYjOazs5f2zMx!V|+5iA+P zHa{#-*FK5#b7-`E^x~{&yJ&|Xxd0X-PaGXngYVRu^)|49VzhJg5~gt}{6rYibPbZ` zK?3t_EiisrkhFv|#)^u+2aX&wq<+hiVc?M;y#hXX$-!Se$ljHry)&b|qJ7{E2R3R1 zsc(?9ihJYY3aw#)0!stp-?+*Sa4Ul{qXVN*?A6$XV_9imuR9DIKY_hYMTca80zBEC z;gDG@d;mjZMrTC9J;xdf{V9!(^lZ(Fj);zed5Q@mtMwl^uw?vrc4r@F5(3X19TOeP zw6AiWgy_TzZ#>&8!-0_H;@3YhGddwU32wg<=8Ow!+UmT5Mkhz7WQB%Dr$UoKyWB&v zNk$Ytr_Inbf#ju66_$I%sb=!UH5%;=4j zv?gs})2`?&xa0fQqtV%{vaXBH36i!f1v-&iG3B-(X~$9;(C9o&xid)Gvy_H3x&Tw| z4w8#mN+TM*4^tKfNe7nFm_{GOl!t<(BTH#QqmN?Bq9ExM=Tm+e{C+Jo-z6~DC2s_t z#%pd_W^`#3CI$=SvDh3xtg6S#eIk<-M_0g%Mp72`1c7;0Ws;)klhCr+gnUsHo}90Y z+rxx+Zc-f<1=Be4EO7XEGVXpLDD%$y1>GCR(|bZ zpn=XM?o$U#ZB;V3|HP53RHB=sTi}~W6utxI#d@ds92wDVS<&tE-yrEqeW&2K_gs*4 zqdxT6j_wSS?#e?^^0o!BPxpLfK#E}~`GzSQb@($MjqZ-_VWZAV;Xw4|M`Y>L2^Zq^a_&R zLDDBkuB4%R0t*;Ccq&CdiUbzu)>`8EkJzMs^kaD9OX|_u#qDDn{S=1j^@s6@=~nnU`c1R-$G^zwwZsu{E7>V*=ZJCha?dEnka%2j`C-4U)bz zbT1YKEIW<5KMt={Iel&DnXdx@kzre=dkm>6Bm-#ZQGUSogafwMhG!Z`9Y{lqFf{p*@se@@~35T}xA+^9JO|dVX4D{ zWJHjR43beav>cVrfWy^oaxObOI!MOQ(Br5FbAC3=`JKlOj17{jf@EBfjHjU|P!F7f zY;X!XpBay`O)g{yuBM??nA#dr+t{QzOPw4f zQ-Wk_kX#ca*V53Fn4=Tq=xmb~EXQ?0GA&51r=it&tUDa*VUw2Z*z_Qo5hOFI4_#XA z-ifYf^_s?R6}R_4e+8uWm3CjKa~meT zH#jIOeTO{-m8XL8H8yF-ly3@>S(Jvcq^HAy88&IpZxZ?X#9ZgoBAoDDn zTpWEp>Eg?rx$HTZc`jtW#U>rtDQ^yv*+DXg`Wo^M-oBIinxHg4Naj*sGmP9rednQc zUy$4qB)3K~iYRTyzbe=;m1aK-1G@a=((X9lTw>jC?At@aCzpEclMAzP4z(Y(7ulqv zy%=752E*#0{sV_r8`WbVx1Sg5waR*NctWz5*h^u%V|!VU+(u~|EXyZ=aD`1eu~vIK z^<9XU0$&K#hSH$SztmoXWwjPG*V&{qG!%L%Hk6H!RBDq;SVKwBkE@u?s1Gw~Hq4}5 z%JeTo{q2zStWCOT^c%OjeZkg6t67M8lNyEBjmfk~4@O91JZBaO%p#FD|bkrujHTrQS`6KH8 z1euT7qz}^{gZjTh(r-4ol9dGdi`sv}PtbE01^e$HxdWc3b%SQL3>!Xs6($eDFlh3T zE7y~mOLD#HOGN#QT#NcT^AgVuz$5X1DKs|-LmNGqn+aQQaaWPt5cPGzEZNl89i=ee zFiA%O9~hI8+m?)u+c1JXh~`GK0LKoJdDM3~n#`xZUMPWs%{)Gtk_)pW=!}?KOnv?F z=m|jrUBV!YRHeQlD4jxm!%?b6eWOr1JxK1NzOfiNllsP^RFnE9p;Vjt(CsU?4)vjv zRxZre%)p}!sP9IU8U@LG>bn^uFsU>brDoK38%pO=-#nDgr@r|pT}XZCs+8M;`p{J= zw-xoFw^44JAXz|t=v$N9j{26M(#6!b9HowI`U$fd@zl2;B^dS}2omtcXvOCoa$!)1*RF?y1Xf@? zgq1rDw(rJ+u=3;%_CV#$oyi=^S_H|XL9&?o4xp`@sqYX<@J{$xkSw9Tk1>85^?ioY z9YL~``o6>nRQfk4K@lHC>7F22Mt!((RPMqcSso;h!wbC}#?;m8vaiW~h~KNZ4~GM} zkJzLyyH{`J_iFB9NP5gB{X)M6$rJE~0}DW^!S5A}r@70yyGt(E=ZS2(o#w9KQ|-Ac zgJcEu{ftnnsqZ(G)==M{D6OMD{Q8l*fm-+_Be#@V_z@y^6TEDw%<+rF%doc~_Eung z#wPt4_B_OX7Ls<@WB|in=^<5BYlGM%G+!!SW@{(f+69U)+GHTJwE%6w>rd{>HW|ch z!5*>qV3ytX--4WPm*)kDe?!lG*Cr*o?@7}gg5*hRQ7pSdly1c1u;d~amRt;B$5&G;jK^WqjU9OWOE~_OO@^}LPf^RpzghBfsC6>tj8Ll@N;aiG zp$<3%z9_RVe)h6;1?&lWa1Eon(EGYzPu~Q!l02gg?ON zfhR!T88#Uk{g_&{QMX2rYzmUiK?1w@uE$frD$ETi!KdeqD8XlnvU`dzZIjD?V-2dj zPu@73#MTApHOgxY4Jxk*Af9cLtJw2piZhmt_<84`(z&2?o=wI<6DFH^W6irTNVW#a zGt{bw*V&3%_~4n>hFbXGnRij*!$_mDhk?BIX!>F>-N7c~*&{4$2)_-B;}UA&^J-of zYT@&0Ubi6G9wg5O$&Mg-j%J6j$gcqXUN)J)9tfYO*%VXzLTW#oOk}Aq(ClnXg;$I` zc*U5+QenztSCG6IB)fxTPmsVK#@q42MpFx)D)Yutt0i6>cnUs?M<;-nVP;oWkdt7a zZcLj@vvab;EDc@(@~(+whi!5-G=c0WzuWV!ht%mdnG6pn*?E}p21vTmCR13h0?c(Y zB+a(TRF{I!}d>-_&dC<#V54;vW z&E!2xEqt2Eg9)lPg5=F0c`HcXrWQWW%fjc_{0pgt&$0O}X!ga~9pG-xZw1*}+vGOZ*?&*18+cXax1-se z@i=r{`OtOU&W5Aec(60g?uG|1g@aveatAwz%M3idR5ouT36d9mNv7$6kk|H*E4K>nOktHPH|*1TQo64jOtFwBEDHB4+4GH1s|s zePENt%n-cE|3kCaAm<@CaM&h~@ricXKgO;2F0=j#TK^O@KC{UZX1x@x!}DxDJkKs= z)?v%Szp)qlE=c|h!dr+3HbDOmlYXLEuj6@trtl%3Z33JRW9q*s{T}pqY4&F9_FzIg z|8JWtgU1Yyk7jS-Y6T=5DDc>1IZL%@_Et>wLu$Y#kF!)i&3*<`vmiBOlP6eefM##Q z)G(w*Y_dYFn7BpNWffnL%dfZq9&iib0e2--yeA{*3DWG(&{kzQP;8S`$#arT%bw8+ zPDCpwLFTG9c`|uUvT50zWWlMJ8M^ZV=+0NO%n1uX>z2($6;#LEXF~28HhD^yyMe60 zg4&q-EXZBQCTn!L%jSs+>SJzjtt|l8+O@jeaz2|DG{M|3P87g6u}+h_UfC0I!MT|G zJji{%P1ZwG%IVFUQbBV_YGIQNYz`~o!t2GAX=^ml26DHx$wuAj%9foMw8z{q)D*x_ zQ>x1ycj28e_a%_~Qky)@;HD#7H%RJklTA9fvbn~B%h5nj$PGU*wwW22g$7_$Du7XG zi^f3xxN7Z>1_nUxfi~I74BUkV21C*in>?d45Ldo9tzR$#a*wphHfG>{Gynrw0SsW< zbq3jRu`E-84eUJp7)poN<_kxl}%n@ryGx_dkT`)*kmtU0-3=()`Im(-v<}85k)CtX}NnGuJj;3IBO98BI z*~d)1hh_K;Bz{j3bj-e3|Pam#x7@6pl^p!TCp-e;CRKubSE(l0jo0ETH#W-?7& z>-qz2{0Rzw+2jDTaRhDr3rYXku3HYqFhLN7N^=nDr5Et?!<20r5k3Ns)nXp@h0 z2Ffa;FoXsu!aVMaP?!(73v64x)wU!aFcMSa=?_KF4DhQ0ps{no|p>nG0J|3+I>%TL(Q^)cO@OTtq!t z{1v*eJ+=PAv<}qz7bWmLsv{r#oE?K@BP+awKN1(h`&l8ppMA!jj6&4!LyJ9<+Sb`+ zZL9EdRE5#85Jtz(pvZpx56fU$9%V*73NR19BPkAhb{d76#ko zO9l;3p~p3%e5WoPjz(Z;Erg-oC7YLPyMH2+THNmMc7>K zrT*%ewvhU3qVxd#P7xk`2!6W=K^}qMDMD#cauvq)3XkDExdg6tsZG9xs*eWnhIky3 zp0LSxnw$FUxL#ou7XFivd$moDvbIwfvDQ+5Bb3%tKhAmX~p z;3w{|ppQ^L&Oa1>LjCw5ukbVK#}9dhUr;~J0Tg~k{i{*;8|q(=(s$I4ul0rBQ~x$h z`;q#0pmYp=JP*(D3-#~9wBO)o^f2uY>c{VKg?~~1>v;4Z>VFHR|EPZ-mx?^p{~<~~ z>OX>#pZc-$D$1aK{6EAO5xlJx!Q0yRtmlA-LGeT9tjBY3z?Z#8(TQjUUgL`3HSPy?;{ytQ+bOC> z19^yYIt>(}RGkJYqf~e9ezc(gtZ)Ig~r4b(xYF%8s5 z>1-OnCSKHx2F}K`b7|lll+LGt^HI8x2AZSPf(CFJx~LTmw8OMEG=MYDMHkUPXH08P z1Km*SKm(Vd)QJYL*%w_x1AQ^A3k_hiFX~1EI2T*gg9e7;(aULI1WH%Xz-W|u(*WKI zMOV_O&1aB`pa(C49{fj`$qL}zQ3PJnxp)v>aEjms=O=b>3fdS>1J|N7l12;oY*^7~ z$Tr3%$5^)O@!&WbJrxhaTT2nVwfvm>9(Xv9EEzpy!cbZ?8NT)gj}=XUUx5o2T?5|i z%<&$6X>9PY$q8=4F=zW8wCK8`Y2aI51n$LNwp|V`Zver|cFn;U2wt{-4aPw5vTbZI z27;IELW407ylgWXjDg@~`?z3?t-N4+nPChBA79Lw!Q8XC1aWW~7jcjv4jdv562yT- z#6f~Mu!uNF5C<9&2MOZ9BjO-I9Ee05B!~l(h=T-ipb~M;#}JQwv!TZ&d0Wd5^>JQ zHgghj&d2s?5^>JQ_L{&rlYI5qen%qC`Phy}BF_2PzC|L=`PddbBF_2PZagB+`PhCu zBF_2PjyxjH`PiO3BF_2P+G!Ezd~DG(#+l@+$2Qs#an8qfa1n9N$2M~jan8q9E{izl zV;j1NIOk(qx`;UEW1G5&IOk(af<>J3v8_u)ob$2uz!+zeuO3^yE8?7wZD1neoR2Nt z6>-kTb|MjR&d2s55pmAPwiFR@&c`+t5pmAP7PyNz=VNQ#MV#}o<)Ij7lCK_H3o7EA zk1Yfhan8rqyo)&JV=FR6ob$1D?;_6m*n)Kt=X`9TrHFGrw#rh(IUiefE#jPyt*68| zlYI5qYDf|1d~AiIh;u%+99P6SA6ua);+&5yl@)Q$$JYLdIOk(qzKJ;JW6R=1ob$1T zaU#z7*orueGs#zvt$!17&c_A}5$Akt$PjVP#|8}%=X`9~5OL1O1`ZMDd~E0tan8pE z4-w~lZ1@my##f(h2EgN7f_RLNOAwFoaS7rvJ}yB##>XXy$N0De@faVMARgo662xPC zT!MIvk4wJ#a{S;D#3dh-ATIfs1aZm7B#28sCP7^CF$v<5k4X@hd`yD4UxCF-~ACn+1`IrQ8$;Tv!OFkw+T=Fr=S6_}FT!OgdV-mzA zACn+1`IrQ8S$<4{xa4CJ#3dh-ATIfs1aZm7B#28sz6(cvIeu`-S6_}FT!OgdV-mzA zACn+1`IrQ8$;Tv!OFkw+T=Fpq;*yU^5SM&R^3|8)2bUl&%a2JAmwZfuxa4CJ#3dh- zATIfs1aZm7B#28sCP7^CF$v<5k4e7za{S;D#3dh-ATIfs1aZm7B#28sCP7^CF$v<5 zk4X@hd`yD4N@#AAG1f_RLNOAwFoaS7rvJ}yB##>XXy$N0De@faVMARgo6lCOar zKez;O$;Zc!26Fu15*(L&eEeu2#}6*SammNWj|Ou5;1V2{e0=<9Ajc0b!EwpQ$Bzbb z{NR$WfgC@$1aVn@eEeu2#}6*Saan$R{AeJ@4=%xRS$=%{XduTAE=9{WbrQ?DGb;B4 zXNM&B__B@6*n;DX%DwpZrHb2QMrD|pjoZ_duR_YG3=7yAg_t{J<^JraV#-b^etwaL zx`w*3!?3_?Pv|8k!Sb=cQ5s5V1f}7Wo{7>(n!O#R(KP#Wl*ZER-%uJyb23nxKy!*v znnZJ&qBNQ2bUDIJH>gOuKZ z(!-R_N9j?T?aB7CvRX{DGf)EOn=F);(d=rN?{S)a7D_8<_BD8P70tdCrPVb1K|H#K zW-mu+9nD^g(gvD+0Hsoz{T)i1X!buSZJ{|iC_O`S>Y%ip=CnX*2hF(@rRQl5ZWdK} zC(Y@LX)n?oalLzJ&J;|0ndaPp(q5V~2c_3&&fO@zL318L=`EVG1f_Rq&T5q2qdA*U z+D~&{LFoe;>5S5cG|~s9k7z`k_b`o2#Wa{(pNrC`G;%*mpVP==D1AvIPowlTjffWU zEseZ{X-8?~U6g*Hk@r#hiAD~i^fQfoiqfw%@+C^Y)5y2j*8ZfC->??`rjg%K`jGce8-XrL&prdsQ>6e zBTL3t>o8`_h>2s*iR%NzBwAdG;6lZ2Q^uAkzi_S`MY9UAINq}V$dO%#O(+3puxE?y ztm0^KZa7e!2is1K!ZTj5HrTddvj=Yx9sX0xNqbjGr*B z|5&sMN#U+=#{TU_j|3LBxE{QxcnaW?lZlsD@D~7&s^J7y(~@&xZ%0@iOU~!v1>`~= zHWy(F5w;XzD;9$16Kr_E^R*=x@vxl;+l%mG5q1z^M-g@sVP|p)@f24AT`##5&fg^= z-c{u5Cc^F_>_IM*dY6M<&xH6D33hr3z1|7weMI_|3F&{{o#BA65<0B;)4?6 zB?+t_W`t;jJRyZ3+6fi}X80zIh^krwH#7;d~J;5aHb-|2-mp zuZZ6#!i6HdUxW{c@IetiB=jB@@kd1Xs7PNV!o?zdOoU5BxKxD8M7UgpkBjgL5v~y7 zN)fIS;gcdqWRhgd0Uz8dsjIJv}YbH;HhwxUMZC+$zFn#PMw+ z+%D3e72ysMK9^ws`Goij3GtmmZHlE}-|hH#Cp6kc#wd5$1?6EW(J$7Zsr`(sM2*Y>aK;sq87{VHM8_Y&`Lth~v5EBoS5>;mMv;`0-OkyqXA46XEG1 zJVS)lMgB8IyoQL^6!BUjtS!Q`L|8|JbwyZDg!P4f0}(b9VIy(8v8M^=J6nWJMc7P) z=ZNrJ5uPW)^F?@puy>&dn~Si82wRG6oi|}F*b`W7l5q1(` zXK@{ui11Pob`fD$5q1;j>n`FwM0lA9FBf4?5nds}ULx!*!al;zl_K6(g#AR=UxWih zI8cOxL|7ui!6F)F=`%%mgGj$o#BUPyHcP~B7U66W&Jp2U zk?$4}-YUY|M0mRh?-1cU5#A}nyM&$jB3vNCyG3}92=5i)eIi^a!uy4t2SoUw2p0*JS`GV}`9!kZ1-slN6W&u&6G)T~kJdAVb) z7m2^tnsuHxYUFy}s#)rJuhjElspoLVnl);bdOp3Z)*4Xo6|>GUL_GfxJHxX*!}CpX z6-WpW7%s@{V%B3;1l%-N&PILesO{V?^07JS0$xF{U)J)cY^xE9O^F@CFMCu zDXG6D)IUy8;Av}EQeNT)s(L(>*As*CdL5wHkHl9f)R_vDC87LIPyu5okE`mdp+IFw zsGt*6rZJSe>QkYzBvi-=idHaGO{Ju=B~*?R6nI0LwyCI_hF(j7vL#fm6BIaRnnJm{ z`&6iW302?(1wNvtP?g-2RBffCDodzhC#WjsP_9Z!g*rh(o#+Gwp0lPUb+Vh1I!h_3 zQzX=>PEfE3iYb(`YzkG&P4z8RplVB~ zvz(y7x7rZO-7up<)s;~7oS?wX+Z4*p^OWatrKB22sD@5Z;BjsU<#knkDpX?$)x-%3 zoZk(h+*O|n)l@7f7fJouFWKf+3W< zl6qAssTLBdr4v*uV<>ker9!oqP;H!`+8RT-E2;OClDbGjwR3`MZw%$Gq*SPjB~%9| zC|JN%p>1lPQc|5HRA(osON^o1m6Qr~sf6m{1l6^Ip$;h})lEWmcY^9s!BB@4sLLeO zN5pul!O}X1U1GO%IoS4`Evzotc1GC z32K})FBGWp5^91I)Wiyg`ci?KB%!W$f|~q)3N=MSO?85TCD^7<39hQ|E2X5a zl~C6?K}|DK=0_S9jm9 z3e>$4>OLo^h2~JMN=k*gUqU_L1odDAL;azY)I$>LVJE0Z%%NOW-`@(~_sArv^U~eQ-C|A{2q(D6Rk!-o)gr*3Wiesr}j&z_nn|Vs9-47Rq23) z`p^mLUQwjB%6V&Gw3{_Ww`a(i| z=>+vv1w++SpuUz+-#9^;`wn|u_2~5#sP81yQ75SHE4ZW@C{RC0s2`o6eyU)oh6>a% z3H7rR6l_0f`jF?U`Wh)vze=dzoS=R;hx*gaQ$k|}>MsfPw-eMq=1{Ims)+*iuY~%~ z0m?_rpJ+3`?5PYlZEb%OGlL%AxcrV5lLq5Muz0b?j%&`q1_tw3c;s4OR_kU3Pg z8>m|ps2m9uc7lo+LwSz6fqF=Rib^Qk2@1B9HteT-`RPLyNT@<5s3LQy%IQNDOQRczN^UR@Km6Qr~zJ$8K3F<;)C|?UV zCH0X~QY|G^D<`Pd=1^_jKq*dLKIswbbMlB~KbCIVC3J8Dr8waDI?9sj*BjaLS5M5bF zaDwVp!BC2;l23ZX`kXvseHH8xOB4qjUtd{L{hUgwe+8G+4@&h7kWd4ipaxYi)Q<{O ziG&*L1U00Bp?*@JhDxYmPEf-u80we;H9|s-bb^APIW`<-yso;0pB1Rl5^9VS)YuA! zQe2gMS4pUGPEg}380t5rq$WtHiB3@PTPKFq=bP-NM^~YwN374uBi2_Ak62f2>UX82 zq(`jJ$s^Wh?h)&*q*SQuW%W&Ws=gV<)#vW+Q{3NtGbPjwPEa=*L%Ay{73wAlHOmR= zW@9LKCH0rmre;g1IZjY>jiKC?lnQl=gu2xU>NaC2cO|7b3i@uBP%%`=8_S5hj} zof7IUC#d??=wu&W+j@$>Xa-(jDV z@37C@ci3G?sZi2)*yrRs>@)Wr_APQTAbS+&Q{Q6Q&>wSZ=u0ZLp?kbaLtiSPmN`K! zH-~by5>kbFTtYqJ1hv8(%FP6a$ETFkN(r^f3F=96C^vUs0@P{=^^_CT8gnRDC1oik zwN^r{bAnoL4&|z(RHzLSYNHcWsX3IZl2W{^eNRiMO-@jo&7s_U2Jr-x>f0irwmLyQ zV-Dr2q*SPF5^B2>)U(D=zUSQZ=ql9n66ysfsGY`8?ly7AP^xd2gnH2lYPUI*tEF@* z)E)`-k`vU+=1^`Nnmw6HNxdSW_BuhmY7FJd1;CS~K)oiRUU!0e!x+k4n^K|Plu&Ou zLA`AZ<$Kr7-KRpmC!zK^LG3q&a`k02q59sJP#-u!9WaLSedwm7@{~4pP(pp=1a-(5 z%H2X%W#NVIu!K6|1og2ol&h~;oJKNVKh2?BJr1i-(oNUrL z*^?zVL$;hYL$)eb(8nL9MQyZe+aeyvKfq$)d=RB;8D zR2`+ESCLRBI6<9Q!BENqG3z7=Rn-aVWOFDtt3f=<0x|0p33aLyR5f!bS6za#K+HN# zLY?jeb%r^VtCCU{h*{Mo)R|6DHO!%0m6WnT%&IA&YB@pGHioj!a?_)qquhOUBvf4| zsCvdw?&hajDp1lN-E#6rx6J*~-OW#_P|_dWa`H#F%Hfah=J#eiDwOm`x19XZEpvZ# zcM}|~mFkoJ=$4Z|x@GQISS%e$|I)7{t7`0jJJ zOQj0cNa|Lk*Vx9 znLEk5n;o8~KuITg%gIUJGIx@yYB|$yU)7GO+PhXftn?uZgzs2 zUBOTb6eziEwB@vIv}L|+w7crNTY-|>Mq5tXMqB3FM!SQ$M}fLSR^L3Q>bujt`dl^i zdljg=B-DH-s09@ab)N!tw}iUK3F_VohFYjV-6x?IIzioE!BF=rP!C9`2c4iEs$i%G z6sU(K)FVz%k5(|$g9_9l3ANY>>ahxjdPspPZQ;+6n3@V<>mS%%e(4t&vb`ouJlLFw`OiYQ2Qo-~_eN z7|LDssZga7>S-saO~z2}s&BDUQkx~z7AL5!=1|YLdGcJLKuLde%gG5$;;X@_p-J=a8pw1r?msJ`aX23zJtcq=X16DoB9>& zBMEiL3F@#hl&jCRUKQ$yg!JwuqSDyO`P@hVu&zzt>|38KLLPCA%1of3Ml=Y39 zhOSnhbZEAm9GWe2hh|^p^r56fv*qN_Y?(VWTW&U5^R`iX^q*z*{o+)8znWLy?`}%! zA_eLX3H7HF)L+I>u0CvgRj9uu)IUy8{~AO2iJOvY7hh6-PYlZMb%65w%%S{lpe|OR z0un022`Xp~mE{Jig8~(jP}B)3yMm!QD^NKSD(nOmsbHu}6sV|#vYnuED;Vlh1u9QM zk)%)aerH3@51S=1{IWPZjD+301=hs-`(qZ8s%#nNm_`NvJwbP<73r>brqb zJUILfBveBus7B^cP11*w-iv-G??u14_oDxQ042Q_{Z8JCesk}|{{@uvUi3S8FZ#{B z7yWK_)Ag!tO75oXciK(YZ@!zZ-_35i-u}wncad!9?VK8V`wDL8D%8ais)G|$M{}so zZtlJTN=ZqdJin7qp5NRj&+n?AQlX?zp5MtQ&u{LN=fBKNNexs=>T+3qJ)NrW3iIl7 zb@!=Iy(Cm`C#XK=P;T7cyn~dIlJ0MQC-*nMx%-=ckeljLp-N;)4R$K2A?77D%ng*{ zDCi$9p+-1CjWmZEoj%kU2{qOU>MC=n@ou07D{V?Tb@`o~y8Px&U4A!CUEU!IlyvIy zJ2`dv&7HdZ*SRUFp$gPAS$)?#Ro`^;>T?A(Oo5sqp=LTk-Cz#o#;MCYT!E5KU4AF0 zF2A``m%B%oQ3{lF>he1|b@|Pmy8O4fX;Y&WsM}@r-QiSy^USO7E;mqP6sY+UYJn5f z-R4mDx`7(2KuK36zmuzy-`rKn|DYSFs}v}?OQqjwmrB3+E|va8ZlJ~~P;!?_ztb+2 ze)C-_{ma}yjaQ(g1CHOx0mpCdfa72325N!=wMsVhC!HGlYV(G^#tqa&1!}E?TIU3{ z-W+P98z^OsgI~Ip_?_HJ{N`>Y{w;2xu2xEFt1PK!oJwk&c}cl?@0+4PZI@8bIzjC) zhkD*kNljItUXV~bouGD^L+y40r7ThL%N+&%PCE+v&36>^?{x!ptx{62%947`sia;v zFDX}V$kP<4Hzd@XPEc=|L%rjsq?C0t{&ywRdrnaM%%R?Q12tVKsShO70Vk*r&7nSW z12sc|IwYYEJ3$>Whx)_~)Jz3RdT{uiJUIO39vuEJ-9X)-K*=rX{7zfa`OUYa^MB_C zN?G>gKPs#5d#CFA!Myr@aszdfQc}kx)Xz>(znDY)<_2n(0`Z`3{&j--&loD;aRa5SS_^n%PywFoU1?u zB~+#pRF*MRfV!zZ<)?=Oa{I7=)AnHj^XK|-DA1a*=*l&glWLdoAl4mkZDa=`re zko~Uwr&Op@Wl2?YDyh@VOX>_a)u*hk4OEvzO;)lHJONvPpYP$SHtTszPZ6%=st3JREe z1qEDr1u5HI1f*9`z{x8pVD1&<4ocbXB5<{=zR6D2H^sR6Ty0$IRkphbOqEdAI6+-& z4CSt*RH*AD)HElk>nj*a*@Gi6T|&)B4dn^U4BQ}oW4kr+!GDBg)F`gCDKKXZh~DBV z@Na;4;NMI+eF9kma|5?P8k24$7xLp7ft$!hpm8G0>xJY9Bt(cOaBJW;afUjOk(u(> z*c7-+Z=YfYv|n5aGJ6BJgOT}x1;R)(;)VZ!sz%LCfrWZ21(-up1_E~jn}@UcJrH4B z_v=kQl!nQN^(GgkVRCWcF>&E#^-~k-=Lx;ds#t~c$}<8>*p)+hWdxSS*Uu8DpQXGU zR-`z?Q>JHFDbKJf{tPSO46FDV*6536z5WErmB&vIt2{6ZmA4@U^d`N{juedT~xs>?fKWNo8PCB8IwJGqt{v0)k#WKmF&kQ`{X~K&>Gq4SA z5_WH82DZc5EYYyH@@vZW1)hPkZiBz={LC)|c8V&$1WYoc!5TGd)!r0%#p%RBdE#JT zm#C3o;6-+E%tBx{84lOO?oK%2E;!kX@VA?vaBtvMal#2C1O6ia{_OJ6yQ*m0)f{6 z=ymve1ODEGzqjD;Z4UT>z5#ut2TN{12UvN;8qfi5@=)NgsNUYd!f3Manl}Z$)SGRH zx#aa`1wIzVoE2avV8xsj_yi<&y;*@zL5kJ%5q|Qh1u7B99D!^R$iQ0iSNd!FRu5rx zZQlis>Ko8cdZXBK;~P-y%7XIBf_P=I1{8N4a1F--KSTMldnLY$|6PAJrHdyXPo8Fc z*bw;BK)Gyu@Dv9AN+Q@0_-7R$B@bc=1c848{|V)m(0*BG8L6=$!?PQP!lyI*Ok^;= z;$rqM@r05_MKl-@O~aEB$jHzb%tV6}3}%+oV0K21#$W^u=7PaUISuAzvd8M|50q~}Ck?PgRq zs$I`XTIDKc%2l<>Cz>groNXq8)DF5q`l_0X3C8-*rO8; z?Or}MvH`LEliG12VPN%~tyS(|rrcDk+{sM2nO6A{Gv#x%%3aKq&($h-GgCfKtK7p( z`FySNp%DE6tQ!XqEe!DYw)r4=_`1rBxnerrcVqJlIUR zjaGT6nQ~igBN=YiNG{SUk2F(mr&S(prrchuJl0J4Vy*HxGvy9iH-t31<8xtsQ`y3tIzyY|ep%#?d* zm1mnNU#3-_Yo>g;R{2&l<(^vQ+s%}(&??U}Q|_fzzROIxw^n(9nQ|X(Be};+`AY4X z?=w^Gt5v?=Ou3&{`9U+~{#xaS&6Eddl^-=z9;j7bY^FR&tGvWaxkRhH%uIQ(R{3!= z2>)=YVhR{5xz@?33!{a{vLw`i4rGE=@)tNgQ>@@-n>U(J+n*DC*RrhJE1 z`A;+Dd0OSa&6MxdD*tPye3!0~1c_NAnXgs$nkg^PDqCjCcWadcX3FyoeE40dW&6HQ_p2LFm&6HPZ&)m>V`AKctZfw@JS8LCFw%M7V(keGI zQ(mK0KG#fntycMbGv#$!}2Dz`FI-l$b>W2RiHRldkf`Dv|kdo$%t zTICK#%E69WKcRbOfO(qwJ?Ov{ z?4qYU)!1Z`Pgt<4-sEX%nCzxEc}5y0yX#G!nTE+8dXqKNFnO82#%mj!Os?_E^^|pt zO(xfPPd#NlW0T30SLi7l7@JI{?4>u^C=HXn^(LF7VX}|jWYaWEUa2>EP8ufr>P?=P zhRJ?jA%*(nW^!}KOENyFrDy~!?Vm>i)u*)0u|BlRYGq+xQD z-sI(Jm>jLYd#*4yne+~ikr(trM{?TBnvB~5|gX{GsuT8_`biK)GX_%a$H#t2GlQZ=uXQpBD z27OO-qp`{4N7ftll(URYCfE2)dXux$FgZ(aa&8(XZ`PZNHF))SFzBhROT&CfB85@&SG4w!zqBa_9D--ehSSCLhvY_$FhM$^GTSdXrnyF!_ky zc_~LQnaYvB~5{v{G;Koit3Y(wlrQ4Ulk4;s{;{#i-XPs8MPy~#h*F!`+BC=W zF*ccek3X+B=}p7r3wo1Q8YXw@O$O31xl3;{n1;z0^(M2@Fu7ZAlBQvDkKSZX8YW-T zHuYe{*kp2l`Lf=morcL*^d|GtFu7N6vLFqUuj)+}rD5_ly~)aHn0#GtvPv2z-_V;p zF%6S%>YI91W0T4KZN15AX_$ORZ}RjsOunl(Sv?Jt@99m}NW znB1>7c~%-G-`AV0n}*2`wC_E^`o<=c-+K<|DH|G_Oul^G)#V>H`&3xNpeo`OSvgwY)knK!LJRr42B&H&kKH|QU1&{#qLRqZ0({g8#0|^sUPb`bsnDy3A-^rd_Kvv*_m1%qq8+W>&3Lb4z9u zLe^!TTbg-cX=a-pnHQC2UR=90v-6J3OUq85gFA(jEHa5)Ahz9SJ2VH#g_&K*x%_Bb zxeImM;16OK>bAij*)G)F`8CrE$q`6^4S9n{GrNkte%&$mPQje7W4=0xxsR6l626yY zjG1pFoY^gjom`+>!IRn7Xax^xu2t@DrrbiSJkU(JrB=DbOu3a-d5D>E+svWKtvhpg zSyjdVnnS{Vour*sd8CwT z#BC3qIn_WJH{Q;i4EInMt&wZXXQZq4GNu_A0fKH?<>_Y1-L=Xy&6Im&#(ujbz5r(# zD2oET5%7Czjm$2ekt?*dFxT7&tIA&5vbfd22q^c~65MW}9M_ooXbI*S7y*JSwFKtB z^TL~RU#*b^1_W`J(NAmSUUMUN!{rRn8cFekGfGD)NSKj&YvdVoBdqyO)LzDR10$e3NlUQ9KsoL*uGSh!@%uAGvLHoRTz(84C`}=@@lh(+=@)?<>t%XD8 zM%X}lv$iab7#IQN+1g(C6Eo#GTIJ8ol;>)dzc5q2MXUUknewgL0{g~H`8I8pnan-K z-8i>v3BETYxI=sGKbk4e)1LX5nev@l znVtC|T~%den<+o6J#*Mh`4O#h)J*wNEq1P%@*=Hr+@!G6Oygp$a-rFoAJZyVGE-in zRW3GDUaD0-!AyCXR{10|<>gxClg*SL*D9ZCru>9f`7|@-6GZmCrO&UZqv8 zX{P+7R=KvB@@lPe9W&*pw956&l-FpL8<;7t)hahKQ(mW4ZepgqUaQ>HOnHM=`5ZIl zjaudN%#=%Y4|7=;7$}Q}Irb9&w3eW`fe}#Nq*ZQdro34@aqlO{?6|OnJK&yR(_{vs&f2&%REhGN76mzB@R z3tA&R%V%V#wk&!X7=asRmsYutnevNT<-TUhyS2*w&6M|Ol?R$Bzob?Dz7wC{!Xj>q?z(j?NIxafpXk~?f2UHUTa_khT0#r`0LG-f7G6N zqnYwg+A}|GrhH7RyxC0oXRY#9Gv!~j%G=D8f7N|7%QF9DowXgR?02mZ^H0{?$RFCv zF#Kfwr?%Oee6o&vLi|fhVEF0!Z>_T7r|W;T_~ra`{jZk5?9+AD>wyL6H)#r_$O}MhAsWT^-ZJaviF#zyy%e=IwuclvR zxiGcT_car)u#H1r)oRPsIg<;nL+Z>4SJ*Cf&g6pYk~(vO+0LnR9_!lEs%fbg*E4nY zq$2C4(a8<09@a*2&dojJkm(9}7Pb={HmOKH|0rCEQ&!U$OQ zkYCpG%ViIqQr|kU?4c^$-2vbFtbbq$ftTIqv1JdwEkVBQLG1Jm5m@Lz{?qm<|COD? zVUEp1bjl%*nX*T#>@!pL>Uz|W-$1$SImYAD8p$v>0?r^FOKT*vd`A3QBcbvc322RE zm(NIsZmbW5&5eMojwh%kiJBW>1)HgDAGrobKsihIpcsm~b)1@YNUL0Ec4n%@u4Ja1 ztyL~IQ_j&UpJ1jO)+(Q5rX0~0*vV$fQLXZ+X3Dk}`!qA?2N3Gr_97t)?%k@ zurVX^e|E}f%2P)0ly%rC8*a>q{BKSfsT67yYAhBPt%Q|?@Q_SKtqYwCj}6> z4Yeu_wJm$dh)jx1N;zzYE-npqs#zsIca+RXnLX?K(oh$*_I+eh@d>PM5hTV$4?jCX6Cx+YKXa4Wkrt`ZuLgTliUD1xm-@jobah$HMd1wXQ=j2t zIKwr?YW7hnvuDLW_<`Zn&y%5PV0b!5&&&sLrg93W#uU0q$r`yTB@I7E?PgXbix>FK zl`L7}p=SO{ek}7#VX3WRF-mT8Fu4Z0BtOX`g`s|)iJn=WIiY@{(`iMl|DYH0LAT?{ z2n`_cPrud~q2d2|Mi752F?QfTlA$JgGcqrQ%*Ct|!_j*-Z+quMtdImkvqN*>RYn5{%rmb?0+g3Tpyj9LIZc+A7#{8|kF`6GXYIbw zLNVARusv8BO8SN{Kf&7YM7BrEW9{zaSzA;dYxf?{+T!w9TX;NckCn&T1IM$rq&#){ zP=d9j7P7QF)*d;YwPoeG(nSf@l3K{}@~|ySu$FYCkC%t-i3Dp&Y)_PjZFPdRB(@dh zVOx`6Es1SqdDzw`SW9ACRUT^_k7w=4@>tt?JZr1VW9`}FS$hg*V!Xwbq0jveb}(UY zT$|yEY@K*Gi+?uS8A&~-8Y%^)P0FL6r4FD~nA>$0qN|&d89IIYUXy zC3o^`FTjh6JsXkDsh*9t1s)S6(Gi}{SV>fZuLm{Y{MNS2e3%X|o2^V7lj6@*DYRX@ zDO3tQ%U);1E+&v1fduvfvqM|v&uhwj*YV1Hr&8v-jLUqNahdNbSDEiBUzzW6U*_>= zsuX%rmig}ZGJg@ud^a!iJ)xJdc0Ki=owFC$7D4CNwYtkLEo7Wb;h98r6 z$g$AN?CpUS5x-aDYdCw4cv-&T?sH#vcwW3SD~0yUx_dvq?)Jl(-sg4qfxKTvL(Q=} zvsu~3N>FhJVK=23#alvO#P3WL`f79NTMyY0I=UtF8}w?SzgRsdO_Ro>G?PWpEOdYs zLG0FL7k+@F{H<+9{~fm(?J;gfdydnLs7Gl=d(4~B9^+;NM$6NT_M~V=|D(pDVh<@N!^U%QI6k?Xt+FM_$$14Q@`=h zh-{Q!Vc+IiS)-KZ7GvKQnh-Zv7bSZmeNy)j@5Je`sTrEj`^3!r_)cbTWNJzav`EPr zCG%2d4V5ZcBLh?Bq)Ln58|j}iC+}y~=a9WovLa=EwV#Eo_oU3401+h%Qf5{AWU%^F z>a0n9^4_wW$+I%nA$eBPDBetvrc8}O*93>e{0^<+{$|4S#%`t)Js^VDpD9f+J6*D?G~hdp!z7%E9F^eQR0jEM`Z;_ z7RL$@B>m?Qda3L$`ja4kv8Y|6rplT6+ac}lboSb`i|9jY)2{J-NEhfsy7E4xheLtG z_o<{;(L<4QQx-)ivA_!>k@yQa6zP;&SNS4%$e}eS7xk!tz%$s^%5uCoVqD%w z%2nP+;>vrG>`@k_>QVY0ue^^qyxu35cl_CF(|)qN`^T4eKPd11yu1g<@=of)pVxKa zpQO=+e`4H)e^Rb4{FAr>H|W9#9f{Gv_5(EJi z1OyZ@2M~EjR^i(%?N&8CW3!fBNdK&uZW&62|gMV{CptzXhHBXlHh47{HZV%zN;n#rz(6` z*7V+0BYN*b^v*(sUyzC3yK1Duaqkt;3njfT!t}ln=zWo(_gIhK)*K@t!g}^pMj_NI zIuZURp%u@pr`h>{2TC-WjCX%#eX#>k-F*T0Q|-e2&an$d@c-bh>f04+BVigeoUUxB zzOfOVM!P6fcQF$j_hLX^09|x;NT?DI<^o)9yXJdVeV8Fiy`cpMKn5cYV64ty!LPIKifsp)B{ z*#ON)7QNP;5iB|n&xmVbM$8j4V!k&c%wB3=;=Kc@}s)U<%ux{?} zGtj(Hipqs5QCYC>qJnxdSoAt6DhsiwTnD1EP>9MRDJm};aUm*86{6DAZm%3L@${Qb zbqiXknr*5*h1V#Wrkbehs7}o`O&asn!y$UMsohUqlWQHoPo>SFn|sxb1Kns=3`e;c z;I)(iuVzyuQ%j{xEmg_X;(eDX)Dy_m^-`v8z%q3`$kYu&rj|r+bls~lP(M6yU{PiC zR-n@DevBgg>rQZ!RrG4XgN>tiw~(>MMLggeqPwHZ;eU9&JJ7yVP0E$DrY3B5N>%iJ zXQ?pi7zY_GcxTCJo~WfoLAC~3^dl1vBLXDVw({s+i( z5FyjUl1yt|GA#}x(>h6}@j#|LpypaZCMi0Pq!Xp6-=^q>bSm;|10ZXUR!CWkIMME5 z($?Ibsw7U7NLzEwisX~g0(WV-Q3s@r2jKRUQa6N$!Pk*e=GiBn6k1&BASm7d)58@^ zUpNa$nGn$EhA;tbE-2p$$X5ph^f5vC0ua#01?77hh0!OVEY~>Ns2|-3Wqi)A4dccp z$&G-}K9x>xcWQ4==TF+yZjm&mWl8N8n!U15ZF67dwlD&NbGro*+GnKDKC2Vj=Omdf zafNmr71|fliJ}+UEm;X|%cLjyd&8$(VZRZ>FjtfogL8+ zHbp_oHS--IYAEAMRA5qkYkOWMxPq1^$McG9qk{Y)}0M%P&XY+ zIP$6F$WFnLKA_e=6C61P)cP)$BkRK^$mbqMj8(7-aP8loa^y=TN4}vP@r^5QxpS_V zHs!`u#$^s!w}(i*%a^Qg<=DOxV*>=k#<5$D<9HYcH@)hLaYTOz$C4V94u$2Gu!F#7SW-I#`5M=MPf3L7;>2O-1{whL- z1IBsw7j?`w2pYdc6ueFlGh>!x^&3~XCs)1QJEm2!To?eHYtt*UNwcG7*DvmwHm{21 z^YifZtEOg0fsYe?(%Tsw5UjUi_Y*6S*sXN28YPWE7>s_?KYB0iE%_65ooVV8Q% zPG}J0&1rfzTN#m1!99c!I0UlWc(O<)VYh;b&$Qt93HG+{f7CkkoL2#FODiXD@ zOR=&2rDwFvT`@j}<>&yS@MnodSbaPcI}n;Q4>VE7VpD(gEc-+?AMhO(bC$+8Pp*SO z%^F+>GiM1JIaB6ism?!Esq+^5&S~5ei?x)TuE3mb37oDFoIY6crj-#DUTZ^mtwZQN z+g6{aQF_nTFq5Bf#12&)lg+k=D;dR|(6Pgn4K=ZH#5p|MZmI4f%sD*UXPs$ytb?!c zv#Hn-`_4Mllfh!GBDzor)b*|}agZAeRd0&Nll_CI_~+{Ir#1ItG)eEs*IL(bKUR1~y-F8k0USoB^O^tQ{U$JJDVH zeIJ+u+Z$L1Ik1j+U>#s!9Ys%_vd-BkX8+-X(-B@h(BLk~7&wc3UNV z#Q`ofI0;+bi!?V|=#l0kO+X$*j)>G7gbIu7qcTtdXTEUr(2xV7*Z@fd>dc4@^!tMm z^${+YG$JoXL=JOi#0E+50j3V|!AquIpK&-i^+qUDhpPG;7Si7h8HMNuG``UOPEqxD zYDj-e?4vWV3nv2!?Qev_dlNg|>5n@3l`@Y-Q47M zu`86Zd9fMcNG!J-Dc8CPL1MXGOI?#}BbV9dDr@r4s)$Su7n`Y0!v%z!t-`sx5`GY8 z&AlCh^K!en5+>dHF0<9W)5U(7t_N6Zm!g1Fvn;RCgd`-~07W02u)sCk|_MxFtk z&5!mU^Fs~2vwakMXtXXU$`j#-Jfvi=aX-y+=bZ%rQn`j7K!)nS+f+F&@zf zx$&&g-mVe86uZW`-ptEA4`yDWVdOOO{L!jph31O?V&<*LdgiUjcIM4f&%6aboAIPx znf1(Dnf1(Dk^RhDsGWHVZLw096o+VwmHH5A=(CC~MpmSD$&RGdMX9eh-mDXeCiky_WQs|uipcc5!xgPXO zj=^=#4N~VUkviu_u5+x$pof-1S%DP=J#-V4!D61%$kRjcrO-n+*TnJr0J0}S9ly6o z=i)Rczn9qyr1Um^4hg5MSQR{^*>I9v9p*#2>j3+~Wbt#m#_ph9Xl|jBnfs8&2`t=~ zcZH5%sB)6U?xu)nPD9C({E$6P2{YZz;Uv8i?jy68$7=XeuzK0PJ3UZO;HX?KogVjK zr^j+|dfX$N9xFUCu!cEeU~y-@CkF6&FDB0_jXy5-0IkUn?!(UvNG=$2mBw{V#cNdt z@rvC`&LCE49M;q)zDlDosnN7b6D2LOAE+dJl`i=!k?>WTC~>8Pud*jA$xS7EmFC(b zT}Ddrj^dUG9~WtH~HY7P;ps?@IE6z17#m}zI( zl|I*vZ#}NVmxAk6`_6UT6N^0}xxOBA{Sn~$dcpM#H93LV6ySQ=2~2fOWay~?wC;gy zzp+Pa0&R09Xphwd+OwIUJzf)NPIfbO2C~=_UJ9mygTcogF^s(N#0(%Q-pv;^dN{)U z6;C?TjQGP})Tnt!CA+E0p#9TW(6`v7YC-2I?x*<;iM6uDK1ACvc9+|b_NHDCsfONS zw^4&9HpmvcfwCrdWyGH08x$vWH1=Fr=qQ9^(;Hte+2u-*V)c1}gLD$*!=7gg%R_q} zu@mA?7NkQxQVEs%FJ7__Qq~mxEB1abX+;M!;w8JAz6b6Q0|o}e_+HY*E)!k*lIB(* zU1GOrHt>Rh4z zK?J9cg_@c$8nTmrS%WjT)pE_T<`w3Z;Jtg+eA|3CG9sr{PS>37@ZVWEOLA_K`|>Z1 z!oB8|B3#=9znt@61%H=<-^+1Ia$QfJh|}I{^fvP4Pq+as_1u3v#9KH4&9jU`(hj#x z|0U4r2}W^RV+`f>u%sCv&-h#4% zoSUF*8cmsvTy_e( z@w1v(C9h_(advrDiFq{efnNlG`19D8!ng>`)z|DEYP|$mQI$r*YdW)p+cK_^Kx~=U zbZZRJQLpK8V$hnpM8N6WxYq>n_$^PMiYK4OAF-I#o?BfTQ%-1!ZeQNQ%u9;6f zdWCo%U)M!R#r6avZeI6A*Bt4It~oOHyCb?#mL|HtOVRyf-$mEy*Av}8rRe^JMfXn- z-M@tB{+*rZ#zE;;79Cqv)Um4Q_)RElc^v%3imc@(MkTZ8#tWqATCO65WSa5fFh#gk zXJ`c!A@Nac)#)^1H*eLw14VS&R-I&~`6#yfa$#)t0mU{Hz>*t}XDJscm0Xmo~`R9+fB6)RXgyvbxvqPv$sWz zQn-Xj&r8S-{Ozpy{-!fa7+pI0-Th=e;+qtKi+j8faZ|1Hu z-xkX&-y!!k3gi2W9rCe8{diNcLtYML2Z$Z=e4|mknb;wxpzJ`tL$>~gvV+798QcN! z=1^9g(-+EGI6LIr9o}-CyCcq=O@W*q$FE!QpgeYtkV;VswvP7eP+7UwzNy&Gn6 zxS0b5e7QbEF4x)}a=evlxjs5KtB^W)!1jE^yVpOsaie8>jm4{iM`+}67D5SJs zmQp%UC8a~vQi@T@LQ4N5QF#Vbmj#pSI>&NW#U_8KNxVrV=R=+OL%95*J*Wmu#_tJS z=P}v1PI2rVAGYso#XYe&&!_GvpW&FTkX1cCT(I?2kCxV{ZkpI_;zm*YG_n`^Nb^*4 zF(j^xpOKHVsGA?@=G8ROLrk1iIq#Uq&r$@OVk7S(t9-cd(X2O(R zTz`lZ?ll_JAV{|$D8`Ts&`oIU*htP>6g(t%07&x1LXtayB#+~gZ05s0V?31Q8Q;S` z;}R&#H4lce2~bvG)`qf6p$uHC<`OCKOH>j+(bKkOE3mKNK7p|&OyYGfO9+Xd#AU)& zos&aFor=T9nh2CMarjshP{^I=rg4nX19A$DFq|Y-6p=KvwR^C$&ZA#f%f zpCSm~76?DpQ6a_}kD&0SP$ARyeWAopVzBrXa-p1#7s@MOp`0!j${8N(jAz}%7YoB! z_ley_sow?bWmRV$RxkV&a}ye6}R! zRhXEwftXhbVqWbL(>%kS2Rp)u`Kf)Jo|toBNxl{nQ}+-_^kg(^=4UZ$KD7^5cAv(X zPj$&UooO@5xYU?Lr_H2&m^RMSB7E9Rf@!lrOq+9H+Fa*O8|ecvcBnYLaNjwNA2wm} zMUvBtF{c*+rxy!OUoScRJREj{#xpyIae8N_X#*OM7>r9o`A1{Zc51!4YJ+j7CZ#g9 z7kBC+bI5jKXH91LPF;F-N0vGmeT;rmmUupYSfAw;x{y0{GM|6YkaHeR;o{T}#OX#M zPVGUQmOA2OcJ>qpd?~c>P5UlRPVe3>^kykew_tI)8N}%pAx^hSaoP?hBNwN>VdC^z zCULr5v?3C9XBHCmndWs(oyquF)~<`sbk{`0X^N0rbmQzZ%_(S_mijD{81m)aGxeP1 zUF;KTXxgESmCiFUT)en-b(at?Ze88&idSDLUVT;KwM;TyYc=iC1Zs+<_a3DGSB7$% zT1~rbbrdS6ED6QgWnZjZEO^w+zFSR!wCc_~FrL+Pue6%(%ie0bf8Xb;)4MlcACU9) zK|Eg{fcg5Mn6D2>*0pvQddO1_uh0bC3!i72ud5O3D$`jf^WdU+@p&du$9BW#_IXO~ z{i`n&*|OcUX|n?za1fuEUJKea4!OU z;Oiy#R>R^AdmLj+827%=-0~6=ue!3BdxX6m%VR1A#y0{_avv}1HzbEwmz(1!LpR3` z)8m^@+En~YRq>vx;=eFQztG*0adLxMK=aR*9ub zi!5%a7yH{FXvG6t^9tfG!vA2kJ{M`sFNBEjJ>`j@F?nwF9HSkG2+yr<8Pj3!`5ctx z8Rx^^^LZ%a+sG}R7KJZ`*ldx^)K0}OGkN-6Mx1XPs9>%{T* z0g$+aL+0~`q4QbX0ul8*XV;E!XIJpH$ZpL&PZR+o?mwKpKK= z20YRuIuVm}wsn^ca^wfGOA^?tBxL$|ozPf~Hj zR3!LOcf*FbxqsAn7il!_kD7>{_|Jg&1eRWN4 zAV@O*NXfSb)?OQ2(2c=hc-Yu%JO}@M&-ljJ4PNoX%+6+42nA|y^|ktY28G$sDBf!{ z))*8y1>lqx5AE$WZp*@yfcI~>6T4=PaM6i#nFh?BB7Pr+UxC4YUT9M2kKo3IXLRI! zoLnOk1_IxzQC5IQc|1_QJE9iQ)iA$T8u~+6L%X5uPbe!e-hr~epe*0)3T1yoS&?}Nl>Gx`g%)`H z<9nejVjXKVO2FF*utR}m)w87XCdRiJ9i>?uf%mDP0L8-`qX%OvN2uODfU5gVr zS**psXmXtMwKy^zraie%`b*Yp@h?F=lD-xv^0Hft69w6=#lLFKl8}b`m14FAOfSWh zat|5i^8=>;ho+FQ*PY<2UqE7i6;jI2kU&Zkg&gY+I*-<5ZaZmapt$}87!zg?%T>9)Rq;F3~=@ZsvqNI6M zq7*NXdo*4rZe}EE!C!6K;&P?Fr7P+l-41~Jz}5lmIuv$KCG0dG*q*HC&mJnJ(6h)E z1HIREuj{~n;9vEt5{;@7O{)?IVWj@h?0Wd^^h68ztH=t_Im*#d%Kf3ax7aTx+j|iyAL5FPa2EW7*CN4>mMR%7_v(ehq?BPptG#^r(F-gp?aPkbLxX94sS0K zN2paA#_}(XgNTpGKXp$WnVs_cOOrGMDZjshVwGqu%rTk5R}TE|w3=i=*Y%Gk7#n@{JwGl>zpS4XI6c<6gI2bQrtlC68~x>TM$yPe9jyGKiO$ZC&f8Co+O z#)Y{AwbcjJf~Q#BLv8hIuMtUCs2130BzQ_l*tpPvMOl<*6G(JnQMCDtu~_Kl(=v66 zl&>{pV5Wu#Vqjen#{hQ!EHo8VV*!r&J1A$2VlF1S(d~$3X|9XFc7(ga-`t|D+I@Q&4{V?X?U-ut>nWKc5idOQ!@9MBh5do=gdE?-R568 zPndt_d}02R*U{XYx6v~4Z-m|DMV2M(;(1`Ea=W;@w2M#Rc5zN!uz`DUyErEw?Bbr> zF3y3ptBGFRF3y3ptBKy+F3x=x%KAWAQSNFe>kDOtdEY?UiBJ~F+XiL*psYCm87S)y zWwHEKP<9fOfupqWInSmpd`@Lk5Aba2uL6%`FPorL885U;a zU@u{RVkn--dAdvh*q;QQXyzrh3ZSMgi4E<&wQ{Z#=NiCN=aA#h9EjfM`YkbxF9D8v z9PWJL#ofx+=nJyALruPHhP$nWVL@4tugQxemIvHg|R8n?2Wk7 zI}e6bZhLC<}lik@aJ)}DsdjAlrFt4NPmqb zp&)6jP_l~b^DL-(jk+o~i6~c-#-p0*bXe+`2XVg@_TJ<^k5ypXO4z}@H^^{2`^tG5 zVI+r6po#+KSz@$ncU}Or;Li`hKabOF)~!N~Dy6h9)cM6Z=}Y0SRu)|rX|@%@KR_pP zvF0ATn8@7Ya`LEHycQ3m$`))V6$obVy96|w6mKbh z>FkeawyKEKe0b&;4K zs+AMNEVR|PHhmWcOc(xuCGyC4o*QT4N!g3m%yTm_E6Y0#@JMoiRaoywtZ2?Xu&lxgV=?ozv7}rGGpsjeEZmvKqL$~6dk6~(dK88f5t$5cg zm0v>hC@`H539U~Py5n6^RcULcHdPn4W{HvWIZUbAnlKrPzpYdA#GFqgjrmHgD6Frc zWaEJ6ZPIS2Y$^tl%_5l8sUCdfYb7(&nJ=ijfIlJ#nWA zqO1~4L_al8OSFP64DE2oO^NQFFhs$nJ8nuenYlt8Hzk^l7j@i}=-zAKjvIjwIdZp3 zbk6%A_-tK0B~%-sBlMy%MN^9)_O57C(d$KTMn)8$SA2Q#RQT@~#e0hXk}jS)H8?^q z8uGv6;xX>}?_4~_xPQgPBV00P8w_5j$up0$@~yR2L0%6lKW~DSm-m=enBUqe%0CAF zrdh=WC00?vu~wvTiB(+qq*YY-wN+SDVMU8hwqnKmTk+yotwf|1{H?I;=twIOU1%j^ z$69vmY%394X_er^_{21DwK&I|SAeUqi zCY0qDPld8sP*zkt3d&|fSz+;CP<9oRMT&Pp+0{@6E~dnA&vla+u5#U6M~R=FytE6d5p!$$qcQ zzC^hggm2=??CcLvflBH$(sW-|7Sz?!Oca|Wz&p%VuBBP=V|y@JYH3$t0I#Baxm~8D zP+}f56q_lEU%D>`qf)MMP*9}Hb?>8)RkqwdK#3(?Wov1I3h63aOY6ast8A^B8SV?R zGu&%uZ@4ea&Ty}to#9@a3L=U($vHn~a?Uh3YIq{&)ts%~dSfiCLA*)Mp#PQiM(BAF zBxRMEORZW~p;c~uZq>>;$*N7lPZoh5b@cLL(4$t)G|;X5rJ=l>b3wQAmxgk4wn7XPY@Jwjbgf)cLU z{aX`>JF}aUbu|IQXzN>-l5-7&HMxg%<^uChbD5kuL;3BAEF-ndNi~`|e$bV*pRwGk zE2N%FEReEaOsd&I1Ww&ZV9Ut0&>+FDePY$CGd~0nu6fCcqX? z!ug?wRFMrRMS%M&xu*++3tnQz@7h`o#mf=MTjK0fLTWZjgXx8aKziR>(1X%e3) zZ}rFp1N5^Ji)#S%B7${*eZF#r#NQK7I0s)owGKcS_Vc)D^iyGYpTzK7unswfN5QMv zz^n)M+You(GI-()iKe7lGohGVjz#yvPAQN)*lLE*I7*^%lnRXpB^r>pPM~p^gT^XX zW?Vu^3=R~b>pBb$L>L?E}A z_d)dsTw%IY2vcGmInipa@lt?yM=+C56~#cBYp(ja0|~yYY&Ay%xm1$+QWdGIR0CO0 z2GT;4(mRWRw9vTFR0C;Ivw>_-4dhWWkd~U|D~o}&)VzwJ8c55U4dgM^K%O82src_W zQn3#lsi@gNHhPOw{^PJXl^8}&r$-Y{4&mpG;+Q4zbYUw80-edYcuQHTHQJMUj-@qa-=e5c(y{x8UaFa8zZkN@ir z@vlLZwlT;w4a1~R2tltX><@ri5|W-vjyCvnT_BkS(3s%HVtkC z#di%X$k!Xoj1^$Qerfz+{0;YbI+^{=li|Nh&HKy;;lDpvmX!zhdQPy0TBpE&@3bnd zb@1OkImJ0K&-4WY%HC_N$aK%gw#3z)zS)|-vVPk8vtBG&+7BXLOSap`I5gQ_d5y>G zUs-|Tp$#$fU}-B+cnMux8;)(uSdqd_W`c`hXI0sUFHG;_jU;SEzA@^X-$LTvpN+QW z??!hk*BEG(8fRK{jImaI;|lnFrgea^-D+kYY8_}^WgTShu$o&(TP>^wR!i#(%UL<9 zU=dhhKx~lM^e;B*+U@zK-}u`ov^((akMX(D$nMCuKjz6$)`@TW%??o3nQ!{d2cfJB zlogpvpzJs(E41>UtSgj7%-v9SJd_n%r$AXZD2rL$psYKTMXhyEb^??otlOci2b9Hg z;Kg&hCzK_v-=M4)l-Ycd@{A_<3csIj_m)faEZ6$$?^u6<9T1rAVy6?ZPY+ERGc)Za z((JlJ(c&v}uNwXBK7os0PyiRd?7q^3ejgTJe((NNR~b3E*zA6q_3mUx50Mv3EWr9Y zCDu;ji9(~?sN<;^qZ!ZiQ%9p>ARdS77a?aNzn$d_lBb-g19CDDc#{Lz*R=-$Z}@)b zRF60CrQprreZJ@J_U_(uw}*K5-0h+0o;wuVP{2v-=XMQ9fe6s|cWMeLI82x8Q?*Sw zOd$osf>JO{pm>Uuf;B)>E(NWq6r8G&f-^iR;2B~5Yt}lLd#pWEvi2;OwXGy;Td7!k zww!hky3=l=n0EFkVi_N<*)?Th;vcTdiLA2m4@Z2F*D{TX_Q)V#Mhd>jbfSi0cAl@{ z%Y`0auumVbzVUy0b~=OfSa^|S;n?hF=f(RzJ28owJxa z9HB{*m4&bzp}XL(5|$$r!ZIN!EEB{?E|Zg3AuN|`gk@ToumIKz{-=e-8KfsHS4d%* zp1rWl*mq&UBxd%NQdnkUVYw2>J5!K&mP%NzCc@H6b8wc0u(Zy;MWZq9@Py!B zS@s>wJcLy!Nf z66j#=N#GMw0ykzaft%!Lwz!)q2;}4v7#Hz;A~?+nuN8NPh+I9DK2R)IO^m~hBdJ^+ zxo>iHw3MqOL9U(_<7^Cawb>nKS1DIrRdV%=w+xzx!Ob!YX4>Cy^5eu2iI7|v9_(x2 z%+NWsu%9Q(V;fDjc~~CNadR6@7!nW5flKnv31uKCvW+%#@!hlw^_5WwNRdY`(xux* zXbW_Tm9_Q_{Fixpw?ZTX1KP z-gI$N&G9X`mufDHE%?5tnzJy8nJrVz**Mi46x&e1t(~_diO+?J$p!W(n3N*3R6ugt zYHp-)%6Ui4jvt~e;xsxjRn41i`)+&So|yf%v^}~=BWcjSO?bkAnb^o}!x z79!6OS;uN(R_KMjV>S0P(jHQ_jz#1-Q%;04RpfbBlIJuR>5Aqpuv{N&tKSc?KMFDj z_g-PY=aQ_#ejk&Jzl`<1AlVL&Waf#^6Fg>JAQ`=~*G@wy9u|q-2aVwbM^-z#uW~}t z*!p&wr$KnsyOXaaAhqpu;e|xqwbLfK!NhK7Yx462pM0{~`K&hUdaQ;oh4<}a$?DTx zRv%1R-A=cgNar=`-ZjetX?LJrXI^UK3oKKKt7HEHR$;SOQ-PmV72zqL_W{ZS_i-&Jo;ug}qD8zRxj7 zb^$j&7aaM*V4ta;=7g0UbP*pv zk+CL?E7U;KCSnJl>t-{L>+q%E`j?XHiiy}EY22jjKAr8Tdzgq{$%)8cv-i?5L$Phc zJNB?Jf8$w_kgwQ@A!*v_|Bjl+m5P7T z^>u6U2ZDuSLe-Ydu@rRCUD2%ycHTt{J?g-* zf8t!&uRg%cGvObc?muT5Y!_`%W_W+jiAaV0iyZ8)c(A`f&%cV^e~VepV9jC(xaH>9 zw#vw{FkHfWdMCSwCLkx$vntXDOm)}|$OIIDmAi*#FH83vJ+#jiV4n2QM5sq$huxo& zDaY!8BvL*mW~d$$vwxRlYUz^c_l#ufnTbrWA*H*qo|@Ej!lt1nP*2TEB9xFlHBW`n ztOY$aF_V!gA%yIS2>H9G^;F&6a3uECQX`%LZeK(B1y#Wg`JgZSQB&UV{SO#5h4a`^GTp@1{K$kQ&? zLU@S+>;nt35~SIql>MjZJYgaBD9Ju+4Hs8fRM|_KS0y8MgM4gj4%b}s=Qrx*&Hc1? z>MS4;l00U(Cd(>yQ4QDL;RIFYr4ojvosMCcl80&8gVWlooex3m)aml+4b$P8-8!{p zhHI>9x}p!)EjrYc<(G?cAPBLuHQZOg)=*CY!&kVmlZ<-eYTgQqA-@7vFGO7F_GykT z+bI`Uq*vnU=MC;dCV{QP98J~+s?+A!I;KG1)S8~7i>(Sk*1AU=ikn6^W1ulaD!Jx7 z;&6yY$-%3GtwG6QJ(pw6k$P?j=(&W@bH{_8vmHHW*7G@MvN+|8nQ#~i6}dUwqc{#pX86)8o3$+D3C zZpql+Et&eO73<}U&*}q4NdZjuPGWqKie4@FU4dln7V@e<5s%sR9{%AIx=)3uQl~;z zi8yyo+)88svEgC~fmtp6I$R%R_yOdnw_44^fH?-Jaa4n+{>;wYQ3O4e=b# zYjZ$UoDk#|@KjwpPz=kBDr19G5Lff7@^uUg&IbFv=j9}B+gChLR#G2uD2!ej#| z%Qc!C^^*;uEXUXYWsRUL-?-aolxz%T{5cXgaGi>E6Hm;H$ALCEFpYXqF>4wsX5LLx za*y{mk-~yfJQx|=)Cu~|Kw&ic+r;P_0&0Bp2guPk6Qc)o(SydJQ)6tfWIS~R~G(SRk&we;a89&GugWNqsEa#nm>|kTa|2| zN_NF7f*-tdRfCUlDVD zFXmrk+rmp);`jSmg#XU(Ryn`N_}5r|DN6i)6^rxV`E4lYcX(fh{|?uf#P4UZB>%mH zmAJpB_}AFBrL0u^-kFv1-^*B;`+F_^HMVVeWpV;5uS!l@YSeu+Ie7@nWd*F5#hA@f ztkxsRX}wk$`>!x+uQ1A17$qx=#0n$2!YG29ByfM}(d6_YEgwnF+}L7Wa<)UlBx@qf7x{c=+(d9(k^SoF(n zspRecFXPZJccqed`@dWweyL2ZtW4eyy8VO7@kj{Y>-wsl)1sex6}~?<*LyGIaB--_3M~I7PgDPE}D@zLk+ zHTYqZB=C2;3mk;!8V5MyZ-I`vb4VA!+;@Cx%*__hxde!h=iDHk@A`Pos~Mixk}d$x z_k27TAUyrq=nq@ca~^&e15L(xIDH(a=ledM3jyLA{I5FsDKIqoMOE_4s^nLjlHW+M z^F`KSk4?Knu?d;I3q)peubEG^1w>^4HsAZ$EQV02?fkDg`LhC>>qNq24{SO%zf~pW zQz6DeGPivk76vuQA3hFCpnr(3iSMpX?p5Hh$c`cHmH~&wI2RP+%3_>a1h`US_&6+u z{(s zJ}+(z$U{l7j|1dFNr3uIw5~3J3-6(HSXvW#xJiM-O+g$IJ`RuxBhiNcRhOg`INY28 z2i59%i$XMR35rIkkItPS7LchZ{!?{HZ3Q~FW)KaI4h+ak-Gr9&+lWT8r|Oc%3LNeX=9mI9e&ffb^p zsgKM9p*k7p5yIl-gq1#vmj`9;0H3@M0jLrDuet>CB80N|o-mn1tF$mRoOj-mt3#}? z6+}}5eOmbRS)DdKqU2ZF%@CXX5v{bFpmGq}mi~w;VKk%pUvI;nQ?|8co$&;X%cWd@wj8Tloa8 z2I5}K|Ef!lRuK51uo;Q=9E8cM2seBtuM(*!y?F&pZsX(d81%oA|5cZ?Q{b@LjvyJK zOm+yO-#n}dlDNH3;wM5$9AYU|60ZAWt0Z#*#^!kkzz*I7-q*YgxF;u8P$U{_pHNnc4t7@`O07mw9;PcJ- zO8|;1$I9xGehNlDiW3caa#aIW|5$L&^!JDNN{xy2c#v2p`OsbqC03qXr{xys2{O}s zN<9(SCzK5EDYX^E9qe>_X?4k91*JBI?GtK1sZB)jf>=3jJK;Ziv&NKqlCT}14fQFt zt;W!vA|wN7|M8*077jcnby;2R$tXF6)kU6+daR!3$pDY8bVU?YaX&-}BzTa1h)t0% zH$=WM!5jKrb;(EreCB_OgoBc6o3TRT54c08^;vz<=_pnob=rV6&~!RR)#=!fPM-;M z${j>$!Tf14tp}GKgF;AL8t^1V@i_aMTPPHB2k<$-KV4D6->4D6-_YD>bqRg|J3!E4DLVksq8V!zPVhG}5d0>e z;9rs{!c9%zVHq-A-y%8+RugoB@pYQYxEpSwmaK4kKpO^?LHjP*r}(S;yEZ+fC|T*? z&+VXZc|a-ut1fv!p%~w@qac2s(U-n=&?~6-2~sfP2VLTULx3yFina%`0|j$du>%ov z4q^v+f(q+r@tZzzpwS1;D!LY{RK9wy_ZBkoU_-tLW(;cx*WA(a3k{$28WxqEG$c2y z$+N*GFXASkxY7*FM4J{2 z;uM@y5+!Zk4R#KxJsry;18qJHHvHUcsHDv|!6tAl?DWN(gwp1hV3R$lNjhyl4bVm& zSG8mip8*8=llc)=k+u~KqBPu~2!3t8c~!~Z&dqtOSHI9+$Vrv_<4^M+oJm;tPi2bp zIsfrg-jEy_XH?PlV0JJ^BUQi-MtnMi9U{<(ey}O}K~<{QiPM2Q{Kp|Zk%CvQ`*Wf5 z!Xqo%9?A|C(BkY+1nn?(7=jkx>_E#8g_eh)9nKCH&}?=%f_4Nu0z=#AK(is;W;${% zKT@epMcu24dZtpYpO&BR{zNHL5Tr~i)=KnVi?u?%AIXk%7{t%{a>+U0x0TEsPk77p&RBN-N5UQBWkQv+nnqn3-(^GbpB zNkw6}PH=Yfs#F~u#|jYtqcjhScl)8-Ld>vW9L^+4+ewPm^H#g5(*M25YmV?dfTXQ{F57F15 ztOx3=Cxa^j;dJ>u6J0{)^zSvJi>;tbFV;)odN}KaaP7@{`?vyKY)qHmYeE-1_4}|s zqOVr059+Hg>+APLG(pKCVEQ+L$hTYCxAX z717#P5qmPIi2kghY*Q=R4qyWWD#x$^2$hrB z$u25stNK7TP&8=A2BHRo*dP_|e`UfwL}mPy33suo1Nws*q&fg)+kp*6*bHGqd~ED; zvPS%+NpmQ!f(6$Iq>wZkV<;Oc5b4B*B1Hbf;MQ-r#`rrESwb|%-`SF77#k+A>B5E~ zY))aP_}JJ9LYBX4Ocq}s1;~OG#&9-VAkvi$M~IxtPW2I?lKxL7vVLMz1W!u&{^y(4-mxZBDH5)BA7_wwFm1N=GocoYyq+lI~#!< z$wn$cwoz_yBj6a68zt!cKxNHT-&E>EmrQUp@i$egX&#+(*f|2p{_GrtSQ7y+()sLsfzv>CKEi1< z8;wV>*&D$aHb&GQ%*LSF7qAQb5ny39b2X%=IOU0-NB2#4?(%*^H1YvSz-BW(_Bt$XkccouIZlA0D|-3pIPI ze$GE{F8o8;HS}Ym5qU>ZgIh?XZn$@rPr&MZ;KY#+C%~Kd$Cj}$uLN*0;GL=pBI}vQ2 z#-@pSW7#xR?+SK>tcPzxn#FcYCF96#M5x(ZSu`DRq5_TnmJ(JCJs3303kW+25SodrJNgn|HU##q( zk@Ltt7=@P(o%n`sdt!5AN0nS6&A^9Mgy?~ zsYxz6W$TJ#1X_4BgBc4%Nu@6L$CJngKs*RwvJW5$+6ZQNY%-taU_DI*rw{xGB>p(+ z12z-dL?&24BqoP&-g!rvs?Li1iQPKXFt^jE^B$|s>o#vtY#QNnhi5-fjQIDRcg z7jAg#Yu`g_^H}EaB~QS{ff=T)SxV^uZTmZZ$Q>1mBz@dbx zD~TXF^8&>+`i^4RJ{dSfPtEk_MXJWoN&?Vw+;z z;%auaT(7|Ji_L~VA_`@8SEb+wwMW0^A1y~xjxPIb2z|H z8UXEU*fj#}dF&d5_8c|`Ene}BAiUsd=r<%sw3*B1iZ%<_T-4@Tb}eqR*|V67|4OB< z^SiI-bRUHPE9ib6n8q{0B7KnP+vjwQ$b?iE)UKu>#Dc~(+3q`#p zY$2+*h%NH#;fYvV1R-h(dZUke10VHtNnshxif9LN-PB~ZI?E4V{G*eD_0>x-iG>2l zYV$jKvL~;L*JU(rS9>8G(~cBr>~6# zXEcj`L;Dyd@l*F=v2Li@s>OeHEsNZAv!bEKrJQ;|v_sC2hV~&!e9~CfP`63vdM=gn z1GkDHku;xSF!66-HwZCZ#cn`ix`ZvkVhZ(cWH*X>YuJsb-cq*IuZJnrShH_(WBP7l zHwlOjvzrjao7v3*qGQ%azo9^nQW7M6XVF-byM@;#F`{N;d$1NZkl+?}i$HiCy9FV9 zE4$SpLC9XAu`XYHNCd3eIB8t1M1xM~#+uwiB>NW(?JOlsmlwCO+r-cwVYi{7-Og^8 z+$HNIpt(p1lI{kfs`}|m-aED2p!$7E$kZf+swj0XATLQEFZ(*N z1=uN*y%%Y~$AO-rA>q$vGqHsWA1Bv3U}dFV#j~=RChs#cr3xY=)x- zqkB<#960Yrv~re$ndm-4eHXh+aP@U|7vk#O>~2S^h-b;GQ*S#@#Q3k0n+M_`*=QGs zfce@5^NkPYFaqX2cAo(A9lH;Kxu4xn!0>nB?PMzTlMm(yP@VU9 zq0@_k-lOX`nz~YJFy{10JhV|HgfBI|mxNJAf}6n@5w|2=c-JlrvvHLduR(_YOW>7%1)l z+A^R2RhJ$FD@e#qDzgoih|r6Z$pJbqU|Z7t##HXXKB>Xs2By?w>@gt$E!bm70v>0N zJF?_#H)FX{?2o~m_dE)SU9ie_(_wUM!1-V5Ua{v|!`1aJG=*QX9Z5_A(o^9M3EZSz z3Ck4#+6O$Nr5;THKsNyZRKuapvK;hAcF^7Hf!j`{hpXCkR~tw@@fzMicfALUw9;14 z0|;`6tF(jWVOQ$p?vTMrNiD&SY9}SNDmrNMs8&MAzuZk$79~rINsC3ALN+&f@0+{Hs#d5Q|v=iokC(XmE zl-iwiTbe1U($4<8IKi10+!Gb+<5+h*fFFg#^PfO?(Vn-nZqo*N1V9kup9?{Z!*we^ zaG~tYVhvP2yMHGZ4&jmu}!Gnlk7=ZkL>QcXq-?~%DQCo-jW0EE}EC( zsE~EB+o}~87hZ+J>!R_m&^>V%TcaAG2Uw$?VowRGoxq+#RC}5|?X6M43$JDu-Q1Xv zdHVOsH2u41UaX+Hwu^SpFW1#BI5C!I?4os5Yf&8+$RbnP*B8>>?vfUJ0l39)aOI?X z!@6qDbSSsFYBaFx!Pv|;3vQjnHY095!=4e`3iBp))m?twPl&*?>{$WyWcDlq`W$;s zP8@vC*X(K!P>L-*cIv9#38zY(l&G-TURT^V8|&iuAS(v>YPerE0J;T@(7`@{lN(wC0HCU6Lhs|T!7;$r#1@jMf&rRm^XM`>KzCJ#r<{$zkyI?V zs+3!JcVKKz*17+=0W*=-Rv&bLdUy216g)pr#+B0P{>5W~P2xNZd!We95pI&x(GC}@dqW18y&^YBn8k0SICVj zvfQF4OVW5o2RyLN8k977Le_8@qZR_l)G}pNN{<1WM=N;DP3dhgMq;Q8)&wn4whY!J zZRW5OC79NOH5NV{-eAp^2jr=$l&F)z8tDt-+fzd|fO{9kSN#%H5U%=;w!n88g?ot- ziy+)_%9_c8+FNwwA9`+Ji3|?k0`-=hTTlY#dIu;v%?TUcuuUM3a1k!w!uJD({ zkuZ^X2J+H-xv&KVW2ojRn+o$#5s#>vAppA%9_&zE`~!Y#%p9sYrE&@V3VTHm`hNBb zBJ`{5)o?-&)d-~v@iq3EfcPML4MBXJy{>|&jtqo=-_nPCa*q<^77-1u6|$9W6@9H{ zTTx$cus1|s-UbMog=*^mWC$PVmnvQ3_kLbl?{BgBxLt#z z_yVVEvQT5un-*%yTI0s!D~V5;;Pzjy*B3;a5RV~TlxMrNM-FA)?^ zBxq(6>Un1H@Z(fpf}FsE_u2b`;Lo%75y3xTA0UCPDt%EpY0ko$+B^IZu2a~5@QBCK z7x4hk&OE>^K1!3x02PXVWRMRp`2?Su4S;ik0KCWqaBy590KiCKM-@8>k7Sg_y-3Z8 zQ9AX-O~O~ zbKi>&_}RKGwuKJp?Q;I3Zmsf{?=9-)Luji=F?vkh*o z1N|`DM(f@QbOuK+JwgL@+!qZ`gQ`o_SXTwmn5C>5Acgkw4RLdf*1TCyWpZ?eh^Mkt zDT?Wtlg$*pAUH)o^5y#4YyeysxRz78!v`=w8vqvtZS{|R0M`)!?xLp4&~A<;zFhF8 zed0m`vuII`pj^Z)dNeNRUfk&qZixT@vd)*2fmqJQYp$?SF&nRqHK#D^ zX+#&)!!BC8ow7FHG9*gjuNM5_L=@(DjU>}^lJQw^< zs^gQ!HA=Seec9K(^erXgo6Xdk5Qv5^MG<>9JJ7@um`3JHHLe*-=1Vn#FPu1agCcjS z&>rE$aZjYb_2=xZX@iz&hC*)%P7DtDJ0I5V1eRj{Ul!DkyL}EoXkBa|Uzh2t&*mh} z{ak(@B>22EG*Fu=bgi4DF|GJ>w531b=`l&;BrE+9YX3}YpQw~Q%H>I#XO^S2gOhZU zCPi)#?rCZa0B&py#aI2%Z4j>7RO0x8>uU$wAtwK?YzLbBAG43cC;z0RF7?Oxkg#hkzFos3x>}gp)z-=RQ=`lP$gDW)nBeLxvBcPJnKuAm+KDXiE(wg zW-?LZ3JASiIizT9xyhXrcEi%K{k+k2gTB`Ei{@J8Vp77?}o*K%!P?@Kbb0HDd6n^5o2R_#S_uxZ7DMsEF)j zJB5h+$#x=)*>=Z(+w68 z(Z|0vXh%c@$ne2WtBHtA%`75+`69ABOhk6ET|z|uVY`rse9k^sh{$wZESjrDriM^U znm|f5f@;Y2phu>L(`mZKhzkgbnBzo9W@IfSGqMm8o^W2JN6?_qIIto?HT+@2xILh# z8Bz{2Y9c1nb?!jN6}{INlNDiN@&)^Xi%FTuzCdE~CHqn#CRb)5CRfYx`g#{p0}VVQ zy{e*Dqw0uGS7t9J>AGZQ)?%W2GuYE5oTDmTvX8@d#6+V_X4XVZuFNPVWtJ}{_c~%C zgUKNkAb$#|tN@N{yeEnAQ$S@AH*_FX7WJXruYi(NK`E0^YWYwe1hrbx_AB<4kkQ)g zD|v#&iF<(Kx${2Xyt;d>q4K>|9?;=3(rkX=oDEdC+Z)#PxiDpj_hgBCx;S_O9P zc>Y&i)m+4~&L1~f7gYLft>Il2oH+($toLgr}hd4qWl zq*aAA&Cw(jqUQM=T^zgDhmd0!J&ARgql=jdcA^e55Cehu%CaVy5h!k|teG~lWp2LO zY9|NlfbX5ksty)mr@nLTla;OLZfdT5lCq}5E;v?$XNY7AjYybp>m(3p5AknhEmXpNh*r4gXA%gm!H!VDZk++PCg~WN zIrB9X6k-YSdS%C`uzJN%!(|?Msz4xdie^lqfu$ z=Bx1q;!QTSCj=I;Z`e0t5$nXhL5tY8>|19M3%sReF38$#3nchciEi;vXsJm;H8-rn z3xslSs(#156UcR8-y!67v)ux@sB@dVtebP;x6Di83WwTjfG7RD`>x-!?*)_|?0W>| z2lfMj0=GW`m!ucrwGuGn(RMCkKe8VM$P?L*2;@)fCjxRn8py@i1mGY`U-dx#%zhRi z2eO|L$Y0nm1mw^(kkDpq2l7|;s{lES{fa>T#(pCpN2GzgA>a(+$0+W=e`mi7 zkf*cX5y(Ak4*_|08ptL1bwEMO+8)S1*dGGqIqVMv@=x|B0eOBJ$Qv=1{Ni+?t_Sij z_Ll%ThW&*={>}a-AmQ1@0LPaGE=}{8IuGVQ>>mMh9Qy~s+{^Y7n3oEesj`W_vG_13 zO9^IkThrLgOhbU0#J?o41pH<~iAexXbpcLu0fKO^1F`wSMGM+k(8dz*rt>cXF9%BC z>JMB;^Ck;~EC&XCOHj*UFcP z5~y7wAT8%#1X2o0QmF6hvU}5H;x4SQLh=Z}O!i+XcDL$~AX2UvcZ+?a7l^kpRd%0` z>f_F2le3p1a#<_|B9;mQZ{}Zwz-3TU=CI1Srk^a6c;1Is zmyivna~_Hwyp}ERCsA|$Zu9=Yv*~4Ss2|^LJ_~fajsI1by@0Kx&3eNiy&IdlZRc~a65ok3z^7IL`np~8E?YJAN z!U+U#WXB%nf7NB5CWEpu4 zURWrLVLE(=t;)68L0XHaIY1JsA6;(EG|kr&nS^QXoic+6?5#1h4MAw%OF@V@S-N5V ziz{(%)PPn6RTD1^;P!(L4U$Nf{nVVFSVeo;g8qBiC=Z}_j=VUBd@o{E*)Ng_ zkK%d5O`EdcvIAK5T{ytUg8&n^W(jalIKU@xFtn!>tJC*(6fqdh382kd1IqtGg%3to0Fkk_ZVP=-fYeap{GemmXFZW#0yxJs+H?g2;4gHWBFfotwIGto3V*pBZQtI5yL)0&yd&= zK&Z$^2n?|VcR{YIt`$=t^eom1HA3h)LJ1$C=g3S1gyKFzF`!O+{#RYAM1j!r*!cAj zN?%!OLEa|cdZ<;~?cEcnfIaNhbzd86TB?WNv*NKV1dw)2rc}qp} zt--y+EGrh{yg;Km%39PYY|_5jRIZ z;9AFdP9&5G??e2aJ=gsV6JWK)e(J+{2sbG!@f%z$xB?0q^FqmUhFu|XY zhUd;SJS)RsPQWk`J3hlG@wsV_3Tu8jZjRY8Ed-@qX#xg^Iq3p6Qw8(t5SX6_hiNYj zgE2NGN9Hm-o!(eVu!F)Uf<`G!o zahQ=wVKARk!F)CZW~(6SN~^5dGpevwddeofK*sDJ?gQCeZWzols28WTh; zo+pMiC$GsPvzWzf<%Fl6zpmb4a4nk1(9$ z5&t6n?gu6N`Te>fs3695;fK1SQ4{_}8r6f6dR`;v9WrQ9A4=#vN&JWwln~6+#kq>gutcv4Ycvff4m9=u%E$CWKP@b5P!)WMZe`QT^Y1?xpK+Q1P zH-v#V6hvvszX(w{HyU|F;XIE_t1jpEx4aY``L4SBNKd}V1rV!?CNep8H*5}YN;;^m zP6J0y$)TqDISI}Vl3)XB4^)!}9|A+o0m_g%sZdp!5LI;u!u#S~P=_W}=0YU!WW|FY@r$>Y5>` zsF?f*0*C_z_>TOGfIkRI4np8lr&I81-f@ly@XY}Pe1dN2%)bcu7Esbcju&4e0@Q~q zxk4@yK+R#wni2~x<1Gai$MG+MMFo^psIXAqkO*QiT7!k0i(RpoM@rGbfWg56yW{y6 z!R`qk|VrUR9$q}B;una?yij*lSJtrAc})s zE5M+Yz^*U%^O0|J&fFI9f2FL2JQKmZZqw92`Ez+b)n z_j}5vf1t>l{(KqeD7xg)1RY72ouH&spvxJ4mrVrz;$lzvOjs(sQ;zc4{uu(OjH}@^ z1cG;ikN#OFX)^ql&&5m6NgAbJK0j5yz^7?bmy&$>=`4n|kbjY3b%ByD-mrvLnKr>AfN`MryCy8V7o#Ik&bAvCTc!1C2d6h%kXqWg4+ZjA0DThB_gFF*IxH49$`{wxCXeB3C2oP}v!(c?m0xIt7wCd}>4VSa2fz zN0$Z^v<5_YmERTeV7X-0AbhsVH8xMwn(mBQfvpj~p}pVR(E6tg*07+%q;#3WL|To( zQLF3}eCj76DsFQU$9>`a=T$&)8|KCc&C}Zu5iG7;LL*^r^a5SlOX%53{zddGx5RsU ztANdcP9F0r$JKX+I z+F!I-&%a2Elc3}zzXd@^dr@Nwsj3O`$G8uG-UbLvs`(ee8DL0;FAY=fZ`?ghZs`U(C;S`2}bA$|*t>}eWW zAcx0kX_#(zF&zr9hl+kT@h{Tvf1u<)e!p&uVNg0uw0Metkrt;w$tivdjOppwVR||~ zIuSJGsXF+i!U@N$Gca4JTAM6-rL^ zso>IW1eA^tEuQ6Hq{V4aa+==))9nmf?Y1DP2>|aQN~6P%(1&XL32Jv+$tle9tgMKC-AO3v^xbX%MWrDuv3FY+(a;w&gR%Wr|lbfzY+43gS2(=ffj?e}be zeYWWLCH_VF9SJ2P{eImR=RoN>qQxuxi?kR8C8PWn7}K*vI)2ra3#kdt3eGQ{cSz9m zT!4M9==U}LMfyDtO3riom1#sf4*;&!#YQo*hRh$4gkvo^4S&1 z=!JmOg#zDg{EOhreFGO|!grMJYB9lgRCc@{g^!EGeCpGp75^fA&w!E{e&0SFB+PMm{gN=Rgmza7nBVX(0&^ym%p@>#9SQRCL8qb; z`uI?4%>oFs1oZFt7lA$-N@f%2IS#udOeb|-(A9nww7W{c{GNXim{&u|)ecOqbwj8y zAym+=fp*sjm_PC_0&@`0$NwXldzpr2e$FiGvQVj=2mQJI;&TAql(L+hX+bGn2<;XM znE&uE0&@|REb?K7Nz@dLl|yAjmp+Tj2zkB2nxff71>qj9S?ys45G!Je#*ZC@+ergg za%xJbK3NQuTg<6i+u&b>s@Frw^_cI-ikKQ|Mce=_ZV=Ec{zafIfs!RYw2W57w13-* zn3nZ=JS}vZ-UtJ@Q4B7Zf04m0g_5OdgZnqEh%2(j`ifAjZvxD25?JT+FM{>WP;xWE zI^Bwx9t!goXm^W%S;)T#%v+)4Rs@q+5ir?N8oY3OVn){X#Eejhz6}t#O(0jyzX)=- zL&@zva$)wwl{ziz+Y?uY+7ovG{5wS7QT|2xz7tCB^!pB3NoR)Y(z~D?xQ=0l#`zb4 zc{h~YO<=0+iCLl4S_Tl73FtQeBG8vZ$#MccXiv-z#rPg*caMNs!oLX26;QImfvK=3 zt_l^omC$abfLY4F2+Vt-TA_e073TA0^_!h8VQJs@D# z=3fNngHZAyf*Be#P@CVEk+(LePkxTr-}(!qh@GKO;0`j+FIAgdn{h%9YB%u@n47s< z_lE$bhXlG!`4>TV6_l*<(REv_hSJrdMKk_ITC9PRHC_wp@~wo@O3~sV{zY0m3?&cC z79^T#o+iqU9{JAGxIB@YZJwCfKJ^?|(^|k_t-!7Y|039}gOYU)cHD6#mMr0)s)8S? zM57A+MH)Qxg5RT1@~AgNu_*{nxP_tW@iA!kn1FdC z{~|CShmyyAm@XDiK=T5N=pja~~WZJVHUlW5U~e~}hXLdlbU3ry7OH5m-K z(I;r~6tsUzz&?h55!g>d$UwGy)96(#Y0b?AHD>oFNqdi`4?&NGL*dRwUC1J3Y5MgT6E)Iq{XXH z@~Yngli-F7J50fl*P#7t0`>{~i@<&zN?upN&dSoiA$XQ7`rHa|w~9V{@-Nco8&L9w z*Qcb}n^5|uXwjR0krr=3$y;6vNwaNGx=pm`%fCpAx1r>1zXhh*5>1pOl7%Js5`^@( zYzMg8MW6ln7wPjID0#>0Q;v)KmfsaEPU2sr#d}clp4UQ->wPGFU$i)xe~}g+K*fVN0`7KOaI*9||l6@h^hKM^N&S!~*;&g6BJ+bcbj$gnyA1A4AEJz}}6M^r4_!q(VQz-dV;_HoYCzS3KEl%NIq{U}Y@|kQw zN0>COQmUW85R=9%WmT$-m)aL9Ya%&b8q{gA8Gf3YU4Zm1F`!fV7a7p!Q1ZDLkee5& zHpIBnyhzr~_+lA9Sc%Q{fqwx2z7W9A;$H;#mr(L00S>oK1Hf+y0vA&D6}0?HKs}Ft z5vX57$=4naz*LYan!bS_z7dT^^DolqTPXRKpmI?fDkMb{R|e%hec;~#fbRtGi}@D; zz8gw*6X0--H89TGum(+CSy}jNRqe}i?=VWZ#ht2s`G(rlEMtX{13!r9(W;`pgVq?| z z-5(iI=k7Xd>pT(}QMY5=esu>#M${WtZ*;v2BO~fhu0OB-b&(MbavPL2s15(^-{6b} zXTyK*Xt1Wi+Q^88^&1}2@NoF=3k~0G_(5buqYaH-Z1i$uMC1D!Kic?-$cQE{HhHhf zhmjHcpSAxb`%jFFXxgjkDNRR2MjX)YfIbKGi;QSCtl9r*?>qpaIJ!7Kvoy~IJPz&< zu$S1yh8?>iC{gS+o;~*7TQnkK?_IHA!7hpw?1+L`FwL$pYK$?7iAnS`#_#{Y({tI2 zlBl%#zWATn-_E_+oq6xg?%m$erx5xnoTac^;T$M;6^<@^QZ^RJQ>1i}awtz1xmx6g zY%E%_sBh7RD8DQEd(p?TvDmm`vx?1?jm5JT_b8qVWtHL$i#I}fs`#biIF1rcN^~xP z<1BHb#KRJgWMj!5B}bMVgYr+$ES|D#^qlXx&NB? zgKR8qU0Pon=UaMF>9Eq9QJyIMb?Hm8u}q^f#xk8zZYguH%n_7dmbp{ro@^}3$~u*G zL3y|AW4UbQ@|4Rj8@+mXjr2mlUT3_ndtqL@{wQx(KC5gjzq0&}@)*DJe^qd( zpp}hP^HwcW)eGgis(Y&LmyO=-z599(Ksnocwf9<-SG*s1{~{Z!Sy$6n!*NwBUd_8& z4cS<|MfL90d&sPJsU%xTRS@l=d z4@LP^{k!!sKE6eLtN2z!ImUN}FZ{zd#`hcF%d*k0kY7c=Dkul|P4EkpjSUAioY*i( zHU{hqhz)>W1l$bxHQ;yI*wnRY;iko8W3%XHUp2cZ8=Jc~FV?)IY;0YlbwKN8DCe|Z z(|Vn3>=4pndxxE}v168wZXMwt9ouy5)v+(i6CJUN=EOO&G0-Ehc%UcBj)4OMhoIaQ7##>d zon$vD`y>yPZ6@`agyWjDWzxY(@QWZ8R%lvL*|@CRvQf*%%ElF+t(d=J5z5CQ4k7TXkP;!aLg3dS9YO|& z43mv32d@lXIZZaM+P~`TssxljuI8()W#j5xtIMt~k8;fFIjiTRyt4Y?>PND1O{X=( z){K;mp)6D%3cm}T85$b89%XdsrBKA#+Rkf-uN{T*!8&Q3jciC&A?eL@RXSUzk4tqP;4*d=s&yFcOLUyc{jo~)o?%_F6 zRtRqxj@O583qKwngYsthAK_1Awad)=e1$Gxg*?#xH-I(v)+jbw{4SRb!>>0cV{n4UFOQ8JqnB6hN?XeBVqK+L#`PXr$I8o{Z=I2De6BADap*(itn-iC1V~lG|@fc5( zCt@zgTtoTzq{B)0)ybkKtDmfe^5n^@Cy}2|K0Bp5rI(GTYMg3*suju`r+z>6ST@F% zi}j5~-j3}SJ1Q3b8M`QUOYC-(kK#0O_OdbVqqr(@)lhDZI}(TSin|u~TihSA@${I} zb574kx$X4v(-`;DH&6d@8uJ+M9p5CrIm#XJC*tA%@weh1$3K;gXY^-^p22yY2|lyp z%qo-z&YU}g`91UCtaKLhdv?&-$!C!d&#pbY|7?_OJlFhO&vTf!b3x~poePnT=Ubic zbG|>yi1V@M<7H!loRB90^P5m3p?Lz%Ct-O)ctV71WL6#?%&Nmke)~B)z_=Zgm;|wN zs`;GRCv96LZQHrGdCmiyH)dYGI$H`} z{qjZjZ+_9swi=6VUpBE-HhH;+CV+-K5!AxQbCxA>9_*aP{ObvLIe$sr@SDsHmfwj* zJGm2#%Esr+?%f(Sd7|9&IqSeY-sSO{AM-mmYvo7YbR#2!mU{T_jx|%=k(q?vQ9jcns%CiM1N?<3cl}CGe5l#(%I`% zSLj2WpZPg{unu3JNz zYNplEH6P(LeUNV+h@Bc0|C^o^s9ciroz_0cvT@pN&pZya^DhWVt2Q8yp? zbaTLOGy1;iW%SKwAYC1_Q{o7#zPlp#w99&)KH#JGT#Wb+w^Vqyr88@go@T{-X42h( zzfFwzr?=TKpOJKV@c$-e8l}fsGM}k*djfA0Ljmb^cFboeU7tkCV`>g-nx1FPeCE>q ziM%<+Ca~t|eYVVJEJK{kKg=4g{peb+Fl(6+X3c!&GRz76!|c8ITr`li&M32IK7$$R zr05AkJZY)+>2}in7lq+xtZhb`Nb||ea3}UQVc9;T&8GQ`X2_F!%P{Sb5hvDsax?4+ zzD?M6%&4<#KEoOMB;P8G=d;ckd7@2|o%E=94c0ZIpRDPG=}FE^akA!5j{33gnPGm- z=Qz`x@avC;vt_JDW|?a9>CRLq{pQP*f~;3&@&a>D$aE+E58m+N4ZNwWPiFH5bC1ZB zC;ty#@#2laf~;R=^a^v&$g~6S58m-2XMDy6WLEDm_mE6I2>;+EFLFq8HYhWDiMgj_ z`hhUN;mpm3WOlzW_YE-^_zsuiMl~NBCR`-xn{B3Vsfhu3@l`*YM9)b4<$@PKUT}?# z5Z?0YdypoN5rbm(<`F!lf*`l(}1pf%*rx zQgN-OEKs=CKf9K>dx^n%gL~0n(T}0hlhJq^fd13d7ZPl8upr@RZ+0}3vn4&_HJSyB zL3_JFGYuR)D<%f*EuxeLEb-rqXtf{wB3ej7=A=monEgcRw+BZ|Qa~Qy|)FUZg?#;S5}~*w{J7;=iOH&Zxy^3V%$wKbrfb z7{GtwlQe*))c9gm&dp{ESNwObXzq?;5dWn+(jb~X=}o#~qy;-Sn=8EX-+QIGXNrOR z51vT_X?ph|$vf@$(G?}n%jOFQ{cjFx?xbQc|D%)AVA>^mWKFvyN5$J@NlU!6f-Mxj z`rm!k++W3jz6*ba4T)}!l2-i1!b#talbSoK7}R&C zVqo8)&no?P16wZq_TBoex$la>eaF75^xp&)BK-H=`>(kVivfNQKCJZP`)rl)j&n zcY87LAJFYpT;H2*5w8DXUEkdO#o&Kn_ou;6{DAW1z3VpN{~zA}&0|1JKq|z5>Qb;n zghJ|sf_XTINl2w|NWL`e6!DOH@n9YkVj@y8CRCORKNcZEBXvW=JUql?q;h!BWZ2SA zE-=r;zyBRzXTLV_qp_N7j|i014;1rY5fhRIf`ujox8W~8LT<_Si8x6cabg}TVp7sb zto*|Qb3g=6+6f%<;1Ls(hJr`2;P59bO2kdtiW^hxB>o1MjW;_aCMfMqkZF?W_rAmg zrLhD@lSBVZPaNY1B94e4N}EAs9!O%6(r6&jB$<7km6u@0L_DS4cruSEF;Qtarj&8D zmPL!WO51T|9$R9v(s*pqWSPFf@Zy(48nPG>Vrf6b%)?AfSULzZnlPKh$TI!%I)10e zIww0NqAh(yn|Z{ENlPaYN0VlDW5#@0oQSvd6L01*Cnhc(#hfDUY^_*s9P)whpXdaGY64Plos)S@`c0q(> z`VC3*uoM%Sj>D2B()1qbtG`|{gncQZGkr&=d4!6|Oy?1*9;E}>*CI;Of0UX>s+iCW z5UHxs%B|Q%5v>^`TFoO?Olk&+Sen$=|5Dn^zrA}|1a8I&T=U=+6Ptm8mnK$Dj8xNK zkW=}~#r#utRYY^fie^(pCw@CkQ-EC;6P)oT*fh!X?KUyN87ytn1j|s{pylr!)}Rq&baYx9@Ao?GjL4PM8E!S$&0_$ z?apqC0MFO~ZXV=fvNL#)|3jeP6@i}d1Km8>#e`>qV7D0Xx!64s@R=jv&4XS{dL{{a zi-EtC-4}tMc>>=Q{D~i@*haDkV)8TB z$b2clJPim3$b@MiDHY%+OPy+({+_%ydni&t=1c|V=|DI^CQS$A1c@K#zW5uRe(X1q z4>E5)FwY6X5i)U3NS+sd7kMFb=LPfJAe@%8(Q zCn8UXJVANFJXZ*(5V<1JA(CHLJQevulke(2Uy7 zlzD{Xh|H6sps{@|``E9gpJQe9xr4au5tltFO_ru4B%DVip%f({ax}%Amp{)YQjkbN zDNRAt-js%f1Bo=0;xt4KWcmeb#Z8%$NJt_f{hNejo|J?WiKO(el2W4MC1#+Suih;^!+K`jN_ec{7{^DBl|xM>3@mqjPP2z zp=&^IOlp0&t8gf>(7g+%e(6+_19uZnC7kMAI2GA==~%26_YjUH9P3><*6YqynCBGE zC7kPBI+w}8=o=rxxx{_oJHKhMva{c3m-H7Q@sLw)k-tR#de`~OJckJf6FKai=P+_G z>lb+}>3L0_h36HiOr)~+k;+WzEb;9xUWw-yjwT%KeL31|&V~!Lg2LH^v%ODeGdUdH za0+J=7i;h3V$IxvCAx5 z5s~Lao_k-pO=;JZ>x9#ZT=zk8UGjWaOyoO}@05AzeQuj_o^U*o^FCP4Q|7%9yoAVm zBJaJ=Jf~*cl>3D9iQM-=bDuK*&E}pW|B3vkmZRP`qa2v{@hqFbOA99yPWZkZ&XWCC zj#z}36^#?8YkzrxZ@9bdc2Ae&v|o^D4qIg=40cBdYaly3G-eDQYp-e(nE7Kd0pYG!dd^xS<=EjbBFa24l5isEjZ`)lco(sz7_da=^|-)`{l)gO4Ye2}a7Q=n;-3He2ePWlzu zCOkl7W|5iGQ${vFR#SEsPAsx>y3Edr&aBMPFMj#9Aa5#iv&hZn`7XWHP5D_kvdGWr zH$P+UlD)Zs9Q`6qkKxTlnigp~y`^U5@tRV#aAuLJ({-vQ$F_NON&O;A_vI}`mKIrB znf21+wkcB!hZdPS-DheFK*@9|U68jHNm?Z7^q7>@j@gu`g;R?}ogor6Ik^=j>lYW* zy?9%ZuSLFA%YEsUnR2#pY>~4wOwOiYG`q-dFOs%M+UYexTRLu2;ug*=5_g75+~n}) z7u`>I2a&%;{ z&Mp#rhED7h2^J3j;qW5IXZRdX0c&;<-$NvMk>oQ%5`UX> zFeQ57^diw`ibPLA@%lx5FOly>zJHrsnNix9a=vhUk@GW6&ZpqTMSjxXVywygh@>x) zenv^?Z+jl5#4ns*B>qg5_+Lx@b$LIL{6+GA+oYM1+L;yr5ddNV$aD(;1+w`&KWq2^ zu?~oJAS31Xl$?ucEf7H<)`CpA7AV()5I#t(2Vy-)Ne<0uZB1)}2n4YvWZE@>g8Jnr z1>Ss!SQNyfkkJx-O3#NDh6Z-{3ynNCA0`4q1Vl<5C?mFi85FE0A0dK51Vu)4@_%zq zuL9#7A0+}q1jfH{qKx{QSHTg+$B5t%!I4oN{okI~s{rxg<3xan0Qt9$l#yTeDo7^r z@ghh>kYr?M|1anEDp30I&qbh!K>07ul+j=NDp-7Ypa>QbEE(P5|Lgg^3YY*MBmzbR z%zt&L%<%eGLF2=NMbL<#$qY{aF3!b=lUv;eE3`uNFtElrE_IATQ7r& z`||lBm_#sTwg7n7=le3C@YR5YBA`S-y=w={jP_my)j7Uc1eFM?%oqgkLHi^LdFoQ0fTZ}XLfO+t35y2;%LL`O{>jSR>a5dj10`S8Q zzHoLi-mkBM1^EsUgu*FAVn`hypw;j>lSL2;2TUCY6yr{R*2#V!{S_Nlkna?Wq3}Zy z6RGC|rUg+1qWD{bnfh-HrgjptqD4^?khm_+<`H6DOud|#+O8n_PaAXp>aEkle2)mo zv@t0nI5PJk(bH-vw@O?)=kfz$?G!GOs;(*0I3G_@ipSd#Cj_7xH6B6?X=?)rZrUrY8uU_B3RN+u%zZ(n6Ik^ zd9+wpgF`yrA;S3pt!Z2!{fw~ zD^mTNQoY!pc6@}E-3BjyGB92QZ`zp{5hP+R-o^(^*Uch$)5$f{+f1rxlR1Ll?E3*{ z#p0Ve=3K;f#+ql-0xSYpEWqN8t90lC<{uh0;^)OWoU!t=SZ_1We45r`5ya^*4~sy} zJc0U8b8WsJcjp(xdMw=HpWH?4OWXco{t45UBACEj1wC^LP1zH4jI#13b*wTKmeTX@F{T;E3Vx1Ol@gdw!^zr`v z%>3Q4%ObGf|9}gp7GwOOe86-eE&^Lzh>Lg1GLR3Ly=!(=EZSm0`A`dr=;y=unrYz{ z!JUDUwg{dN6Fg~soXy`&8^N!O^;@__T6bA-d@1))(;6-UT&&^Z<~sxWfcZLJklz&R zc*=96SXacDr3W7{t>q%fGhiN14-P1f@%<-C#g7TD;kU$+{{BZ@c(xeh)bat-vMvH$ zEbHPHJp=o|>$mE+#kwxmoYY!#M86-#_e^WM2=)w|*F`XWm|#llA?p~YrP2i zOpxc(gF}jAeE*5le7*PO_r-eu{)b$+wHV{H;sdniC;ffE!u){CIe zUG$6K7Z?5F4Zcj~1BuV=ynM6oXR-c&n4BxtHZjg=$p=i=03rcovizTxoKPG~>Lo?I z2^f&{q2E(h%OeM4a$o5(V=OB(FbylnWL6qw9X5hBK=}z9$-1H(&PK5@C}*+JY%$8s z*gA>wD>hEDW*SL@Qj0P_%AzQ}QPx4(66Gf-2cR5|aw^I>DA%IgjPels%qlC>Smk8n zt-7Ne$tGAupghR}t#dMsbtyK`UjNH*c2Ndrm<Y>(`ah5#hSq=N3bQDeJEqtQq5zO&)Bl8 z^_eDX3${FK5Xxz6Mb?Wb@3Ig%JJZNH*-E)R%3f@hybR?kwpu=m@>{mX-h*lEOR`Y= zb}0L?wf2!HW7#_Ur%dC(*m{R5C_iQ!9A=o!KVGnkbvG&5kos zu4Y>tF%FK;*w$<%nI>CVwk_Krl;hd!G=bzUx-_1RY*9Z+^*mprzijAEBPenR;hyON_g)8wekuH{(4 zuID(`AczrYY8iJuO~_{Z+!3Ju7Kse|wH+8qb-Wd0s&I4VOx7V46|~xK-(y z+`3FXZc}C+)0A1yZOfivnzA>!UAdi1Q|<)MQtlDcc-e7{7vjh3B+ptQmT4+n<#I)t zX)5O8_7z*B?9Cl2E$s(Rl9J#H)7n|n;X30d*02stM_4)7kKt+qnM`J4DMFlpS#zv;chj` zFinlR+@l8OrN(TYv&KH2yT&opzv6jn-e#Jbzwvx^9`O8i+cHgEBQH>Q4$8H>VBN1# z-sXks9p;67oSDWaJ1^qX5M>8m)MqZrb-b9*C6o_%@%nk0roJaHQGWu;AYQWm0hFh> zr*96X@y*Li`8G${nV0t6&&&8Z@UnhgcsW1h62D09<%cnAV8_chsE)D;uh3vR%9Xrg zgBvJ+;*}caW}1ctc;$vT?}mMNm4-V|?&eh+K4cnyYwqoj^YgFEtN9N?If+;QIFQ%) zI2`2_UZYWWUb9gQ%3pcS#(qrGxIM2Gu!?B{w(;7{G)&XXk=JQf4`pj!xA`olX}*Tn zYknT(cig9ij%ivH!1_qG_seOrX04C8(+?xFmXH)vUyXIg0zY+>CMu z|G4FKl)v&ut@1EUtFpXttBxoK^MF>XQAY44t!|RC=YOBm+nl{WhC#|1wP&70Poc0 z5XuDJxvL}7bj{1VbVUyA+LL$fx)tS4-mNR*xSPbgcPoXmHvhC6{Ic6b-lKa}rs>{@ z_v}6f3FPwj`2tJ?}&cD|md|>a= zOw+p#AJlss$~k;+Z}@NT3w%i5Dtu@^XFjA~HKyqoz=!plhBAZ??_ZW_`q$$l`lEgS zIecV)wC{h0j~b-oqX#$UqXxq#2QT7dhK%K7hmPW7hT{B&9^m7Kt>T{zU%|%>k7JtQ z*Z71HC-~o$4J{|o{zsPsY$jUS`vhna4bx=0pJ7+B8 zyJp-${XXA2^CPC2S&8qPIT&RS-#_yx%5(g{th_vG)4CB@kDi0PGUpUOG3S3MpYxcx$VYSA z@RM_=qMXHJ=i=Pv9^+@`X_;nTE`D}iBYtk)41RuIJWrT!&%c=8fPXW83coo26u-70 zC%?X+9lx<)DZjbkXa4QN+WgkSh5WmP_xbHbMfsgYWBJ`h3H;}^;yDe2+ju>2C zSn^&zTB^4EoK$^90jb7{;Zn^Nzeu%K_Lgd|3YY4xc`Vfng+GKgkbFW%pbV1guWc*& zt_@?FwOb{>wO^yWEj3uzN@}yJo{*FR;N z4USU4hWaSmN=-Ikyf&PXnug&zBdopDENqk1JnV_oVq?72a?>rT)#hDN>n#aVo2|b{ zZMW5xT5fAEwc8dZwcqxWWZdyY>KML8>a^2e>bz^4)Fq;|)OEL&)NOZw)O~k|^y!{P zQja~)rJj5Jr9S&cNPYLkNd5MQO8pPCkp>*tDh-URDGiE@k_JaPNkgLgNkgNKNy84h zN+S-{mPQ_mkwzWXOQR3>kj5T4B#k@jAboc92WkAVmC}S`kEG9!&z2@eJ4k`i9i>Up zhoqnr&eG%)-KF3Y2c#)6*`%p4SZ88_q-imj@0f$qjFX3@nWw^~S*N~{=EN44=ARxS zEr<`37M>X|Ek5rnEj_yDg^>5lRnJe-wcAG7+*z~`{0%pWyp zK9CJ%4N=qbCb-USfSLm@!y=gc(3cAe)n*mn9eGk}7utM&uz-EDcl%pBgY_K9Zs)5Y` zE1a`G*j%t;Iop8E11p+qHrRZy61hf!EdVQ?J3H7yFwfi)*dnl!xx0Za1}mMrG1wBY zQn`15Ed?u^dj;4surhhdfGr2}%98_Z1z5Q}SHVKSD&&a)TM1S^Z#>v4uu6INfUO3r znC~Fi8n7z)Hh_hKRnC76Y%Q2~{*z$qz^WD)0k$5jdV$Vh8^Ed+G=hbJ)hy@-wh^qx zM*(1)z-oU~1#B}|twJ;gTfpiTqA}PCR;O?dux(&Ig>AvMgVig15^M*UZ{cvTaIpGC z%7N_!YfvN?*e)=?A~(Py!2FBEg6#%tShOM79zwJ1(wdIYR>aT?R3V695f z932B|TY~23I9Qt!kHDhA+LyQnb^@$j$uVFtU>!*V<>SUgx)&nsYOz`B&G3w9Q)dnr$_b70*{{Q!0ztVgLYz!JbdElqxP z0jyVP@~bbvdY0Y{_9a-K(hI=80_$D+64=*Z{YoDP`v$CUna*Gr!3LD^2fGB;zswP^ z%V2}bYy!IiHn7Y+u&ZE0%3J`u1~#~?3)pqAVP*aXy8$+|>{GCtU?a-j2KyFlc)9#w zx4=e~a{&7eY@`>B)orjbUNlyBz(#vf?B4|&=S8vqJ=oatS;6jsjrV#4_5;{wcxTCgRS<#Sh+cXt@XiJxjBM``iut42DaX(8<-YsozF2aC$KP|EnqsZ4fQcc zZq8tvd@x6DE?^t$HwM##ZK+=w%mB8zekhnL*tYsJz_NpFtq*^8a|7E^AO7s-4z}I5 z8kh&zPT#^{Il#hwkx$)nf<^cupStA&+vR&1EH~I5-)OKrV7vXQfaL|-=T{IcAJ|^M zK(PE^2mJbh6#(1cFbJ$5SX9FSU>|`+1|WC36#_dHfZXX;80=uc?_fp1js#o>D++eF zX)&;3V8@#3!HR<&ZFUi?1Xy&lV_+r0jyEp}<_Q+lJUdt^uoJDDft3b3)w&v38L*SB z*MXGxBO6~WGQ>tIpTKYJy$td8E&}rd zyD>NttO3}q!Rx>pf_*!b`t=99J(T+W80@jd^V2zk=2GuYEf$dhhe!2TE19jq(Zv!DR5ZeV`}9RTYN_B?1U*r#BBPtL;Z-FnEu zoCp2Lin;Y<)i|5n3al5H)#R#Ry}_i(5nz45Y$h)T>kDQb>Ta)-19n+%p~Dp>wiyTPV`9&9F9q1EnSv%o%DJs)f~SdrBu zz~+DzUi}DcE?BYE-+;{nE4pSR*nF@OYd!&609HISJJ>=n&ozI6EdnbUx*lvXSn1HI zU`xPCgj+W~9^Sh;mJU?E@?*4_hK308jHaIjTimDaTb zTMbrmeIKwjU{%%!fQ5opUVjH{EtvQESg>_qRX3CcTMt%!gDcnuuxcCDfrWw9+%N@f zBUp{FF<_g(YKL_M+YDAKEDmf7SlzH~U|YfJY;*(L2Idp?3~W1Cy^W2)c7XYAEDIJ6 zR)6CIu$^EHHl7FD1?IQCIamak|Mp5?yTKZ64+7f*)@XY#u)Sa(Z>RIv2NtlM&SO7V z;~g~42f&(cr*V!1YqDcCSQJ?E9h1Ngf;9`z33dpqWjF^r4Avr?j_(Lq>u@^0qhPJV zW5AAqwGH0{b{wot_!F>bu=e3s!A^j++xZ+U2CT!*TVN-_KG{_o>=anXU3#!sFypQZ zU~yobckKZ?4b~}w<}x0v>n@thGhkgJXq?Z2b&sHNJ_ptmTkBiKE#@sV}GegOL{lH%h& z*yoWHA3uUkh@x?R02UZUR%Y+c!4@C=2}}Z8bnGyg z71+{apootY9mn$AigW zYofb?*@LZ)#__p3fUS+j@wq#Kg`Pk@aL)#|{si)YyB2KSi6Ag1u&@)oz;s|6PFx0a z2HSMv5SRu3+0@j)G+e+jSe2rLI!_{ke!Il&@M9tX<>w(FE0EH~JmlTX0%fbBlj3M?~^psU`Jx-ffWTi9A^(! z4D49!FJQ&Nj>c63D*+ZAmk+EY*zq{{y}Kt^OdR~)y%gAqxIe&3gPn@I1Xc#@h1*=e;TpsULNf9Y2+OD3SehXBj>nR1UnPo9IO)9`S?m;mBG%% zWBqln0(K!D>#uuNu!Q)hVBTO~#$N}k2KL1n@{Q_XU!Ni0r~&rXnN?sl!7iQ&1gi!1 z%^Bn?_u62W&mdp9*8#h97WvA(F4)yG$XD+5z^)vTQwj}y|nb|T99ZK(eu{=qw&PSNjJhj3ig~T0PiRaM&m)%AQ0wRubP{Xo$llg+M?I7sowU%=Gs_)4 zd)ZMQ%N_Mnc63_3BYP){9bwmtIkGQpxuZVHj^ZtJRMc`uMNJ(!T(R6yKSf78R=p#K zLoYkJZ0>cKBka=3m&xlKezM%r0A)w#EOd0&az}SxcJ#O9js__^I&Yz)$Cf*KZ0g7{ zo8^v%C_73}@5nKW#g4G+#n3sHvfR-yWk+9F=*Z1-M{X}Ws%^QW5z3CfvCvUv%NA*qpU%0QaL zOv)28sYa41?<8hXrv!_Z$0;obr^vGI6I}-j$2?wX`FP4K_q1sFbEW0zlvy5R(Q=^D za#E&GKF*XoUK{7cyrCOm(Q=T|a#Cu4Ys(WYS`JoPPRiwPZ8_MYWqfA)`bwUZyx-dL zc8iv$D=lA3*$nQpXnCg6^7WKiK5EhOY^CKJDYG1B(K0?ietn#8rp)qJ7A?;^k7_Lgrtiv|J-)maAB_yjE$sX38x4S+u-fX}NZaEbFZ-j&qpO z@}!hmcCcu9lhSff$}DfPI2v1&mP1o!`G7^s+mx2qr_6G!#nITIw7fNCmcOuQd8g9y zwv<`EWzlkk(sFo;EE}9HTHd3yoOC~weC?)t@7Jzm60aByxhz`Vr?i}O1N7FG^INoh zKxsMYj_0i{7q)0QN@+Ri7U!)km#}F0kkWF}J> zv|J%&mM!0RKTuk(m@>7E2qrz&lWBJrnFo&WtN{9E$i$k-LUO}G6%|BD08FCi!vX|0x0oqWmbq4VMWoh zILpFHpaVRQiSLYXhVM48vM9Y0`*C6wSS3~&-z>?4Z3$&wlrr{b#Xorvv-ilyn9B|J z2S3I*kJOZ7Sv2`Hg)~JpMK#4VCGfAv3)VV0lfRX}Gqpnvua$q1f0G}*WRH?wYp+S( z&fY<#U4E5zg;d&=Q)yQ*c{_*e$=f;HQfc>4rQPqz+d1;&?Hp}X+UZo<8C2SpRcTj2 zrCmLhc77`Dnya*Ht!QVP?W=4T@$XXN{FY<1eOs}8PqF<#vHei7{kvlOiP?4*9;wZ$ zl}$c@8vF#zpu%#fuzV`4u=b^YqLyUOQ-yh{uqrC7nF{Nq(r-VNcH>moOcfTQVlPsK zol(A4lg}y6Dc&@Gs3pdz)0Zmjy3>u9?QXni_nQiP=JfZ=c7G?e(^;voEGo=Fh3Qn7 zYw~_|IaF9)mDd(gY3HfZu7XOtsw(YjskHM^VU1N-OBL2Bd7SCGDdG%yRM$tP-2jz# zLzV6D+R-X(g37T3skED>V79ttx)9y6moZPrwn@d-PQ~kx&vkoLjyF=J-C>n>(JJju zskA$z(k?-z-9?plS5?}ntV_B(D(&v8*!x+f-6NHDkCV4^UYWd|bErzYD3x|cRNBR; zw2M==o2_DRnF`yWWSV^X zt;u6Xe^7;;RPnWV6(2gUoDcNzwMx6oD(!Bn^l?Y!wLhr5R^=MUASJhFuv207D$GfR z8I;GO$!Acx#xdkoY4?!|E2hFqsW2}UR#}BrS2Fn*L+#{aWvH)ghx71PVNH|__FAae zYpc?(gG#$DD(ya1X{T}>Wl*_}GN@cf8Ahq}F;1nAi7M?TtF)W0Y=^O$qhuJD1&Y_= z8qBcd^=mLgh|25MC|-waHNysFJFqQEhCX+wymqfjyGWIGM^xHHtF((%w!^WURbgMK zuw>V7c--LER`{!nT6SMqkQEO|Ru8n!QRSGoCGR794i%PH$#`UTmHYDSpDNcewCkUIUCchzoO$TkBfQhq8t-ELX0Ne# zu+MK_$iAF?MThGSw;Uci{O-sdZ5(xu2FJ3F6&&k1`Z+dtY>jtLZfCof?LoGO*?!OV z1n+AYv^li-w1u^vS}$!CZ8L2rZ9nZe?M!WmHd1>A_w8RgU3dD;>6y+-mqq8G)9GAw zIdpk-MRcCJ3c9MgS~?$HV_i#KCtWvPAKd`mP~B+V1YMAB8t&FM>2~V&=puE8b238{^;*4)K9@e9{v&-+ zeMx;8eR+LleKmbOy}!PxzO}xCzN@~Met>?Meyl!FKUF_lzf8YDzg2%we^MW>Kd=8< ze_4N1e@Fj={+U5C*ct2%P6mS^has=wBSSGmDT9}xvZ1=6wxPbk-_XR+!qC>x!O+F< zsiBWyfMKX%lwq7=kKx%T7CdYi*Cc{|s~>N;?TP}?=!VLff)$u91&g*JE4CLYJ)4!ddd zGB)WMD(-MlKE>(lCEVc~?9g7M9r!?tYcEl|Q4SK<<7qkWFpX+>x{cev4h}%mrFJGb^cs_#5C$WRR%EaZbvBSv; zhnpR5gLCa)4%xW&Z!qmsFjss8!L|RR8b0RW+CQm=kAJwlz;+&&*U5{yqa%zO@JJPR zw1ZLl0tt7NVZhOocHoI9?wFl+;0qtzu{iChMLY076L+jcJMaY!?pU361kesVkHqEm zFz?uq_TpO=-0@@D+m`m?nIi7kjy5~cCLRRhjy5@iS`jIc?(U816U; zoAL%a++bpOu7x|!p(gWbvnOpXq0QyANh9PKN;N$3!5ufzj;*wb$27QO1a0o6%_-QF z!{|ukXcG@aaK{Af&34GX3U~Yxl8uu%k~AZkLb8}-9Yo%U1IiYL*Jj&DvV~+j$xh5= zw%sKANFpKHM%ZD%qY+%|k415Y+^Esc;iaWpp4W;c4-AZjv_n&3eK zt{qD3b7E77;Sm5XZzfZdXvbXIv4nQu6MU{+K=#(qCO&rO+C5am$K+hQlXe`YO?SJ4x9ARD%ako&F^UwpNDeo zJ=**crd;tMCYQI8y$9N#xb~s;SFU|Twa4U%__&a3pHK}S?{OziufRuJ+{qSAoHVop zpGR>gd(`OjBkrW5INFAe=nE;_$r+nY28V{+DL+JBh>qkabS~4m>BueQXl&YN!w&o0 z#PIzJZm)%7+T&|;+&%~GEk!%<^$2cXgm&O-Zrr{ESy_bnwXckswy#G9e5f71?7-~< z=w&Tv6W>ta_AP0%4Q=9U1>C+ZZGJ+V_$~mq??9$jlBrI_XvFP1Q~d49|f?N9^B>*#fX)p zO+0PQ9m>*X4?32C)UF#z1KQ*?l6df)JJ?YT532L5-`H6(p3C+r@Si%Bwr9KZ-+0}RwSuJQkkR*NmUYWl4>N?$zBbTnk2PIYLnC5Mm`V{S|mK+=SyDM>Rj)tqWANLrG#B56(1hNLZoRVS%Qo9#&2 z)5|`gS_eqhv$pdXH_~QDl5QlONIH{rA?Zreo#a!J9wa?UdXe-ddwody(q=!B{v-oP z29gY-y@RPXgk&hmFp}XUBS=P4lTlO~O)`dLEXg?9@fpc@+MGc0Ic-j)S|AP6B&r3G zOeP5?nL<0Jl1w9+PBMdJCdn+4*(7tQ@m!L5B=boYkSruwM6#G<2{m3yvW#Ro$qJGX zl9eQ@NLEwhH6)=VYf09TtS8w(5=OESVmpoIcN3k=W@1~&z*eelBiT-}gCv|}C&?}n zPLBCEIc5ZH?k3p-VYR5XmuhuLYSX4G)qbZ}tR{IvvWld?W*uXVG{YDhM)E%h&q89S z`JAyy#6BSzN^*o`I!PyzZX`!ZhLfqEs5XjfeW*5?WCCq|O0~XJ>q@mQB!fu0lk6rL ziM?DyO?Ht)k?bVtKzsXOmd851ifM}$rzF*#5zN`M76Ud<4DHS z-mxS`+H6X-&qz+uXhf65lFTIOMLVujtp~{s+8jW&-XsHQ^AOd(Cb>l7N9<#gh9v$F z+Z6~f+ts#fnC)DuEg?B=8_(DuG|rDn4%2Iolgyx(1(B>HIY?|F$u!zrPqK+*14$Uk zW|GC!WC+!^l58OfC0R;4R*-~{Y$vvaYTKx`jB4RjTT8N%WDUu3l8q!gNP?-|B9h4@ zK44jX%JMTr)08pqEcYRDF~$~9Su<|HjhTGHNDRBKJOHdJd% z(vGA($tNToNQ@*Msa+?M&Lmxkbja*7(qlEjgmCW$9GLvohn z9LafVoIrAco*A{MwaqJle;=t!K1IZ(}>M5fKGB-v>*Y)Na~XKku)M{ zLeiY16-ir?Pe?jKq-<2{Leib2CrKZY{v?A)hLVgT8A~!ABDqj)A_;yMn^{dEnNBi` zWG=}9lEoy;NJ1czE7d|t){|@`*+R0NWGBgP5;`NRNRmSkDF@Y#lf;n3lEjmoBe_8G z4asGa>m=Voq})`yP4Yd-eUhI@9+EsF`IF>-B+nq$3?dbvniYvHiH3wm*P6!4T1TQM z$xh-yk_#dgqFP>(L<5PNMQO7HNokUDBo#<1lXyd<#3nRv*0o9Mk@%7{B%v9!rWv%R z8MLMuw5A!9JgG+0Xx)jVD@jk1J|r}G)--w6G zl6fQxNtTc-Cs_%Ryr{N@WF1Ku$!3ymB;h0xBzs8?kR(oCMXJ%{S)U>~Lqbz#{SC=g zk{cwqNbZo_gGg1VMzdv2vt|7U$y1WQAvRVdSx6j6v?R_DsT$P`ByJ?RNb-{uCMiZz ziiA$ahGxMgaTaP)jb_1yqQ}OM#Gi!5-iF5BrVUAZ5*l%v?hvUC)q0Z*Afdswp~1HK zjO23?8e$t7Vw+hc^C6NC)o65WLP$bMXgF=QlI$d*L9~e?IZP4_krIz8mNw6lB#?Yf za)sn335}l(Il9d+B)>zX#Pj$cZ9a$CT9eq3WF^T)Lg8%dO5#pJfx~IorN*?GkE9?; zQ4(@JTk;`W8bn)~Ut5|jTN-;?8cC@s)yS1?eM!ibZ5xp^BWX!O!)e=rgoe|W99U{W zwNFWUk@O{@fwiT9wH-k+nq(YAYEAM9NoSI7Bt1xIKBRsS{s+|tk_;gkPD0ZojU}P! zktUJQ^hh&EW<&TBsx2T{OtOq5gk&|zT9OSUn@DKNq#Y3cH`R8L>>=4tLNh4Q3`)mH zPLP};IZbk&#ERq#5}Hft63JDP8zi?#?vUIg`H|#j5?hjANfIadG1Z zE15(~k{BNvs%0n1NkUU=MXAb)rq+t4)~Xyy1(M1n-VoafwzzO@fVIbV7cS%NZ0+p0 zT~<3QZs%a<$nBg^v%PM6gV{c?{fXILqU-y6Bpd0PAMd&_+hexJne9GXnEKoHIk%JW W3O1M4vUw!F5RU?Q*4WC1vHt^;0e<}e literal 553064 zcmcG133yz|RqnmrQm-Y+E!&c1%bt-fZ{tPkmSoA2$DWof%d#bTBzd>xc1vo>ZA)sY z)w1jvk28S~AOr{`kOT->2oSbFAS59`2)w|{<0X(42s;T7LN>Ax!V>cSQ|B&qZ|D!GZZWY`Fs#+LG;4lhq-=dz};V@MJ$#oWwXZmQHWPVDjg>_WD*Qq1+3 zM&;!FQf}FN>S)MpdTut`+q*ryY9*i{RrvQTKG~Ug z+_m$8qJLEKxt-tpyep7Rn2tcW!ju4^j>(Qnz7z;Ym`@FnO$6NhLg9}p{0+dO8g!G^c=pm(Eqs*6pds^WqTn`#Pukc3|{$+)qa6N1I zy22k-_%|)StnYS-6ItJBH9zyEJ-5{SJKX$p3V&4LFDiWY=v-gRqqC!t9-aA?N9Xoo z&n@k-J-X~Kg>QRw0^AaNbP2eK?a?8yWO;N_6tO%y6|lq}T^1a%Ji64w9$o4QDV|_y zNqTzLU+WIArKI%q%&$}UOA3Fh!cR(1&-r&K{3V6IQ{gA2r|0~86#kOJe?s9WrKjio zhZX*k!cQsuBzt=5;K&h$zohWH6@Jp40V2m0{*uD)RrtxM;g2c&r0eM;7Zv`J!oRBUlhV_3``l3YOA3FI`7|>uC8ejAGegLlNf;;Y%n-3= z0LG!~=_B?GAaTfii9>q^kT_&dFZuQiAoDAHWd>MMW`Kw_1F${r%n)&A09gQgdRc%y z1IPjtzBL2zz+g`=^IJ23Y=}|GmkklIW&p|O8G!lL48Zy248VMA24MYi2H=6$=z54H zo*9_`xWea|f%(?VAocLfzG@6HU7hYEkmr{O%SYs!R-K(VZBg%EVx%+zBA6ObljG z;VTn^nQ|w9s5LP}Z^Hgs>A2I;Fzm4$y5x_!{E)RZpz&oE-3cICWSr1=H&fD~%kdt) ztKh2WF7>0&DEyS`(4!9(JwkKYG-s~)1k_AGF=&{T8RXL z{R5^M8q8*&0{=;sKj`v@RsLC*Kf(NleiM3ziJzXVgr0fkZ{8gS{~Ym~c0LCFb1ENe zFq>Z$lJ;=^ahJbcAkaCI!kvRZoE{OXq~#Vwf)lY_E0eVY&2iAGn9eqBta!R3kxa%ymEmn$?>CzjPv(w47&!NIWiY%ewv=t?xIJ4p z-MKdythiV;u`wGsIyrDytRC75{kzVjv)THJ8`0$G?Z&pdSL)6~UtPuJbW<`h+?(1K zZ8*9Iax+yEyP7JWn3=jbw72DIQ)#)oaqoev-81=KME-)><;$!4uyN#ve~A+ArsGL#be87w+_u7zS=o6 zF;?1?O?ND&xqY7Am}rRJ$<_s{q6cnOZ|$5(>~GkAC%dOFu(2|^bis_xR*Tt%%bkmZ zbq9B5n+{NY+LIS6E5p~e9X(|R`!dI;!@YgISHdkDDdt(RFSOKq=xXX_K6UWkLD+Zp zOsT%=dh7h))?=sVx3t}!4Q(1YytOhOzO(J<8R;js*EL1jW(FSaI(_&?>%IPm+g1-Z zbk59H56v!IZOx63h`m+U+oGGRaz~an%Gm7D1L;KWZ1savHP?G?&hBZxbZBe)?Ahw( zdt#!il&@=E6<0e-eX;3FRl!ij@#=})4NZM!_*}dxc(rZ%#Mtzu!j|^MT=l_KaVdNgUxh<)?vk%YiJ+!0!=D?|ENFH|gcUpEg97@OLXD>e3bLd9*%}ngzt@+ET z)QRfp&T80s(Y7-znt~WFCt?S?NZ;_oZS}L4Q}a|_;<{VjW3s&V<+F7UjtmdCPG32E zvT3rZt71zmJcwBKWH6cSY`KAPI5M|7*U&kKevKB~e%&-!*SIREoU6dywziwO(6M`h z`sHk+-7j03f;66kUDY>Q7Y;-l25T^G=c@ZJ1NW<&qYd|4(iOKSs;4j2Ty4X68SWb0 z5vbQ02MSwyQ2&W8yWd*Ul~n&wVO!759O{$2Y1OCcslaC0?#bNXnFkjShXNJv zeVztpRiC*r(bSLyK%*DV=Bjs8#-lY2mVql<(tT}(!H4mIs;%8Ouk9R7CjorfkzR#+ zYJ#E44OJEpo)t|yw|3=DgqjD2Ct8;i`~r5yQmcz*C<+ohVu&YPoqn(rNKMEU33^3%cg`8y*gR}Wrq zy_==_o^tipGyvG!JGeZBZvlGo+L0l22-!RAmS;w9&pzC?rTS{y%<$HOomBv)w0FSO zTc2$1thv#eyAzsTC4fX%U3;>@&K%n7)S(;6`4dM@HU%a(*Z@2qJd_=n-`;a`@S+%4 zkmXjjS@q1frla$NBhB}QuE0Uml~&17uzam6|D*))C%T9j!jPeP=dZKGe|m3~+RR#vNcaS4Q9f8=ky<;!OLNs_Pv&!r82g zGvTHW4Zu-V-g5Cd8lZt0I=KbyMmQw9k7(`QZrj~x+kHNG)E@ZKf!#dYP4(LDrl*)tD9Epj}S>Nh~od!Kg|EqOfI zRt$(O?RT%F9@GtAf0BUT;Q-&Pay(=I#rD}l7|>^rRGe z#03l6gJOUO8{2O7&op12-_mhAj}^(etF7}H8i-@AgV>#HUX=iR*jEn^hZW0%(BW+W z_+EQvTG`T6*_k_mnXBq*a-~nzGw$lyMS89t%MFH_x6DhA4Si^cM%x}VXa#lXp1Cj@ zbb5T`AOPRJoH_I0)WY>791+|9xSM};jr>PyZgef5eHfoT{21&UaP2fB}Ec6j2&;mwU_JXw|7^4)s5uM`OtKIwRB96mjCRT zP4`B~vA@X9kBLOv9;_es&;Xot2Vk<79M8n@5>_Ty2|S#_ilyx)^*;^d({6j1692t( zSaHzGVAsBy$GU*~L-vZ`=mAs68Lcg1%;=cFsNdt^)@!Yk1)llIvDxzG}~9Xb{{H_^31{h*{*JB~YaY)j!fCe+PU$^yXE@3imc?RL7S{)JjG(9%#D zkJsB1D*TOG|9i7zoAv=uA!p%oF78eYmygZ&jWkDk`}$&T|1WtaG&y1R^$9oqzLS2i zp?eiLqlqWfX#4AD1v`H3zvc1TlTAA(Bd#CdiA7Gx!xOYpqx7ho&VHHG;fK!cc`ytA z5ZdSJSL{zNU#Tl$=g?PY+xIZ!+7J6m|M?oj);yX~J|s*&^H+1bNp zHf`(5ot8n^XW`7Aww`-A;~ox5PyzUt??mTvaG_xbRP8sRXW!WL#v z(`e#iUw>so)5V(Yoil~XWJ0c3s^d#d+uG*OKHOG`&H24PEcNF`%}61HCHm!^O~K6# zm#VL}o(wkd-*jcNspV?-(h10=C)!F`DHq9Qy0M3yNG|91V2OQsXW+5K1MKlzm-@~$ zZ^0h=W;hT_2CjA$&OYqfatM2_a44IeZ|s^maYN9G=OpyK( zqWcH;rQPRr}%Q9GZWmOpcU7>+@9vk zRfA^YN^Rq5%z)42>kiH?U`2M~h)7_CR>I1xe#4EnnF*}G5MyWxrg_UiE4M>iyKY`N zj=i97tALft&1-=e$={?Is6Z>cs|P#hv4ViT(VEJN&~#l#SK?wg7(aU+$mkB;4h<#_ zU+=t|m$8RXW&F~?!Tn}vI+L2dG~dv3JJU@FIu@FVjRHY!GfQ>Nod>QS4bTdaS5R2d z?Kp-Q#nfu`pjmqbD-~*=6FY|n&fP#vVdqeNnpTRurOc0ywO^j65smiutVChwu&kFz z>-iNMv!o|BbQ)XG+tj||TE_~lxXh52AKs0a!XURJZ5eO1TuseVdmc99T0ZRG1pD_K zdaP&W#GXymPp}s&-)rV(Eq_}gusMoYh1GwD(;>o#lt0^DvC*uceqRo6xY51RH+HbT z$@>iZB!2Fmn`oVxxR}T$gVu;|I>34_A6q_wnE*jA(tGMqL(e3h>sc?az+^d}k1*oj~2DeFz-{_C_i8(~WVyk+zmMdBvI_J8k}HYNy~) zS6+H%;0<<^PMm3s6wt2YWA;u%r1gB@O^(}Lms%P+VYf*4Qax%9ZmDYQnLLMaCZD-p z77k>)p}*o12huN%VC8!QJ1$zmqMnCj`_R_(0d_3Om9rcZo|%AsyBfNlIZ-S96#G5t zKSWy3hhG7nW*=_ss+nMaa>$GzruAS?Vf)dWg)>8lfhGdGoOWUVa{{58LuSmIPwrT3 zyiN@aW5XJ_=mRB)v$;0WcjMQuDl|j zW$1+DlZ;0S!2pjM`K4Vq==e;p*Y*ZOiBCT4Z@UqZL`C5jhM$^H}H*mm&R*R@2%}K17kccWcyt1Da`IUhS;*xK6fWZXy?QFq#YyY z?@@Wu|6J~VmhB0XJ@6+Tz!g>^Y40<}znqs2?&vCw?{(nhfF}_t$gqh%kdUD5!mZYXdI-b7$YXszT- zuSf?soe5#0o=D-)1VFsjJ=vc+Sf34%W6&NJV1RfR5;<%ws;_ph@Wk3yIDz&xG0`G+ zX-#A_0k$p&`@M3bi2c=+EnqqqPn_{DV5U|Pt7{5A*>D;TeC7mg?Pvk;aN`!Uzk4Nf z1`9R0bjCubJl}k#ET5&%s>iG~u$_90;1FnQ+dWy2lO3fK2!SkY?V16Aw={X5BUsSM zg#AeEWaWbT6TFdbcKZz%UcT_ESx;g@N?Ugc9#zRs8!EB zb7)8DxR)#(SPT1SFV*192CrRRcQK)kaz0+*WiB>vNn7}m3&7(CPorOLd#s7Zv4@{A z(QagW=xm}s&3;g}r{jmLabo)+YaBJ%&lAVV?)I5r2iL=XcH}RXI$N%_JAUj=cjFd} zv!>v7yPt%~_1Ly=!=SmDC)l0^j2AkSn7)PuC_>$V19BnJb@$rUY53D)%L7MfW6||Y zx-zkoHdGTA=VoiLp|SbFVd*CecaF55#zxTA1HX1(E;tGZ9k*Nq-a|`E(%;_LbWhyq z0N(8d-Lf_DTMN4G2Q+SOoekh;ETf&d?AWGA7je29`llvXM?JOqb8Q|>UjJN$A{$p71Gft=;@b^b;9NW*B=j1%2E>z^1MsQTmhd;^AU+=-$ zqp?g2Mx6B#-i9XHCI@G*VC4RqL3y_aLsuAA93sYsi2Hluc;n^a#TaEc7bM@ffb6YzX3n31b)5c(~p=%}g@Y&gxt=+R%j>B$K zPp!c!Y#Q`SfG-qdq)UXl9kXN)(i z-GDEgZI4`Uv)hgEbczB>7!MLph3Yxrup2L#$c;ql#EnJ@WR=c#H>2KBt~cSHH-Ol< zM7h05ZcE$Z1Z`9>&shB;H{gN-Q=$9ZF1)Uyz!z^!MjqVdkmNStvWGS%2n-yPa&zzt zv~in~euw9o!Q-V%a(*7&76HjDL@+}N{xeBdzVfwL4)aP4FK(+0@4 zulqr#JPW1uL^>ktJG8xxHu&sM(p+w?b`x!Am(VUYp5=x%S0@GzF`k`g3ZT*W8Ja)< z5bq4|(VL(R7jN{p(AhZVgDdS#!J{7iw4q;O{A@fuO!8QtK%e8MF%A?zjSUs{4_sd> ze=o+jtX~87(-{QZu<@pG%HzcvU!-@~(z^wLgWkZ#CZ0F;)NG|d#xC9{hOF^r>2cOa zyWMfrVvP^E&I!aU`_*?8%mm}T`!-%mnjOYVJ?LkZ00%ZOE;hP$V?E}!m$Gg~ zK-XC}FQhB8);t>Ddu}56%+!U1Sz8qi#|IAPYo;0!iOt(9$A)_^gkLn2Hm9~C#Ggzb z*l~3J?3vi8HQ&|^Z||O5J{3S9vVHMRH?6C>m-}WmZEEs9BjoR_<5BKW>6iO<9IQ8G z01W$a%wwDBs02qJ*~Ed)L+Q}TYqb@p&TVh#KU+=n<4(-4*auYYni&XPA^$m=KO;`= zzna4OUe3=v&ab8Bq+S`Yl!2?I@#^dK^H zMx&p^%VzI=KC!XS+&S48oDRq{kVyDQa~Nke$zW5%h3c)e0SRo(_F4uFn^7x0MH9}Q z?MKP5rSygFr6w%&H|XBPLd4PDV^6pvb|H;Rk4f#X<=KeeztL2w4#z>-oWv zmaWp!-ondIrECZ(&2cdywryY zizBcopB=7@#8H6c6n>CR6Djf?+Kh?9v#?GUmP7Yt$Jz_(zV0C`kmS21{&x*{p@R*x z{_Nj+7lHx>^4$vky9d%?XUrXRCXC2hp+EJYbN(9MH3`vMi1M8g`En3WPS$*9fKD!g zdHGU}{~ZgS0Iy?0&#jYwts~GO7hqkB6ES(_jmVXt36&z&2vj8kNnbiIP`YM^M-E~E zQMu+t1>|aYcRafKWuy zdizm%hlC=Ja3H?+;|cu^-kQaREYRk&M^5aBF!rU65$JzP{ z{P9gVIlzR4w^r$$j`1TE`*4DSw~et--`>5Ld$^4QIyAvWO(SK0ub}_l;#__zU+OE& zFXrcR=N3!(!oqU1_pxs-ySyAWs_=1w_2t4wjcIJu2%E-cTXHcwbtgNUYwj%+=9U3u`o~ibJ>OS zg`qsY>X4la8{45umtq=^>lDBaMfh>k*g(Q6S7|T1)=rj7`RUTFu(8WDs=YkZ*nK;D zH`_9oU6{oeC+-&R)Aub}o-XF5b2H89VzIEAUS2Lx2OcpEp{9n7E__Nu$pS+bvh(?c z*}ek4%yF;OJfEE^7Mfv2>yX`J&Nn+aXUm8xfX>PlXR=fDS&cxxfG%|8dRM5i>2n(= z`=364+BD2T(}+nrGjwhsg@p5i!~J8ZvHY7EPG3d_ra43K9+^^Yeb3|k%HmwE6AD9l zD9-dziftqTnNn7>`4Xj6PR&o#-%v5PyeP9y7Z!4v#cZ*Z&(0AeE*WUoJFq|F)+|1bJNSE41IGXw~)D&&&>N+ za|L20=B8!2$WgkRo65|gG518?X_%~S&-H^+&onjW_r17H}ZNkIC+U1BSCHmAd?;z?v=bP zmdP!w+0u^9aT1-*ma;PU26~cOLB_r4-IEP`?V2-C0a21X6_eO7G`sB6H(ck z>@s4Kw)%u0?q=umXkQvU+?-T(b?|t(R7PBCvB!z@NQ|in0h-A=>hP&T7Sq`j{C8$L zzf4{M9jSU7nCJICeipnBST)O~LNUi<3Z3TTa30od^*eHi{Bj1Bn_b9FlR(6>dJ+9& zHG>>J)$&22jiJUFi-g1tGHs?%oI=@zM_3|RE;ej!r9AM3>d9n=8jWT3nZ#MS1bpOD z@##`ADQ%NvE0Nr|HW0Zq6`E}OvV}WYnllWzDwZdw(zx%rM}*8vG{adwN~`5Fmt@Hn zR#161T?)u&OM&AYSRWp3qq*s+;gtbrC)&dyEEAWX!BR}-TA9cA&a4(`LWC{-k$SXOc!DQWUP5GB2-TW*Fjq6KF#2&Xfs1zRU;Om*_QP#!_DA*JWA+tDMA zg@$yhBuSH$1LMv-h#)cGf-7+fy z^jl>?RE5WN?iW-W*b@M)GVQ zLiJ=l+{#Lp;xx^_-07GL-02vIQXeyN;Ik5zkqjG=ayiEB>uQw6M5QIvG?*SG?T9Ps z)c){LGTrSUo5N$9+m`b%M{QJU5YEA!MbDhhMk~IBJ4Lo2wTwhA&l(gZo)M&X5Q zESD&lTv3g_`Dr-s)|0s@=OHN{Y6$ug4SBf@Ms3EH7m-({5~fLxeHJLx zBgE+_SdJQ6pi4g`eH=8QKs2IUqwo{PD7j~=Ae!u*4&V${Z`dikXK=Mx&Q*Hb267bG ztWC}prtVAHeyzM#GV)eg+j=|aW}jBM=SRMH@9FcI^vRQBnbU*n)6hATC)38p(pNIp zcDb531E-NWJ$^a^9Gh1GVVgNVcohv9p+_d;z2|#X<@OURrzcf;73JGb<6U?HI?z&G(1>Smajv@LolW+Und5jYGeOMx_77_R%*DgQNx9m8ZPYA zaAB#23tKf@SgYZ}UQZo{)8l7p##HM-Jb!x<&)=TJ^S3AQ{Ow6Re|r+o-=4&Sebp!X z`i3*T>5;RU-of+Zm>!rR=^Cc5obS(E8azosDdtG~EeC zgU1P%$vQ?Zupuylb&T~744xaYO&U+19CK(noLP~y!!o|=9tMr%gqp)@IaSek=299qZM3uXrxn-dWF#)Pn5|E?tK|VVS;CUX&8rrz zc0tU_if(f&Xm?qymFVp>i^+Paj>6&$jbz5*YHcHBFTzl?RE?E`Sg0QLtHn7tGKAXN zBIA7{Co@B6e>Vd=HQSQ$^Xc>OR$O&kioCH^bGzAy!oeYzp1(bb=WkEq z`P-9tWZ%%a^vTTmbD0a{{h6nSE{wyL@X+{$6ucZ31E5^o*bf$N>_>^4YI-7!j`Wg7 zghjjz$IBCY9E&2JDCE<5#q%ac&Se;MDthQm!&+M6VQnU# zr8b!aSDd+YZtNtyhLp31hRnzALFPMu?tFTP95;H7R5;IeD^!p5W6^gWBi>pnMagVy z48<*)n<8dCaZ6Z7EByn|-;ZgimcEeACu7b02W@<4pR(4L=axPD6^{K;b+i0Tz|x5j=l?F}HrAYQ80E8`vYv-!k7DGv8zWc1_6q9ULoV7Z>Lc8EQtQ zU#NBvexLcfwT5B-9?nr`@(a_#e82f_()NE$W1CN$&hW-o7S5xkn#1P*1=fepoxCvA z-%O_=>*Pf#A2k0UYJR}{L*R<`VH|ymm>2X9y&9E#x>zdM;+`Yp5sQEE6 z>*H|p?#_$Ptj#}07tQ8M+~210l-fmB+n1|U+th~`VSd8=)0p`u=AU7{%q=YAlluUWA^Dkp)g}*Y5AX>mQ22@2{QKjbp70rKRev(?}Q&=IOEpHX3 z`?6EFau_N*{T)+=aEy;I%OLyKwaX`W=WBV0ZgE*&d&A~G>P9a-vmgW@B38^TW#%L1 z=e;%~gJlk=^3e}39+LrBI`)C|m%Y+RwxmIoOT*u*?Sn@vH>mR5G+SA=W@N;ADw)BK zI%58tR}J!6YCx5%!Q`P9ibpFqsPf#HR^sw_gd!T5Vk8joiX+_;2URYP!*jJTJX*Oy zmFGU{&5cJZH>i!&pFE^&&x`4I!$Ki5y^2sphGVucunO~v3OeM9SbzelQ3ITL1n_JN zfYb;82^(;b~;^lS@g0g^(0drEMjKuMYsd{9WaP#{txfDZPQ;P!n= z+xID7-_x^Q2W{V{e0@*Pwt%+pQ|L~QNp4S5lBN#6o=&+vO{7M^*V8Gtr->{VX!G?n zJzI4kQX}B&={C2giPQ+7J6-<|xL@)%LYv$3L~0bEd)*}n6kPWyaFNiaMM9eo3G{5& zQHz8&9}?)<7SJN0&4&bfwgt3EX!9X~o^1gw654!7pl4e^i-a~G66o0$&?2GThXi`I z1c)r}mv$c#=-C#~BB34KtHPh2Z2@i1x1&3&?dcrTIL=ohfd@V%ZtqiejhVjQZ+Cm2 zNR0rxSbFHG!u&j5M*`wr;w#g|L%S9a?LIuvvt3gy9@>3)pl4e^i-&e}dhIk`vnkE3 zWcZx?7G8vj1is&As*4j6(bU$5lXe#;M3xJ5_;5ncmZ?N)1bjH@aB)JUM!<)Y4i_gx zY6Q^nDzG|SOc1FN@L{6E#RQQW0d%vc1Q!7vS_E|X5J1m%D`^qX;X?pD+X7kybf7yu zCb>OLNt!zNdb-2yX(G!7j`(_-o-LDz)Cl-``iR@pL}~7S?yR-F8l47Pdz8TMdpq=qivS`uihKw- z;v#@ZjerjUM_dFDSuW7&LjXNn2q01;;6p&CivS`u0zL$Ex(FarBj7_or;7k0H3B{a zbh-#2QX}9)K&OiUA~ga&1a!IxAW|cM?o@|vr`yv+Y6N^e-Rbr;ks1MCPj|XKO=P)1 zm#?Sk+3IN`H3I0)C?ZG;8Jth&B7v`YtJLLoJdqlCU&nX39Z#f2z}N9zZpRa;5%6_< zm)r3~Y6Q@`P4=AX?p!mAh2;`nMzr3Aj07uuRdw;vrNu`VK(N6c@Vt!4EJcD*pBfi6 zB%m?Rhng-IHAHFz0FCg>+{*GTtYLx)UvVyky0j4LA_(CfznPV}Ir(Pkd?Z-s)8V3u za+h0h6wuMUQ^K#RK;Jg6KFV%^j!2C@KnbtUy6?T?#{~!}1@R*fbvzw)!AGP zO`0ed(BfA_jfzv-YEPUC`J#593$#uZ0JGh80;`jnm!Ts;9PoS9LdH=GTBjDU^2&hW z$bi<#06|_EFdP}sIvIe)D+7ij16n5oym)26aAZL1WB?hj3>c0KXq^mD_GLn8|5W{InJu;GhWDvuV(IX@2M+Pw* z89g$Req<2Ck84(gPnM+QYcz2zv#phR6c zeq>NI)G0@g3<`yM%TaJZiMn$9IH2&TQ;r@76b1E`qu_uNb>*O|D{%34DH430Us^!~ z#Y=V4ene21)Ui^J2nvjPtR#jlL$szGij8_@6hu&>PR5T2ijO+w=n+8?P;WU3A}CQ; zjvo;eAa%;oBZ5Mr-f|Q~P@=9JKO!hh>Xf5L1O-ODKYt+dCt6ocqzcE zNC5{$Q+0~`;GiI?W3V0^6f5s9~x7VZW&gRw%E|R6kZIXzCQJ$4dK=i19{$Q3_lrqN*#(4;KogIz{Q> zLUB=V>nq5hL|r+4WKgWsDMybCijI29A%-nOw5A*ika}elWKg0`#*YjNnmXm^kwIZn zZ#fDwC{b4qdfO|bK!L)jIvGC{D0=GHriTKBPQB$QIG{vbIer{aIMpdfj{}ODddpF8 zK#96?{5YUUs#A_02NXN?ltT<#hG>z2zu4phR6cejHGE)hS1h0}7ma%TaJZiMn$9IG|vvQ;r@76h8Hq zqu_uNHRVtQ)gwa;yBwl*GJYITRMnBu zt7D!X3KUcInx{a45_RSHp+Ip~ryM;LD6;A;M}Yz*>dNs$fugNWIeI8ieAQcy0tHIc zltUp_j|?&Fa){Q+_@O{?R!2q;1&XYC%Tb^}iMn#o)m|9|2NZeL$@p# z>McjX0VV3n@#BCZr%pL~98j#(TaJPQO4OC(#{q>*opSUz=sFrPKISh(@UMsS3z3SFUxNZ33W@48_`yR#QO8m} zcqsO1zwtFM-$ty!I}Bdi6*y6LUCDrp{GH`YF_+6srC8C6{fZQT>0_m-Rv*A5!>WR$ z%L`An0w1culHtf`!INtBfk!eN87+8Htv>Kbh9jc|PpZ`i9?5WIwBSj#`oJR@j*J#O zsa7Du+XgONWTLL!d~iv%x^R)SP6qw&Eyo2%s#Ob)R4cmOE92roCThy@M?6zX#8c8b z89xpv%4xToKH{08pr*$*CE_U)b>;YRphP@V`iN(Wf|}lPz;JBSlnsX^MiO%FEN!k%|xal_(I? zhfY)SjZvEasH)cQ;Ipe4DEqKq8Ng`wFG=aD2<*wte%EeBCaW-byoP1Nl{j(96d5A!0IhW@lcegE64AlD9Y-TqlYDhQe%9sJfB%v zj8uHuU#{Y@^l{e|g;~9}D&V6;jja?~mEiM!_yFGmz!`AG7kvtqplnJXluc3GRih9; z*TI2##aI0b6(H&(wkZnGdTOOaY-OUZRshX50O^$ zdeBmc*ISMPQhoe4Md4nri~>?h)YwKLUiR5IfUd0fDNusJDSa?FMe$x%fcFb38~q9t z5b7htDGCIy)%VglMk<^9S{1iQ;<|bPbe^_QV#g_>buxfaogOcd%Kd(Q3jXwgch<`iY~hb7pupjJ9i` z4@{>h4()cIRW|*;Tse}EnH)WODEfodDPlM>dMHvn+O2reDtz2uxPn1_1Up3mYp=ly z-Y8MmSU{3fal7nmemx4@^ik~;1+iT{77A|q^(YV|0i8VrK^m8Y|4FMYa(+DuEcMav z6a~9oJ(fMU{dyEsl7Pk@3VO$Fdy4t#NM*sNLsz@k5G!5J4F|1PK{Lhw)ZI|vMy;|(SCeV zG~Fp$k53Au%LoPgLu$M4_E%a#CuP@_tfDi!NsO>; zRDX1la$X9T&Hzg~b_SpEYf}6!iResHk((__XYd8T8U?xfGmaD;X(%cV>l>-p2^Tk36kcA?CwF+?cXCo;(3X!`x|A!FeM<1nD{F>iutm0`&TxTo*>XlIt zt3N$S(UFK(M!}~3yd*`(BUp;~=rsAD z*+%Cqj*J$XZT@2w$#7(VL0viIFqMs28=bQ_GFnu%`Hxj3!;t~PbmjO^)kfzmjtpp> z3}E54&BaU`9kJ+S01Fw5uzO%0pEl>uj7LHz{Q6uxwds#s+Wbc@lHu45Y-w!pAGx$C zM=p}q$@tt?n{wnLX`KvUAiuS0*Ofzlp8KXf}<5`_ymq_N*^1Lf;;GN!*BpzJp#|zm;FcdD9RUIxV@m zlsv(551!~lPxO7`zVr}=hx2tX`Auy4!0}Zd>s$MYW2aLX_JFt8b=8Q_D?_g$BYp^%p7?d) z3kh@^Nu8G8EJCkg(bpNnqpn`BJ>lXH&es>Bz}2Vyqmj=>w@qBw|wb7;uuqR?862sKFWOg zUGk0PSyAhsWmP|iT6@xG=~9M-p9O)pax?uRlo#(#(ms%`+X&fT4v#rZldsG!1^>3tMF;!TY$6Vzx?5b(p zaqH7Zd$t}&dzwCjK9<85<6WLC!^!c2Ph|}d#LuZQY~+m>wRrOlw~8$nr*PB8Qie(c z;^%Yu+1061aSlJ@o|CYb6Nk+#;R}ZHXRXATLsxIo)CvuFZ;b~0|6WHC`f%tY)Z!mS zzd9|&#ll*LqqMFZKe?$Xd@g4Szs*PcOw;HFh+QUz+b4KvBA9~VMk#oMLS{ehcHw&X z(4_e$2GjVqZ;>i@_-aaZ+CY;=-|7$0mazY<(O}=JhA6N4?ZJS7FdvU5i*urTFK+PovxqIii^;fLtlv- zq0m=_xyjrdH3Ffp0pt7j4+^v1c#59?6+_y-b^%`?fEkMSQ!Zo><`%Po1Q1u+Yzs8c zJ#!zQep*~9<>;P3D848{-wYcuBM>&FVmKY#(5O?)Db4tN>Q-|b6o)INVvDUR7U3|f zL`*2eH$C8Glrl^Ck_TZnn%ha8pr6t6ZI51M)vT<>H1?pX&E&-BFWotU*MT$5@~h_d zRT-J)+!ChieV*$vdiGy5jcZ=sw{Sg4+MBed**9shRTcXN(cb0`*^%KoVLryx7GXZl z)K=LiSB2SR?xZ%^F3eq=@|ZB2nQ9W|Zl-n#a}QIyg}Ilhy~5nbvipU(pLqv_`2_P0 z3G)E+T7-F!siZIuG1Vr_!%TGuvxTWnVYV`LRG3Mo0D%cGXJjxVOyO|miW)D*%!aT;*)53g`sWD+5XX?B#PjE?S%cq#S zEX*`hXuDpft_u?vK~uRmgn5#wo5Jj8YEqb|n8HVf2UzorFi$geOW^8FY4dG?>n|iV zC(N@brz_g{hYb^D0v>7v?plzE7CfnRX(JNz^QK+W`U`92y>CCcL{Tesdo#r$kcBLbD3q| zBg_)>-Yd)%rhZ45cbR&hFjtxSJz?Hs>ixpJ&(!Y=^BLCi0bxGNygv};1ExMC%;%W; zh%g^A^)X?-h$a3=n9noyC&GL&r~auhzm2Is7v{Hf>R$@;CCvM4VSWdrV7*iXB`8uX*h56%5Z4&0|nW_`!8<^T6%r`Q%RhVyL&5gqR38r=k z^UX{>F3g`~YNs%Nim7H{{xnm2g!vYx_6hT6n0i8(Kg-lXVg8)#kH}$R{yg(qh4~9y zZc3PMWnQ~5f03yp!u%zsx`g>Qrn-gs%S;^;=C3eyT$pcX>M3FVDpS3}dy*#@%Za>0X&+&r?@v zO53$gcKZ50XMSvrTy73+CFpvk7p$qBY2BJqCVx$vbw(khbAJ=tzHTa8i9wPN2H8WfKrk$iOJsYlP+_UKuy z7JC$1J04x@kw?$k$yuLxf#&V!%e($7nXR^cG|g7)K3Zn0eIG5e)xwXK*=plQ%WSps zqh+?*`Gq=~E{JJ;wBDnvkBDE&`iS_YtdEFa%KC`-rL2#LU&{K3_@%5?yXxE*--l*j zmd1_xxDMwObfv3tIE!wQ_Fb*^0vX-QyEx;T#yJuwuXQS)6IFD`> zW#^$Qhd7tElibVcIMZPb%+|QGjsb-E??Ys6k=Q_5^p(!t-ji_{jLMMl~LXi$m-VVO6eQu z>cAH)m~zsMf}Bw|eyoD&a=jNU*SUZ21=YEW+jUw{xvlU8i>A8?73{2oUdri(&N_Wf znQervGaRj(5ZOw7KAOk8^PRq%?R+*E^zCEk@>WFW-{swL?hLB$VyIJ5y=Ep04043% z;S5b|lUwAP?ctlk*0kQm-kOHJ&@AU%1Ib;qW>GFKwNAphG?LY5d(g_W{;Z^2G0Cd5 ze$jvP&$`a$NzM9#o2T;3 z>ujFNGq1CGD$i`Suhw}fSYVsi**ukNUT5=Eo_U?kQ+eifHcx71+7GO=dGcp{MEsK0 zN5n5>eMJ0H)x? zmuBZ#-=*2PXv&lmgwF3%CUX0HDJtjFt@C{OlohOQ3ZUH96{@UKH#f;l?#-&4QRjBc zE?niTy4G{=VdXr!m4xSdR?emi`PsL&uCK_s%yoU6)ah`o!rZBDEvwxdU}b;m+>+*^ zShh^p`QH0uIiGGOcCMA>EV>?7Zkt`ZCEQDB*S5)fH!bH&DQ_j|h z)PDOx^9qUkZi9U739qTp+bNY&99O~Gl8%KZ?~{#FY~gq*J9Vcy9Qh*7`<+)T*2_v4 zx<#O%lMh>BJPB+Dk&mgjr?5<{C~!)1$Ln;rTkpW@XEd9X_WCVc!j|!LrIY; z|1}!=#n|K+A6+B(cP3LBs!%@6x zQDezjJjxyoMx%jf1-?Im%3i|lzKiraA-yv&D59ZgIEGZbP_dDf;T5}EEsJQW>@2Ro zjmD@VniQ#6M5FO&Rp=>$|A&{N?8czJ3r7>^T3lFrDL-AhC88TcUyns=qnmJezgWOq zZm|xcBTE9JF5!nkvlA<)%tVXvxpd zuaxNhxt1|{=dnjbw??t?N6kk$KY?TeA4JMa;a<}5xTGPAPKY(ua) z*_@ruF5>bMdO_0ZLk$>}Yhs;JxTUN&EJgJ3XcOLmiSDckMezfeom#^@A{(N+gJ{e! z{e#}D<;3w^F`u2wKSLD`NAVGeo$htC);-BX+4;%o>~OA>#o#Lyiuc3O19)i<*PAIk z(|DuLU@oFhMbok9iD)n0y(+VN5Z;{PMPoRamjZNHeCa$ls?y_9DfJuViJ+xHUX@fVWTIFyv@P@Z&kdmW0j z%N;My5aRxD{K;5!oczgojG7CkadfVdvrz^gG}8L#u=t=3*#(PbHW&6YC#yo zOf3pygego=HHuPAgmKPzno7Paj4`I}31gf!J|m3tOg#|B1*RUZF`C`k z#dRPk|EO-{>+-swk;|0hSnOOhE|F#5CX6dgy+jyS*~XU&;~LxZUBbA|yq5`Mf_X0& z#tr6upD;2^y<(lVa7w(%d0#1vNv3{C7*kBWS{Tzzy;c}GrhZfyGfcfs7_&^hUKqES zdZV|aWg)`I8@H)aZx+TKHuk54F~_{O2xFc_e^wX^oci;^C@}A>!dT>dza)$$rhZu% zMb7tjVJvgLcL<}z)VqYS!l~~T#$D$9hA>u{dXF&faq4@8ai6K*5ymr2y-yg=GWC1H zc)$|x7shkU`+Z?NWa6ONUkT&8nfe=Hyo{+&3FCX1`a5B~oFzUjjPGSFpAp9Q zG4Hd&_0v5Vf-LdUlGPDnfhm8yo#xR5ylU(?7s@*hne^9!gw|F zz9Ed)F!fDgyjD^%Qy4$OR8SZ{%2cH=evGNGFkUCM#G=CZapuK^@p`7Jgz*MWtr5l> znMw%bO-yYR#!oP{Sr~6-s$LjB$y9?deu}AW!uV;XwhQAeOg$!ypJA#=7(dI@E@Au} zQ@e%n^Gxj(#xF3nUl?y?>VPnQk*Pz%_$8)Vgz+|}lEV09rrRKGBOgYyjt<2RWa6vlfv^{g;{ zi>YB@yqBqS!uV}2ZB!V)!_>Gi{ufghgz-MkcS#t(%hVNN{2o)+gz>+bnh?hOnaT*` z|1gym#_uyVC5->eR8ANlU}{zvA7m;oj6YyY?+D`$x!ieSe294kVSJeLEeYczOf3uJ zqnx@TjE^z3DvXaabzc~N#QB~T#ve2FoG|``sTT?36HL8W7=Oytw+rLXnEDQ3{5fm+ zPGS57^S)acf63JM2;;Aq`d(rDHRt<&Vf+nKKOl@xa_TFE@hPT$NEm<1sjn8s-!b)C zVf;N)KPrq*bH3LJ;~$v!dSQHqsW%GaADQ|IVSJXUpA^RDnEGj9e4eSF5yls|)qYMG zUu1p1AdG+F)L#_Fmzei9VSJf+zaosUF!ig#_$pKH6vjU@^=qba>CvYyb;;qI$h;H% z@gDMKUz0v824DPjrr?YJmCJ=M{x_}%eDS|C55D+6n1V0<2B*Ro|0nZsn`@|lVXEsM z*7XT{RUx;}eOYXbV;{se)iJ{1(`r5Thtb#{#6E=WfGy^%GM5W0#i?9=VW!YBF3F+% zLM|NpNW_SuxMTT+dUPT(ZW09gY1} z>~By7vUaLu8b_4%(Her@()#d`oaG)7`&8_2W6ZF4IyPP5qVFR|z!; z9JMQT%a@jW%C~sfwkplUi)-_Jv44pH>0e)C4c{(|Z}M3Bcl_>!sc#5`5@<;t`=&4h za?Hg|VFsBB3bTT#N?}$q6&7ZQsi-i+a$Lvb!i+GlN|;fpFJ2?enDj;QgfQbwZ4{>9 z)Xl=IVya%4)l4-Avxcc{!rZ_T_%TX?Df}3vmQ(R#l#NUwaFS4f%|C_|p??Z}30tA~ z9&d1Q3_r&!PQxud8TkiFMyzCm_ZMn%M8^-rj8yy}dfnY~oxfEqtjfJ`{BX=T7(W;@ zT4WYYh)DjL)gCI|ot%7fLRQXiZNoCYM8A!y$S=?zGmr1WT77N>>wuBMYI=FOFhxIx z`d}Qt4(W^|0I|U?$qCEFyHRatFxYGz9dM$jNc+(iE0GZMY^{T7YHcz^s9hJPI4gqYh7B0ea+ zZ2Sx&qRogluPisu;+u&u-i;Ej)UyCUcZN z9KXRKTsc#qoiGqxmDcnTzZuWQ;+goQFn`T_H;p=kPB*yOxI@D68BA3ia+E*K_h;zQ z`qi>rB7Q5LkC~s17NV>T+Y094ZWyC(8( z<(u&4;NKwSCOg@mu`wk$O7 z-*?sJ+0Kq!8Kvj43#vPNEdFY8XRm=(y(0c2(VvJJJK{g;FSX22#D=|g9q}_d{R}u} zugFovth}{vE#sg;e0k6!J`S41$AP&%4(#=DV6cw^i+voJ?Bl@ZNAfESZR08f^{p7m zfM=mNW*Ses%b5T10FwPJ;=dGs8%+fW2wmgh@OFF&1Lb9x$&7DpkzBF(JL2!eIxzk& ze*@9Cf`a$Rnd9HGj!7jEu;V7du4jUceQ%i#mPRK+2RhC(+9vOpa&%L?<>!(0iM9Q_ z;^?K_(0CgI)VLUZp1jNZG3%EF@OAOYa2y-0%`_RgF4LMe5hRX(ARhm}@ed;I$W{A8 zc(d4N5j5rcePsO_ppj5bpb`H9f$LS4ybuDyCvYwZ>py`5Txa+w*T&l|<3`aDpL3dPizL=$4v^YJtFa^nfG>tBGzq-zv zr>uuJ#6KtE9}B&K8sQ6QgfDIgy@6+TZ#7a_PQEYxIdLg`ywJ`Tn>D%FNaudI}) zL3~#ZC6W05xsbN-eP3*Z_Y|8jJ;7(LEM$xKX*azvD?}YR))7%(W-*3q#l2?wvL5{@ zo22O5V)f40q=`M%;kMd#ZnYg~qQ`2DFj`GCaI4|mTkNVeBAQmCp1a+Q-RgI68|^Ez z3B5|j03r0Jyp`cxd^UBtHXUZ0aJ(#9YmF$`^aR_~23C8m5i7TeE<9;m+qGchXuOQp z69IvM?#m@g1r}7%X6*V43-W!SQFk(w%lrTF+VY|hPm1Hzp9m9w50{?yHx*s1;)Nhx zp;Bu*F&QU2m7kky4vT*E^EYk+?Ht8GRGbp0G3Z0j$3UIIc><#r-%<0aM{iK402cJ8 zL&QGMpc^gAi7Y-@j1CgAActP`rI#&a=%Nym0A%P7aN(0cf{(-4p~)K(^zA-xI^81T z;~)=ZWwe!#X}aLUC&6t%LxTj%7G!iChfjiQPG8g`iL#p0eHlIpt~p%?L=vc#i}*aQ zS;|b~C>|f^p>?*ixxp()=;%cZe+PZUl3iSkiY0-K$&WLVurYZ(QwX;IAR`*V_E$0W zEG@#2`7Qj2J;^gJyya5ODZmSM@6-QyRVdYo*k=S_xg=h^W+QOl(I`e^(U{okxGdRN zwA8|P+zKltYOl2uEj`vBbn_Ok9@*%YmbH>BVFm}?;^XUB^yt1dU5#(af(mp)R ze}UEl=;l}8r4FYTRNJ1=Na^_!9#snD<7QZM0Ax?W66k%*hMfi)a z$1sh*bzY^*+4VpsA5%Km=%p7h4?tCEIuawNCcW#?oX&7fSL;e5uk6-@>3be zJ6c7cndR`&_{wFxm6j9YZ&>FiNfv#`vLI@G?fwk4`A;$eaQNVDlMbZ)G^b%H>`Oyy zI1y8fh7r6@h>`MnHDYSBL%Tzj9sMV<}g;;S*3@Xuv6 zK~K7)qd*RSwU}AW=A{E$ci+xSc{|c+ypyZ;Z#anNDg8gz)Qq+GyEr#qF!i-;*_1|i z_xSRlD}9`@iH>f@Sv%-s?QF@1m+QhfqXeE8=>{@yS#-?}r6Zd!0mBLjH1X4)vOz|7 z(oh2a_yo}hlwkcSYXSNm9i^8w1YIFR38(}8DQg6}5T?PwyzE?Sf};5xr^m7rsv2=x zE*($R4xA%1@9}jct$Ltks8o+zl4VTWD+ZiEv$j1rm1YWSa39?4+^m(ZxPn%?smj+# z<+Wo2==LgKR_;N%eTr0-wI^KzLkVT=NjJPu0=Fk!(&SZ4*R@c387AoZ6-wZO2_Zf~ z?m@cT$d|x9XhDN+BXZrUJs5KfqqLZKl)0RPTgJP&H}Sfque2k03xm@J@OA}LgJroo z@fHK8m95GSQe2 z22*&FVEl2;a03&L7wq=zXncf=&X%di2Kob>hVuk(V|8KU_(4vaRi_SF^s<~fKEwsz zF6%WOWn|muILmyQ_71#s!)c4^keDgprwf@Zode6deK%WxCGs0M_lgMYl@neS*3)ld z-hB}`$h>FqViWV8qsb2l=E)C4fHpV$1i~GJN{K009B5%pFTtxFtm&m9kYe6}xppB4xA|gQhA$}@@Jl&S!_EX>d^wXtc>&e^{wbsAMnWO4ec$bsQ{$adm z#Jtzg2DN?-Q686;pCqs7FCb|Z@b9el_2>>aaDm?gOy@+^8!0|pTlJ{*dwaLJyWP18XBR~&B1gwg5m7*z zC@3Q3DAKDUA|fh9QBmv#P!SQ3et@W;fY`92pwd*pUa?{QzsZ};ZFaLmZuWb>&tE@W z-jwIfGjB4%Hr^o>JuG%TT~0H+4*<$7%r9q2UB zzt~TGu>j~N(1nhiK&N8SEBjZZ^BdItyF+prou81-pOEyILn4e$M7hBF>{nKR4;)Ts zaU123TdUnN|F#qs(y4*%XbAp^#PDXApC*+VQ@XGOXUO8b%q7HF% zyub#)3x?tjyr1VpslOHPwK;jz-v*@u>c0@BBI<9CQZ?37fhzV?5d3VZ1!Exi*=h>L zK=89g6^wz9cv)b7cHK~p1)2iT;}WmCq3j+xHSxMnfr~uVA$jb&PsHo414(rqlCQgN z;0V_ZM+Dl~9?*M(D1k*186q|It7O2C{lr*e0l5A|hD|CMHb;hM0G%@(QotBCMuz7= z(zy;P)G%akuD~$48?cvHMgzMG`%$q(HekX8HuX=hVAB@aTnJTPRn~ zMbJH`V$nX--v#0QsJ|OZ{i*+Qlm;?IU>;Nif}btXVhjX7`$=kyC0ngNJQ+TVm5ikR zt5D%+27(JjP;$>;j~C1ac+aw*FH58jPm4=Y>xAS?Xefpj-7C50m%=mSGDL&d?ZbSo z$(cg^eUaTQj2+k=*(EmsdjtXfY(``O#1hz*mQsIz)CJB4e)cAax(AsR$U{o~M z4Ug~3k;+rlKOD8fs+OOR0^g$k38?!W_$o~w%c9HR z%ZUF*OxsTVQ&55>t7$0h1b5ol>Lyr&aTXy zPjYs{3Ms7rbREu?D-t}r@IzeA-kg0|Q1VmizYVE;PRUhx83$lf4oY9Zwi}ebVxQ(7 zgY5{|lHW4h!R4dvK=89K3K#>y&sH2T27;e0Ghhq^KU;0USaN4+(2)A?Kt6}5{~nb7 zqW=3(g3qpg_Ji682f@!45ikaVpRED#7!wkkvk~<_$ko6%HnNrXhTIUi*rSvMF82J| zaw)jjqm%>t!BC2TV?9c6qVH4OB_KB+8YSLkF5dSC%-(f4-&qV!j3?z5g4a)O5v<5w z3hf=|E-KgP`VSg4YRr&&0+CxSw|W+-ky`>+6LTwx+k~n|RV-*@3+Eo4dklC><{k?k z`FM%PgO@&D#EIa!k7*~twkzJkxizW(5j4-K)ISHM+OQQ1bL+xZER^a||KpeouU!K$ ztpWAV$21t224Y$h>VF#3U;r3|wSh4PBZIS8YsGG3CivNE47MEzezpXIG1j@*N({zW z=VHq*7-OA_t+`+fgv2&)O#O?HL_0XCJ>w|&KAPKsk|9W^BWyuIvYlZI3QApJYZyx1 zn9sVr>$p*6ETy|RUxS6uLAjTK-(+rg*hYxg3$ykxlwj}52&}#r4Sf^(mQm^rd(W`a zzOdsAbIV}I86pP2jx)@Ky({0NG?=}0vhU@&L#h8+yu{(uzZ@l)iC3XCn)=tEG?v*a zcIz?0&sL+*a_mlGOHdeNcM@BP;xQ)p+42*{KuEMPH1u;=#Z7SG+z~MMX6kqx0}qgqiad%)Or}iuDgB z_}Nk>s@3!l=$d2DpfGzT)XAor#3b-H%-}8ox$v@|mn#r(3rllLnJbC z*TB>o%WplTY-kyrnUK2<{DyJ$zQgcg@QE*XJ$#-Me&RfZ%|W>@Wad7f`yxz^UCPF= zK{Dps9Jc|X!to2rz~|rGjkzzu)`Q%aVG~M{Pm)?VBX=`=uqhilV$68vA$26>p!=2F zty#HSa$oh478N(NxTe_72HfHji=|=;KdAv*ZgOAG%3YiLMkIsKoHuDxb_c(e8_a#1 zor_?>hdsUzrN+>{-D->;!Rt)r5EkP;iXAO6w5%TURBBr9!kDkt=|Trw!h5;zJEU6f zcIeRT=jF~#c^wiPw>g79F(}Oo8b8e4!LDK_&G`c_;bXXjPaIM`cRS4uVA38)+Ut-K zmUIy&eFjONJLD*qG#Qg%g&`MK7>;I1cVQB&Fyz7t!!a!BQA`4FuG}9SaxA-sB5dN= zWw#g(#~@q+JDoCee}-qSOFFde%Dx<@CKS|F z;gv%(@G#j}?D2(J0%q??d}@!}nM9&7EEW8WB<`k>nb_d7fyBKIsmn>QaUvwTw(`V9+e>vB8Fsfv2ULu)Dz1Nus)@)FPIMus{-K^r6pjK{HU_N zgGQJ2;yr&czy$e~sQr3keOP;!_Nz)Z*t z1V3BC#uy0xrcfQmH1(EJt2(NIRUtoHo90ECP*O3vC$3Adx0UFzS;UTlTTT#;kpu56 zrJrklp|Kl{o)A3|evUB;MKj@y(t7+SYBHj*n>h#ELSqT+T?k5^5{}l4o(fX9HvC*< z6qbz6fa3>=KU$YFOY!Q%-=m`SvPh#ST!FtW_=LpWeS8(A;_kymm1t?S0n^(sL}2yk zwAd^qSHoP->&l3Ny=^E0&-X{zoFa}_(Cr(EHj6e7N1I0Bseb`qd58j&>dEgZqdSis z))ijpGNR|eK-_2ewOxn9ieT9QcC=xky0b~7pFyMNMO$P=&yBVW5ddY49TtPZcWTXU z8aN&?dVaJGLx5NO)5zw+5IHYITEMAT81E1wEuqGksrY-~kl_PLTb2z1fBR@B_~0cc ze>Ic6D@D6xMmtBl!W#}8!w6Eh5NQ?n#>D}xVS)lp-Q(Z5Dl)j1%QK@rqOg0n2D@;y zmGlO2BMR<0_COd&Wfj2Tj+ zci+Bcqertl`v{8=Sa-C4bO1vSbYFz%z>NpKJIA|S4lBj4e@JF@a1`8lf@RF1c65s7 z>_MZ$qa(7y1EM3L%ixuB56LDOQNT>rbb;iJO;wkI_v_fK=$Pm@826B0``)l%)EdqO z7?lydHpO-E5$L+C==kUaN?Mci;h=};M7ZO7)u+)(tg*&KZwwLGSnuyuN~2RS<>n9p z=Q4k<1~fVqQ>KN;g)F5ZjoyYSw};3@ETs{R-iax9g$THv`Fk~{(R(muc8IiRDNShf zeoT2FL|{j~^eDd+ew`M&@58XxC2s_t##`>u%;+OgSQspnd9gKq*fWofJ2#V*MCZYZ zMp6;>1c7Cq$Rx$lC!uGv1^MDAJUL$(cR~pt+$36#W=ZG57@k)G%=k_8bQTa>sO;$a zAJ>GVGTg6=vcOD>L*(KR=?vT6nf=sXxk)`mz|YN5||bbW|iqC6BOA4(AWbkFw%q?m@1Z_T15F%I6@V(*tm^pt29fu;}`yA3R`ca7Vq2Wg{;}6IPC*Vh1)a^Z$I$Qs1l5PsQiq(wQpbkKI2wK$i#LIc zrVcrmWn4qU3o-RfNIlCT=dsjlLu7o2To)n}LgacHeg;dlh7#vHqy;N6F+^?%kx4YX z2=m%OUVDeMWO*=WOb(HosD&=APM1X2v--_qw~E*MAJ;paOPp@d<_;{)ltbDw@GT*7E2R`IeGOz>>yQii zO(I{P_}1DA4>D&0YPlW?Pjtvd(N~f#zQUQyxe*IbhQc>Fq#dhrYKXwJKAl?i`2g=s zqgF$dW`xKLYBj;gOlmbp>COyj;_RfRw+S4C)2lehdu*T4CeYyVp*r-)8io+9<^RV*>9A@l1 z8X_|(ZHcy=3k>Huqyy`6o>zebnLoQ{S zu0l=kK@xltyDXVW!#GQRi1-~)c&9_UGkib9e*#In9MVIBkF(@n#P5T`pE~4nh98Ld z{g8CPAy;VdahCiN@n1pVuN~5p;fEmpJ4pK8Ay+a>puec|6a2tDcTsQ-g$OJq7w9I< z8X3-SV6o&$7$!|Vb2)!xI=?%I)t89U4CgOuwL^>l1CPXg##84%nA+&}Jn&X-!Cgi2 z{M5Rb7s<1!)fuHAKMqO91U@h(CATRX7Iz#3dl1bFWjVj+WroOI)Vc&!!chj7p#%;# zck#uPJXj?`XT&^*T32Fjeu&JXR&R_HQL7(H)u=T9r4njgjndH}ayPYxV&ph#jX>!H zYK=yz2DQ-bEAM1#p_5kLDb%_Fb8As+GD>wqjFEcOnu-!E?94!^0kvkL1gl$j zq11$0=&F?0j9Tcbly?TT(Ay~QtPq(^E%dF)JC|DX5Y&QNPomTc+&IE*LgZd*Ex?ou zsPzm=7gB2pO6{n%45bd#T8UD}5V?<9v_zA=fnG{ z^%6#UQfo6xy+Q=uj2@)cR*d%zk%y@DI!5|aYa2=fsr3#@S5xZ)l;G*&;ShO*l2&}p zArGD%VElV5MBr%AN3n6o!r|PQc?}%-%iUY@#xsYqb3$Zph&)cMov7;uYVAS^=JzK; zlWI)&e>c@IL;Lk_tr4EH6xQn8~ZYrwA&jHY>yad(%zIU%- zy#D090-=>Ef;yP9{4eo9}&eDGe#1Mh`FEPolb9nAlR(yf>e19ct@)K@cdxR9Io6Q%E9<{`-Z z#UXtPdXQ)4liXlFoG3YzfmgsO(fnq~4^aCU zUNS#}+Q*}mN$FRJ0f&Hma0nO%3duqQ85CQ5mfev5zt02Df8IICo3h^z{c z)ge+&>F@jjJ|8>*@{e)Ii0DVuu7%j+Lj+#6*M$h2Wxf)tfL)lYP&x(9jYg?f{5{3D zw#n_ku?|()CvTiiV*7&g>*Uvk4wZizP^{;Wk?i@h;x1NdteElhOA!PIspP{!Dx;ta z6Xr(@dYXg?tQtH|?bGl&&!9Fwc;=r)ZG7;|hm}|Lv8qwU!$AIdsJaEHZt0NG>=72W zGQEK2XhUs$Ud?YyZG2wMzbHgr43P~XvN1$nqS<~lc_+YkcE}j^K=?AvwlK9Dq{8nX zjAf~tXts^1@QRTSuNdQ4>gEvH5+YFP))09$L|%h)w(-KssEtpR`2(nZE?yjX3U0vM z!Qf?>*^wDwD4fELX~SuDAUnv?;1wW$R3tm-kZYg|WQX|Oo<9y!uW`t=@NkkH#)8*D z(gcT$XQi^S)D4g{$syOVQlNsVy9tt}IAj7VA!({Zu7^@&Ggd!?W*1=j+aYtN zLngBFMOgkWNSfu48(8@*SbjDfIF1tR>U$NX2jJjulpdmXOT6euLIlpse;t$OQ2TsL zo=5GrD8ZES2DR}qDF3Mtd6U}sz>~i)MBo<1XP$gm%X%wB-iG7B`IqbbWi-1wf0)mQ zQ8ph&*-60H#;2M5a%$t#Og`K-?}W&^Ap(atzfWy^p2>%^*S2F9fnM-IhCX{}Lhqz=y8<-{H7^)Ok2WzJXP8Iq&d$Hf|qzY@DF^ zfAfhaA6828VWs3oxNEad;N79Xmm4hbJ7hAOc);sCVF2Kh7~7IARTTuessdO@DS(BP zo0uv*T&V!Y&H@-ar!ZCDQ5&BO3&6GeJ5IO236bw%sUX-gJyTd%qt+Xr$g>$nX@qSDw=&M zpQj37Ripq`MebplvoW(j&F+Dj10i#eLuRwg`!RC}&F+br!yt3GL+)jl`XjX;!u-)R z`zow6`Pb3xzL*c|7X`3>aX(W&7c+09+5IsS2DAbg&>mo!PhjS) zGwbRp5&8N!F<3y<&a0%WCiby1+m3; z{*4Y!UTWEUG<*Olc!s@FYV+8FZo%Trf<*;O;5dEm`&#m@RY-cxA#>S4@mI1U+!9`) z2p1^|V3DE#7AfX2MNgt4SfnU`MT*CnqQ67rADX=YdBQ_$0X(!m!I#|S_!zhMyF&Xe zq`4aqdmQp4)4l|?e+o%(kmG!&{a^Uy0vyF)RR1po?;}1q==?uS`i5q`iS@#QHo>$X z;Aag``YGh|)9ht9?EL~de|5-H@WA1-X!df19fs6D9kPI>+BACwrv3}5|2gDomKvbh zD|u?6l^ZOy9kP(625I&xObtP5rbC`l8zydhbw$G$QhvpS*#OCL$RcQXUq;9mqS?Dp zR~}^KJ7jV4>SVKur?tW&)KUzEt2tyz^6F%>iq*-&qp&ax=Y=qwKg$XyYy~~NVpXc} zcr1Mals?fROLe6i$ObGt8B5oM(x*6NnXYujicw*0EL{gm!*cs_U1_3&C_UF9uWK%$QQW=!1eSghO3!!58?3skvAU-rX`w^j zgi9ck`M_GZIEi_qxc>eus#*%TWe(ZKR9%OvRzlJ$hrFd@9%uYDs9-IWUgwavnSvRp z0M>5`Vg2SEje^E;-E|`>cnM0s?2vagm)9ej`%i~eg{gw>yYib(s6CR9ZP=zr9X7Y2kgQ{Kl%ugK6c25?BN&}JPUUxHA0iP z*2nezLRjA~g!TO$Ow~5D;eJRu;EoY~p&?&v;>n0Pu@LK4Cg`qK@Ao=?{nO(zIxkIII7K3jT)D|2SkfQ?QFG zD1x=7B3NtMqf=1P5JfguP!xdDuq3dTDcFY!G9f9;A^UU+DmrCRHup*>%7N0k4*8TR z*dJl;fJF`@V(% zyHTo7?R_XU0AJK`@Qb$nXi+108>ZWf8dLjo%xg;RgD5qpHZC|9ok?w6Z7w=H7tg@{sYrmQ~NKJz~iZ&eDHI35tf~-s4agaE`s;7B6vSLz@Ci4G(b=z zxKJhxluax8R#7K}!t7WCv*ST%m_Pt=;Bu0PtGMlpE<;>*!1Zv*7wp!ob0pr(6}f_R zPtsQo2&IRTo3LV3D0&3%$w%Q@A9KjJ z?4JAwZ-{x2^teO5)7;ce<3@$~X#A(3^a6)`&-zYXr1cC9l%lkl25|ke=vf-T_0OVZ zG=K|}MJs3kKlc``qJcJuD5rr7QCdp_xC~eH91Y+aT+#D1a4F`#NCQ1k+DHSqj8*h9 z4fMmb%``9wC15oSrB`VH7k7$YrvY3fDSDFzCSvYeG;kwI@6Z6QeH6V%1Gvypw4DZK zV(y1Da5qXj;iv4-&>zzPu0Is*q5=G*SG0!)@RMHAJ{rI^fTGW6U=d>X)4(#64$=U= z))#$A1J7aF*EH|~O5ehdwXE1r1K;u7Vw(njMkz=GzoQhQfxl78qCp=@;2L71 zltY6VC`FRHUBxtA?C@Sw3~y`2@V52?8#&-%Q2d}d8}VFR@#W}IT!dQSHLe(5<9=i} zejW`{BycnhMo~JJ!nYLm^FGDLQ<&+vbRrENh0;mzdtKa+#WiUV-3g0NrNI+0w>Ayd zM5!(f)KU75ErD2&!WNeG3^{0 z#5L&R^JuUgrnRKOPAIje!LBH^p+W5S#cgTu3QW6*2C>^0x2Hi|l`X!Q2FozF6AcbT zsS6DbM(Gk7#9N{GQX0+VD@esKf)~RG{u8WZ1@Z1E?n$FrmfZ%7x=wJ*4KRZna zV<7n1xi%OB!OxDj!59dBb~+8lK=89eYB0w3V6gLDFb0CZS+iIS1dDI-%wTTYT!J{T z5OI(o4m?C0B!~kO5eEt4z(vGCf;g}dagZPmd_){1hyx=L2MOZ9NyI^dIIt3N&W~*z z!#IItok4+dCRz2_$wwm2`LXkhM4aF%fajkL?r2 zIFqdUY*(*{bAId?5)tS8*p^-q=ls~2BqGlFu@gl^obzM5Tt%GoV>{kOobzK_-9?=9 zYb^OO$*Rw`jbc8NATIea3F49;lOQhnF$v<5ACn+1`7sINk{^>GF8MJD;*uYeATIea z$*RxxSt36sL0s}<62v7xCP7^CV-mzAKPEw3@?#RjB|j!XT=HWQ#3er_L0s}n@62v7xCP7^CV-mzAKPEw3+K)*P zm;9InamkNK5SRRz1aZlaNf4L(m}Hg8`GZRkm;9InamkNK5SRRz1aZlaNf4L(m;`aj zk4X@h{FnrB$&X18m;9JymCE^pOAwd*m;`ajk4X@h{FnrB$&X18m;9InamkNK5SRRz z1aZlaNf4L(`20~S=MOGfrE>n@62v7xK7W+T`GZT4FZuELqg2ixT!MVbkIx^aa{k~F zn@668z!@%f`v&L3QYd}%*E zf0WAkgG-Pv?Z@YjQaOKc$tsoe2bUl&?Z@YjQaOKc3G$`=`20~S=MOGHzO)~oKT75N z!6nF-_T%$MshmH!WHpfU2bUlovmcir9^=O)h{x>5C5XrPaS7rv`*8{4F@9Wvc+7rW zf_RJ{mmnUqAD65Ka{k~F#3esIe>9Nu2bUmU^5gSI137Yc&WA;~wQ;y^RD@i?P;SALAC;{KRXy_<5jDc_BhQT;L+E)BD7@YQ>;pNy%f zF!l?X;f~=>EW0NSZwbG`B-s0Q7^U76-1&G~UrJ9#368H{jnV*`y&I)LH2Zs$;F!UG zQ5s5fa#0#ib52KTB+Y4w66_P}&QDCKK9+`Opak2#7V{%rs*k7P7f_l&!#hx#NU0yC zNt7Oi(qu~OqBMolRw&&5I~xlny{?7Nvtxx`)!? zDBVlxttj13=?s(}r1TGZnAz%KO8-R(TyK0R&7s*PSZ*H8J_)5KX!a<~olmo;ptOKy z--EdeY4&3%Euz^^qqKx(zlYLNn!O*T0uO(U~V+Dju3q4X(@Jd4uj zG_nSz12nP`r7vjYO_aW(k#|t~hDJnx`Hn_D!L%P}WG_lT(a7i6*ACIh_t*-*(#Q`e z{Z1o4qI8%>enROl8u=Nee`w?n#QsO4gr}AGXw*WDL=h#%L11BOiY`yk3`BseV{Y_v52-Jc#W;!=kM!s>mpT&xH4A)VD7iGjMpn z3wjUhH>7NIjdsI_4<0+>jJPpCETP4v2wn*6I(|f%@;l~AE~-@+i~N?ohYaa5XiOQn zf^8@XXO(2ZL2|*8Y#8r`aAU+#rzN>1;C1OE%cC^&M%ZaKUk1le82 z^zJ*jeeV%n*)PPgP4|O_m30_9v`^V6k>a!)-naLVZoR?UP(ma|kblSCqhRrH3>=FL zo15AW8&)=o|HgY6M67fEY$3vyB5cLN2<#Ch=ks!H$OSxXE5Zv!c##O(iLkv0JBaXN(vkQ|9KiZX zC#b)3LcEJ8*Hwg zqvOgk{Ft~n!;KZ?#>J(xa@QopuNCFSi|{%TP7vYs3FRk>^czGtDIxzxkv>_3H;Hgc zTsz+kJZ?#d-aIXmWiTqDR z_?bxmT!i~Y`T-Fh6yX;l|4R}7N`zmF@EZ|+E5h$Y_FDybT(z8XFBf?w}Mnt)&2py50C&GMR zL2O?16+*p5e7s7itsoQ9`8GW=btFzHAHxl z2u~JaO%a|V%AYFYwM4wOh}RKeT@jup!g?Y+U4->TSSs)hMA%S-jYNK9UlY!+sR)~i zu(=4&5aF33JWGUUi|`zw?_3d{C&CsYY$?K4B5W?!nIDdN3Ec$Ely zi?ELf`--ri2+Ks+UxWihI8cOxM0m9b2a9lssCTFchl%vzA{-&Yks=%=!qKAq7!e;U z!f_(JMugXjaJ&ew6SxT?e!U1Mitq*zP7>vA6yao%ev=5Ni1eF9{1(w}w~F{w5l$1~ zbP>)Fj=^um~R! z;iDpaOoVepI9G)8MEJPS^MnYW6ybakJ|)5hB79ne3q|;h2p0+aEf(=5LjSWOzEp(E zM7UgpD@3?bgsVijT7=~yTqDA@EVP%BOdlK(K>Q_nFe+RRZ#L}2`nu2gwi42=cCB*X z>+S2jLIQP`t?<25E6?{{?Q-9T<-T3zzJ2X$*Q!(Q+ka`DWdN{B^5Jio`2HbIhVR7; z-!~-%kPw95W+P-;Ng@0_4m1bIDZT@~gOC~~C-}bbeM$U~Q%eMLw~5y$}_yck7* z)VJoOzE7Xj50cc6Zlr!PCw0gRsp*QPevzbpbtCngIVn$;Qc3+TN&VqQ>aaPfzdTsV zS5vXn-;&fnZlwOLVp69lr2dPM@)H+Q?APB7?>@if#Zsrnlk(e=RKSf?(3q6ZQ}d~$ zG9;;x8>!4HCRIzZRF)(ab|VFDho;S!DxRC-ERZ~kHUd*P z-Ylh(Izf^;(Tx%uPvoYCe@z zV@axs8!2#jHznoCQg0~D*G!UX?nVlH?@dX0vXn~dOiAi2H&U<-z?76HOTDRB>KsYx zTsKnZnUnHlDV0znLq&&Thex{J> zCrOpLk?L?LifI@1hBsI*9 z)NpfBo?b=|Dx^k8QX}0+jjCc&UnrzTOHyOpNR9nJB{fcxy2gzZ>~%Kn=$@MIOT|*- zC8_J&NKG&&HPOq6{+&YV21#m?8!6ZUZfYq{PoCc^q$W#JH@T6TVovH7FP2hC-6}~< zbt47);!Q2(Y4B7@O_!u*xRJW;|CH42lGIE$QgGm*sip4n(tN)teQK5@b+;R-d(26B zy8C`rNX?d{?sX$|pE)T{mQqRGFG)S%M(V*TCiRD6sfQ$~huug$Vou6a^ZliedQ_5n z%#G9>b5fp~PbD>1lA7m6>hUTj^^anyCnTvS-AK(hC*`U6{!>UjB}pxCBlUFElJXOU z)Iv$>88=dJTuN0Ao_<>)wOEo`;zsIOb5dTK&rcOnOC_mgZlso*lk#%+C6HPnNv(7v zwaT27Crf23mRc=ImAjEzQ^llm6jEy?sda9o;N+hw?dbUmsr8c7^KPWz5F%4jo|>;n zA@!mpwZVL#)V?Yvr8?kzDoK6j zM(Xn_CRIu{q zPLlfGjnofSY^eqcsUIb&pWH~nIgh3fd7doQP$6|llKRDs)UW2Ge)sZ}&`2Tmha`2_ zjntp!q&!)wu|n!EN$PJmQvaBf@?@zd3aNi3ssCI^S;U-_CrdSrCuR9!q%6N1Da)9Y z74XuhE>}neC8-QIQXz9vSzbuZP)LO(De6Wl+nAImpFIB~3aK1PD%Xt^oZW0VPFao@ zOR1#tB&mEiQU&Iuiqa=lEJ;;!BURm;)KOkYJ*qU{(UR0LZlvIRUDM_}-UBIei$Y5J z4qI-%!d8KJx?7*BZ%oSDuC@0RQl*kq12<9)%}IH2XeKJDMv_!xH&RW^NqO3}_P%1Nrjk@M zH&V^bNqMrAO6m+r>P$CMXBm^S&hgUG6-PnqTuJIYH&QLkNwxAqO7Wkvq(`jf<`K(& zpWJjvXzPWP;#Oi^C@po7o2A+rlk$7Klbr7fGtC8>vgoN%i#7rxdRstD7WssT--ws+g4G>tacdSj){L)~aHUSn`9?d{;nk;l~ru19~Dx)B&n<1NcFB_Qa>rA`bbiJ-AMJTVp2aVq{<|z{%)iOR57VT3aNpT z)F3xf@XNx6(~RHKkf8WaS%W31A#S9GRxzpH6iW@0q=vhZ8d1fhepg71l%z(vk%Au; zF>F3-td|j8B_%y#EjN!?s}dfup8AyH)MZJJSj){L)-v~q^=2uR)b+CYCb~7>4aUvq z?e06Q^r=ab)QxVWCL5FTW+|1_O_J0UH&Qnnlk#RM#r@5?MUuMJjnq_QQr;}3lA0z- zO?M+T!yNZn;j%A2JW??p@c4qI-%!otVRl;}J(}@1B(x;^Fu;u1EY?=EGd$W{EO8O34Zob2ox$m&`n1>13 zr}%kVb7V)K>(sd)^sT-+f=A=AXN+q>il3L+LYNa_T zPnHTOeQK2?wc3qTxj88>pFw;^fzSxP1KoFuj0jnwnTq^uXcjOZ$< z4U*JGH&QPdlk#?iN`}&WFH2IJ+(>OUC*^4?ol0tpB=w3LsjcRuyf`%bG8Id`DoMTO zM(TBAQl4A@d|3*qHzcVy-AHXSCgrV9sifYLq~3NT^^P$q%gfQ336^?alG^S@>H}j^ zp1zDGkor)P+TliOr!gt(BQN8Wvd6*tSd#k0jnpnzkKq&!VC z${q)6pCt9E8>!F!Pf2|)N$qzdb-|#iCH0jg^|hO& zzA-1|>B&FzrrzN6dHP1kmF)3wdrbiExis%(3*ABL=Kd$O})LxLT484_%2JS2E)zFJD3%9f;Z+(_kCF)3x+lO2(yqHd&|Dki0D zd$OfNv+d^4Y@0hYduu-Bh+n%QzWTV-AL6kCS}+5^4i{9x%=u!Qgz)(on}nR+xk=sg_QJ1x83~FZF7Hg zZ|hSkDd~@HyZNKrmGDRRv_7ShlK$wnn?Jg3?vL)tP1n~-X+G(XZoB!T+vfi0eosed zs-&bpy6xtVZkzj~`#rt)bx|yJw!Hh!al8A@HNN}2{f>i5>O4uRg&V1s=AA7#adG6bl z@Z9(G+OF&wwWa62?dG{}SHg4O(*nm8ilwCIzU}6@Z&$)|-_uf1FNKu!+_&94_w7n} z?*9)+NzZ-T&2!&2_uRLy@G?&IQ!Ld}4hdJf4GF!fct{wlkh)5e>g`6Vk1;8`pBGDA zr;sX>r24y&8emMylTV)SdWFqtNR7goDdE3oN z-ZpoVw>>@N%~D9+An(3OZg<~}#&@56lb3PoZiUnoN$O@dQnyqwse2Ss^4MtG?bvAB z{Mcx3%{N;iC6A4^-Hwg6&5w=tM(SRL)a|nQX1X=s9p=sFsiWVgkh)Wny338!tSTmT zze4J6N$MUqQnRa=)B_5sdnKv++(_MD#iSloNIf7)J?KX2p(-ZzkV5KVN$L?dQjbVp5MNq~=LdkGqk2qKZi==epQWN>cOPNIg}>q#jc&wLp@3+Ktpg zV^ZE0_f=BQNK%X3NG&!dmom$hx~ zWo>);ise@=CB3X|H!o}3+{@bD;l)zwr?s83`95-MzK@NY&+>HooB9>&6G>{98>!vK zq&$7D^{b@zNK$*c5`U9%^jNUAH7(rwKAgrB%AMNx8^%!-h97$A=O49^_wL1 zyBn!Lj7fR=uqe9!0(0Bh4G{UR*Y1@ zb|V!qCzaua)I|!ZkR+AqMk=d{Np(<2g(WHKMk>3CNnNav%8{gU-AF~Mm{doFR8*33 z+(_kBF{w@pseDPQz>QR)IjLeV9bNHt2}tMDfSdDaz})#XaI_avT@_0mBQ15To28C3 zx6}zs-728 ziU&vFbV;hd8>v!rQVr85CA}8|Zr+OlbMM8#|A3VAUJST-F9yuL7ylQer1xUL&3iFm z?!6fBa+=mTQ&+&;sVi`u7fTILNKKHg_Lya z3b;9S1_Cjj7LQ1+S1>9Vf0_Lttfd{>i8ljMqr&I>qPN@u-pHdlk%nPZJ3MqL? zWx(x}%7FPPm4PR`kQ$|sk`6clHwT=6xdTq%X)mNkE2I|6j{b~WM_**#(U*83HAW%z ztR%J6jnp!8QY*ZWQua6mq+3bA&8;M0?p6|5N#^ud3x`=Rw1=s zl6u~a)C=aMHh8hrc!ktPN$MpxQZJj6+U$jtvPC5zPZSKeohTSEKT$C7nio zE%k<*rQS5Rl&3f3i3+K0lGIynq~10s^{y97Df?yu?@3bcyOG*%PU=H1q$Vkr+965p zbR+eVIjK*)kh)PJwM&xP?M7;kIjMbKNKIBqNe_;In+He0+=C-lJSA*F143Vb7*?_0O#`_8=ie(*x-X2nuJN>V?$k^0%3)GuC0-J+2CRg(J6 zjnwbvqz-!_b*n<^Pf6-8H&TC_lls>SDP`AM;J+BDAaNlT^qG_Lv_3U0-cmuoBxSjg zvdu|(T3(#4kP1jrK{rwv#-xIoUYc))LP{PV7IZs4ENFgwSkTKE9R8UKsa$EPh?}LN z#+LHtfTNOfB&j?%Qu)TDyfvRnsz8z|bR$({PO6%hK6QuEeAOkX5;syunUnI=(N$9N z_mG2bzlR(&|2^b@C;usx)N#^M$GchT1anK(@X~zB?%Lo(Cz%GU?t9<@^YqG z0;vn7r7m)_R6Ao!1v_}@Q_6<>;KhkTP%t?8A99BtnmZZA4k?Lwr%G2|dN=o{= z1l@dHg66(1!R}s~PdNo3*h5FW}7^K}VU!q>&qQ-VrL9`zJ- zJL)NDe$-RI(^G;NiZC&6fg-`F!D*1jq{-x5o}UrCiCh4PB39N9$q`71 z5MOY5aE7R%9u#D%0=3o#@6_w3SOE2xI8fLhybYAx6`UoMG$(%eA6V6@y*7BCUP~dC zkSv42yMddJa|`$&!g$@USNTvHDj(LXd@K!>bAoflg;%ssZD^mT^g55jCX`p65uC@a z9PE`5d_2B==0W>B&h4-uMGcEhYj|4LurR)cr=f<0yoM!uvn`5$T4=oG zDM+u@>r6Ha?-1N9E8DVN ztS2ATU)xuD5=Phdb?_T~2l_#;6nk!b2Z~);NM2b8uPoMq;;sX(;m6=lU|)8x#1HYm z>T6Smc;fTrYvzYl!QTzQ74w6yDELPbgH^#l7Xzi_Ni2au@UP(C0^Ab%FB>c)wN?fH z-3n9TnhZY^85yf2pZz7iaMG-Z3L~Ox_%f^v_7}Ot5w_qZz=W}BKrkgfPwDUy5#OiCR1-CT=H`9VIG6Of)g4>&c&(MM|HUpoj z1$Qz7pQQzNF$15i1z%zYK1bWbE;Z{U=W4;-&A{hr!IztXTWG;O&A=_S;9h3nR$6dx zGjMAyxUU)bd@Z=l4BSTBNd}m8k_)upL1y5#TJT^q@P%6NP&4pFTJUf)a62t{q#3xq z7ChPv+(8Q-YX-hpJLq3y)=4^Q!Q;)qowVQyX5h|R@I*6k7cF>_8Mv$Vu9|EHzC>H| z6f8F-`?yxt5vN(+9$3_MzE?G0w&G1{76G6Rp*f;X9g$7#V^%)r-Z!CTG1 z*J{D9nSsY^!Ecy>uhTZwHZ$-9ZOw0+fv?ws-!%hI)PmnP1K*$pe_#flq&3(MGw_XC z@JD9g$y(Z00nNX5blGgMDXau-mlYAI!kFYr#L6foE#Lhs?ltXu-dlf$!9Ue>Vf)r3D{0 z1JBZe|1tyLt?eZLn01nSwBY~Dz_WGWkk1T!uNG{Xf$!6T17_g+wcrdh@B>|&MlUZJ- zS6P~d%It8AKv$}99Lo2Q|&r(WfmX{fwX-!IQLR+-!{d+Al4 zn}*7(^eS7Vp|ZE$maU9cCf_}MLVe}jgWHjUwT@k#(Z*OM+j61!BZvCwRklq-Wtm>( zMQNz)uUFYV4V44*Dlbk$^eVfj zp>n8R<>hIp9Hv*l*?W$!dpj?}B{n}*6!dX;5qs2r{D>I2MGCXJV4 z^eP9Xp>nKV<=`|_j?=3gnuf}2^eTs^q4HY2%8_ZP9Isb7It`WA=~a$RL*)ehqro-C zDw7`#uGgy^pN7hbdX*E>PeDZt8Y*wmtGq1@mAC3u&P+q)RK3bO(@;50uX0uzDyQqa`aQ-f zle_v1J~$PebMHdX*2Rp>n2P<-=*HyhE?@(KJ-vsaH8C4V8E4RnAL8 ztR+-$1p4O{;Hw~2w^(x;_L*+Ail^>*`a*@7yb{MNnZl1+@l^>;{ za*6)JKQUIB+~UvbRqjqh5H?=@DLY|CYOmY*7{Ouq2t`bC}3ja4RpNm-$1dB9j@ zGRu{Em0zTxa+O}?S81qRtylR?8Y;{6&GVhH%H-x*qgVMu8Y^4V9bqDl^kixkaxsoQBF*v|T-vZLBhRyxgi+nVW{nSM@5R zX{dZnuQD$Um9Ohn7Nnu_4ZX^uG*rH+S6M9$mD}_xOVUvJmcFYWZLBhRynI`)^4K&~ zzN1%pd>Sg>)vG))4VCZdRi2cF%J=muYo?)cyI$p~X{h`_ud;R;DnHb#teb|)9oqMv zP(5Rn$?rWo^(^Zft4zLoKGNUg4UAPLPZS^PSvE3OnauJNy~-wOsNAJj*(?o}yY(v1 zNJHfwy~?xFP`OvH@|-kO?$fJ0FAbHS>Q%N(L*-|Bm95iI`MF+Yn>1AJ*Q;#nT_rgq zbU+?T7&}saRp<+YBZJ`t!?QwPYQSF`fkWSfz7_td_mfP1oOPQOp+n`N-#S3BBJ|ga zOtK;~V3lWPuE@;E&&;h;o>?%pJhR#z<(Ws?9C7SEJE1v9&dt1-oXKguWA}P`3$v&rYG{&aat% zNRB`P9LO8`CbOeB>(?9S?kPAsI?mT5aqgkz+>xIp8RN_k63*J`4CKxCI23@t_iDuwSwBSi*;BJ{WY798V04xkR8R&P{D!HX{N_uEpVXC?YZC9M07 z)n3MW10?_+r)BVh0XXh5uF)z<@%u=MA>))>tG$eu44A-WjMsuUnSrmIL>erwG7@cPy&X#K?~ky2A-s)|F!`*j{c2W2Jadu0s51*;P=hIH)+8i zn1QEgpBHx;fW@_c2=s5!D*3o_N^aG*!Y*?qY$BbiwZ$F-B>Fs&E%;|M z@ZDPQFJ|C-wBX;&z_YdBKg_`QYOVdJ8TdYJ&3~JL@7LDMf5_~JKZr&i(1EjvS z!G1IFLt3zH27Xuz=D)RePV1s`PwUZ4dZV+MX&3qH;ayif~1 z!3_M27F@#&yhsZ^*$li`3qHjRyhIDGWd?p$3$9}ZUaAG3W(HoS1)pvPUakd~nt@kn z!41v8E4ARpX5dv?a8onzYAv|A8Ms{cFqd_v0a!fDv6uKYS_WquC;{+VE%;nB@H*|x z(ZUS;oEF^547^?oKHm)dy!M(eFay7!1z%_eeo;%iof&w87Tm!Myip78Xa;^s+f6$g zfaAL9%UUH}E2m_WR!O(YDcP*G#bpLc;D*_v1@|xmzoG?SVFuo+1z%|fepL&;$_)IP z7Tm`S{JIw0&kX#A7Tn(q{H7K>&eoqS?V+MX-OM9Fdc)J#Str_?OE%-V!@Q2!KzTOPHLkqsa47^hdzR?W) zkrsTD8Tex@_+~TkCtC2WX5d}grkZ93-mR^9h8cK|7JR!Ic&`?GhZ%UE_L}c91AnRo z-)#o|OiO#V8TfN8_&zi6el6_>%)ke<;D^k>2esfw%)no0ulX@E@R!<}=bC}P(t;m1 z1AnarKWPU3Mhkw*4E(JY{InVPJ1zJbGw}D?sdljeIPSsr2W@*lYoG+C+8?#_mzjZo z($>7f4E(dU=2d3kLt1dT8Tc11c&!=uS1tHCGw^S^k7ilspRBXiLzDfXRbu|hnkzZ1 zy$r)o)_-cdt;r|rxF^KFvBKSqFLa%kr#m zYlqabbzRD;C2OTF`D5)!P%Ydbb>VN-lBcIG32GCrtXb;932GasF8L!62)vNlp}SQ( zpmI4kHR#*g30HW&OIcNG%hV;44c9Jp;e;z}o4RDO;X0=-oS^pN)FprH(%r6Ys~6Wj zb@3#V^>QhzYP}+L$sZGYa9QfI376I z*!JL5jQn!jgKxF9LTr0D4({%twKD5Z*h1iE_jzpFgY_J4dk`mmv&{}Lw*RLcRsOE1 z!{v*Vk7&XFnt^>faG02Z{kjn~>^A^cJjeJftrFW@2{?oJY^{=D<&*@pNL44bL#9}xp30M61qD2C&19k*^B)`IiRYNlG+g=XMv zEx6bWoTCL-Hv{Kt!AF^aBU*zUV+M|D!N-|_9WCt>%)ohCa18@+o$`3zvo)h`lk3U?(9wB$J^l!p-2ZqSlJ=*+-R! z&npkNs(8qVjEjs*Ic6QRQ8GDY@vLvl!xyWqZ;^2&g{*CnNVp+vRAm1R z4L1^-7l(!$!zO9myqIl4fe2(pATa{h(lOjgT+7YkTF!U7mTMvtQj!zbQXalcL-Lw9 zlIMpz3zFxDyAa&1c)m)qGZ5?|Np=tSK$8CPKr#s3dQ+|PaIN-r%ENuvhRf<$FN6n_ zhX*epnH}nuhlg)~ho6n%k&$ELpSj7jNQ>0e$AG?ZB|u$=Oa0&(9#heBQK;d<)HPfH zHC$Vw79X0jcvk#_A1F@!JQc6n&{8S>;Q#J3g9Nd*?SuAduKzehy=s8gl~mg#U@9E zr;@XwhUp{}o(5?F-)M4tcsiuPyRUCk`10U1b{~PnZXf*Nn@oTB@<{B~nc>?ae=@HXjIlXeda(cwHS5EiZE2o?H%IW64@(#0J36=l<(JQAbz4A`kEANuMa+d6s zcboUhdtxg%q!HX;KIp+=n3XJ?mDH(&kUKdC+&vP8ehyQIcYIhE1&;E}Y=t&FyZkECs0W!m)7 z1Z_z@PgLeg=Ot)M>LE{7hTBsK+LEqxer32VOwg9Z?WxLedp1E^61N4F z;kGP6TN1aYE5mJNg0>`X3oD~-^^vqaQyFdRkECr;WwdQLlD5U+C1QO04o)y(Z(Qr( ziEOEOIE#Na+8jx}rW#%epw-Hw;%1krR((|59BH5OnJbGeN5>ZL$>zv_lqJJS+a)*i zYcIr$iai^Vb*Y|>o(s+umS_*pXUr1S;OjvxsNa4e(}Csiiq*=*IVrxTYT@}5(Z>DI`w)Lrh~ z_|CaCl6nQ7zm2@FDvpwyQ)*UkkgbtXDNBYYC>)|>dg`J{cgR-WTKF1%NZuib!duzf z12Yl7SLACrdym*E-*C5kZ#%3P@62l94`kbY7~gguKusU=w%Z}^mtoLy?9Oanaj+6J z+{bX5QmvBb!u#V-CJG;17yimeHio}>F8m9OYT-XvJ0~rZ##3o7P0&2NlbJvqK*V35 zc5*6*wcY6NBX*-#jl0pSN9soZD&6Q+^KSI2aW?{`mFY&Wrszh0rtU_6%5LX-~#m!>Y7 zG$y}UaWnZA#ReqbBASCY6Qn6iqcA2zmRR4R)x6(KSa0lRsxEJ)lK7jcI^0Yp{AN1J z@Mbzb)y;HL>YFK^iowlvvbOu5s_*{Wj@12QxRl+0n{oHwX5Rg`8F&9}kt1|}^^p)b zn09|CYTEswsA>0yqLu0X+fsD@nyI^gP1*fxdGG#MZ>;;*mfgQjeD|*n-MmV5d};8*^6z~1G6sWpbNF|r^0crca#qTrNF57zL{hsR zX`W_xM7kI&J}+g-@C5m)w$UlCEf{@fGbn(iN!>Ry&QaXlKOe zigv13RB_%ZZ#1%lyhnEO&d)ocZ@wJ+=cjmMr7bJ-6!FQCo+4VwF8v|)Bl7qP+BOmb z(=QEb-i-D{x}|Q&cgyKT&{pl`_o+xx{2aa~lG-gu{Xn%Ra(T*HXhGtO_?`*_lE-5P z1WErngm#eQMQ;+~FBWxc)mC|?{&q+^yVYKoUM$9ty0l~b7;-U;AszV`(#6H#@O>)j zRdjFU%#@}GCmOsc5{bW%y^#*7vC0?0y)L~u+2DICXYj5^GWcGX*)7@N@wL~bmq>$m zi#PZsVDN6-;Fr1>96l2!8GK)544xZ__Z=nsj19i8QU>2wIfGwzB!lmZT$Qq8BpW=w z_PVsYGHMaMsm9qDzarT}k zN0fP~MwBa#WbaR1Uhk9b9bbE0+Ed#5%6NPC1bbh}?cGb-J81~tpc}$JPh$xG+;|B8 zyi!B>=Wzx%7{aeQlEFWBasNm*czo@3X>V!pKJfPS4c^zq;JP7ve`Pv&!VtdS zxQFkrR1e=@IfM5*lEL>^Y6y?7y)G@22Jatl@G>xXe{S#rE(X^P;Rh;X@Pr}!fU&_3 zRLbB7DrfM4M>6<uK)ku_wMJpJM>IfS65Y6S65e8Z;V2yS9B8mCZie8 zJV3MZ0S}a@G!?J@%z9!4qB{Em@Gr3o>zrj5jOKrEQ}yiDY9nDfRGg`-s6Md~olcu5 zR5vjj9QWE*#;fa+Rt~V+sK4Z`_$IwLfM6bA9AefpyO{_0GGw0PnE~*mSXf*pWvIG) ziDo^&C4)sTcjbje=U{oc9OPw=ke4f@ysS1NLSD{O$V+40purwiv$5SxNhQAar%na< zTyr4hTlkzxVPnlU1o1%tV6|;p{6jh(Nn^GW?;vEgcOwdNfP(_f#t_O@;GiV`b<}P*RKr&Of85mbe)eeP(L}aHGAF0=n~-KQa^$Z z{OCH#kHgJ$+*H7ReQUuuF%r&UI87fRhUY8x$# zRx(_-jbZIGS{W9^dH6xOdGge3BoVe}VCV4;Nu{_;r6mrP)+(uVR~A%io-{7Yl1$C*G0HC^{{v)dPRMk( zB-1@EnU;i+>0U{ui-1gdK+OjQnWX5fPbW&zfGyGc)2YaV>Os~vwwAIMabm&4q^*TL zQ%RgCk+v3^8ObN31Ww}Ntqh7QU%J`UlFpL`y zNp1v$c5^zp-J$(RI)BoJc6rj6l_j;yH7iM<+WR%O2ZWKXoCxisQfMF33GL&OOp{!p z-9&|UOFB{XLiuTk2dja`69OmD-j>YM+);`;3&@XQk9W=SuCSFsXe$ z6FXk4E<3hn#g10lv!m7j`|M~%*zvMt$19Q@uS#~jrenu8$&M-R=y;fpjyKZT5q)z@ z^v%lXJLzi1)$0GriX*L)#uZr(kk+~w$B+Ti#pr7csJ2>fO;os}{X^6`90lU0N5JlA zO*rzNgxU5-2)Hb8cG95L>LS%7Q*u9PD?l^oecIpP~v-gM_2 zz&wx}R~e@{|FDzUM(SNYWqm69_L=A#AQ;w<&t*T3hJJ9_~BqIaO_)ejQ$9g^3OhaZ!g?D*ghNt+1m^MjkqbC z^})fYz1Csf`Mny!_GTvpjUTZI2HQvXME`KCe&bSiU#Gu&0<$vu7jyu2ed&SHq}ffg z>KCUlq3?V7ZgKiSOtYK7$BE78@AM7`)?c;y`A1@Rr;FXhOxSg|Pf)4~7rU52d}-b7 zbCos1j=Y-PQ70zKPE1sEB4)}?JOGLlqB@M3nL5!UX>3#Cd;dDFp& ziVY4!c&$U|eTA*wZKCwPLc>hH!x3wy*wDJd9;IXycS6TnC@X3r$%u3K3cHoMi7@Bz z6+Y`s!($yhh3`$p_CHA0L@gOCCWB;SI7k+XZ7ARqM(gU#tIpYS|CB?}=!~_I$}D_d zoo6>!(k*?LW**WBtzvDlE^8~0Z@2#v?!fk@pY~G155f}O9>{c%XzAb#9b2H;jY;p= z0@AS#xMPq;1-Np^{&&oQ?R89?y$AD##SXi zFwtJ;f2(JQOO|!PJv$tF)RET$vm6IF0v1WUZRER0Du47S(xJd3SkS=*wM1R?_iz7t>vd- zA?#>)-XsL|@FqdC_QuoTV!N}FzG4FxD)gZh)W@FamgZtjxEjP&h{P0x3XAQI8K|%r zjY&643)vuw^_5hh&WsqWt9c>}mju0p%O#D-IPFB3Gb1)Yf)6nDI3K)Z>XMAzS%SI~ z%G5!s_Kpu}@A`~FgcD(ewl_r8-msANmfD>%uxn|i{tZ`nZ(<{y_PFyy(({I3|4@*L zGe~f1j?n&{DBBB&$4I|D5O_yC(!ei6-3b+s3KjU1vjLA&frNq|Ex8lG{#2hk68kja zUXcm=(^TM3&jvhBJrauj87lB+WdnX?ChW(mXg@9++T&Cwq1caCfuE2K_**hzf35@{ zP%P*B;N?KLEvQ)1Bo${z2^|O*cwVub3dr*ZS=B5rjh!-~n7K-4>qCmO7(~~_CIP-7 zUdvUw9Y``EtbKbBkR)3?4H+OYH5`f6c0=V{7a>Tjwri-rB+JNE_SwoW zd1zHcrcR4pqE1o;IL}bw+*=7hh_mKY3&DA{U9N;lm%giPb?bDpUuCN^Rl^o@q_5vS z;RCgdyN%7pqmcjYM01=uLGA&a!MFAwrTO3Z-o8u-2T9-#EhrL+-o)0%pvA#tI%9!ooucaW0q%*d(_B}&4kUA9OEvd zZfq88rdZ|#qcCBv)S!+FNuE|N6YlY4k3~GVvoNJ`cnJ;zD0;zKra-Cx}0zGssloeP}&_j!$3?}oO zhMpdRCxsqbTph>nJ;;6ub^Kl@or|-a>{n(#kkae+Fe2nCT9jb%GtjePs6Y zSPf4KR^Pb)P7l-)xTjW1r^ij$>9G==9ybZ6$IYG?SR)-Vu$m(=fX7=gdDd(Eaj{kO zOTKX*zGpx(rI_nAu4^h@>obT~?AAII1+~MP`o!036ecyA)@!1qMQ#9`lNA#5@@=JulGgVYVL_RJSjwc_5O=EY6(RA4k_Ym zu!!FQBECk5_}c2|&0x00V1C5do!)>k8#)8V_zp(N-62|MgXYu;73>YVeUE_Nycdi3 z279QQLqw`JXyJ>3*>a}O4@ z0UtMH5zD)Xm;odKy7{6;4@bDa;$df)kuyp!YW5`^mFy<+g8Efh&|k7k)q)PKMW*`| ziM8^Q-Bw#Mwmdy`nE8@sMMyRDOZH)E@Wcjr$*!;blDjfukMaeI6FM4uJS=n+!m;Uz zudQ}XB}g&*Y~diCH1e?Kd5YzsHIG;c@r3^AP!CZ;rT&Ypb_?Z~qJ72MZ>v^xFx$7< z$LL$&4l!V0FpO`jE_Rvd+O3*Xfpm&}Nwa_#40Nb1){;Q&XIKHEb|EYDSD3hmFWX6N z4}pJ0tVpa`pJPP`VlgXLL2RsrsPcuqY^!fhqpRDO?GE}DINw9K@^aQQ;LAGi02x+W zH36GcT3^&zbv&5DSx?bCgyzedr2P?`SQWn1gwc?d{L31gxviFKUSM8oUJl;7=gfD^ z_aYTJ9ddf*^oGAzXlb5b$#DXjryGT&9!r#F;sg|fn&gP`nXC@aWW0cEd1S#i$gQ1&X674f;yGnn!&id8yU-k^-EW zyg5nQUNl;{WOd>xaHcnf-S|OutddtV**G71R*BgO_>cpg`xD0MPGMXG#_DUj`#2zH zsM1JyO=p&HTgEjKh%NJ)ZjK>3>NQ=)2>PWiO>X)!?lnO?Co%G$o_rdA#&$UJY5bWk zpF5;{e!Tzk>9p$w*nA@8a~GD+Pe49*3Hkg~%BMCla_lRWe0~=upU$QYP*+!s3wtZwq}_|CXsELsE(*uT}nHbn&aE-De5^H zUq@ta(|Go&#j{N_o_O>M@jPzRMM=ee2u9p&^F`M@(-U3u%-Hvi=t5bVYW-e{?vMK~ zx=y>E=>8-{_h&4+KY{4}EJXL0EJgPZmFVtOi!Meb3(@^Yimv4$+SEuxzKTy{TKF(q(&`Xp=YX_8K(t0_si8aSplwc+)Oa!sNOY zufXG_S*^g|(xfU%UxCMKWVZr;ON3&D`{QYs-m+UNyQEuzzonG|Oy0M&D={FNVg>$| zF07wulDD#6fxoHQ2~J;u$7^M`0)N|1X=&)Mz~i;ETYT7kcs~Tvysc9>VugH!+0PsZE96Dy?T~}G!rGT( z=cHhTJSgYXoYUzFc_3O3d*LhpKd+Ft!wUILvxE7Twbgvn`qg|p_Z0K3+xvcf9Z+_FSRpSo8pi916>hl${wHL}7h!rxp1L6(E z3V9%uHF8$SxjVh-I(KK>cBX463z~88%3UGH8}I*g?exQ&uKAf9{y!E!5Kq@|G6xFy zblp@=*V+|wyrpWoZW}gTJ1gYvutLV@WHDv8^QLTLpKHoN*6{ejVW!+W8n0snOu6_W z;k7hJ0m*{n9b3KKPDk%My1QZ0?6`N39rvUs7{-(F_O2cGWV&F$Q4=WS?CQAxg5k95 z2?js$;Rr@2EEsU^Bi>20beI$j?b0;fRV5fb!UV%vnqpM45Q?Kbp)jw7(|tLxG##w6 z@88v(!=v^+HLKp$#U)XDj2d$9YH|-z=kU9lASo(P?`lMw?&QC#o3_Zr@ov(%Mm_hY zNvV^`UN}(9!Jd?wgX29NDTT5$_0>~KX|Mg4Qj|d-l-AKwN{_)(dNfGsF+xgvXDOxq zRZ==gEu|QhETnX>MCDmfT^0BdsWUY-|>-(fK!TtIuKhJoQeSJ9XhWNW&aLs zunTl^RG^zl;})e9h)j!9(<)SID$wq#Q+lR(zIJGC><}N{4+q937}Jee(k_Kt?)Mq9 z{IF}sEJbnH`32GQ#V$;V`+%?AHl6z;ApaV`$d8{4mROE)j!`#08Z0pwlIGiIqYJas44uxX-9xjUe5Epcq3kKqAS`)fhY^cL+%G*+PlR z1=`lw5GL`udoF~;U%+L;Rh<(vMn*-nk-!s}R@|j$b4Q-x&x$$x$K329Kcdq);Ij@Bc)JFX&+L$#SBcf+xz! zFi}ns6XjHob;fgU;)}&$toztLN~zxk>n?%pO`Gvh{aE8>OykYR8sj;Ag9G1*u~-sw zv5J_}_n(-!B^I9{i8&J!a|RG|rXc1lkC^6Z?l{;PM$Av_o_b==hAH`SOw3Po_B^a* zsu#syKc1{&U)C-CNwwm7Jc3IXxFRJx_4@8p-JwV6&6kmp#Hby(`nO0gXot#s#7L zqp@kbv|e5H1iwp@QkmL|yL6E`WVx`bI-`7-E&}ag6r9G zBAf>0_jB%X;o>w1#A%@rr>-DQ*E-^4_V5%3JSnvBqWu>qr*%)97E5uu4vW)b5U1;e zI4zOl^e&i;T$~1miPNW<#A&IhMI>rj783QT=I%+|66DjYT^FC~j)`!ibgGbBbmHt& z%`Rw~mijc481m`eGxeP5U91zTY1$$2a&E2R;>E42C80Q<*%vA&3m!GI|5j5Vy{U66jb}C8Dy^p5 zvbUOU-~aLIwC;`9RdT$p#^ZGrjMvp-yxt*Mcc?qjL!NS2{0H0%pJy7cYZ2@2N@tzS zgNw$+=b1zu+YO)FwtT3E&`lG_et*E50f{nag42D-1|av%1aEq4I7KON7!4jJfLD=yb^el z`&iL{5jp&Gxj23}baCu3J^sk?TZ(_GEdH&s_z%p{FLY;QoZMg*(7eBK9L%Bnm%GK&-H#r_-&wRk|RRSr7^PQ}US zF3gKBGkB+nBK=Yq|MPDu(l4bQ&6l4%Qr8)ZJW@Byq4?td4@IYSPm#VPhvHT|6kmd& zxK#|rm!t00#Rcbr;S+^m;3=R*U*j8ZVn|Asyq?YyI9?GsAM@iok=G}D>puPl7#KIe zkDPt^PIGcZ7#T8k$#?kf-uQL^Bo5(_@%&ckcowHX#CM*v>z#0CSJ08STXW7+SY^(s z2yy=5w1?yWVTI@ngE-j`b_Qj)X48O2dPFB-lJ3?--qVew-MYAfX&d7^>Qu%*0kTb{ z;4f0nPjpGLTeJ6th#`({05Lvg#fTUY770Jlv0HmTJHmPf!8&QI$rkOTahv*6vXlZ` zE?0g^xA%5uI{p2qPjAZ3r17e`5YM9?a(v00X zUzy+MCe0sm4>Nxhv-DJ$@*2S`y<5!E39yE~7qj&9U=95stf38H4gDyrq3@yWCnzg0 z{sUz{Ls`Dr3(9_hvLdrBl>G{2g%)`H!#OeZNze5>B%H~b+EUCOH@mGxQ(kza^ z{ZvrE&Eh@YTx^{J(XRYJQ1|e;_|Gin;$Jj5&iPy%nF+(594GxH>$&)spdJaCi~r7Y zF8(LGx%gMjUJ}x9zf#OLfa#?eQtl?hLcYQD|IiQ;_PP^%^$SSsuR==s9uk+*eIQji zu$^8v0XK4hEeTSZFrh5Z04YuIWk;?7QkuwtG9jgjTqxsvNZmc@GrKFKFOla-Uj#yk z;C4cz@cB^bOB7@weS0!WpRg_yMdg)=2%g&aXuM9`%t*xGm!LH+QR-VdqwdkI0JsnA zUVxpXu=^@ur}@D4WIcZNP$`9$MYb4dJ>?$Pfj{uCvdToQ%0%7DL<5Y}ADUGUKb@Xv z1ivO(0Xj?BJ4(4fG)H&nO#DX%>z3w_{X=(UlVEpK((|OTM2+z@IkEmBl7=C>B+=Zp zUj&`ycYoRk066rWr^lS{MM^;5U63eOt2B(|Um6Dy?~}jlo@kYw^7~7ZGy^HWzk*_w zXd}!qnZj3g{O`0c+hGIG>G)(4sk2wJwxd~W_G(l$U9#-e_)-M-i!fdHYIKTAS9d9v zI7qn^BPTKTYEu5tTnKwL$HgMP;O$MLYX^s}i3A_cd1HtX`j3uKBq9AjnhndCZ{Po8 z*QN68+3i%G-8EXGV|Ht_eOfad`bD_3PvdeGJSFOWpEi$?l-GUKQie7=xpWl++Z(7+ z7UkIl5}jETEk0u`7P|Pf44oq7a}Dkoe68Lz79Hz?gcZIXoQ0->&sczC{tC+Jqv%_r zD_xFQrslYa8wV%v8OpbDz$AE>e;AZr)dU-$p9(H{?H+|EyeJ zooz(3HV&;BZ6eDoVS=!u1dMFVyOfxdfu|n!$3ilc5!!Z7w6Ok8~8|W7w6=IUEG7)#W|36HE|TTi*q3DYN98%i*uiYvR+VDlzTsv z9SvoLdEY|WF;EuCdmGAnLs@bDvru*{l*RJzgR(wQ29DCg=RKRc@OhO@-Pg0JlQ$bB zyi#IRxJ}(Z)TZVsi6dkhwsJM<6{cZfHV*W%)h7nw(Uz;r1c3cX(1>PS;(O}hOI;Eh z+WMi&u}++808^bqjyrQ8dY^CgC64D)fTJFVI*)jAxAHXlf=ur4C7(9K-PXdepe)GK z{TD};Z54&)-uId(CSxyR*fU-fuKxmBdmZnSGf8eKu$ z2UcMQ_W{-u;~kvvCa+a&*H+3wh$=7EoHeGSzgV+WO-#U&UaZN~E!GL{WEH?$eVLA^ z#F>EfzhpwOZc@j)DL|S&8q(k%6lo@PI#m~n@u8YIG0Xy6eQMKpVZdXjOV#= z2A=G8q`Qmq1y5@k}V24rN8fW1(yY zlob~L4P`T-EK>YAl+A)Na4{uDd9ItpD3$BxQqOghcRYBRU^70qak%T|vQXCz35>H! zHCq?XW_;KZyHs=NNLc208Hts4spd3SVh;UMmm&j3F%@tcMq{3N%r zr*Cr`tMu))p1!r7h2SS1v+`@WzFnM!zO9{6-v+%+wX@bewJFQJ;7J(MONeu3X;|=v zRY#XUjR$WauePVd1Ze*R3cxs3J$%VPucJ$*L)Hj&Gzm($YDZxZ%d#7jbu2yp)vz90bM zf}5D}y|z|;adQOnmN@H_kS`mg!Sq5y+^dac>kZSsB%vYlyGHc84bUA6X_9(I6RW;4 zz-nMjwi+6@Ta5%wonr~;P>G6Gw|a+4j5%Piaas-97+vC#3p(g$B^Jj3=s^VQKzqD$ zgv8$vS2zc6KD7=+7!LBdX$(?fc$>uVY_JYFh8^M7Y+%-^I-4V}S_V&?A<>jnYbq3z z%dzNhuu=*n54M^jG&)K&I;zlEEzy9)bpnm{4jOA*nQ;jvF=!@2*L4^)Lm0G|7_?Vm zuvTI~S(UhpVgSq&(dGeGHP;+#Zj|LZgFUnBXyAR)d}?_T~d$bv8a6))lc`ceFA_{PNV!#xBxCjN#;_oQdypL82A zx^hc&B~-Q{*uT;)1obOb-hp-r%m#Rx6jv;`AidL|c5$d(BjG|sbO3HsalZ|M>trnV z;JT;HAhJK~K2{00G!1UE;I!_nW<9vBU*E0~>erWWYp20&o(5MKn;u-(WpCFFb=ga} z4b$MZ2+|=TwzxdFZd9J#I5a9x!floYw_Ic|M)ZyD6;p~M3Z3ID&ZGV87A%gU{Squ8 zqWvV?)@g8CrqMxcC3^VU%ocFlG8@57vLuCja2niJ6yFUnAzyE-Hr9d(`<3yhu@}zq z9BB?Vhr-|G=H2Ex`1_+}S$S}-=UD4Rs{;PsW^J@K!QVe}igRL~=?ho2+WU;Pna=qn z{t!oZ24-ve%J0+epY>w5(q4#oEm?0r-AJ)kUU{SIYEL7B}bDbHwv zr||RXc27A)!?j1kLLTf`e}NScnC@bw6R=O)CXG3n))HxUU0XEy%G|5QV7ph~;1?9Y z^k5$?P3R9`^5y65Pj;1&lZ(ynt(ouoIeLiPSYiRziHWY9Y`?*~MQXm5K{gs+R3fk#%eX5oz?G#dQd{7FG7bp&qQm_GN%B7$K zm4aa!DLB!S0-h1(zh9u?%vD8Uz*PSjA0&eJq}In(0{_UQxG%l@ZFr_)J~g=a|? zj?I2_p1uF06O)+PLRWG^gJ_g`2riJ3i33d+Fv1i^DE@g z=SIxA<(2kI_}wi0NIWzs)O~u0=D-{ek+5&~{Xh2VbdrcHKb7`cPKf@-NU%A*Ji;Q0 z<;fb;Hm|^FTToQOb5KNAlAKxAA%d5jA}525d#9jCKd^D{aw&4IG=$Do8A5kUiUcHZ zT~-pQE_Hhk#sFiGDT$xq8Iy zXD=yNy;O4bs5cFo?crpZ1tV=Q?EE-!L~e}Y09e<+p5gM!2p@n?km<3LCfhtrk7&EO zlO_y_hvmQ_HD`x15ER)-o4I%eZ9;uy6arG@){AuMb`shG?P8@Z!8@Tbuvb!Zul-nX z3_K>rz!oVr3cKy8bkUGw;5ly$kUk}io0W6NzqAB*I_V7;C)FHZf_tgvvRH!ef2uhP zlbG2u)trq}%|WpZ1>D+sS(5l{7?@mOJHlKbGD`&{=P=EQG)_5htJ(2G9ELcJc1%^{ z=9T@oJ#b6RepT8YuVLHcRp{(%qQl!{hnKl~vtzvN455X{HAL3onwS-OVDE6v`HZxS zl&!-NdB(_rFh)h5*ClyQaglCa-V)38;kNqz5c};Qb8zde?KfPKwYIlolDz@s+Ac`; zjz=={IOhr;vo4T~p1gEd!TYa(%AYgnyW#0)Vq_fB_Oq3bm4_W z-F49>xxvKlVr%mA1+RRvy7;U%>w2t)Cx!RzUCHWGT~@cDtnQ*)O{DW0HE*=B|Kswy zjr|_x^?!iR?+ISN@A2CB-EID94fBrBrQCzSsZf?2p$R{warsB+&go6VEIC3GT$?`n zj__GxJngXro)j$kK(a(J`i`*GGi5qQaO+0`DS#*47Bt1jY$1O#_Y8keeprVYfd zKG)4=9@pVX!S$Vz>xzL0&96{4pU!sFJPgE-i*oh(P zOu2{e(YCs2Cg(`+p#JaXQ^Lr61)Ke;{h1r8qmch@n#+}n(@X>0dTaZ0<7_Y;45PLE z1w2VYd=5nTLJ;Ch`zyEgVPK({@M)`ZJoJ0$j_5uR?0OH;^{4~K{+e^4PECNBXTm?Y zLG(?g&i2p-Wrnxs?1;3szm=W+4tMrjX!$$Q`tIl-PG`+x2)O0u*xMT;he3A<@9CNB zV>JOek=~V&zF?}uYCtBS2+Z8aYSyxJ&2g;ux&q9TV>J=#(OQ*}fs{-+*0D$;M}9=dr78NE}8aZBvYSEWJ+6&_0goJ6E+Psf%<4}5}}0bqq!=KW-aKWiJ6Q{3n645 zM94j!k~8+Gl-v)JkUYQ}c8_-i3eQn*{hR%QmHx!R54&iWLpTp)NkHhe! zn8tpT9Dds6@WPB7?x%^2&RwGg_1!?x(p1l5)78 z&O1XalYW|m^?ca&g^c3;xu-FNzx@z@7fSvvRPpyG$=`Eb{vMu@zx}Jn-~L(iw}00B z?VlxoxgRSmr$m39vL(Fe|6lN;KjOvVk{5@oc=2;AUu&uivJY0Oi1by;AknyIs{F!D z2hUXbMHmaeN(;5W>$_q6?hTlT;aZK75jidW%QEPEYgi6!FHqw**BE6sgeLhm*l*Cv z@1mtWo-t}xxElyNi9tdVv|1p{TC4MnxXb1{=Ud;rS| zH8ZC19g7=`HO5`ivn+yf?$UUc;czXzy~jF9aFBZf?*fPNU&5ih6g+`{yAI{dm$%t_ zr8%ltg`AW$<}3S=ZhSi^IgD>(|Kr-DZ6K5p8Q=c^)Aw=4Ck;=#Sc~B%3a}5X6GOBM zW&cQ>CoIGsCD~`K3UP!*mA$0AGHKcM^Rca2p*iNyPt?nli)r=LSwJEaX$zf{6s9h! z3hfzAP-R{!VVK%!3nMixKs}s2IIXVQ`4GfToi3lAFs;z6)~PL1p|Pszj9#Iebf_uI zBLZ`*kwy=z!dJl7iJk(6r*LB@nd6D8c@s>A{0P_qA>vAxPjhwIPPw@HdL@~czTe

wcM;wmOD7l;h<51X* z#T&9Y)?BIQMi}|Ye4*!#HtIsOtOz|3z z`=3&7p6)Cs&*MXRGS6185rTlvSC4aU7s65<%tD3bKhGZwrX@*gsYqHBUFcdP!@+~Z z9Ve~DDYV#?&fXn4wYWkY)<%1GX=mV8h}Io*q_xw!YmV^S5@4<7T7v-Vi8%xIx>&SQC^=@iRDZHsd$;cbsliVR(ztkeyMu>IPVB6~REIqcWwfT%bj z$SvWjx+6d_++kE2o27!dnje*~ZCJ2Z=m*B<$$!ILCA-Cehq^|t`-%SS#j0`@|3Hr`JVbuGZMDH5_YP|Oc$llizy$5vD2CHEfzs1K(os^M#!eIt8e=v;g z$#Ms;hmsA;A5I!%EI3^6@CFZGLvn}A2ALYQT|Y}eP!~HRI=mdWS1Oc zOXTEDgCy(7!G}3$1SG?)xzZoUo*oC6Jzh6Gut1&QljCgmP z|L#=zF7I}uI2T^8HSCSap@7`L%H(kI`3e5#W6C!sE9`>G^UbNx5t(3|P z!hdt2c(XBi%f=*+M|*E$@(!4B>;`VGhvZss{28-ECQ5hwCGSS%H9*OFuYi05Q2W{Q~2|In_G-i`lDpSda zd_wRvwlG9_UWi%@-0Uz1Zf3R6EW;Rcroj?8P-7Pqpf!jwN0+*8Vk%kXw=>;ur#7oC z+If`KM(xyLV8!s+jJK1I?^iIc$k5DVels&2;uINxW=>@hTuTF_DLSCMD*3ztWrtN3 z9Z^|yR4Vy`OV6#FiY`-Dd4*JY)vq$gsUoDOAcO}}Nal(xAs!!n{?5f0nDSBmNfXm^+U&0nFXzQ{(Dv@w|or@$tMSi0A7*p7W}Q=X}xx;Q5A+=X`{xKN|gR zOL{KA7h|BoxB#b*>EpmRkwE<> z+EkT*IT1_oIcPb5jP<;OIbyywpu-I=`7{G@nN|6GYdgN^BpYTLDxD{;Mj1L;j%% z-GYydXOfd!6+F5%$fGhJ2e48So%pY+1QI!h;&59SkKAd5>kP*-z>5pxcDo50VwI_q z+D?l_12z@ik*446?z)L+mB_s1sV#t2$Atx^;93Gpfz0Z_3{g_oN9L|joecB{VeuV= zl|GB_2+G_6K6&o}P>`b{F}$h-@*;$icTJegp;=m(8qPg$$+aO?*jl2gfj*6V`m9Uq z9#Qgl+RYG~{2twDH%8?kw2l29-3PsaFxAAEs*+}k9^FL<;wm@2z}y|=QFEV18?s^Y zJwYjH;RASp0C2~!cXwVWP46WH0GgKjG~JX&Q?*uDrx=mzf+Mn}PvD1uxM%ZURY_X~ zf!BwPNVMi4OumnB!)Nk+A{C`Ku7Jtyd>l4I`?v64RY?a04)@y;BqNl`4ng#bhYdjz zAL5hvkx&wcSV|iS*Zsb2Bx3^l=6MIe4t@;0vFrG+s-&}`Zx7&vB_Udu_b+Ts_n<;U zJV;mo=p62o`UyYhO^ zVUa)FlR|*j!-w{4wV`be;&zk|?fFol0wE7k^;HBbU#_aiIsq8j(}B+y=Pv@NyZEoF z1S0uDRsAD4(U2!s)ll`12FJ{?e)qOkn^=zpiPgu4_DU$RAiI;eZxq=*kCTz+Q|j@+ zI-#VmPbpZZ*w^x3RmngFrJe{|Cscz{TZrNXv2xsY!hiI7wJG%^VLLz@jJV-ypmdNLuBVU=| z4gIF7WRw9u^F1Qrpj?1s^nZ(XuzHFu0!Www>CFI0kwF(t|IlEQd@i6~AO?vq^jFx)?YxG6ZR z8ZD_RnF=_BE&sO$jQ7gOeQEujiSWm+gO|zpfmL+`va5OCCzs`yE9$-ys4EDK1`nFL zLhQ-cg5o*H7tb9;*cJBnw%};-JhWi^@5~0k>p=ilx)=a}PXquVunkxPA+T4o21sBV zvWA|(f?u&dz9YeS!)^&Siz1){u8fPHmR6y=vI4o106=TvsbB**{tR|-zL8;XE{20X z0QN8?7W}jk4Bkepk)Xvq)(Fv}F>CD5VgQ`Nr0t)kftV_}#wYlvKEa!?CZdrAtO;u5 zKz5*#;M=Q9@a>v|Rg~b{t3~kb)gt)z%mi=BnhIK6%bFruG-J)e3BFyEnItkTRkFw@ zc)BU=J1j$n>sv%e!EAzdFuqJv87tr|95$qstZN;++J1!yC;t6DLL z&j14b#QX@WNaxlJqBPu~2!3q7yt3pM=j1%rt6ypl|hM7(t&0}y3KUtTz;gA zw-oiNEb5&~xqe!{zxxBFOhJ$`9asm^dW>~ItslY;aTvt+`EtoV-*=j@ObD-(S-3GL zzq8fX(RwGDcqP)=0Wkd{$LVfh6SS&>m>S{Vx3Tb4`YX^`ulyR{z8CKu)p6|tH0iw zHP~Nb7=EwmaCCa0!}(|=bY`7Jhs#)J)ZxR~;i?Y*kg3Cb^aU9FL$x}b>n_rR9fmn5 zPtFWKRHMUbW3`4q-~N!w2Or%b4YjLn}ip~g>10CssqVg00u0~S`WGj4s&6_8LoB)hZjqMLPD zcht=z84%HnilEx^=S?v*i5Ub^AcC^5?F*_RJdJH>8h3oH`a19xvzh}f1PoH*Sn0kdZ zM{foJ`e0!l$a*7Ok7dXDxZ3sYLac;;*B$$rNLfAtkLfx0_r%li6l(UG<% z6J0{a^q%U`#a7UzAL}P@ZNd5>T>G>BKCVC)8`EV^b?AbJ{s1;WwAGRgKy3|VaNayz z*Zq-+E+IqzkLu9{9OlAjPNrj!m*d!R0@v2;IE3pUHps^n=u!*Q<&SF6B~3-N@m0ic z8C1kzHdvt6jtxer9nX&UQKRDZS0*xsOxk~CA|otQ%R3KYLj)=Zu^|YRp=_v&O4_VG zj13bNIP+nPC(d_mY`Cw3x2RVSw^$b0-LUEG{WW- zc8ZUUT|mh4PqoS7t0PL5G=0&{*B5)lP&}2LDzH0}or*{Cr;F5{X^CJWh1VXeXBcN^urmb6UhE76@=SK7669e@ z%QFIwLAg+Z#+xg@OdXv{9pjP-wkH0hYBkNHa~3;GAbBi13n4j{jTJ~b9-TP%nuso) z&CV8I_ho0Jug9@*ewT=7nmP7SN=y}A4ye;dT?g!$fEQ^z8!vF`&&DI1&SB@^9&j&` z=)nXwL3}-sO+a6t%g*(CfQ8x2)sUJpC0BTW!zDuGbUB`wOXsok1R{gjc?gm7+4%@< z>`H^>CGUFLkU;yifBWKm0lPpzAHps`&@W^cI^E=3FM=Es*+lW(Fg6i=cM-b?W5F*} zD(^grO%k7;#3rFnFJ>3}AhC?*YZfEqh^(0}qFKWUC-Tj+{n>FUyr*rb~UXE`OP`+d7-g7MPA>vk|73vC9Oeu5TWO za&SKxFd3)BL?j3(?|eDCT);kuU5;SSVRIZRh|@A;SKBPsU0XoX2SEE5D%&SHMB&Sm zm0wbwQmmUpU|3A>g(5$jVG$w07%(i{AP|ThE-oYh?f@4Fm>lQ3E3B|cPBR*aB}iT1 zqEoV|xR^i-k7h7qfheieg?@jc*#L+K0ZjA(#6cUu2#-PRK@?66q$W8%0<{?MaheHj zA``425_UTc=b)iU@S99)XzmNf2@wH{!AjUv`6M*S7{r((O4u$`g5{SB$1lg|!U=Ev z*Ei9xc`S4IlqX>0zzoyYOr^Agwta{eZfYvULT#MU;gk@wLO8yWY0|n*;84PpbJ`As z2c6wRagFf8U4hH`$-pLh>Jook*hH+IQKpAh5`dQTA0JvtwV|Z~&{ETVXr*GRxPo0F zl;liy1yYh%vMW6$$=4#W8M3#fID*z)i-=6<>4Tw6#Kf!U4^StGiZFRz#jX-aT*|IO zNLYebzZ*)^!nd^R7~dBn4ri~mTa zuJW6&?KB?+B?rwfU<(AOxoiOfwU8~uRD^%P8E zp#ZYl{EotHb`Is2uqA?0i`WuGsq5MGnDX&a6p7k)i)tZVTN436k*=*#`f1~UiJ(L+ z+x)nv;(89-kUEsR453Csoj}NA>N;Np8aS|IFpd*@nOa7oP5f}%)Jiw%B$c|!2hs@1 z(K25f3(jaJ{rdLdO5&$(#bRAwvs8Z3 zxt>d<{J^c^CXQhO1Ai%7D#UaRTZ+W=26h7$Q}}KfTPD7{lPyEvEoX2LO~&aU+#71v zO>Pk73bsN(yqm2+5N~8R3W$zbAKit?-cY+F1Vv2N(+xGbTQ~|NM$~L*pP(eRi||Ue zQXqUUTZs_9iQVLoAY`r3P?s+r)I{2frD4*zT8Rc7&=-TN@m~Pi@W;ctj zt!Fo*uHC|Jk=!NoB%pbn5+q#>!l&x1FL~?Ka)ZzBRzjvGA$)qL@>68HHN?k@z;*+3 zTuf!RvRg%;?`OB7KHtV}3-5CyafUctC&pGt+ow^WwXm@J)FzcW+bq?YpLC(PX}C^& zLIvb$3FH}HC&CG77#{A8G~nYvSJBX6(^M?s!u!d!4p>>K=kTa(s!2RgIo4FW)^`*& z=o6VW$83tD2BW`8A~(e+{W(j)OmwfIzMb7JxcUOS9dUIPTjgjKaV>dO>Q(2882?ss zvl$MOjdpiP?!sKnRtuQh*lGmx4t58D`KExGO1(rz}&|+BA5@b z2QbW1$P~pfE6w$3)`9DKID@says|VOG!S?noSUvXKgb^BAWI9`g9zj%wn>2WuDg}h}=-CvxCUc z=|#a;rb?O5o{rAQ$QINg!ni@8yz}-TgPqbqfxguG%sK``Kyepf>R$efzCcGaEh zfzwWycnsx7VW|wM_Tj=_Jltpi|@9uE#kYbYzzACN%o}t zj;!u_Xq-?~%6eq--jW4*56w++RLFYRoz;qq3$H@q_0afN=$g2Ptx=880?biQv8M#p zy0fPc)t+WgdvjFqz^mCqH#R0_9{#;D4gVgR8!M=;?V(-s%Xzg2PK@Q5;b>mfT2w~` zvdEMk?F(ricS?(G0dDnn2R}VXVD{AP=}>O<)M#MWgYgV|MsTYSdj@gqS@x{pR+u-b zr|$4;Eg=HWvF8NPe(X5}^m+EY960!#FLY>#Qf%qAQ%~(mI92MTM1{rnrs96tSQov5 ztQg>{;Q`qII67#A4)g&W=KxR{p~n!z+BZUvAtn9$LZiEhoDPcMPRh14=7@@uK z+TSxmRX7|=_ON^$jt!igEgkA~i{mf^vWFbce^sR;6gc$3PJv7~^d%Oak3(P55un3~ zJ`Tg6eMn%N7+O^di6cUd@qXC!^>EM|tNnw_JlSXF3E4=)fFOX;K7bRm0Wgrz0?cI; zt>a_`29+RoPZj3!ab#r!08q5fsBAD8M3QX*fYbagjLrtYU=bhZt)T$G7$3l?+@b-+ zc81UrFR&McmN<*OfV9Mm?8R^`alCfRSwMV=y(A!>&0azfx3aB1L}-0HHaL#g#)Z%? zJ1DgjoraIs9o6BR;1Rx$_E%-;1fU1LQ_>uwbN_P#<~)qi5Y0vhsCP$CT!hC5%D7TG z#Xoo~ut}VXVGkAAIl@hH+S{QbLs$5h4i<(BKS=wbx|>+Y{Tk*_&7DL+bk0<=Nua|O z*K`$8E=?l}kddpIIjS00r`5n@9H!ax6xc+-sSct*i9al9ELC=bb{9TfqWqN7aF}i@ z6DID`c?9#Mu}KLSLQ(*2aD^;Xk>xr)S(3)HI^cn2*07|>6S9WO7_|^UrdBIIrSv#n zb7=*SxhcIKMi5!<57z`OQML@%ByHxf6GfQT!!;H@?cQ+Bk_Y6evXrQk;Tq`+;@ek4 zHGsRE;;X(1DhOA7MqA)}BZWIjiA50ZMCF$pF04adW-p7$;3oDmnhaiHulSPzXJoeB0|5$UJEDm2#rv>5Vx^y0^(}64MBXJy{>|&jtqo= z-_kpLa-Si{Eg~9RE94FKhG=Uodjqw#ooyFwc?%$@7OJUF%n&{>AXR#&-}+f;t-s0M z6s_OQ-bAgx#oqFI3h_h0JZ}cYJUmIWxDy+WsnUD=rq51m`fc{MXnGxc8#Vn7d&g;7 z_>!U5JPwnaD!A#98N-uG*Sk%Fqj^=6L zsI!^9hw8k~-p6%Nv>CL^F-;@p$vR6*M4*(SP3`j>^!2NN(ZO}dBfcP9AOL_&)lZc^ z>K9MMWP!I+Ii{HBr)K84e-lCRB!Xr(!I`H8H$O)D5(G99DD)552ZG>Fun!QyKV%;w zfvqfkQaWkIU`_39ehAkotUq|fW9gH)gQsWi;8Q+IQ%MIEivNrtAD;FJen~a}&I|(Z zA``&DF+%`=p1_JK)(7|GERB1SniFT~)E74ipM&2E6n0PL%n^jG$u$Qa?-zYq%*uuq zV}pB9FZlpwX9M8uAb_ntfXkJ{9H%+&MLRrB7yZYH`3n4A!^9k?iM0&ERxdswGh694 zzbjWbwD1JI^bHV?ar6-0c+DX^h?bIZ*cjLGI?pc{F0pasnA~{H)zthd@X|K}pP#E1 zt8XbD>dBs(F5~UdN|ze9~C1#`=K(8doSk z4Uj^6_=X&w7_Ygrp33C-3=vNysZtcvb5%A&bV6{5zU|BP+-v}x8#tCz`i>9anrr}^ z7qr#i^#Lp(0NhDUm!aJ}pZIdY8HP?ATw85u7X+#Eo{Jk8S&IoY#e8&O(7pJ+-?=3M z0LVI@PWodxo2WU$M#XHRHrAZNJU}D5pdLP?Umv0TnlBj=5%|U6m!P#YYecfHpP03# zn5apP6QqUuSSrVvFZfD7!uVb!obKUEI12kBO-!Y`gxbM&2xIAEwgVYUAF+=dV+qdt zlD*4|#70PX+jQ^pB9YxY{7b4;Cnk-#O1ANJ*(bjAEhXZc&Cr?@h=wmk5qrxVXkrRX zBlE=?*9;}|#TvmEPMlOwf}eAx4Oncn(rPSu>x<%dCo*GoeMwW&hqx~Uq|ieE=t`XwG7Q#DSq(y!p_Z|K*@ zDP@mxd8+1`qC~)bQ|)-xSFOJOw_mnLf@h6QnXAia;Ju!uykyH??lxn>=Pjk-?LAUH0)x# zymfQre4J*|yhJn5Aj1Vepcmz51%o+$yr73vj(@}szIK-r@W=l^*5;tl*C-O`5}leB z2N0o`$UjB8FkQ2cMlP5(r)!#tf5STqZEW-1HvdYe5y?mDb)!2Le_@?dPz8)F4Y)u0U;5tSt2B}vlf!sSqO

gL(vY3iSgI4fKWIZRj`8V$Xr1YG{niu8x>os&fZAn&dZMOjd`9$(QU)Atrm+ zmq<*$VqYo556bc;J z5qb4fWeFe3-Jn*>JAci-<}zBAWM3m0{f2$x$tXXxUzUs{W`d2V z!wf`6AilD!E@lLZn<|6TKi-86Li5tloq73cTMVa3H38q-l%G0Sgzfsyvxh2c(bd#E z`*`J-4!dAm4Q7tSshoB23}mH!xkjVb90=a#aKL9UUlWV~J_BeDDhe*9Rn%l1nGVx{ z9A@)1dt8*;*Jw67pcjFI)bll40hGS;wQ&q!^TL20n0EPwIX~-2w)u93NVd?3gax)v z0)h4r|5nySCEU%m!o47qKyVG#N(H-J2H2XUV`Stk&`?l_CB*BMbx>i^NsmR+*q|9T zR03xxzocvVdG=)Gms|pQBuaEMhP_bS$)@&%z$Er9`&LY1o!Pf&68nyQ=S*UOyR^)O zS-Wi)B#pn6=ob4E-Q2Jf`|e5A-E6l&t_$0Zko%r}FOYLjs+M(k4*ZsRS98Lq_ImC? z^3J>dz}LY9e;UZ^ zunE9HmOkf!{Du7@Kn`TTAdtVZUkS+L(?Bi>&=2;9J&?b#-vr2^>^B7RclJ903DNg~ z)8W?#oI!jW#qIbWwnub3ftLd%kPOW~)?EfyFb3#&V^GY&1MYAefad}LIE@EZ?xp;R0MCPxJOUh| zn*+dC2KY`%kq;2^1@tTV6MB~e3d|e_S-OQf|q!g5-P}@~yx2MU(ZCGQ4C(PvD3NKZ5ms2IObyM+UK-McamaX?&f>qo7 zm1Pge2JgVx1f#r7Dx8;1tDtfG4$Q95SU$s<5`vNH) zFC^!X?|rK*+bzdU6&^R-v?==`JAh?hgadpu2r#iEOMpLx1AGhzLwhPw<;!}U1Vj0Q z=W(LQVeq({2QYXdFssL4-ibY2Rrb4L@H`<><9hRe8<34~P^-HwWYqcyZ6QJe2YUxCoGSi4jUq2~xCe1x7OBM}fP@DVBo>Kwv< zRW%|Cgr3L7uZK|j%u>UK`qFx+k#yVGx+xNMG*MPueuc=p--lNM$E0hN_WAU#$Ol~G2+xUxGU0uQ@3ZH+-(D96vj>KWOs*Zl9@#gCRR?cJXpcS$4A9EN zy((a8Nj&azv$%aW@6bjbSkPseZ{gjOVE#Fh9Yv zBw%g|gL$S3CioN5@Z6P#=Urhi&%-bgH$TNF@v&)-4y%3I*u$cp7 z3XJy|DwwlFy7_sqoA$L~Ft5ZgQ8&K`Oq`YWtg!0W;Oe-W*M^|_@tw>7FWjvxF-aq_E>f} zN;N_i$wn2-$`F_bVTH$GMka^h`G^W8Buxzt5$oU}=}I?*!F&e8M5OBwoc$t`!m7Wh zsD4Pm0Dvg1uS5!YV*t-2hSoL>tb zk5~=x8`R)Fg&I5f6Y(k3gp!)RPazBgdPTjzvaaz(2nL7YUU`0q5US8i>=Do(T%f5M zKRT8Wct;{OtZJKu;r5$~I)8@X)&<*(oH{%KS~|n_DPY!w?4oHBd<3@N1WY&EiM@~#S@VX2Je{X806s$kW9+o zJ+->)B37j*06wb+J3;P34dlUTw>H48E!q`Nq}@93u8!ZX8-faATo+!{6_vyjsdNCm zJHV^t+(QO6>OpBeQA0eD8uj5_eZL0o+L4;@bH3SDv%yB52`})bv-wfG-CS z@Cdr)aQ;NVw}g_GvcLEkk=4^4rQ`}ZNC01sRDLP3Xay~`5?CC;p9mJMp`^763-t+! zAQtCnu#jW1C-(A4J=g{?Xd|%e#-9jwZK0&CgPr5;k6kID^$Lt^>|YH(xMLkH0<}Dk zS!JqbcXxUnuqjgFvW$yzJAqCQ{zTAe4<+qAVsX__v!`2&i}OLE*3tZl)H)bS4)$tM zb#aU)5g#S-G1{mxNt9y(L~+EB51kGIyWaeXV0Q?V970GuRYL2H&s}Zy<^^wyBza7QjfUOQ(*|T1SD&ar}v3awwD>>d`5BKS@~WH$;}nq9KWH9}%1p z)KZ-QY$ws=aQ;M^_$(q}x z?peNR=-l}P0C+%{P&}QXW1U5(&*o30(_9rD?sdAT1Y(}aF>7mpCgLqRJymm@-*9b# zH2fb=$sro<0>Hb7hR@+oq+zaojvx)EYI+w20tM=Nz-p{>fpvunT?Mf7_!9xfl|?rM zEOBb8=K20`c5Vd%h!Ce$lluq!+1od?YfAq>kvIIi1K94O$!YwFG`M7nhplpN)COK3HDmzqV=G)a}+6KeDn(B|_e0<9O6^dit^$ipt403V<{FeJWXIKD+ zX^u}*LwT4s?-X3#Oiq^B{)OStoRr@i=|$ugB+I)@Ip0U8`_fMDl$Je$fEpM^J#mkQ z+pU!lX^)0$qUIyh(t70I3{QJRZk)GrkH0BHV=&^bw;0QV0Af&_kT! zz#5@R+#Nz4Dmx=IH({kwr$ADN4{eAZ3l4-6b!k9B-}U9#hg?`L_0cfAx63s)Pt=<3 zjJXwCBYZ)-+FQ{2u5#<7pu?o}MumxV7Y0YIvXOY#PefE)?<9`<$ocaep!f=w)sr>% ztU*MuIC2S zEKua?Iaza}LUaGY8($G$NM!1LfV5G z__(xnvL?tM<30ph8zL}yfIksThC<0u50fBiYASU%b;g{Gcfy1yyx@{;7=Rxpn%=~p zNYmVGAMQ0R$#w#io*-&G#Ggow5l}M1uYt)nT0;xu@EDzj>1!^gCj#sfMZ25%6KVG( zC^^Y**R3%UN=J$skMJi_qXJ4Q{2Cb3Q?kSK6ufmJXnHFQC_boOz|cbhN1P1b-qmPJxnB{2H{U zYPSVRO#pb0PztUep%0(&E2!ONC8sdYlOwdxser|)0>dZy6T$E_C^^l?(5*2BO2>#A zPxB{I<8&xF-LHZBbeblw43gT@(l9;4ZTAd-eTHcFS^h-YJrhdK^xJi7oCT$4i5k!I zCsJc9l#KOjU`)q|bo`1iJ9(qEH6}Q|c-|pFQ@&n3TeSNkeSG&nYzR-J}u7-&e93EWJ}5@Upb#A@O_Ox5q!^wlJhg+dzS8KF~Rq& z?0A0`-Yyd33C?&4-d_OtTp)0Loj(y=FNBf{JzNp*$6`s6+kF$^>xlx=cK$>lT?8c; zIgp$+B~P|Oa!6U@HTw%(qrtHiWE+gvc$%pjf4tpZsc{6{8!23MlE@(39vZlEoQ%hm zm#g_nK#xg+R&VhqLaU3R?qBZYFG4XzCur6P+*B!%otuD_fb85<=)hFbx&QDd(mC!g zg7qgi9=URIJLj*jofWTKRKJffQ<(5B=m`))Vcy7Tp^%;!Ji29 zE1~2{0zJoJmxSr0&I`KQuY!7437B8;Cj#?oD7o5!$+d0>6()rW+FYnNSHS#+KM|Po zpk$s8lW&6tHTh%>SB1tUOV7!gD@UltovgXTAYGGBwzJjblkKcD`Q%VqT?6#EM$qaz z{zPasA4=x?JOwwx_iliG=|_(LM>2PchGu@wENe=r)GmN_76=@EHPQ9-x|X=ZyE0mO=!uJL0B;i|7QK&y=Dq55PAP;QB!>L2`x zQ1yB!xgPT!SrIcrt%#*iW2u1l7k?tqZh(>-d}tZ1h?)Pk6)`jG`FLjNFkJ>6SSC8R zmp_rtEr*ijX`TBwtcY1zV?8Sr>lJ|63W4=L{zS085lX--DQ%y0E8@~nm@A>)N)EG@ z$)5`;lm84$QxAeY0R2y(YT$t^x|VfMsjIxXtk z6PJbB6So5VTSeP>{E4)E8lv=9+ z!fFA%h(8hNcROS?j=5*b`TVirk%0?@j?T#-9kx zyP)JQ0y8+HTonrQZm4&+fSKS=1m-uMiDzhp}-kro?ogKIX2^j9@MJqZ!kA;m+tEUrS$^cdi;r?dmohC z=cDV^xF1UI7d0C2CsJbrlx*;7NSE(MDBUP(G~!RB2KQJ$AZw6ls`;8IJG$jNU*qyb z6X1L?vVH0~&Wi^Dg9in6P52YRZWEMjaW2mVB0KMf^M z`>@>_&p_!jqDDvlL~1+>CC~abC^l*rH+6lt8A@LkHM;UAQsWgUdBv+C1?g2NeO1)x&YwsP?vs7ZuYpN$eTEgLV8}M8zfHjI z!Ji21*P-Nf73{1m{p*8!$)e3S0PY*2&7S;;w8_1#+r2g=&EAC4H${!3`4g$ZeU5K= zH6+d6hSIl1jo$o;)Zl)_cl;WdW=l0ul1LVo;zJP9-|{ZNeOI*Ehd+@vxx4Q_UYoLC z??LH%qDDXdL~6VbCGUGRWWPRu(ho$90sM*7_z+4ylr_i{woD@nbP8LRjr!REE$t9k z9LJvs79T;$M-qzx?l9g7r8`B9!TgET_!vq)mNf!+tHYCncxzIRliloPn&q5SRG$D& zp9p-1@F#-rE-2Y0@%4K6DU^OHY7FB~q{e4Z@|mnbdzdt?QmUUo7n6qiq8X};m)Ykj zfg(9x7Sw66seYQ8&jIPrMTbt{PozU%K*<-PLv9kWS`gz(Qx{n)@WC>^u@al%1OE~L zd?|pB;!gzlS5Wd50S>241Hf+#0vDR)YpD6PfI5ah5vbol$u}Mkz*LYan!be>--=3S z@F!B~J1F^%pmKH^DkMb{R|YkE`oMPsfZYQ4c>Y9ye-9 zWvvTyZ#5v?G}$Iq>!QuIrdY;WBL`lH=+Vlee#18y-{!oU^HI(xk&4`oxyR)8iByys zWy!Kq_=6=hdPDrz;Yb!e^5kqUMYJBl3*e{W!SvU?&GwO7@Cu=Ycdin`tF4yrpO zQgOh@1I{_%yhug8>Gc-WTNJ6NpIg7Iel7Srxc+JN&w#(T*56S7!AM1edJWn(I0*i} z*xiH|E9r&k}Ye(#ysYOG-qP!1$GTUeckYRyLN+R<>waZYegR*yxZ)ya{##()Ajja_V8|&|=A5$NGQU6B$-|Ih? zjSXEJ=4qH;Ha3cCbgt3&veDn&Kfiw=+1RW?v--^%p`6xiS+kY0vDM;M;jK2w#y08N zxV3?Qv}xX^YnvV@kF+`82J_LjN?ZT7O;PS^d#deO+1M_&-Q{-IP`+rdZSO1_JM`)> zy2Du6*kfUj4Lu@cW1o3_*7n&T8~d&5x1--~**G9}z~upGKj_y%F9u7paj1T1uAzBl zU+&Q=#%D~|H!HZB{3Vs;;hinY-4yh4R8)c`EVIdjyPMk`%-<%zJHVYg-DDvwn~Ruw}z zY1Oh-nAg=ERu5T?xLCbu_0iR_vT+SxV_4&cvgDdtYY@k4zFYIln)|Xb+$X$2xIfCC z;bX($N8u;JZ-&EO1dGr|;CLcJA{Ix4%Em~WNcYIhC`(4xip1+9H%1Yv3-7->$8@Vt1X8jl2DJf3>>}%BbBJcVqnaxa}#l2gkE#;GPM4 zCZmkqbA8WE*|^tpZ}Gh)W#hh@`&#d7hw|jUANRrT{>=M}?)OI7dw<~mVA*&e`oQG_ z@ZW>_gZT~?MEUz6yF-ZEL#qz$IkX?;^TSSu;fIIk9^P;m{vV}}$`^%pQJtekM2$un z9d$VhuRr2(q{tD>&yk)-#vTbmdFaT6BbQ`jv}<&M=)x$EL|=-&it@=(hokVTqxp`O zKUxXp(W6(6B0nGf`@ryy;j_DXPGzR_|Gbd(! zOgPF%v6@(W*%+HEwoGg}l<9{B< zJjVINHHh;^84-6R4*nl^Gww;;Gue1Teblbj;~E*?2}ill2Vd z_e_N|{%3GLXBM7`JhMeMGAj=kX4Ps4zx9IcV%&~NOoG@sm3qPK6Sl1qw(Z;-zTgIy zk($`K``bCSwbSVSbtT^P3z*XXCws5V=>CnFSFg^Hgjc_Mk^Q@0^s24KV%t|uY?V!3 z?V$;vA&&=@u0$$BuLO1*dbA#m%V$n`+1*5X@1+)9GMope5cY48E zF^>;eQzzaZTC$uU`_#-&?~`=)=G5i>6z6Atj-RZZ-%V0k~) zshUnVKBsVJmj6?B{ATAFS;0@;x#<8X2Yr^#%^aMKV1+-&`J0cyXFC8n{>)3+rF&wh z`=6agvU6{O|8SXFk~V0$tIE7S*XWp!&}Th}_Zg*PpL3MVN9wa5$h$|YKlA?Fqh&r~ z$>Lz%J!+u{$`5!4K_l>Q|C#PSlFb3Vd-Q%{rIX9(nfqR{I;eM#;vH5dIgO(ENG7`j zd*^6!SLT!4M$>#mljXs^b5z+hRz5k7s`CX7~@cRCu?g9jlp=X2pDFQrv;RPmI(~X|rKIBPsIW|4q!) zO^LH)K2s_71l}ix>ZjD%F`uCneG*BJscEcXN}e_InM?5}^6nV>hWV%T*)pH8RB^I;8fKHJva$$(bfj*8IuQ8mv=Vm|ycbPBSO`=A+?k0qdMrrrLbE z)6_}7`!Xdb>zbClz}yqk+=;)%8(zMFH=cD*Yu;e)5oz+|-{KW7-x$ovdZtCMF!zi! zI{1Xkgx4n0S3QT)57gu4C>#VsI4hlh}n=OEyxtP+}J{cOx-CDsDsr z^yVryTKJK=ADR1-7$gh6q>`GJvN6J?EW4DsTZw^si(9FLu-@Tb zG+6XwsPtqs-Ugumbo7M;n@lW7ING}%&E#we&v*@E!D7(fZ_rEw_X5v~i9vghD5U|5 z|MxOl?R&qBR#fP#3me#Y;d}4zd*=Qp2F~PL?^&AO&B3o0kn81V6NT#~&GpRPPYm9B zy4|Z3i1wP7X^?(60~allo#O%gm+-?GmDptAk4g7ObDtCg_%D2t2GEomU#`lYY^rd@ zf9Hzk?kEQFU%Dd=qUn>~geyi`uszvy;g$d1E6qJq4CH_COd3ejyAKK8X&+8klsp@o zDID~_IjFglioyJkPD+Dm7w?g!>=GOmZ<8e~@zNqTTlngK_f>O$6$APq{1rANx;aW% z@#hLB{V+~y?x$tU=$ADb`y^~3qAxzCD${eV8J^xIWzq43)e>$m2< zD+c!i`>xV|&#=Y9e?Pqcn)|RA;E&+LN*9w>abS`i1_F~{aq1&stz7Jb3T>sO$ zzPbC0!T-eWPlF%-0p+WE*NwveKfV8($AFlC&kzHuOF@JPh0hZT=HVbF;WLFp;-z7e zh=1lX*I9W%c1Xli@{K3+m=Y6}jAKd}S1VYQh^yosSLU%LCM%i8 z7EPAv8w@XhIiwbg79p1WL(DwP#Dt}QFrx{xiH|JPFR$ZwdaN_EVBgsMkrA9h|uY08gM^GFpFnhGLSHCnk9`(8wA>WEhJ zh!vBXN+Omf_07MO_Udo%UJ`+udIHxxc*Vq~qTr>8mE$AT^cUn*{&F$@nq3jmoVudf z6w&eDPSfOI*Te*;z6mx>@(X;sO-yhqOWQQL^w0E8B>lbl_`gzT^@!aN(VRM?**v1f zB&X7drb$kC8dOKWx+K}KnZ@ydd@^5v!vs)s-Q+I%y2f3K+ zR37AS3G|;tpr`&oHxG6(;b|b)Ee3oR_Ol51v=Q*;K`$mfjRd{Lz@N|Vioj1hfo}@_ z_>WU;ceDFq^3&Gjn;hT;K57w@pN6tOO+5XxrcYm9eFnp3um>Uqq`eeio(6;iq`@?h zkP7gVrB0FT zhcbWEWG_Vi5cxxyKg@H8a1fD066Fwb5YvxUzx+tG3g;q~h*YAMN?xZESvZNM0TMh> zN~z4PL`o4U|?)xevXw@=MLhsM_l$KHCdXHkZ>N6gp!nm$k7ycUbT4!k%B}DN@@zC_NFu>97v?0 zB&Q*AAk!~cD{ji1L_!h?>E9$I^Q0u4NF=3ym6YNgFFpg6di`$E%lkDS?ksYX$W8w? zH<{-r;YcDs{rmicvBM|zrteSjMqDrQlE_Q{B`=wBQ%!nfQtQiIg+mF4`mYZ4hEqun z+)X%@aH&iWZV+qIlP>%JcbLHWgg>wn#`jF0LaxnVFhj1=&ANZf{1JxYU z%FaIAF5xdi;vpwbk-tR#Qgf64%1j>s3I`K8?1Sepa!%`)c`V_1O`eWt6RAw3vj3X4 zEWMu6S^V2yyfn`)98EZyB}e%X{FSre0xhR-HsNd^!l~Y7!*sDGoK0M;eUytebLW%j z!i}DA&&hL(=|x+%d4hZ70zqbE3W;`n#jUVfFZ0naP)oXB$@E4L}_nsS|R zI+5!>Nv=zr@A8X$C-R*#FMZ5yQ_d5PCvx5=%X!MYH<%X`c~9iMkD2GxY@2eQa6Xay zK56b#=D(@Du*iQR|EcAukIg6t#(zA^zTsZN3564WY=^UC|Ft9L<;8>}3P-f$D4&9x zt_+1EiYvoUer0Ix;O3u(Oy%ApFN(Zq?jE0`{(7Mv&Pxi16b|`0oKV^4Yp3kUOADtI zPN{T|&$a#9G4Jp)!ZC$oelAB;>(_LfBOFuQ=6u%M9Hnz6{8c6VRG4HZ`^P`>+Ku~& z>?yLR(osJ5wkd-O=M)(A0xvJJsK}z9J8P=-Z_1>?K}9A_c9~R>P4OF% z^fj!GyrRgaBAcpZv*f@`8C5u`$f(IOqpD}s7+zUqRgqPbLk6{U43t?D9-6Djs|sfo z&T7d~k_$I?SYP3=!eNt(W4`S;UOR1G?kAj9IPKe57ri%vQyyBuE#auL)966mGez6Nbjjzyz z-zuyt(y>U#CQnI8r70x~2No$g#ie8lG>tMLzf92yzara!*B6;tWagBVkL-VU%t)B8;aa4aqruEK+rfPSxbtHm@(KUuNkZyot!tB1 za(1f8*%XXs7uiOUv_;ZRsR`QBahnpiaBh*fQ&r+7hd00Ie#%>k{4Mggr97CDxhaPW z2NyX!)#Y$y9?!|!h&(RxcuLOKZ##BVE*DNNa(SxE<>dU>|FiNb(}dr-mf{KIdRc^up;yqE8cvo`T}di~6o2 z--~?zKDjcrv@zv;;rJrwrlGfu)4t_O>GU$GvD^&lxZG_|!gtqCF!#F~(1*8~dcSDzI4@cv>^5Q{=;OY})SA6gh{ z+TkxWa!)=`1cV5Pq&iS)Z2u}KSVcZq1ceBS)ac~@=A2#!#wk8j1cnHVf8#`{^);`9 zV>KTxft#Fvj00U`qA-#Suie%EFV2+OU;8>(e0hKf77;9|-QoZ1`MnO9`aDPkj0l+j>QHIn^{<1*mj{cW5kZp{ zoc=?cqj}(r7l9)J=R-JETCwpec<>0zL=ik9c+!gFf2i|(6+mntpDY4M1ki_ath8k3 zbr4nLQ$-MoAWBQl{~^!ybs+ij=^~IsAbm*ZN^7=W1rzt7mDB# z!If46;bWcm>j0a=7mENB0rs&REiK!99b`TEQW0b#$kK8!e9Uuy9caFMnFuryXdlzr z(z@-}!B(HI5WyybEv*N{$3Fkp0q4uZM8JuF``8XA?6+d(LAP22od`M+6raWi%mZ(& z2)s`-=)$?hIFk>U2j6-Te8MS2V)(Q^@HzlP`9=|dpLXztvy1V5a}~_VBSa7irx1zZ z^Y{R*hA)^bf>1c%=W#$W?(}D!?8E7=*sz>@lUNLeABvdxd_G`W5Je!0zcrYq|JLB= zPC{0+C~E4&uZvUp7O^gVzMS~ET|xApJm&uOTc>&WHW84?V^TzLr0sbyU9gIP6c?=G zf;AcVK>UTPeed`S*Aje(SSQnVeirL<@|l0rS}B4u8RSV37|ACvKI>f28$DPr@m`Nc zN2{gmAHQ}^=exw(DO}{Ux~52zZ`@M6egnvt?-9W%f>Q)%^74V#0h*KV69JmMCPxH| zm=E#+(_N?tP;q(n#^ser5XmD!m_3hoKrEukV@^bDhDzkm3drjCm)|Mt*IhVlW9H`!IFG}<#W!3`MR2uM~QV+xW(smN747Y{m-8i17eImw-1<>SrM?wJjH%)M-lxek7V`c zt?V(e&L)p(5#b@`;axspT5CnninUhU(xwm}P~6&1t+$WDdd{zeI`}3NgKg$_Wgj9V)0EIb1q^#bKoj`rtT$``Qo%mU?9t*d4 zo4bg8$=g57KVkY#1atD9ED=m%e%|E+ri*M5%;F+jyy2C?eZcG!sPkfR7Rmoz$zSYG z{yt(_phZBZ@Z>ClE%^uArtkc3RK84$fK0dymnZG-BNd)%CA8_H+VvIkP z511~*MPQ2yaq&)BD)Irdcg?PdMO!Q=pK3u7{d^ixvk&l;8uVwOj;w zD$L_4!2!iFe*8qK_%Xp{{H9paKmMo-&lY3+xqQI1tcySw%euHlPsKj)=B@fIv960X z=X0$&qTf&Bd#1Hr1bZsZ>mrywO)w?*aaP>AALc)aC0=+&a`#$seE;1?P0PFpc(Kfj zTlh5K16Fn#on3~f@qaR;9{*Xa^Zz}EigiegVM_1;(^@ZrJ`Lpgl;Du!7(agEG+*!i z_+7EyfBYdAZY{<*x%dFB`3Zj?Fb}^kf?qgha&b&?9G}J)O&9$l_{BxPc!MvE`9S=0 zJFnjCdmz^TPm^=S+9t+1Ir)I;8bBm~G?xF9lM{+#`Fu$cZvxgy_|WeetK^|)Ozt6F zVvMC{2Bu*-nasRUR$+r#O_X1;A*=(+L2M`+j&cec#^$12hpnS1&#{q`HPc8MlvZrr3(BJ`z&bP2 zSQlY|)?-o5X5*~4p^Ra{*1w?qn}yi;GL21hHr@vIY*w%dHV>G_R$>!vzeHJ^O|qSh zas``gdk*C#HpMO{)7TYbQ|;QL?98UwtwyxQy7o0TpC zqV(UR z{E01=Gct{wnJtlxD7&(y@&c4gS*Uyx*z!niwq!L~Z#c%6E& zZBA=Z?q%DZo-&Qjp6$?K+;z>_PF)zvEo_(WHp;tfw{tG0aW2jFIAeUAgVLWSbz*U@wVB4X0XykB9_1o-%Jn$PYwUDJ2d2rGm7Q@L!8C4D*;#jtfrrGt z^MEgSRA=WrTA^&uE_!T4xrbfy_!Z?J>~f|8Oq1zLb~V!?b}iFsc0IE-yOFsZyPCN% zyP0_>`!Vx>nI?aG z_N+ir_Pn4U`@2wU_D|u_OjCF=XNAwAyuhU*tC*(9E^g&DnOhgF#%+quV49*~+_u;W zrYUxV+ZEr$G{ukbbj2Srjkg`wcq4wikMi^-W0NJ!qc#f*)QQqRYs_o~weVv)cHzUvE zTMK0?p4WFe%9T8y??sgNdH(9zn5KGRUZDCnD1&&x>bp=LJvlwl>bMc2(|EyD!Q>Uan36FJC7Ty|hjdOC} z#>l;m`||3Im!n+G{Tly_@_)QWlRQk*q#&=^q&v!?yjGKSC?k07Cf88@&g(SI$}~-j z@w!dhpzO!%H4R0%h1YL-6Xl=0L9-l8)2t|O*sKI^*t`U9)Vvq>Z{82(BJST}6K`y6 z#+w+8D93Ss<0jtFc!f7|b@s1sfpsdV0b%bAb9LvA%RF-Kv)#aT#4M#bJcj>ejtLq&dI9g&73bfz81K;)=ijv-@7WdS-*pS`)fMO8^-tcrn-|k`tHS$q8;Non@7oRj z+wCmx*P{&Y-_x1*>sgLzde-LydQL#Om=Ek#jA?pRAX&7iA%^xz}>n;}tr^pM|~ zW~dDxGxR|-7h zfEW(Y@u>muxqwD|T0j_|9`F~R5m=kg3|zuz1^&Tj2UX*9g61+!&>}uJ=nTqReBQY1 zOf$}l&mT95FBo?h^@n_6FmhRNS-vP3=M{X4FAl-{g=FJPLXew6Liy5=7fdtWnum@r zkFo(@HogzaQGCVtaFkp4%JJW!yu(+Gf6g=$((~05nxZuFH51mL+|JidfS*tJm9L+O zz9*L88zy!|If8GTi2f#i&%-CBXPQYFc*LYCC>!v|NelR6!NY?97_{{LB!3VdgP@WmaZ>byiD$ZPt8#ebxhhV|Hc! z!|d7o=Ip!t$2s}@Modhf0%_1V2g>bu8D>bIw-)PK(*X~14rY0$pP(%^m3(vbanY3TmW z((nWOq!9-lq>%@IkwzU_B8@)uNc!gRRB23Tg_pT-)p+Ne46K5PK1g_@Q(z;$*_)UaZ) z-K+*`>A8+wV}7XFu|GIxzNlGS{lL;?93lrYH>=|;TgIWR9Ls2318f+WhjnqV;b881 z7qAgvnO*(?8wr+49}G4M%v0YNY&2Mwj9-F%1C}jg4*VMfmes8q*jTXaZbiTXz`k%> z3l<2L(`_bL5LgcPZD8ZTa=R}D3kJ)TsS#KRSl&$Kz{Z2+$=nNU0$Bdc&A}#u<;yY^ zY!X<(EJML2gB9@12sQ<*u%`qz6|9hFN3dyNUY_;9rh^sn+zd7YteEE_u$f>*vla!L z1?HVK6WDC9;#seN%>gT!H5zO#Scz7*g~)`vtI>U z1m=_dDA;1KvN;BWEdeW^qaD~%uyQ$DgN1@s%vl3$8CZo}^}&{dRnAogYz0`Q+%yI& z!K&t_F$e>zk|z_`Dlp$XwqUEls^vKfwg${EPbAn{ugRKLrnKujAdaxRKuY+v> ztDQFnY$I5$e6_&B!RqEK0TuyPC*KpWNU-|(egNA9Rxkf_u+3l%^A88x0@k2F7O<^g z{sq#3Z3Ammpf1>UuqFjcgY5uoT!6-OCs?xrG^V@2niixv+6~sCAkEPpu;v9Hf$aq| z7Q70!53FUO;b8m0S{3R9b^z?F!ZO%Fur`GrgB=2EU3fLvVX$_Er-Ma-wJrQR*b%S} zg)f6egS9VG73?Tjry_;Hj)8S7@(WlDSmz?&g2jS;?L~fd9IUGs`BfZP7q4|-C&0RU z%>p|K*3Ii8*eS4{UWdU>gY_ud4(tqA@1nKA&VuzSdI0QOu)amtf_(?pr|8dM=fL_G zJqvaotY0w~unS-Vi~a-lJ=lO^&%iE%4K8*I>=M|Z;@QD2gAFb20Cojzh&PSZRj}dS zG*;KZhIv!$Uk4lMO|gFiY($ClU_XG3_I?C*6KqroijN<`#+0D=xCQo2NiEoIuz(VO zf&BzFwyZbU9k8IXo?t(N1(w|j_6t~W+2vq&!N&RY2D=9~-lqlFeXtOp6=1)DP4t-z z_5f^x&qJ_>V3U0=g8c?IsT_^{?_g8Q(b)e1Hl4D2b`tV*T9{sNm@DLdFRusM~+gFOeEU#UOX-(d49mj(L=Y+>bG zU@yQHRB>hL+!)y6Dx76=<6w)b!vEYPu%%Vte{NP_ORC)fvj$sMEf&lMEYufcdNMzK6ilgRS;m4<>`Hs*X8wvj7e%bYKzH;m>Z)VBvn{z+AvK`Q-uAgGKrwpSl^q zw)i2Ry19aF_PYd@5p0`Z6qp;>)*5BN+`)F#$O+~Fw!KCGSSGMtHF|<&2HROH2rLWO zo?5-ZJi&I?NA7gX3bwC4a;IB1u)XykgM9&Zp#C+m>|pyF<_F6GcBr8qEGO8(M&EJJPHXSU#|0&B}r02RqtqC0GHl*k)6}3WCM7+5}bz zEUwi;u)<)++aRC16#+Zh2Km&@3+zOj9$-bmPPb_aRt)S^8^pO=aj>&(5a({*U}xGk z1uFseUE4}vCBeRJdlsw|*!i}5z)FLiYj+LoOR(?T9Rn)^cA>p9SXr=3?fwSy0lU~? zELb_PD;;`(l?S`rBLb`f*tH(>!774X?Xv-_64;GCbHFNtUGKLWtP0r8ek;MMg8eXn z`mF|bYXJ4@3-;q+39LHUPlN7(`GMUYnis4F*v~_q!D@ot8BX(73+(Q2nz!0uzl@y* zRtN0<*kNFG!R`eV2CE15Aixc*KG?4T{lOZ5{T9#)tRdLL0IX4Njllj0z#8S|5B7VY z2UugU$ALCrO~4)n(%3fz`(Gf9eKW8>1Ccx3nu9$JMDBEJ0rn&adD5*V*t0<7NjD?d zUqPL~z5@F@s6JRLu;)R$z*>X72wDNw2JD}4>6pD+TRD*PpnEL8TRT>cvvEzq+Jjk* zD+|^EOd7WZtRtAsxVd1Rz^sFv!M+Bw8}~o3&S18|-N3qlX@cv4bp=Zoya=otm>m2K zSa-1W!GD1D0CNaF57raRKBP8SFR%w>mmgTV}o=70?Wb6YeFY$#a9#aggoU>=Jefei<9 zUtASz1X$+9dBH}4Wm-HCY!sO1;uc_|!Llrw0QL=7wk5s5#(-sAdIoGPSoWn`!2-a( z2(<usorUz$Sp@54`|35iH-b zAz+ih3NHH!Y%*AZ$|fGq>7uzEPyaUWz^bfq16u{=yZUdi)nL`u)CF4u=C`I8*jljaYwm-s1FN~_G}wBu8sYw6 z8^CIZmj>GiRx3OREF7$Ecvr9pusY#%9+6=6!|6OWfz^wkao!BpFr3DD3s{4QP_V6F z{t>uNciRTmC^9qHcCaRq9BcG*bmHI0l0+YQztaxK^%u;!6Z!S;d~ zBd>t%18ceI1=xPDR-0~u9RT}kvlrMwur{0ZV28k3Z$1ll7_8mqZD3JgZMV=|9s%pH zndUMYto;@m=c8bqw$L~q1M9eDBUlVr=PlF0V!^)Nnj7pmSl6vGSR7cFt^L7HfOX&6 z4D2LWx2<#@r@(q{rSmuq)?*vR%o(uW+bCwvg7w;-9qe1MzT54PV54?ZeEbMDW;eyhEwFF)&^X@)3)n;B z{1e#NJ(I!ifCcU83-&Wu;GUaczkmhri3Yn1Hg0c8uzO(R_j-Wc2MgKP4(wO3iTixP z9)L~QN9XnsZ1O%jx8J}f?e_-z9c=1;H?TjzrtA*}djvLpe|NCQVABp<1N#$f=7B?C z{{x$GuprnIu-ONlz@CE5I+PylFR-}>e+7F6Hs{cOu;*a&4=o4#8*JWT7qEZ879M&6 z_5y6d;Rt5$&cGHQp2_mNbFf8G=)+wCTN;Hv+^xWtM2!Zs23r=@0n7$0Gz!P(ZVR>| z3diSe2e$kO@_~Cgu&^V@2ksiMl}CcW(u1u&(iKbwTXp0Tm_69qBm2M{z}7?;26F^k zAMFg50c>6LRWL2s#^{4!PGB33BDcHiz#^iN+ufbP!jD!0a{=3QG#8j2Eb{1eFay|@ zqldvtE#Qq7E5A0a%MX>x}M~}}0D*zUId@xu+u$beBRrf+* zamNv>?uEgQA4kq{F9LS*IC74=7ubn7f3Tupr{hY46$3jJhxOOJIM~@ZtiSHwU}xf< zft3LJF76swNw9BEkZ+U%JAZVVxpLw-;f?B1CzVD-QroS6$&AM97g&N|r!*^XmO>!!)7$;KoPXMB2G zomt`k_!K!`{sP;b{Im%UM={psZr?k({O zSJWM>&_Ih_TDb(%qN4_yhL$?|O4-rCH#%zYs-uRio?1r@&`Cp6NAe~4a-xnr63(c# zvZLrXI%;8yF^NBqXjVb3qZa5S+SHM~mF14wDmyxAp`*Vncl6h*jxt;BsJ*hId4`&<&M5qbi|LTcjU13RYw=hy$*APU0V49 zd7Z;8%N=!5c68E0M^`O(boEt7k1co9P1(^Y3mrYM+|dJ5M~=3ZJL;kA=(L57URdtv z#jB2TTkfcrvZJ%=9XV<(c7$Co=g9F(%N_Mmc68oCM_!gY@_N;gpXHAFDLcAgp`$96 zJE~&p$Z4QuhT>jpcM_IHyR%tmQdB3;iFpHK0m6oq2Z3e?FS{|pg zd@X5~cUZI>qO^QHX_gOKv^+s+`9{(#$62&INoo0J(k!2|XnBg#@{dWge9fZeX-dnt zl4kjiMawgkmTxD?vhxg!mS-s}^UO)J9AVM&9Hr&#Nwd7mqUCu?%Q=!{*`K?|9G zfzopMq**R%(efgtW*S`J8(WxcIM%gdFP1CwTXxy8{~ zskFQ-X_hxzw7g1bd1cZpAF?|x>>Y*TxmIJ*P@2r7A>DpT29)vs9}gj%cqo2(kw5tX!)YjvRBe9Z?I_jveI(V zq*>l((ehQLq^VTlV&-}qU9fymc5f^ImV*pAC;C%B+c?ki!ozN55UDruH2-*^9_v|KuAmM!0R-&0yHlQhe}TO5sFm6ppU&GIvgmLDoD`y|P- ztF=YTzbh@5OPXZ|i|^$=Qd&;heYdNh#k;mYm6rXIcFgrHT7IImTq9|gn_9H|m(p_0 zq**pvwESFYxmMCFx3g&ZAEo8mNwd7bl5co0rR5e$v%JF6F?&c#%Po^+Iit>^WoxD7 zkfd35wP@K^X?c87ET{Ld^GJuAX8QQs86Wu4huC)cc6vCm_)*5^-X0l&x-bWZ4@sF5 zzAf#{^zq-a&WO?t+a4%0q0EBP6J<7(U!cr^65m#4xmjM84=oF@bgUpc!1I`_2uggn zffYmP4f_n1OS01JOMJ7$4Orr^i3JFSGaXV9ez@`;#AHobT3nv2+?wO%6>i zO>RvdO+Ng~^^!GC%;anG4O2VR@LKu4{80Yw75gpWwf44&+u5gAX_r-{T@ICYUMlUp z6Ss3Xm$;q7MU{4URNCEBY4=2>-Sfol95spCIXbAc%cs(=kV-orm39?X+SO8NS5MK- zHbY#7Q}}l}etx|eZC_SwUsr7ZsMx-v*uJOOerUFxj_=lTtz_~E)Ziyz4l2x9g}JFP zPi@v$_OiaTmrI2eP+>(?SWOkyOr_tBD(wcSus{_yOU2$M6&9s@t;W;opwnT~`Jxsd zqfW3uiBk|+3pt=_Q>h+t9FlHw);zky-3V-)+$V+!W))@~E!8O1rOB+I3g9!)yDhu)!+FGD4-@ z7zMM{P1nuRO@9^hbZnt2w$>vau?l;hn7K$QOeO!jxGLLeJY75!$Fob3#MdG&-YTq$3Tvohuc-<% zs<6H;{Y-fiwfO5DmqE(;z%dU~X*Wux-B^`&<5b#BQfW6$rQPPlG41jqu@C9362Dfj za?PSwxn|M3CLR~PN8;ntd#d!2U8P-am3H}6+7(u5S4^c{No6~}TVGzerh-*dVYO6P z0~OX(g?**M+AIAT{dQ4dy;Rsh6?-F8*jN=7qGE5R3R|jV8c%&#;+WBIQ(*@Z`rJcJ< zJ5LpsLxts4VTDv!F%?!y$>jTnvWdsaP*K?q=TS|C)l@RrtE*zKkxIL!D(#Fa?b@ic z>!{MMi%Pqm%62%9eoBU8R>|3h;VSJ$tMn15Y==I^D;fHjtavT1!3@*iyaqGOQF+}0 z#p`gbW>~6h2ewkl(B~SJ*G8zc+oIBLr%JoMD(wy_+u>M_s<1c}c1DGrS2CQ(C6#_v zuGI`G*J=ipYc+$)wVL6vO21E4`u!(yJ69=jJ6AiEcCt!4t@6H2zVGVdsyAI%pca3B z=bFbg?<9*;1>-OuSbjNh3bl>W}*Im*5 zpu4TRt9#%)+j+k8X6NnBdz}wD$2y;NzUX|z`Hu4g=f}>^T_hI=7grZgmm)6SE>&C_ zx-@k$y7YA!ge%igE@NHBxlD4I=Cax4h2Bc9(L3l}^&WapeRh3reSUpmeKCDWeR+K~ zeJyGFE6Kcs8S9p>9E;SP&v6Hj(=hvl@niZ<~$7I#=rn`f{|&rorPE%FggUoYVf zC$U3&igw@wEv`LH?fTe1<$63V#~sE{4Ntdm`#>~t#&et8J_t?hd(tKz5asq`XfuR1 z@$4nHA5WX>>?d>k6(oB}wvpT>IR%l6%EdT+ql(M*`D8YbZF4}=7 zqPSxQ+JP^8aL4SlqcrWn15Mnq2<^ZZG`M34+EJZ$;CUo2FNb-@%Cr~Xs^E@QX>SAC zi)V_sV?)|(Oq+NRhST4k#Bq8c8w;f@2T$q?Ga(=ps}I5y=Kbhx94;kg#>7)(tj z&}KW@oJyNBXcJG6aL4&n!xJCeF_dZ!~+rB@fh}I z*lJ&jJ02%_0?A-QQj=sf$rO@>5IGD7lwk>8n_(%*a*{BTHJHl`>q){%HbJ!2u)}~y zBe=E-Hsw|5S6dgoX&ccFJlVjtG(y_=(O5>CMtWHnYSNpU;6Vbe?M`e6vC+iv2mqH? zld0jfBZPKLr5*SLpKB+Qy?L~WkKMU;1J&>`IoGbC9ouLVpKWvPeyZWKXs+E&hqi|7 z(LiXA(++%;%(Zc}N&aWRr?gyqfi^GECO%r_+RL(CK>A%#1o$EK5mLuKyd4v}Y|BY7t}m-kQ;e6xhh2eD~yj~(`zhz$j^cYtHs<7;!= z-i`Jaq#gKr1h>ycJMc9(Zl8~=%*6cKm&8olS0)2hs2#rS!0qeM%No)qzM;VF8_{MH z+QioixP4RFY(bm&E&#W0Nv0N(saC`&OWC)kChcewkKS|p_O#iFHfgZ!zoyNuv`M+i zz8h^$qBIgsr@x3yU8T*RN$!#S56ppMm|XDaGk1_^(~88J#KysvJEVgZc`y!B%4S!lUyeG5ko>xta67d@)1to z-s288sPjj(0}q^XhhJ$2zHP@Hexn`Ts1Fb9b$L#EzaW+qoAk6bcc4jjXidk`nc5ji zDq++935_HkeCPK6Pz?{N^Yq`@F~+mlK4ILGBr8cayG)E{r&H0-rXO(WFW~P zlEEZHsL4>O4I>#&GJ<3z?HENenl`^78AF?6sTM%P6iBrol5r%#Bq6k8Jjn!-i6oOq zCX-AdnMyK^8c!#gK{AtM7RhXqIV5vQ=27GMBnwCuk}M)wOtOSzDM=_bUPiK8JROOhW)x$A#J(aKKyrX&B1v14 zjwA<329c>>sWy~q-KjQ=BH2nZ1bexLnrtT7L$Zma747Xw z@;8Lrl30=0kXS=lKaxWvgXtC9Nrsa&Al44TC90hy8A&pl_KqNFO`8p=Hj3mJjYbqn z49R4YuC(JC)jE@0r_J6}>qgRtHuq8OJjq3p8pP_5)FP=3v7L_qvt4YvgxOA^+H8_z zwlR$TN#p#4WIw(3Fv%o(SrExelD))clT4t^Fp{+-t4LOptRtCAP5M)91Ic=l1vSFB&kJGJ6#=?t}fN;k<=$?K+=$;5$*M-T4Sm;p;}XtW+cr?T9C9PF_L^m?OKtv zCTT;gZ94o=VSADeBppdQk$g?knWPIzS9(P^lI|ouNP3d=BI!-ihomnx?nly}WB|!P zl0hVcNrsRNrOt>=4pjrWo4CpkcJkmL}_VUj44Bh)yWP`zdhJE3T_U+ma)sn7$u*MeBsWNYAh}8MBZM7AEO2K;1$QLTkvI`^ zpqf32Oq=ORGSH?LHQR@_zd_`jjFm}ui?Q3-!A_7|Ci#Qp4$03X_ejp+W$XgUBij6h zo7NLz3@E zj!=`GBo9co5Zg_%i#C5CIS%1F_)aF-L#!&1_>$BjsY}v;#Gj-oNehy%NZLRoC#tn4 z=|s|nq&rD3lD;H^NQRP(AQ=sjT&OmdB#0!0WFpBFlIbL~Nam6(AXy5L+^DvkB#dMY z$$FA-l1(IAN$8BMc9R@{NSUa1m?WAch9r&zKgP|hz9YFna+%~B$qx`I8`W-++#$J3 z@+--2B#%h`NAefR-w_(ATar#B zT}Wv1tZDMBY4WUT@~mm{tVcokJ&074HUmh;k&GvqL^73R2FYxac_a%-mOvzLsx2c~ zNwS(`9mz(LNRlli+ev8htm7xI6xEK9#FEgIS${`zk>m==b&{JTw@GNCq%u^a*|PqF zRmH1;+$_BKsOnv>9o+q5U?43Vl(tp^DWwhaxo&2W-YBs9b}G{iO&Nv4s|z)JCb zETGM$Bs82hYe~XMXb^37lI$TlND>W^;*aV$ZJs3gmgIYqt0XjjHst6w_ep*y`5#28 zO||C`TTWt4Vn z>}|=5rG`|iMnbM^TZ^PVNh1;(PTQ6wG@Q2Nz_uMAQe&!pP12Qw2G*7a)^-5NV3J`F zsRc=El6E8=N#f_D8`XM3_@7kkL(-pwW=0xHLNgiqOVc6zDb;3?%q3Ys zvX~^4WCh785}GY(14#sg|3kISB-==6@+6u(X+Oy!k|QL?NKTNPCZYL|Xd0#SBo|4p zkX$FZNphRyXOep)4@hiDXnv)~B!7|o4Y6V*RwU_297rfMtn?5`Lp662nqMo*QdTsp zRy3`jYx7u!Fw$E&zbK4hq1)EOG*bEXsh(~6|n3WA<{|6DV BY6}1W